smiles zinc_id inchikey mwt logp reactive purchasable tranche_name features O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccccc1C(F)(F)F 10722967 VTNGLFWTGVHMQW-UHFFFAOYSA-N 411.379 4.917 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCCOc1ccc(Cl)cc1 27440627 LIQIKJVHONALQV-AWEZNQCLSA-N 408.907 4.704 5 20 HJBD C[C@H](Sc1nc(-c2ccccc2)c[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 27659602 SQDFCEVVKCYGGL-NSHDSACASA-N 402.863 4.758 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1OC 47159658 GPEQXWMGTJNZMM-INIZCTEOSA-N 415.490 4.685 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 53663138 AWXBDYOOYRNNPU-UHFFFAOYSA-N 418.453 4.808 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NCC(=O)Nc1ccc([N+](=O)[O-])cc1C 55856422 HAAVLUGHCFBRKS-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD CCc1cc(Nc2cccc(CN(CC)C(C)=O)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64905994 QIYLFDSGZXSIBZ-UHFFFAOYSA-N 419.485 4.726 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3cccs3)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 105526874 WCKUTFFBBDOERT-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD Cc1cc(NC(=O)C(c2ccccc2)c2ccccc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105529258 JTCLFBUBJGEJCJ-UHFFFAOYSA-N 412.449 4.860 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cccc1[N+](=O)[O-] 110597006 MVJBKWURAUKRKC-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccccc1N1CC[C@@H](CNC(=O)c2cc3ccccc3c3cccnc23)C1 116467362 BPIZHYGJLWWELQ-SFHVURJKSA-N 411.505 4.653 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3ccc(C)cc3[N+](=O)[O-])s2)c1 301828412 QFLJPRHNHBQBLY-UHFFFAOYSA-N 401.473 4.608 5 20 HJBD CCOc1cc(NC(=O)c2cc3ccc(F)cc3nc2C)c([N+](=O)[O-])cc1OCC 302922370 SYEDBDYETXHHIO-UHFFFAOYSA-N 413.405 4.640 5 20 HJBD COc1ccc(NC(=O)c2ccc(Br)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 327063656 SDERSZDHKXNXIX-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCCN(C(=O)c1cc(OC(F)F)cc(OC(F)F)c1)c1cccc([N+](=O)[O-])c1 441441576 PHSXKQSACUAGQT-UHFFFAOYSA-N 416.327 4.854 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 441697561 FDDMOTPXYYMJQW-LBPRGKRZSA-N 421.906 4.565 5 20 HJBD COc1cc(-c2nc(-c3cocn3)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 445560656 YEVPPZNOIRBPQQ-UHFFFAOYSA-N 414.761 4.754 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccnc1-c1ccc(Cl)s1 446599904 HZJGFRLBGAUBDW-UHFFFAOYSA-N 402.863 4.812 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3ccc(Oc4cc(F)cc(F)c4)cc3)[nH]c2c1 446850688 BYYJTUQYUMEIGO-UHFFFAOYSA-N 410.380 4.831 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 461559879 KWLSVUBVLKVGNP-IAGOWNOFSA-N 424.526 4.755 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@H]1CCCCO1 462485034 BLWJPJXIJQEFMC-AZUAARDMSA-N 412.486 4.750 5 20 HJBD Cc1cc(=NC(=O)c2ccccc2CCC(F)(F)F)c2cc([N+](=O)[O-])ccc2[nH]1 462579619 WAKFLWWMZFWLPR-UHFFFAOYSA-N 403.360 4.621 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 463975323 QNTPTLMORJZEKR-AZUAARDMSA-N 410.518 4.728 5 20 HJBD Cc1cccc(C(=O)N[C@@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1[N+](=O)[O-] 464463049 TZKKXMXSIOWWQB-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD O=C(Nc1nc(-c2ccc(OC(F)F)cc2)cs1)c1ccc(F)cc1[N+](=O)[O-] 466412204 MSKWOERMPJVJOW-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 466665091 NOAJTXUIBXCAJV-UHFFFAOYSA-N 413.474 4.947 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 470230545 BYGAOURRRPQQJU-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD C[C@@H](CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470472936 IVOCZPKGTWJFCZ-AWEZNQCLSA-N 409.408 4.949 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2OC)cc1F 475167151 AHAJGZFVXJMECL-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 476869303 LTAGFRUANZBKCW-MRXNPFEDSA-N 420.307 4.520 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCC[C@H](C)C2)cs1 482035949 GBDVQUQOEMCXLU-ZDUSSCGKSA-N 420.560 4.648 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2Cl)c(F)c1)c1n[nH]cc1[N+](=O)[O-] 484332069 IZZKYMVUKSQVKY-UHFFFAOYSA-N 411.176 4.808 5 20 HJBD CCOc1cc(C(=O)Nc2cc3sc(C)nc3cc2Cl)c([N+](=O)[O-])cc1OC 484692160 VUUCMAFXMMGFBR-UHFFFAOYSA-N 421.862 4.826 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1n[nH]c([C@@H](C)CC)n1 489263835 WSURBKDLFSTGPI-STQMWFEESA-N 405.524 4.610 5 20 HJBD Cc1cccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)n1 489514679 YZZYXZHACAXBLG-UHFFFAOYSA-N 424.888 4.836 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 491371932 CXVPJRPXDSBASG-REWPJTCUSA-N 423.513 4.745 5 20 HJBD COc1cc(C(=O)N[C@H](CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 503005151 YFBGZROWOKPHBE-HXUWFJFHSA-N 420.465 4.716 5 20 HJBD COc1ccc(CNc2ccc3c(c2)COC3)cc1OCc1ccc([N+](=O)[O-])cc1 507761634 XLRPDKRYRNZAKA-UHFFFAOYSA-N 406.438 4.825 5 20 HJBD C[C@H](NC(=O)Nc1ncc(Cc2cccc(F)c2)s1)c1cccc([N+](=O)[O-])c1 509614526 BQKTZVNQRHTUIA-LBPRGKRZSA-N 400.435 4.664 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N1CCC(c2nc3cc(Cl)ccc3s2)CC1 519783245 BMEHQUXPKXRYGS-UHFFFAOYSA-N 405.911 4.767 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1[C@H]1CCCc2ccccc21 520477053 MFZPFRKCMKGAGC-QRWLVFNGSA-N 422.510 4.836 5 20 HJBD COc1cccc(-c2nc(Cc3nc4cc(Cl)ccc4s3)no2)c1[N+](=O)[O-] 521313641 ZDRDLKFHVFECKC-UHFFFAOYSA-N 402.819 4.507 5 20 HJBD CCN([C@@H](c1ccccc1)c1ccc(F)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 521667145 OUWNVIOVHZPOJV-NRFANRHFSA-N 414.458 4.534 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(Sc2ccccn2)cc1 523550094 BGIGXVGQWMJNFU-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(OCc3cccc(C)c3)c2)cc1[N+](=O)[O-] 524150645 RVCCNOGESUIUOW-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)cc1[N+](=O)[O-] 524549646 JNMZQDKGYNVSOD-SSEXGKCCSA-N 423.494 4.587 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C/c2cnc3ccc(Br)cn23)c2ccncc2)cc1 525339776 VBLRBTRNGIKNLW-ODLFYWEKSA-N 421.254 4.989 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 534234606 QVMLLKJWKWISHG-AUUYWEPGSA-N 420.872 4.510 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 534648036 AQPCMPDSVYLGTN-JOCHJYFZSA-N 407.470 4.771 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc([S@](C)=O)cc1 535888859 PMFOOQSPLCVLIK-HOLBHBGLSA-N 408.479 4.736 5 20 HJBD CC[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](c1ccc(C)cc1)n1cncn1 536642092 SRJXUYISCIQOMD-QFBILLFUSA-N 417.416 4.576 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCc1nnc(-c2ccccc2)s1 536848619 ZCFYYONKQJEVPX-HNNXBMFYSA-N 400.529 4.774 5 20 HJBD CC(C)(C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])cc1 537458126 VMQYOUKEFFVBCC-UHFFFAOYSA-N 402.410 4.714 5 20 HJBD CCN(CC)C(=O)c1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 539919935 VRBGWYBWLZGZQG-UHFFFAOYSA-N 423.469 4.958 5 20 HJBD CC(C)CCN1CCC(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 540471599 NGYXYERZWYGPLZ-UHFFFAOYSA-N 407.580 4.583 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)n(-c2ccc(Cl)c(Cl)c2)n1 540691525 DYUSICIBANLIEO-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 541284310 BRUDNUJAKKREJD-UHFFFAOYSA-N 410.499 4.694 5 20 HJBD C[S@](=O)Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Cl 542184137 LMYAZNXSSGSYJW-MHZLTWQESA-N 421.931 4.862 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@H]2c2cccc(C(F)(F)F)c2)n1 544593411 MADOOXDUBVJBIZ-GJZGRUSLSA-N 418.375 4.922 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4cccc(C(F)(F)F)c4)no3)c2c1 546965609 OIZYFBRAHIQYJH-UHFFFAOYSA-N 416.315 4.508 5 20 HJBD COCc1cccc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)nc12 550346314 XLXJZYXLSOYFRJ-UHFFFAOYSA-N 411.361 4.622 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 575195231 LWJDWZLDJIICKJ-GFCCVEGCSA-N 412.471 4.685 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccccc3)C3(CO)CCC3)cs2)c1 590373305 ZXLUEULZYKKHML-HXUWFJFHSA-N 409.511 4.712 5 20 HJBD CCOc1c(Br)cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1OC 603500548 NSFIQCVOOKGLIL-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD COc1cccc([C@@H](CNc2cccnc2[N+](=O)[O-])c2c[nH]c3ccccc23)c1OC 603837354 LCEMSSLJIFGFAD-GOSISDBHSA-N 418.453 4.732 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(C)c3)CCCC2)cc1OC 608875884 WSIYWZOEKAIJFX-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD COc1cccc(C2(CNc3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)CCCC2)c1 609384605 WSRQZSQYICDXEF-UHFFFAOYSA-N 423.513 4.763 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 609935577 FTKAUHCXTYKNFC-QRWLVFNGSA-N 419.909 4.944 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ncc(-c2ccccc2)o1 610093615 OQPDJVJFKURUOB-LLVKDONJSA-N 418.356 4.734 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCOCC2)c1 610226315 XIBVPFMAADKBAY-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD O=C(Nc1ccc(-c2cc(O)n[nH]2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611200464 MQIKEJXDGAPWAH-UHFFFAOYSA-N 424.482 4.978 5 20 HJBD C[C@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2)n1-c1ccccc1)N1CCCCC1 619715182 VDRXSEQSMJFYKX-MRXNPFEDSA-N 409.515 4.874 5 20 HJBD Cc1nn(CCC(=O)O[C@@H](CCc2ccccc2)c2ccccc2)c(C)c1[N+](=O)[O-] 726734138 MGPRZDAYLRBJMM-NRFANRHFSA-N 407.470 4.716 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2cccnc2)cc1 733397288 SAANLILPFDDQAE-INIZCTEOSA-N 424.478 4.793 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 735168154 KFKHSWSNNSGWFC-UHFFFAOYSA-N 406.826 4.527 5 20 HJBD Cn1c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Cl)c2ccccc21 735601042 DQLZRJSHEWZXHS-UHFFFAOYSA-N 411.801 4.752 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H](C)c2ccncc2)n1 735966954 RRXHQFLGYVFDSN-LBPRGKRZSA-N 401.469 4.824 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1cccc(F)c1 741884392 BNPNEFBUPHZKBT-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)c1cc([N+](=O)[O-])ccc1F 741974238 ONNOZQKNGJEHCQ-UHFFFAOYSA-N 402.328 4.734 5 20 HJBD COc1cc(CN[C@@H]2CC[C@@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 742383801 UNQOXKUXKYNMOC-IEBWSBKVSA-N 402.516 4.556 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)no1 744894090 CRGGTAKGVPODJZ-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD C[C@@H](OC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 747587976 FHQIZHKCHFVZNL-CYBMUJFWSA-N 418.400 4.836 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1 749985981 RLRGASABIJMADV-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(SC(F)F)cc1 764140454 GXBFDWXFKXIJON-UHFFFAOYSA-N 414.455 4.900 5 20 HJBD C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC[C@@H]1NC(=O)OC(C)(C)C 764148068 AXLJXVZAWKRXND-ZBFHGGJFSA-N 407.511 4.512 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cn(C)c2ccccc12 772852879 MTELCWMJULKOPH-UONOGXRCSA-N 420.425 4.544 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OC(F)(F)F)c1 775553264 MLMDYMLNKYMIQK-LBPRGKRZSA-N 407.348 4.999 5 20 HJBD COc1ccccc1/C(C)=C\C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140538 ZNABHCTWHMKDHO-DHPXZPKMSA-N 404.422 4.735 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 777582227 DJJTZYDJLKESSQ-UHFFFAOYSA-N 411.502 4.560 5 20 HJBD COc1ccc(NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)c(OCc2ccccc2)c1 783960316 MOCZKRKMOWMPLG-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccccc2SC(F)(F)F)cc1[N+](=O)[O-] 791343941 QBLULNMZAOYIMJ-UHFFFAOYSA-N 403.357 4.664 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cc([N+](=O)[O-])ccc1Br 791995110 IJSBVODUCIKDPL-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD CCCn1cc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])ccc1=O 799315542 CNOKTSQSSOLSKA-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@@H]1CCCO1 804859446 CLHVUWWSUOOWEE-XOQYZJNISA-N 424.478 4.857 5 20 HJBD Cc1cc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c(C)[nH]1 915132131 AEQUCTRJSQVLOX-UHFFFAOYSA-N 404.378 4.532 5 20 HJBD CC(C)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1Cl 915382342 AUHJOCXNSZFSPG-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD O=C(OCc1nc2ccccc2s1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 918498829 VJLNHNIZUMWZRS-UHFFFAOYSA-N 412.345 4.503 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(F)cc1OC(F)F 1116430276 GMEYDDZOGNAVEZ-VIFPVBQESA-N 417.771 4.549 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cccc(OC[C@@H]3CCCO3)c2)c(Br)c1 1116669184 JEDNUTLYFISFTQ-KRWDZBQOSA-N 407.264 4.527 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(-c3nnc(-c4ccc(N)c([N+](=O)[O-])c4)o3)cc12 1117205393 CEJYQIDIWKLCOR-UHFFFAOYSA-N 418.438 4.603 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nnc(C(C)(C)c3ccccc3)o2)ccc1[N+](=O)[O-] 1117217667 PKEOTFDCPAJNHJ-IBGZPJMESA-N 420.469 4.589 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc(-c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)o1 1326235883 XZTTZAZYDMPKNR-UHFFFAOYSA-N 410.352 4.765 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(OCc3ccc(F)cc3)CC2)c(Br)c1 1334883225 CMWRETZDTXEUIH-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD O=C(COC(=O)[C@H](Sc1ccccc1)c1ccccc1)Nc1cccc([N+](=O)[O-])c1 10589708 DLTHBYKYNXZZKK-OAQYLSRUSA-N 422.462 4.610 5 20 HJBD COc1cc(COC(=O)Cc2coc3cc(C)ccc23)c([N+](=O)[O-])cc1OC(F)F 12940561 SZMWSQDHGFENSJ-UHFFFAOYSA-N 421.352 4.545 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 29350999 VOOZSFJHYWNFOF-UHFFFAOYSA-N 419.466 4.911 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccc(COc2ccccc2)cc1 32304898 BSCBQWZHXRMVNS-UHFFFAOYSA-N 408.479 4.582 5 20 HJBD Cc1ccc(C)c(CSCC(=O)Nc2ccc([N+](=O)[O-])cc2Br)c1 58692458 ZEAKQMIRQDCLOF-UHFFFAOYSA-N 409.305 4.846 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2ccco2)n1Cc1ccccc1 59405521 MKCSWLQMNSPUIH-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(NC(=O)C4CC4)cc3)n2)cc1[N+](=O)[O-] 302920517 IMBOMGOSTIHABX-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD COc1ccc(C[C@H](NCc2ccc([N+](=O)[O-])cc2OC)c2ccc(OC)cc2)cc1 430225260 XTBPLNKCDYRAMC-QHCPKHFHSA-N 422.481 4.694 5 20 HJBD CC(C)(NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1)c1ccc([N+](=O)[O-])cc1 430553049 STHXTTWHJYWTRH-UHFFFAOYSA-N 421.428 4.651 5 20 HJBD CC1CCN(c2ccc(C(=O)NCCC3CC(OC(C)(C)C)C3)cc2[N+](=O)[O-])CC1 432276549 JDLRHIQMKOTXJT-UHFFFAOYSA-N 417.550 4.545 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(C(C)C)c(O)cc2C)cc1[N+](=O)[O-] 439237084 NUGHPGTZHISIDU-UHFFFAOYSA-N 404.488 4.613 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1sc(Br)cc1[N+](=O)[O-] 445177458 GSCAQRXMCSJWND-UHFFFAOYSA-N 424.103 4.698 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)C[C@H]3CC[C@H]2C3)c1 446440451 HLVUOVQJLURWOB-CTIGNXTNSA-N 420.509 4.537 5 20 HJBD CC(C)[C@H](c1ccccc1Cl)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446896538 OHIGZQRZSVLPJL-LJQANCHMSA-N 415.881 4.663 5 20 HJBD O=C(Nc1cccc(CSc2ccccn2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 446905413 HQTDXNOJNLVCBB-UHFFFAOYSA-N 420.494 4.872 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccnn2CC)CC1 447726392 GPGDBGYPLAFMCO-UHFFFAOYSA-N 416.547 4.723 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@H]4CCC[C@H]43)cc2[N+](=O)[O-])n1 460383458 DSEKBZHRHRNDEQ-UKRRQHHQSA-N 403.529 4.916 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCCCC[C@H]1c1ccco1 462333497 HIRSSPJERYFOAP-ZDUSSCGKSA-N 419.286 4.801 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@H]1c1ccccc1 462438237 CKRIVNGWISYCPE-WMZHIEFXSA-N 403.482 4.597 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)c2)n1 462647220 WXOQHTNYAXEWNK-INIZCTEOSA-N 408.458 4.723 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 463541979 HWIYAXYPXACZSZ-UHFFFAOYSA-N 401.513 4.875 5 20 HJBD COc1cc(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 464171002 SQDOMTZXBVICHJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1ccccc1[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1CC1 465924022 SWWXOHVROICJEK-JOCHJYFZSA-N 409.486 4.607 5 20 HJBD CCOc1cc(C(=O)N2CC[C@H](c3ccccc3)[C@H](CC)C2)c([N+](=O)[O-])cc1OC 470015802 QAIXCXAWNNHKOH-AEFFLSMTSA-N 412.486 4.658 5 20 HJBD CCCOc1cc(F)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 470888566 MLPCCKHYEIGIOG-UHFFFAOYSA-N 404.441 4.721 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@H]2[C@@H](CCCN2Cc2ccccc2)C1 475552957 TVVNVUAHWJGNAH-UNMCSNQZSA-N 422.529 4.730 5 20 HJBD CCOc1cc(C(=O)N[C@@H]2CCCC[C@@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OC 476744913 XTGSFIRCACANNH-IEBWSBKVSA-N 412.486 4.533 5 20 HJBD COc1ccc(N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 486205100 QNSJJZZNXAZQAV-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CCN(C)C)cc1 486981373 BHVBANCWMRXGGD-UHFFFAOYSA-N 401.532 4.699 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)sc1Cc1c(F)cccc1F 487585033 PEFGMYLHVJMMFJ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(-c2cnn(C)c2)c1 489060739 DRDJMUNUYBXKCG-UHFFFAOYSA-N 424.526 4.673 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)C1CC1 489065924 GVSWLAIMUAODSS-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD C[C@@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 489673540 COPICLMSGLRGSX-LLVKDONJSA-N 410.411 4.510 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 493254044 KGIWDMDAUAMAHW-CQSZACIVSA-N 423.872 4.615 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccc(Br)c(F)c2)cc1[N+](=O)[O-] 494836412 OFUZVBRVHBGNHU-GFCCVEGCSA-N 424.270 4.707 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)cc2)cs1 497728847 ZKUYNVKRTCHTSN-CQSZACIVSA-N 420.494 4.859 5 20 HJBD Cc1nc(-c2ccccc2)c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)s1 498165902 JSCGEMFRZBOPGE-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(Nc1cccc(COCc2ccco2)c1)c1ccc([N+](=O)[O-])c2cccnc12 498168917 QCPFUCFIGYWZJM-UHFFFAOYSA-N 403.394 4.705 5 20 HJBD CCN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)[C@H](C)c1cc2ccccc2o1 507939357 VECMVVOFIHTDBM-OAHLLOKOSA-N 404.426 4.750 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 510861884 QSHIHUXBCYBAJG-LBPRGKRZSA-N 409.389 4.866 5 20 HJBD CCCn1c(SCc2cc([N+](=O)[O-])ccc2OC(C)C)nnc1-c1ccncc1 511059286 LFECCEDCDNJYEH-UHFFFAOYSA-N 413.503 4.738 5 20 HJBD CCc1nocc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 512235891 ZGKHBCGALBBQIV-UHFFFAOYSA-N 403.504 4.700 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](CC)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 513745581 BGOYDJYVUBBZOC-INIZCTEOSA-N 417.462 4.674 5 20 HJBD CC(C)c1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cs1 523259277 ZLCMVPBKUQJJTE-UHFFFAOYSA-N 411.483 4.940 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 525147705 MHWKEBLAUUWLIW-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD CC(C)(C)c1nnc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)s1 532074766 HAIWXUOEGUNRLF-UHFFFAOYSA-N 416.478 4.965 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2ccc(Br)cc2[N+](=O)[O-])C1 533147654 NOHHMDGVJQHPAB-NSHDSACASA-N 407.289 4.746 5 20 HJBD CC(C)Cn1ncc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1C(F)F 534758028 QBZIJBJISUQSGS-UHFFFAOYSA-N 406.433 4.974 5 20 HJBD CC[C@H](C[C@@H](C)CO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536222848 WMQOICPKRGTZFK-UKRRQHHQSA-N 422.934 4.926 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(C(F)(F)F)cc1Cl 537171385 DFDDLNCJZQAZME-UHFFFAOYSA-N 410.739 4.909 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCc2ccc(F)c(F)c21 537699251 JVIIGYKTHIFUNG-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD COc1ccc(-c2ccc(CNCc3ncoc3-c3ccccc3)o2)c([N+](=O)[O-])c1 538421723 VJTLVQCCZCCIOH-UHFFFAOYSA-N 405.410 4.808 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccn1 544050750 PAPIXUHYWTVEPK-UHFFFAOYSA-N 413.886 4.969 5 20 HJBD COCCC[C@@H](NCc1cc([N+](=O)[O-])ccc1OC)c1ccc(Br)cc1 544383957 STVZDBDCQHTWIE-GOSISDBHSA-N 423.307 4.623 5 20 HJBD COc1cc(/C=C/c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)ccc1OC(F)F 544734483 YEHDIFWDPXWACB-XBXARRHUSA-N 403.341 4.734 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(COCC(F)(F)F)c2)c(OC(F)F)c1 546887494 QCYSWDCVDAUUIW-UHFFFAOYSA-N 406.307 4.887 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3c[nH]c4ccc(Cl)cc34)no2)ccc1OC(F)F 547160711 PNIGJUVEULQOGJ-UHFFFAOYSA-N 420.759 4.972 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2cc(Cl)ccc21 557419233 KBCHPVQAXSFGEH-UHFFFAOYSA-N 410.807 4.816 5 20 HJBD CC(C)c1ccc(-c2nc([C@@H](NC(=O)c3ccccc3)C3CC3)no2)cc1[N+](=O)[O-] 563240394 HWUBQZZJFMGZIG-IBGZPJMESA-N 406.442 4.649 5 20 HJBD CC(C)(C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 564551109 UWJKOTBRLJDRSE-CQSZACIVSA-N 412.383 4.549 5 20 HJBD COc1cc(/C=C\c2ccc3cc([N+](=O)[O-])ccc3n2)cc(OC)c1OCC(F)F 569766725 RNOGYSPMNBVGTI-HYXAFXHYSA-N 416.380 4.975 5 20 HJBD COCc1cccc2sc(NC(=O)c3c([N+](=O)[O-])cccc3C(F)(F)F)nc12 572921362 HVBSDJAGSTVEQW-UHFFFAOYSA-N 411.361 4.622 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@H](c4ccc(F)c(F)c4)C3)cs2)c1 573272984 AGCFPZDRQDNKEY-IBGZPJMESA-N 417.437 4.570 5 20 HJBD Cc1cccc([C@@H](c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)C(C)C)c1 574438746 BVHXFEBSGHLHGC-FQEVSTJZSA-N 404.426 4.995 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCSC[C@@H]2c2ccc(C)cc2)cc1SC 581846601 YMYUOHHBVSYWOF-QGZVFWFLSA-N 418.540 4.564 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(CNc2ccccc2COCc2ccccc2)c1 589504316 OSQXXKSGYBFTHQ-UHFFFAOYSA-N 406.438 4.710 5 20 HJBD COc1cc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)ccc1OCC(F)(F)F 603864531 JPZKYVUROPXMFH-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(Oc3ccccc3)cc2C)ccc1[N+](=O)[O-] 609445591 WQBRZBWFNPSICU-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 609933089 YHQBGWGDSOEITN-UHFFFAOYSA-N 413.421 4.922 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1[nH]c2ccccc2c1Br 610070703 XAYPWXODTVRFNW-UHFFFAOYSA-N 416.275 4.591 5 20 HJBD COc1cc(C(=O)N[C@H](c2oc3ccccc3c2C)C(C)C)cc([N+](=O)[O-])c1OC 610175132 DLNBKMTVBNIQAZ-IBGZPJMESA-N 412.442 4.794 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1cc(-c2ccco2)on1 612660684 NEMXBCRVLKYMCF-UHFFFAOYSA-N 416.187 4.733 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1F 731326679 IIVGBJULULURJQ-CQSZACIVSA-N 408.385 4.695 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)NCCOc1ccc([N+](=O)[O-])cc1 731753561 NSCPVTFCHCSEFD-UHFFFAOYSA-N 403.504 4.994 5 20 HJBD CC(C)Oc1ccc([C@@H](O)CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 734849872 GKRDBLXSDWJWGU-NRFANRHFSA-N 412.511 4.934 5 20 HJBD CC(C)(C)Cc1nc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cc2)no1 741530502 WTNVWBBLCNEBEN-UHFFFAOYSA-N 416.384 4.764 5 20 HJBD Cc1cc(COC(=O)c2cc([N+](=O)[O-])ccc2F)nc(-c2ccc(Cl)cc2)n1 751681585 QTACSRAMMKOTHP-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD Cc1cccc(Cl)c1NC(=O)COC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 757676419 IJYDXHAUBSFKPL-UHFFFAOYSA-N 404.850 4.650 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1ccc([N+](=O)[O-])c(Cl)c1 764225366 NINBNPSXMAHIIY-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD COc1cc(COC(=O)c2csc(-c3c(F)cccc3F)n2)c([N+](=O)[O-])cc1F 766203788 WHACPBJZUGZVST-UHFFFAOYSA-N 424.356 4.501 5 20 HJBD CC[C@@H](Oc1ccccc1C)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219235 FHAMKGRESVKTOU-IRLDBZIGSA-N 406.438 4.788 5 20 HJBD Cc1ccc(C(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)cc1[N+](=O)[O-] 779463952 IQMNFPQHRNJCNH-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2C[C@H]2CCCO2)cc1F 787487648 IOISANWAGGJZDY-CYBMUJFWSA-N 420.491 4.525 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799689524 MUSKZFNUHBMDKA-YOEHRIQHSA-N 412.467 4.581 5 20 HJBD Cc1ccc([C@@H](O)c2ccccn2)cc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 808027714 MQZBITSMENPBGQ-JOCHJYFZSA-N 419.481 4.930 5 20 HJBD COc1ccccc1[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1Cl)OC(C)C 809917734 PLRYNUVNVNAMBU-KRWDZBQOSA-N 407.854 4.545 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@H](c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N2 811640128 MXCVLOKYEULBHK-QGZVFWFLSA-N 408.241 4.639 5 20 HJBD CNC(=O)Oc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 817022676 BNXJNHQOCDLSRC-UHFFFAOYSA-N 423.450 4.717 5 20 HJBD Cc1cc(C)c2c(-n3cccc3)c(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])sc2n1 914728669 GNJMUXIZZKWVLY-UHFFFAOYSA-N 420.494 4.884 5 20 HJBD C[C@H](OC(=O)c1cc2ccc(Cl)cc2[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 914924957 UJXWNLFKOOGCCV-VIFPVBQESA-N 422.224 4.567 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2ncnc3sc(-c4ccccc4)cc23)c1 917073760 YHPPAYDXSALULT-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD Cc1cc(C(=O)O[C@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)cc([N+](=O)[O-])c1 920906006 OMEXSJXFIZNYJB-KRWDZBQOSA-N 424.375 4.742 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2nnc(C(F)(F)F)s2)o1 1320263712 NIUBKZCSCBWNDK-UHFFFAOYSA-N 404.757 4.991 5 20 HJBD O=C(NCCC(c1ccccc1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1323335343 SKVMJHIQUPABDR-UHFFFAOYSA-N 401.466 4.842 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)o1 1323732142 VYIMSOQMTIVCMI-KRWDZBQOSA-N 418.799 4.788 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2-c2nnc(COc3ccccc3[N+](=O)[O-])o2)n1 1323962092 HBCLSMPXHXJRDS-UHFFFAOYSA-N 421.413 4.571 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc1C 1325791484 MMPHJQLZVFXQRF-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1ncnc2sc3c(c12)CCCC3 6111778 QBQGGECIOMDBQR-UHFFFAOYSA-N 414.512 4.518 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c(Cl)c1 22547545 RHGOIRIDQVHEBP-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2OC[C@@H]2CCCCO2)c1 57369316 YKROLZQPAZMUGR-AWEZNQCLSA-N 402.472 4.517 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OC1CCCC1 64806742 OCGCDHXLTAHTPJ-ZDUSSCGKSA-N 404.850 4.976 5 20 HJBD CCc1cc(N2CCC(OCc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 108855629 GRHRTEAWOSTJHU-UHFFFAOYSA-N 418.497 4.800 5 20 HJBD CCc1cc(Oc2ccc(Br)cc2[N+](=O)[O-])nc(-c2ccncc2)n1 301422058 CKEJUIWZNHMAHQ-UHFFFAOYSA-N 401.220 4.564 5 20 HJBD Cc1c(Cl)cc(NC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)cc1Cl 303056044 STUAMKZRSMTANF-UHFFFAOYSA-N 424.240 4.537 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(Cc1cscn1)c1ccccc1 430910159 DQPIYAOVBMLYSC-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD CC(=O)Nc1ccccc1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 435871811 LVOLBROPSLGLEQ-UHFFFAOYSA-N 405.410 4.527 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)C1C2CC3CC(C2)CC1C3 436103788 NQPKLJKTWQIDJO-UHFFFAOYSA-N 422.475 4.856 5 20 HJBD CCN(C(=O)c1ccc(O)c([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 436966534 VKSZTTQLWDQJJV-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCn2cccc2[C@@H]1c1ccccc1 437367907 YNHLDWUQWZHSPE-IBGZPJMESA-N 415.371 4.661 5 20 HJBD C[C@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H]1c1ccc(C(F)(F)F)cc1 437705633 BDJRRFKNQPUQOD-DJJJIMSYSA-N 408.376 4.602 5 20 HJBD Cc1sc(CCNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 443541772 LSZMSPLIXHODBP-UHFFFAOYSA-N 415.902 4.582 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccccc1N1CCCCC1 445635356 FQDZJMHZSNKVPQ-UHFFFAOYSA-N 418.291 4.529 5 20 HJBD CN(C)CCN(Cc1ccco1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 447064055 SSJMTNTZNCTGSG-UHFFFAOYSA-N 424.457 4.576 5 20 HJBD CN(Cc1ccccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccccc1 447699320 PBKDMMKXOYXSBB-UHFFFAOYSA-N 407.495 4.962 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 462745029 JVKNUKFBLWRUSD-CQSZACIVSA-N 420.425 4.764 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)ccc(Cl)c2C1 464621402 SQLILFIXSQHANA-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Nc2ccccc2CCC(F)(F)F)c([N+](=O)[O-])c1 464791412 SXFQLCCQQCUYRH-GFCCVEGCSA-N 411.380 4.537 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H](C(F)(F)F)C2(C)C)c([N+](=O)[O-])cc1OCC 470615460 WJGLXSDQFXMBEM-HNNXBMFYSA-N 419.400 4.587 5 20 HJBD C[C@H](Nc1cccc2ccn(C)c12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 471836454 QHVPMQKJQLNEQX-NSHDSACASA-N 406.364 4.544 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 472898128 XFDGKBDYILWOMM-SCTDSRPQSA-N 416.861 4.728 5 20 HJBD CC(C)(C)c1cnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)o1 474404580 XJWQDCMPAXXDJL-UHFFFAOYSA-N 403.382 4.630 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccc(Br)o4)no3)cs2)c1 477181372 WAAQGBRFEKOQOF-UHFFFAOYSA-N 419.216 4.791 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccc([N+](=O)[O-])cc1F 477933664 UAYRAMIGDDEPFO-LLVKDONJSA-N 422.338 4.681 5 20 HJBD O=C(Nc1cc(C2CC2)nn1-c1ccccc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 479887733 OMAQTOXITBZXNL-UHFFFAOYSA-N 417.469 4.854 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC(C)(C)Cc2oc(C)cc21 480538228 GNLIOJHWYABBFY-QGZVFWFLSA-N 418.515 4.678 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)o2)c(C)c1 488806755 NWCNBUOWZKQDKU-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](Oc2ccccc2Cl)C1 489135467 ZCBBHOIQQDVLNL-AWEZNQCLSA-N 406.891 4.654 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1CCCc1ccccc1 489300414 BJQCPZBYMQXQNA-JOCHJYFZSA-N 421.541 4.825 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1Oc1ccncc1 490428571 DTPYOBYRSQSWOD-UHFFFAOYSA-N 403.438 4.895 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 493905093 RKPOUAFRFANERE-UHFFFAOYSA-N 407.470 4.647 5 20 HJBD C[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 494788778 VAZIUZQKXMEHQZ-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(C)c2)c2ccccn2)cc1SC 499361551 XWHMGQPUURHBHE-OAQYLSRUSA-N 423.494 4.548 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2cccc(Cl)c2Cl)s1 499782753 QYROVPRKEGDXAX-UHFFFAOYSA-N 423.281 4.783 5 20 HJBD Cc1sc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)nc1-c1c[nH]c2ncccc12 503907826 GJPQOBKPLFXKQD-UHFFFAOYSA-N 418.438 4.637 5 20 HJBD C[C@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1cccc([N+](=O)[O-])c1 504401938 SPHJPOISFAFCEX-YCRPNKLZSA-N 424.888 4.544 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4cc5cc([N+](=O)[O-])ccc5o4)n3)c2)n1 504846325 NAFRQSDFEYWOGE-UHFFFAOYSA-N 415.409 4.920 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 504900785 AAZQAQFRLXUWQS-NSHDSACASA-N 400.784 4.557 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1csc(COc2ccc(Cl)cc2)n1 506547064 ONEKLTSMPVDCLS-UHFFFAOYSA-N 412.254 4.911 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)c3ccccc32)cc1[N+](=O)[O-])c1ccccn1 507342656 UGRCWUMAOJVQIP-HOTGVXAUSA-N 402.454 4.927 5 20 HJBD Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c2cc(Br)ccc2n1 508779550 PUAAAIXLDGILIM-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1)c1cccs1 509950997 COFWWHPIVLBLTL-UHFFFAOYSA-N 407.451 4.502 5 20 HJBD CCN(CC)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 510816860 VYDWFJUCMREXOO-QGZVFWFLSA-N 413.371 4.774 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)c1c(Cl)cccc1Cl 511913083 VKNKTWVHAXNZSG-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(CSCc2ccc([N+](=O)[O-])cc2)cs1 513812215 GWNYONRYKYAVPU-UHFFFAOYSA-N 413.524 4.974 5 20 HJBD O=C(Nc1cccc(COC2CCCCC2)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 520653604 QUSRHZXKPQRIQF-UHFFFAOYSA-N 420.469 4.882 5 20 HJBD O=C1[C@@H](Nc2cccc(OCc3cccc(F)c3)c2)CCN1c1cccc([N+](=O)[O-])c1 520970681 ZTEGQTQDCXCISY-QFIPXVFZSA-N 421.428 4.530 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCC2CCSCC2)cc1 522212976 QIBXXLQJHYYZPV-UHFFFAOYSA-N 408.527 4.680 5 20 HJBD Cc1ccc(C(C)C)c(O[C@H](C)C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)c1 524685441 APKQJNHECYLXFW-MRXNPFEDSA-N 408.458 4.618 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1)c1ccccc1 532495115 YLCKVRKRTDAMML-XMSQKQJNSA-N 409.530 4.739 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)cs1 537076862 SZAWVVKIPWMXBF-HNNXBMFYSA-N 410.499 4.895 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)cs1 539759734 QMAHXLZDALURPO-UHFFFAOYSA-N 419.466 4.648 5 20 HJBD COc1ccc(NC(=O)NCc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 541103950 LLHIKGWGRKDHNH-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccc(F)cc3)nc3onc(C)c23)cc1[N+](=O)[O-] 542930790 UAJGASMAZTTZJS-UHFFFAOYSA-N 422.372 4.506 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc(F)cc1)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543440808 IVESPDMMSKZBRM-LLVKDONJSA-N 402.319 4.568 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)cc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543479686 FIADZLHPVYHFKT-VIFPVBQESA-N 404.291 4.556 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccnc1 544073360 XIPVEMRWIPJAAW-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD C[C@@H](NC(=O)C(C)(C)C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545077308 SYSIIOGXJOVYND-CYBMUJFWSA-N 410.430 4.660 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@H]3c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547195727 ZJKCLEKTJGBPJU-KBPBESRZSA-N 419.359 4.867 5 20 HJBD Cc1cc(OCC(=O)N(Cc2cccs2)Cc2cccs2)ccc1[N+](=O)[O-] 557974513 RXAGKWFFQPGTCG-UHFFFAOYSA-N 402.497 4.634 5 20 HJBD C[C@H](CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](C)CO)c1ccccc1 566943089 ZWHLKCISKVYOSS-SJORKVTESA-N 411.527 4.705 5 20 HJBD CCSc1cccc(Br)c1NC(=O)NCc1ccccc1[N+](=O)[O-] 571811167 QLXJGVWKJTWKRG-UHFFFAOYSA-N 410.293 4.791 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@@H](c1cncc(Br)c1)C1CC1 575753039 MQVNIXIMQLMSNA-CQSZACIVSA-N 417.185 4.729 5 20 HJBD CN(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)c1ccc(Cl)c(C(F)(F)F)c1 576859994 QVGLPKBPWSXNRP-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)c(F)cc1N1CCCCC1)c1cccc([N+](=O)[O-])c1 578286179 KCCHPWAMWRGMTG-CYBMUJFWSA-N 404.417 4.746 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1)c1cccc([N+](=O)[O-])c1 578933516 LHXASDLYSPZYEZ-YPMHNXCESA-N 413.421 4.580 5 20 HJBD O=C(Nc1ccc([C@H]2CCOC2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 580485597 OTTDJLYPDGYRHC-FQEVSTJZSA-N 417.465 4.963 5 20 HJBD O=[N+]([O-])c1c(NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)nc2sccn12 603580155 FRABKYKRGKSVIT-RNFRBKRXSA-N 402.320 4.625 5 20 HJBD Cc1cc(NC(=O)c2cc(-c3ccccc3Cl)on2)n(-c2ccc([N+](=O)[O-])cc2)n1 603588409 XOCGDEQQWLHWKR-UHFFFAOYSA-N 423.816 4.650 5 20 HJBD Cc1cccc(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)c1[N+](=O)[O-] 604016146 FDOKZZYXSHCAAS-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD Cc1ccc(C(=O)N(C)Cc2cc(C)c(OCC(F)(F)F)c(C)c2)cc1[N+](=O)[O-] 604018580 XPJJTPRIVMDJPZ-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2cccc(CN3CCCCCC3)c2)c1 604532635 GOUYMCHOBAFUPK-UHFFFAOYSA-N 419.472 4.862 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)c1 609021865 UBPBTOQYCUESSL-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1c(Cl)cccc1[N+](=O)[O-] 609499812 VPJNGWPRVVEDSA-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3C[C@@H](C)Oc4ccccc43)cc2[N+](=O)[O-])C1 609512111 FQBWBMGALDQQNO-IXDOHACOSA-N 409.486 4.505 5 20 HJBD Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2Br)c2[nH]c(C)c(C)c2c1 610042794 UKEJBEYFRBJSIS-UHFFFAOYSA-N 416.275 4.694 5 20 HJBD CC(C)CCn1nccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 612675499 CUKAJAFZWKVMIU-UHFFFAOYSA-N 407.474 4.702 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)c1 619582978 HPPPHWFIKHIDIB-SFHVURJKSA-N 407.470 4.560 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710844 KOKSWHPWINKOSL-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD O=C(Nc1cccc(CC[C@@H](O)c2ccccc2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 727763961 RQZUCKXAUGBWEM-JOCHJYFZSA-N 415.449 4.995 5 20 HJBD C[C@H](Cn1ccnc1)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 729260564 ISRCWFNMZZFBCY-QGZVFWFLSA-N 409.489 4.948 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](C)c1nc2ccccc2s1 734112312 ZCCUJHVMQBMRMQ-QWRGUYRKSA-N 405.863 4.503 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NCC(=O)Nc1ccc([N+](=O)[O-])cc1C 735307433 JOYAVDRYWOTELM-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD CC(C)(C(=O)OCc1csc(-c2ccccc2F)n1)c1ccc([N+](=O)[O-])cc1 740392030 QLCSNHPGFMOBNX-UHFFFAOYSA-N 400.431 4.878 5 20 HJBD CSc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1 749410807 NWRXOLOFOUQCDM-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CCOc1c(OC)cc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)cc1OC 750902594 LKURYQLAXMYHGT-QPEQYQDCSA-N 403.822 4.624 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCC(N4CCCCC4)CC3)cs2)c1 751153554 RRTSYZOBBPQIRI-UHFFFAOYSA-N 400.548 4.605 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 754755311 WUKMSVIXXBVGNB-UKRRQHHQSA-N 421.266 4.953 5 20 HJBD CCOc1cc(COC(=O)c2coc(-c3ccccc3Cl)n2)ccc1[N+](=O)[O-] 755547784 CMQCSJBHGXYPSJ-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1cccc([N+](=O)[O-])c1Br 758639209 SAJMCMWTZPEDCB-CYBMUJFWSA-N 409.202 4.598 5 20 HJBD C[S@](=O)c1ccc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cc1 761860432 YNWUJMBJVVRBJY-PMERELPUSA-N 421.434 4.842 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1ccsc1)c1cccc([N+](=O)[O-])c1 762023676 KXXNQXYEEPODES-KKSFZXQISA-N 410.495 4.522 5 20 HJBD Cc1c(CC(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 763002718 OMERBWPWZNOCPO-QHCPKHFHSA-N 404.466 4.751 5 20 HJBD COc1cc(CN2CCCCC[C@@H]2C[C@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766798090 XUXPECPWBZSZIY-QAPCUYQASA-N 408.495 4.672 5 20 HJBD CC(C)C[C@@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 768280237 OJGDSIWLAOSQSN-CQSZACIVSA-N 408.907 4.536 5 20 HJBD O=C(CN1CCCCCC1)Nc1cccc(NCc2cc(Cl)ccc2[N+](=O)[O-])c1 770543696 BFXBMWMUNQEYPD-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1cccc(Cl)c1[N+](=O)[O-] 778140109 YGOCFYLEXYJDJP-NSHDSACASA-N 411.241 4.569 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1coc(-c2ccc(Br)cc2F)n1 778895253 OYJUZHFGYSRGDC-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1c(C(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)cccc1[N+](=O)[O-] 779464315 LGWDBYPLXMNXKA-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Br)cc1Cl 790628195 ZOBRPRHIXWQHRO-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N1CCC(CC(F)(F)F)CC1 804714937 HWHNHJRFTOBODV-UHFFFAOYSA-N 401.263 4.691 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(N2CCCCC2=O)cc1 810234625 FKINMFQFYIYXHE-ZDUSSCGKSA-N 416.865 4.648 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])s1 811288721 KXPVYGJXUORSKE-UHFFFAOYSA-N 410.423 4.524 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nccn1-c1ccccc1 813249169 ZPYCPOYAJWYBGT-NSHDSACASA-N 405.241 4.578 5 20 HJBD C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817867300 WMMCNZUIPNZLNF-SECBINFHSA-N 419.812 4.632 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccccc1SC(F)(F)F 920312639 BXMZIIXORGNCKV-UHFFFAOYSA-N 412.798 4.661 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2nc3ccccc3o2)CC1 1253381587 XOPFGIWKHZCJAH-UHFFFAOYSA-N 419.359 4.775 5 20 HJBD Cc1nc(SCC(=O)Oc2cccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 1319161979 ZNEVZGDTFBOMBU-UHFFFAOYSA-N 403.485 4.531 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1nc(C(F)(F)F)cs1 1320884481 DHYOGBWNCADTMM-SSDOTTSWSA-N 410.215 4.683 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1ccc([N+](=O)[O-])cc1 6220465 LMBVRQPWLSFDJM-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 18456624 XXVQPCLAJBGMHW-GFCCVEGCSA-N 404.850 4.730 5 20 HJBD CSc1ccc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)cc1[N+](=O)[O-] 29873283 VGEAUQBUTAKBIY-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccccc1OC(F)(F)F 31075596 ZQBURCOUAVDMHU-LBPRGKRZSA-N 414.405 4.633 5 20 HJBD CCNC(=O)c1ccc(C)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 47215831 GGUPJHCZLMROBY-UHFFFAOYSA-N 418.453 4.649 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 55753833 KTUGMHFVEBXDQH-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccoc1C 60952497 KAMYBCZAUJSCPS-UHFFFAOYSA-N 400.412 4.654 5 20 HJBD COc1cc(OCC(=O)Nc2c(F)cc(F)cc2-c2ccccc2)ccc1[N+](=O)[O-] 66025809 NIJOXZMSMGZMSE-UHFFFAOYSA-N 414.364 4.566 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc21 215741718 AQKRCIFZYCIAEF-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD COc1ccc(CNCc2cc(F)ccc2F)cc1OCc1ccc([N+](=O)[O-])cc1 237215412 CNYDLICFVYBRKP-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD Cc1nn(C)cc1[C@@H](C)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237420492 XDEAASJQUILNGU-LLVKDONJSA-N 419.279 4.510 5 20 HJBD C[C@@H]1CN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C[C@H](c2ccsc2)O1 301615259 BETYAQCMLWWBGN-YMTOWFKASA-N 417.365 4.550 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1c(C)c(C(C)(C)C)nn1-c1ccccc1 410005478 YAYJAFJXRHJJNV-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD Cc1ccc([C@H](NC(=O)CNC(C)(C)c2ccccc2[N+](=O)[O-])c2cccs2)cc1 429237614 LNOWIYQRGGYHKA-QFIPXVFZSA-N 423.538 4.695 5 20 HJBD CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)c1csc(-c2c(F)cccc2F)n1 431265425 IPUGWXZDVACNPZ-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)c1 437247965 YWPMJOFKNPFJLZ-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 437423236 ALBFCKWVAKTSAV-CTYIDZIISA-N 410.417 4.768 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)cnn1CCC(C)C 441542286 OIOQHNNMJZAHDS-INIZCTEOSA-N 413.522 4.634 5 20 HJBD O=C(Nc1cccc(-c2cnn[nH]2)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 443668628 LNYBBVNGWNTYRR-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@@H]1c1nc2ccccc2s1 460299580 YLVADPDKEJSTFQ-QGZVFWFLSA-N 420.498 4.824 5 20 HJBD COc1cc(C(=O)N2C[C@H]3[C@H](C2)C3(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 460642567 KHAMAFJNNQTBIM-GJZGRUSLSA-N 416.861 4.777 5 20 HJBD Cc1c(CNc2cccc(CS(=O)(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 462230285 IBIGHCIAUWHEHB-UHFFFAOYSA-N 402.516 4.763 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@H](c1cccc(Br)c1)C1CCOCC1 467392229 GFFUKAAIMKLIHP-IBGZPJMESA-N 405.292 4.615 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)CCNc2ccccc2[N+](=O)[O-])nc2ccccc21 467480190 AHHYSWMGMZLKLJ-OAQYLSRUSA-N 421.501 4.691 5 20 HJBD Cc1sc(NC(=O)c2cccnc2C(F)(F)F)nc1-c1cccc([N+](=O)[O-])c1 475248137 SHBJBDHPJARLDA-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CCOc1cc(OCC(=O)Nc2c(Cl)cccc2C(F)(F)F)ccc1[N+](=O)[O-] 478730052 AIICAPCVYMCZRL-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)NCc3cccc([N+](=O)[O-])c3C)cc2)cs1 478925472 KWHICUJJFKEZGC-AWEZNQCLSA-N 410.499 4.896 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1Cl 479093393 DGTUNAAWSJUPBB-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccc(Cl)cc2)c1 479299816 ZYLSOKKEFXMUCK-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD COc1ccc([C@H](CCO)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 482554965 UJBFOCZRKSGXFK-FQEVSTJZSA-N 416.861 4.730 5 20 HJBD CCc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 482701510 AUYOVCWDFSGVTD-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD O=C(c1ccc(-c2cncnc2)cc1F)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 484049929 KARVXIBCVLYOLX-UHFFFAOYSA-N 406.417 4.780 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H]2CCN(CCc3ccccc3)C2)o1 488455660 DNAVJEWSJGACOK-HXUWFJFHSA-N 405.498 4.509 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(NCc3occc3C)c([N+](=O)[O-])c2)c(C)c1 488681958 AGWVVJMHUQFHBZ-UHFFFAOYSA-N 415.471 4.526 5 20 HJBD CC(C)n1ncc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1-c1ccccc1 489802366 FOISFXMMHLUQQM-UHFFFAOYSA-N 401.426 4.840 5 20 HJBD CC(C)Oc1ccccc1CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 499380788 YEQXFXJSDBKJGM-UHFFFAOYSA-N 410.392 4.516 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500575831 DSQIOIJEHNQAER-NHCUHLMSSA-N 403.482 4.656 5 20 HJBD O=C(NCCCc1ccc(Cl)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 503300147 CPXPHVHVWRWCFV-UHFFFAOYSA-N 416.783 4.552 5 20 HJBD CCOc1ccc(C(=O)N(C)[C@@H](C)c2ccccc2Br)cc1[N+](=O)[O-] 503663975 TVMCOTQXFLAJBC-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(Cc3c(F)cccc3Cl)o2)c(F)c1 505521138 UTIFVXZYNFVLGW-UHFFFAOYSA-N 415.780 4.932 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509228804 XIUHNEJKCZYAQL-UHFFFAOYSA-N 421.457 4.928 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccnc(Oc2ccccc2)c1 511343746 KTQBSYPWALKHSC-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD C[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1c(F)cncc1F 519722644 GDPKXAPJEBAIAK-LBPRGKRZSA-N 415.421 4.910 5 20 HJBD O=C(NC1CC1)c1ccc(NCc2ccc(Br)cc2Cl)c([N+](=O)[O-])c1 520726698 CYHHGLNPFXYOQH-UHFFFAOYSA-N 424.682 4.515 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522743975 UPNSXERXWAQCHL-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(Cc2ccccc2)n1 531206573 XWDWILPUMSHDJJ-AWEZNQCLSA-N 421.482 4.543 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1)c1ccccc1 532495114 YLCKVRKRTDAMML-PGRDOPGGSA-N 409.530 4.739 5 20 HJBD C[C@H]1CC(CCS(=O)(=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C[C@H](C)C1 534796163 CKTUBSRARYTSFC-HUUCEWRRSA-N 406.504 4.627 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3nc(-c4ccc(F)cc4)n[nH]3)s2)cc1 537491400 WQRYHJUGRVIHPL-UHFFFAOYSA-N 409.446 4.537 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(-c3csc(C)n3)cc2)cc1[N+](=O)[O-] 541097807 BHCZLVNUANJDNQ-GFCCVEGCSA-N 412.471 4.918 5 20 HJBD C[C@H](NC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 541396481 OEGZGYVGFNSUEF-NSHDSACASA-N 405.332 4.760 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(Cc3ccc(Br)s3)no2)cc1 544947223 UAABFNMNNHHNBL-UHFFFAOYSA-N 416.203 4.533 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(Oc4cccnc4)cc3)no2)c1 547075777 XNFMTXWFDHZEBB-UHFFFAOYSA-N 413.393 4.835 5 20 HJBD O=C(N[C@@H]1CCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548402319 UAUNVSRRZCFMFM-LJQANCHMSA-N 408.379 4.516 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1c(-c2ccccc2)nc2ccccn12 560405565 SGBVETUWLWATNT-UHFFFAOYSA-N 415.453 4.740 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)[nH]c2c1 561945743 BEPCOISXVUVGSD-FQEVSTJZSA-N 403.442 4.772 5 20 HJBD CN(C)c1cccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 565444199 LCEJRGOOLIOATE-UHFFFAOYSA-N 406.442 4.775 5 20 HJBD COc1c(-c2nc(C3(c4c(F)cccc4F)CCCC3)no2)cccc1[N+](=O)[O-] 582751859 ANOHPUPAFPENLW-UHFFFAOYSA-N 401.369 4.792 5 20 HJBD CCOc1cc(NC(=O)C2(c3ccc(F)cc3)CCC2)c([N+](=O)[O-])cc1OCC 602212005 AAPNKHBLSDLZFM-UHFFFAOYSA-N 402.422 4.592 5 20 HJBD C[C@H](NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1)c1cccc([N+](=O)[O-])c1 609298586 ZUTMBIVZRNORTN-NSHDSACASA-N 405.241 4.578 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cccc(CSC2CCCCC2)c1 609726139 JVMHKNJBPUANOB-UHFFFAOYSA-N 402.520 4.694 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 609753638 FNWYRRMJTWKOPC-CQSZACIVSA-N 403.276 4.515 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1ncc(C(C)C)n1C(C)C 610034189 YGLAANAXKDIQEC-CYBMUJFWSA-N 406.508 4.624 5 20 HJBD O=C(CCC(=O)c1ccc2ccccc2c1)NCCCCc1ccc([N+](=O)[O-])cc1 610070541 IIPVTCCJLOVBGV-UHFFFAOYSA-N 404.466 4.850 5 20 HJBD CC[C@H](NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1ccncc1 610176739 DQXGJBDXFKEOIC-HNNXBMFYSA-N 414.512 4.787 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2c(cnn2C(F)F)c1 610963323 JVGYMAXHLNOBCG-UHFFFAOYSA-N 403.389 4.782 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](c4nc5ccccc5o4)C3)co2)cc1 611171743 SKZBWPLXIWVTAA-INIZCTEOSA-N 404.426 4.771 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2ccccc12 611202781 PMLFWHBKBJAMGH-UHFFFAOYSA-N 422.510 4.811 5 20 HJBD COc1ccc([C@@H](CC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 617339734 KHSBFFFWINOMIR-LJQANCHMSA-N 412.467 4.638 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1CCC(NC(=O)CC2CCCC2)CC1 736159734 ZMSSISLFGXNCBV-UHFFFAOYSA-N 421.925 4.750 5 20 HJBD C[C@@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(-n2cccn2)c1 745973339 AHMXYMLMHXJMLE-LLVKDONJSA-N 421.335 4.881 5 20 HJBD O=C(OCc1cc(-c2ccccc2)on1)c1cc([N+](=O)[O-])c(Br)cc1F 751690519 ARUBNSRYFCUBII-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2ccccc2)C[C@@H](O)c2cccs2)cc1 755727942 DKRFPWLKHLDCMS-GOSISDBHSA-N 413.524 4.589 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2O1 760867884 FTMRPKZQNHWSRN-QGZVFWFLSA-N 407.220 4.507 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)cc1 767412185 NOBJQSWNSNDVQS-OAHLLOKOSA-N 424.551 4.810 5 20 HJBD COC(=O)c1cc(Cl)cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 775487366 FPNORDSRLCSUDM-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD O=C(C[C@H]1CCc2ccccc2C1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140472 YSOHYQZERBQRIE-BXKMTCNYSA-N 402.450 4.818 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(c1ccccc1F)C1CCCC1 781684335 JLMNNZMZPVAMGR-UHFFFAOYSA-N 401.394 4.551 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)Nc2ccc(Cl)cc2Cl)c1F 803458056 ZFMYJCKYUJAJPG-SECBINFHSA-N 415.204 4.533 5 20 HJBD O=C(OCc1cnc2c(F)cccc2c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 806079493 CKQMPCZXHWKTDI-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 809976466 DVLCFNKOXPVLCO-VIFPVBQESA-N 411.220 4.942 5 20 HJBD CC(C)(C)OC(=O)N[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccccc1 813244568 HWPKBHCZZKMXOA-OAHLLOKOSA-N 419.865 4.843 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3ccccc3s2)cn1 920976722 RGAJOJWSSBLMKN-GHXNOFRVSA-N 402.435 4.918 5 20 HJBD COc1cc(OCC(=O)O[C@@H](C)c2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-] 921114526 UVVFRLONTHSYPT-JTQLQIEISA-N 400.214 4.593 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1cccs1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116156276 PSVRYJPFJAXHHI-UHFFFAOYSA-N 416.462 4.665 5 20 HJBD Cc1cc(C)n(-c2ccc(Cl)c(-c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)n2)n1 1324274012 DRFGIFJCXKTDBI-UHFFFAOYSA-N 424.848 4.780 5 20 HJBD O=C(NCCc1coc(-c2ccccc2)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1343690341 ZIHLLRXGQPFNJW-UHFFFAOYSA-N 406.225 4.529 5 20 HJBD COC(=O)c1c(COc2cccnc2[N+](=O)[O-])nc2ccccc2c1-c1ccccc1 6977884 CISVAAAXLWVWGU-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD O=C(Nc1nnc(SCc2ccc(Cl)cc2)s1)c1ccccc1[N+](=O)[O-] 8821982 XKOQVKKMXVQPPG-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc(OCC)c([N+](=O)[O-])c2)cc1 46653169 JIOLDBCJYIBMTD-UHFFFAOYSA-N 413.474 4.508 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cccc2ccccc12 64113137 QROAKQITLDXUME-OAHLLOKOSA-N 400.438 4.886 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(COCC2CC2)c1 65082811 ONFVJJZKFVLRTQ-ZDUSSCGKSA-N 404.850 4.581 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(C[S@@](=O)C3CCCCC3)c2)c([N+](=O)[O-])c1 301315247 MDSQLDYSUZFVBG-MUUNZHRXSA-N 403.460 4.828 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Sc1nnc(-c2ccccc2Cl)o1 301688410 MPOYNRGYLNTMJA-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD CS(=O)(=O)c1cccc(Sc2ccc(Cl)c(C(F)(F)F)c2)c1[N+](=O)[O-] 301888564 KZJPTSXAGONONL-UHFFFAOYSA-N 411.810 4.822 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3c(ncn3CCc3ccccc3)C2)cc1C(F)(F)F 302175952 QXDNCBWYPLRTTO-UHFFFAOYSA-N 416.403 4.616 5 20 HJBD O=C(O)[C@H]1[C@H]2CC[C@@H](C2)[C@@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426836682 UYZIHHVQQAVNFS-FEBSWUBLSA-N 416.861 4.629 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Oc2ccc(CO)cc2)cc1 427994447 SGJPMQATJDPPCY-UHFFFAOYSA-N 412.829 4.714 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 430194948 LPGFDGDLRGPVHF-OAQYLSRUSA-N 422.481 4.694 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 431111592 YDFBSVHNSTVHFT-UHFFFAOYSA-N 407.392 4.770 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 436106456 UFBUFYUTEOBYGX-HXUWFJFHSA-N 402.469 4.990 5 20 HJBD CCOc1ccc([C@@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 437224741 GNHDDHQEBXLQOP-PKOBYXMFSA-N 400.500 4.780 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440486001 XOJVEHIKZZPHBQ-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD CO[C@@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@H](C)C1 441441931 CHQNRRHKDXFHSE-CXAGYDPISA-N 404.850 4.680 5 20 HJBD Cc1ccc(-c2ncccc2NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)s1 446604180 HEUZEMJOYDWJON-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD CO[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc(F)cc1 447683046 MOGMBZHEGXWJJC-ZDUSSCGKSA-N 409.286 4.583 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2cc(C)ccc2[N+](=O)[O-])c1 460169841 LWTIGURBTAVYTQ-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD C[C@@H]1CN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])CC[C@H]1c1ccccc1 460663441 LBNBVSDBTIHGPK-CRAIPNDOSA-N 402.878 4.669 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3c(F)cccc32)cc1[N+](=O)[O-])c1ccccn1 461072410 DOCRMGPJEFZQQY-AWEZNQCLSA-N 406.417 4.505 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCCC[C@@H]2C)cc1 462442381 SVAMAYFQFJLKIB-PKOBYXMFSA-N 423.513 4.817 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CC)c1nc(C(F)(F)F)cs1 462637033 FEFNNQPYCSBZHY-GXTWGEPZSA-N 415.437 4.906 5 20 HJBD O=C(N[C@H]1CCO[C@@H](c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 463090891 DKEBOHDOXKDOJO-NZQKXSOJSA-N 417.465 4.989 5 20 HJBD Cc1nc(NC(=O)C(C)(C)c2csc(-c3cc(F)ccc3F)n2)ccc1[N+](=O)[O-] 464272393 NWEKXRRWANQHCM-UHFFFAOYSA-N 418.425 4.616 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12)c1cccc(Br)c1 464385794 CNMXWDSCEKCBAE-LLVKDONJSA-N 400.232 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1 464457405 NERGYEUKTJRXLH-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1ccc(F)cc1[N+](=O)[O-] 466413868 FMDNFQWMHSDKQJ-LJQANCHMSA-N 403.413 4.777 5 20 HJBD O=C1NCCN1c1cc(N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])ccc1Cl 467405489 GLXQCHBJOACOGY-KSSFIOAISA-N 414.893 4.601 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)c1 469709296 DDFBIBOBGFQUIH-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CC[C@H]1CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC[C@@H]1c1ccccc1 469996411 NERSMFKCWHPGHB-UGKGYDQZSA-N 421.541 4.854 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1ccccc1[N+](=O)[O-] 476084941 JDVFKYPQYVPRLG-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@@H](C)c2cccnc2)c([N+](=O)[O-])cc1OCCC 479998637 AXODTZPHEFOQLI-HNNXBMFYSA-N 416.478 4.792 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@H]2C2CCC2)c([N+](=O)[O-])cc1OCCC 480003157 VVSQFRJCNKCMOW-KRWDZBQOSA-N 405.495 4.969 5 20 HJBD COc1cc(CNc2cccc(CCC(=O)OC(C)(C)C)c2)c([N+](=O)[O-])cc1F 480167706 RFHHJZUIKXCNRW-UHFFFAOYSA-N 404.438 4.629 5 20 HJBD CCCOc1cn(-c2ccccc2)nc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 483324888 LVHNWIBOMLIOTG-UHFFFAOYSA-N 422.485 4.620 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487759023 JCFLBLBVTHUTBR-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD COc1cc(CNc2ccc3oc(C4CC4)nc3c2)c([N+](=O)[O-])cc1OCC(F)F 492122333 LRTGAYKMCBNTNZ-UHFFFAOYSA-N 419.384 4.878 5 20 HJBD O=C(N[C@@H]1CC[C@@H]2CCCC[C@H]2C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 493155523 KSZPBJZOJHCUTC-MELADBBJSA-N 400.397 4.625 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)COc2ccccc2[N+](=O)[O-])cc1 496501260 ZCCFCQLNABJYDK-DEOSSOPVSA-N 419.481 4.569 5 20 HJBD COc1ccc(Nc2ccc3ncccc3c2[N+](=O)[O-])cc1Oc1ccc(C)nn1 497519858 FAZKQDYPZPYACW-UHFFFAOYSA-N 403.398 4.786 5 20 HJBD Cc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cccc1C(=O)Nc1ccccc1 498470489 FGVMUXBRFVJUPH-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD Cn1c(CCNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)nc2c(F)cccc21 500897892 SYCLZHCEYRXHNI-UHFFFAOYSA-N 410.474 4.681 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] 503654544 LBKHUWQBYNOSCG-GFCCVEGCSA-N 409.305 4.669 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506069696 KSFFEVUSZFDOLV-UHFFFAOYSA-N 418.453 4.576 5 20 HJBD CC(C)[C@@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1ccc(Cl)cc1 507179057 MBYWGKAPJMHEMU-HXUWFJFHSA-N 417.893 4.530 5 20 HJBD O=C(c1ccccc1)N1CC[C@H](COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])C1 509062822 CGXKCEPIBBVYCA-NRFANRHFSA-N 416.477 4.727 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c2)cn1 512967530 OUMLIVLJBYNLRG-UHFFFAOYSA-N 416.437 4.636 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1)C(F)(F)F 514632340 XQGAHNQCEAHESC-LLVKDONJSA-N 400.378 4.655 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1nc(-c2ccc(F)c(F)c2)cs1 520097590 ATRUGIMGYDYTQA-UHFFFAOYSA-N 414.393 4.590 5 20 HJBD C[C@H](NC(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc(Cl)cc1Cl 521437282 WRUFNVYQBKVEOO-LBPRGKRZSA-N 419.268 4.643 5 20 HJBD O=C(c1cc(NCc2ccc(OC3CCCCC3)nc2)ccc1[N+](=O)[O-])N1CCCC1 523354484 YQCUBVWJCJBHDK-UHFFFAOYSA-N 424.501 4.549 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Sc3ncccn3)cc2C)cc1[N+](=O)[O-] 524034510 JLMKMMTWVURTES-UHFFFAOYSA-N 409.471 4.529 5 20 HJBD C[C@H]1CC[C@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)CC1 524562009 GSKDERHUXCSVCT-SAZUREKKSA-N 413.440 4.525 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cccnc1 525137736 VWTCAQXOQNXIAG-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD CCNc1ccc(C(=O)N(CC)Cc2cccc(-c3ccncc3)c2)cc1[N+](=O)[O-] 525528257 HCZGZVPNWJONET-UHFFFAOYSA-N 404.470 4.751 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCc2ccc(OC)c([N+](=O)[O-])c2)c1 532358524 LAZSVDIDCKJLRK-UHFFFAOYSA-N 424.501 4.520 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCN1CCCC[C@H]1c1cc2ccccc2[nH]1 532714559 RLSAHOUBFINFDY-FQEVSTJZSA-N 420.469 4.601 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 532890824 GBAMTBCJQGBULC-UHFFFAOYSA-N 408.483 4.987 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 533739171 XINGCJACEXRQAG-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 534013721 OXSZUTOBVGYLCL-UHFFFAOYSA-N 422.441 4.634 5 20 HJBD C[C@H](N[C@H](C)C(=O)NC(c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 537073787 JQZRRYAQKVNQJH-ZWKOTPCHSA-N 403.482 4.540 5 20 HJBD CN(C(=O)c1cc(F)c(F)cc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150303 NEIOJPLXDFWEKO-UHFFFAOYSA-N 409.801 4.927 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 537218542 DNPOABWGIGSWED-LJQANCHMSA-N 410.392 4.893 5 20 HJBD Cn1cc(CN[C@H]2CC(C)(C)Oc3cc(F)ccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 538425073 ZVPDIRXWIIBFEO-IBGZPJMESA-N 410.449 4.526 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)N[C@@H](c2ccccc2)c2cccs2)cc1[N+](=O)[O-] 539379585 WRBOGSAWNJTEJZ-AOMKIAJQSA-N 409.511 4.521 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccsc1 539383389 JXZXJBJPMYZBSK-LJQANCHMSA-N 424.526 4.531 5 20 HJBD CN(Cc1ccccn1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 541761278 XGDAXCWUUDONKC-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD CCc1ccc([C@H](NCc2cnn(Cc3ccccc3)c2)c2ccc([N+](=O)[O-])cc2)o1 543407849 XTJFJUMUERZNNI-XMMPIXPASA-N 416.481 4.874 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNC(c1ccccc1C)c1ccccc1C 545471439 DEBHWMSXOJOLFB-UHFFFAOYSA-N 419.481 4.538 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(OC4CCOCC4)cc3)no2)c(Cl)c1 547202680 QOXIWDLUHHAYJX-UHFFFAOYSA-N 401.806 4.523 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 569665693 BSGMSEHXPZBFDU-CYBMUJFWSA-N 418.375 4.601 5 20 HJBD COCCC[C@@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 570833431 XGRMNSSSVALOHM-YJBOKZPZSA-N 404.894 4.678 5 20 HJBD COCCOCc1cccc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1 603867335 MPNNHWDYTNTAEG-UHFFFAOYSA-N 406.438 4.732 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N1Cc2ccccc2-c2ccccc21 603893991 NYZMIFXFUHUANC-OAHLLOKOSA-N 403.438 4.618 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1C[C@@H]1C[C@H]2CC[C@H]1C2 608881025 OAOIAQXXNCXGFU-ZIBCJSCZSA-N 411.546 4.629 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H](n4ccnc4)C3)cc2[N+](=O)[O-])cc1 609131166 JIKRGKHGINWVGC-GOSISDBHSA-N 422.510 4.728 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(C(F)(F)C(F)(F)F)cc3)n2)c1 609233746 IIFLPIXVVUWJMO-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD O=C(c1cc2sc3ccccc3c2s1)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 609841638 WSFZYZWILFCEOJ-GFCCVEGCSA-N 424.507 4.746 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccc2c(c1)OCO2)C1CCCC1 609853997 AWNCLZJUTKHCQV-UHFFFAOYSA-N 402.834 4.562 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCc2cc(Br)cc(F)c21 609857120 FSCQCSUMOKFDTB-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 610317451 MDEGAIHUGYWJFU-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C[C@H]2CCOC2)C1 611479845 ROHJHGPUTJTWPU-IAGOWNOFSA-N 406.548 4.766 5 20 HJBD Cc1oc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1C(=O)O 617714077 VVOUPLJGWLCVNJ-UHFFFAOYSA-N 418.471 4.501 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(-n3cccn3)cc2)c([N+](=O)[O-])cc1OCCC 619206396 JIXFHRBRWFNOPS-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710289 NMSDTBLGKGDZEL-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD CCc1ccc([C@@H](C)C(=O)OCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 727924837 XVSQOTYFLUKDCE-GFCCVEGCSA-N 409.385 4.614 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166663 KWOGVSMJCWHBCN-UHFFFAOYSA-N 410.213 4.867 5 20 HJBD CCc1nnc([C@@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 732314796 MYLYLAUTPWKFKV-LLVKDONJSA-N 417.805 4.904 5 20 HJBD O=C(CNC(=O)c1cc2ccccc2c2cccnc12)OCc1ccccc1Cl 733282208 COWYKOCRUMPQNM-UHFFFAOYSA-N 404.853 4.515 5 20 HJBD C[C@@H](OC(=O)C1(Sc2ccccc2)CCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 733556781 SUQPRNYITAVBHB-OAHLLOKOSA-N 414.483 4.570 5 20 HJBD CCCN(CC)C(=O)c1ccc(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735644387 NXYVFGPPBIKJCX-UHFFFAOYSA-N 404.850 4.648 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2ccccc2C)c2c1CCC2 735820958 NTIOKHZZVSIGBR-UHFFFAOYSA-N 410.861 4.792 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C2)nc2ccccc21 736602057 JJRKLPSFTPJDEC-OAHLLOKOSA-N 409.446 4.818 5 20 HJBD CCCN(Cc1nc(O)c2ccc(Cl)cc2n1)Cc1cccc([N+](=O)[O-])c1C 738298311 IDCMMFMOPAQWCO-UHFFFAOYSA-N 400.866 4.618 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 738883988 AFGMADJRKIOFBR-CYBMUJFWSA-N 405.813 4.514 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2cccs2)no1 745568686 QUMGYQKZJGQVIB-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD CC/C(=C/C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 745752272 DBKAXTYNSHKGHG-BSHRGCEOSA-N 402.834 4.612 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1 747866476 LJSUKYCUZXOOKP-UHFFFAOYSA-N 410.451 4.674 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2)Cc2ccco2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748605597 CVCMNAJLYUFINQ-UHFFFAOYSA-N 409.398 4.555 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 754995020 CZHFRROBPOXQPJ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC(c3nc(C(C)(C)C)cs3)CC2)c1[N+](=O)[O-] 758480574 DIPIKNJVGBDKOF-UHFFFAOYSA-N 405.495 4.816 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1[N+](=O)[O-] 758493763 CUCRISJURYEYHG-UHFFFAOYSA-N 411.345 4.995 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cccc([N+](=O)[O-])c1Br 759342779 UXGUOOBSMVZTHP-WFASDCNBSA-N 416.275 4.598 5 20 HJBD C[C@H](OC(=O)c1scnc1C1CCCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 759757329 LSLQSIUZBNTIPK-JTQLQIEISA-N 423.878 4.546 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCN(c3ccc(C(C)C)cc3)C2=O)n1 762070242 OXHPGBWGJLAZOJ-LJQANCHMSA-N 406.442 4.597 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 764235351 OZNGXRDBSCHXIC-QGZVFWFLSA-N 412.833 4.666 5 20 HJBD COc1cc(COC(=O)c2csc(-c3ccc(F)c(F)c3)n2)c([N+](=O)[O-])cc1F 766228997 RMQLFPQCVIOFMT-UHFFFAOYSA-N 424.356 4.501 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 777944058 NPIHSVYGFOWYOE-UHFFFAOYSA-N 424.253 4.528 5 20 HJBD CC(=O)c1ccc(N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)c([N+](=O)[O-])c1 784645712 GNWFRQCWEWYQSJ-UHFFFAOYSA-N 416.477 4.952 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)COc2ccc([N+](=O)[O-])cc2)c(Cl)c1 792136707 DVDJDYVRABUWRF-UHFFFAOYSA-N 422.821 4.580 5 20 HJBD COc1ccc2cc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)ccc2c1 806619163 LZSYKALGGACBIH-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD COc1ccccc1N1CC[C@H](CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 811477574 VYEWNKYUATTXOF-CYBMUJFWSA-N 410.301 4.526 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3cc([N+](=O)[O-])ccc3Cl)CC2)cc1 812776178 JIFGHXOVGZJPCD-NRFANRHFSA-N 404.894 4.593 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(F)cc1C 813247222 PFNZHWWQWWUOGM-UHFFFAOYSA-N 415.204 4.778 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1sc2cccc(C)c2c1Cl 875311405 CEDLPHZHKIHFPJ-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 915305392 GOFUZLHDQCOGDP-UHFFFAOYSA-N 416.437 4.848 5 20 HJBD COc1ccc(Br)cc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 1115865743 HSKWRLGLFKEYBG-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD COc1ccc([C@@H](NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c2ccc(F)cc2)cc1 1318829957 YPIFUHOPIOZROK-QFIPXVFZSA-N 421.428 4.557 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCSc3ccccc3Br)o2)c1 1341253275 PIEIDTXTKZRYCJ-UHFFFAOYSA-N 406.261 4.742 5 20 HJBD Cn1ccnc1[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1343926265 IZEFGHVTIKKLRG-AWEZNQCLSA-N 400.866 4.621 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c([N+](=O)[O-])c1 1517313023 ITMJKKYJMCSJGR-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841649 YYXCGJLVAMHIFE-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1ccc(SCCC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 10210567 CVDQZMIGPFETLO-CYBMUJFWSA-N 422.890 4.609 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c(Br)c1 16987523 ISUGMWDTMRFQRW-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD CCC[C@@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccccc1 20021792 WOGRSYZVUWCTIV-OAQYLSRUSA-N 406.486 4.728 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nc(C(F)(F)F)nc2ccccc12 22248227 CIEBOFVWCATKDA-UHFFFAOYSA-N 422.388 4.596 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 36699941 KDABNGMFXCNKHH-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 45784709 ICTWFRCVNRKZGW-UHFFFAOYSA-N 404.426 4.728 5 20 HJBD COc1cc(CN(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1SC 58778119 MAENJUKRGCSLKY-UHFFFAOYSA-N 402.497 4.812 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccnc(OCc2ccccc2)c1 65865101 FWROPLYPXMKZLU-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3oc(Br)cc3C)n2)cc1[N+](=O)[O-] 107846843 SNOSOHODYCJTMK-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](Oc1ccccc1Br)C(C)C 117235557 FPYSVEDGRVQIJG-KRWDZBQOSA-N 407.264 4.708 5 20 HJBD Cc1cc(NC(=O)c2cc(Br)sc2Br)ccc1[N+](=O)[O-] 225823615 YPUSUGXHCMZYOX-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD O=C(Nc1nnc(C23CC4CC(CC(C4)C2)C3)s1)c1c(Cl)cccc1[N+](=O)[O-] 303373321 MBKKCZJROPHLJQ-UHFFFAOYSA-N 418.906 4.820 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1nnc(-c2cccc(Cl)c2)o1 431217235 AKVRNIFKDWQLKL-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1cc(Br)cc([N+](=O)[O-])c1 432676342 GOSMPKDWFWOCJK-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cs1 436195460 SFCGFUWAWQMIOT-UHFFFAOYSA-N 417.878 4.512 5 20 HJBD O=C(Nc1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1)c1cccs1 437460246 GIDZYVBSBVUKEI-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD Cc1cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)ccc1C(F)(F)F 440292517 NUBLYVLDCUGLTA-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 440468286 TUELBHVIYHEKLN-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD C[C@@H](c1ccccc1)[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444095576 HANCNBDTLGWWCQ-ZIAGYGMSSA-N 406.432 4.882 5 20 HJBD CCO[C@@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(CC)CC 444228490 UCJXTCZWKOYQEC-FCHUYYIVSA-N 406.526 4.737 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 444851259 RLISGAKOXADWHJ-SNVBAGLBSA-N 413.293 4.697 5 20 HJBD CNC(=O)c1ccc(N2CC[C@H](C)[C@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 446879225 LHVIXZVSEFLWPN-SGTLLEGYSA-N 407.392 4.561 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(Br)c(Cl)c3)n2)cc1 448070218 JBCZZHNZMWAQBQ-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD O=C(O)C/C(=C/c1cc(O)ccc1[N+](=O)[O-])c1nc2cc(C(F)(F)F)ccc2s1 448117696 AJDMGQMSQZJQNP-YHYXMXQVSA-N 424.356 4.944 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NCc2cc([N+](=O)[O-])ccc2OC(C)C)c1 462236798 MHGUPYBPRLELSZ-UHFFFAOYSA-N 405.882 4.786 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC(=Cc4ccc(F)c(F)c4)CC3)n2)cc1 469446915 VGWNOQVNIJXSCD-UHFFFAOYSA-N 412.396 4.602 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(F)cc3-c3nnc(C)o3)o2)c([N+](=O)[O-])c1 475357888 ZIJQHTMYRCLDBG-UHFFFAOYSA-N 424.388 4.973 5 20 HJBD COc1cc(C(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2C)cc([N+](=O)[O-])c1C 478702101 MZNZUQBJJSKHHM-UHFFFAOYSA-N 419.437 4.725 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 482012750 DZGUQAYMCWTOHQ-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD Cn1cc(C(=O)Nc2nc([C@@H]3C[C@H]4CC[C@H]3C4)cs2)c(-c2ccc([N+](=O)[O-])cc2)n1 482362106 HGSSXWQUVPPDLI-DUVNUKRYSA-N 423.498 4.608 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CCC2)c2c(F)cccc21 486018559 RSOGUERYEJSQQZ-UHFFFAOYSA-N 416.474 4.555 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 486247316 BMHQBQRDFHRPCZ-UHFFFAOYSA-N 401.422 4.887 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(N3CCO[C@H](c4ccc(Cl)c(Cl)c4)C3)n2)cc1 486966580 LXJCYCLTQSWNTK-INIZCTEOSA-N 421.240 4.530 5 20 HJBD Cc1cc(=N[C@@H](C)c2ccc(OC(F)F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489310569 DJYSZGJIUURBCH-ZDUSSCGKSA-N 400.385 4.557 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)OC(C)(C)C)cc2F)cc1[N+](=O)[O-] 490932250 KYEZVYXXFHBPFZ-UHFFFAOYSA-N 406.435 4.663 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)O[C@H](C)C2 495118789 DMWMLKSJXBMOBU-CQSZACIVSA-N 411.458 4.513 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)c2ccc(OC)cc2)cc1 497416925 MFULYXMHCPLJFV-HSZRJFAPSA-N 420.465 4.634 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1C[C@@H](CO)c2ccccc21 498383104 ANVPYYUBRYZZNJ-INIZCTEOSA-N 412.511 4.613 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 504726687 UIYHSUSGUZWJIK-ZDUSSCGKSA-N 409.305 4.658 5 20 HJBD COCC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccco1 505653620 FMKYBULMCJVVGT-SFHVURJKSA-N 412.467 4.847 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(OC)cc1[N+](=O)[O-] 507271414 GJSQZGCNDUCJGB-AWEZNQCLSA-N 418.471 4.512 5 20 HJBD CS(=O)(=O)c1cc(SCc2cc(-c3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 507598565 KKOUMGOUFUPZTI-UHFFFAOYSA-N 424.887 4.599 5 20 HJBD COc1cc(CN2CCC(Oc3ccc(C)cc3)CC2)c([N+](=O)[O-])cc1OC(F)F 508017830 VWPMPTYWMPIZFA-UHFFFAOYSA-N 422.428 4.557 5 20 HJBD CCOc1cc(NC(=O)N[C@H](C)c2c(F)cccc2F)c([N+](=O)[O-])cc1OCC 511284253 ILCPHCAUHOIKKE-LLVKDONJSA-N 409.389 4.553 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)n2)c(C)c1 514495502 ZXADBKANJKLKEY-UHFFFAOYSA-N 407.455 4.772 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(-c2ccccc2)s1 514650576 VDWGBJKWZPUNLR-NTCAYCPXSA-N 401.447 4.978 5 20 HJBD Cc1ccccc1[C@H](C)c1noc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 514756943 XABIFCPQXUQXSI-JXFKEZNVSA-N 420.469 4.724 5 20 HJBD CCc1nc(CN(C)c2c([N+](=O)[O-])cnc3ccc(Br)cc23)cs1 516812589 ZZGMQWTURBFPSR-UHFFFAOYSA-N 407.293 4.561 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3cccc(CN4CCCC4=O)c3)no2)cc1[N+](=O)[O-] 518630833 BRSPUEHVVCQMTL-UHFFFAOYSA-N 420.469 4.732 5 20 HJBD CCOc1cc(C(=O)N[C@@H]2CCSc3ccc(Cl)cc32)c([N+](=O)[O-])cc1OC 520007783 VSCQVMYAYSNOTH-CQSZACIVSA-N 422.890 4.622 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 521768775 WALGOFHSUABEOX-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD COc1ccc(Cc2nc(-c3ccccc3OCc3ccccc3)no2)cc1[N+](=O)[O-] 521910662 IDPIIRWQFLHZEP-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccc2ccccc2c1)C1CC1 522665608 MQJNIHJGCTZDKO-UHFFFAOYSA-N 413.433 4.807 5 20 HJBD O=C(Nc1ccc(OCc2cccc(C(F)(F)F)c2)cc1)c1cc([N+](=O)[O-])c[nH]1 524412669 UXJQWQSWEBAGSU-UHFFFAOYSA-N 405.332 4.773 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CSCC(=O)c2ccc(Cl)cc2)n1 530464447 WGLYWHAIUKXASF-UHFFFAOYSA-N 403.847 4.723 5 20 HJBD CCOc1cc2c(cc1OCC)CN(Cc1coc(-c3ccc([N+](=O)[O-])cc3)n1)CC2 534113778 GZOOFCYNAHNNSE-UHFFFAOYSA-N 423.469 4.606 5 20 HJBD CC(C)(NC(=O)Nc1cccc2c1CN(c1nccs1)C2)c1ccc([N+](=O)[O-])cc1 534760731 RDJMJCNWURJIBN-UHFFFAOYSA-N 423.498 4.628 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)OC(C)(C)C)c(C)c2)c1 536410349 YSLFBAJISGDQEP-UHFFFAOYSA-N 402.472 4.833 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 539531410 SOIQNWVWXKRBCD-YSDGCRRSSA-N 415.559 4.532 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(COc3ccccc3)n2Cc2ccco2)cc1 540296980 VKUDTVVXHCPWDN-UHFFFAOYSA-N 408.439 4.558 5 20 HJBD CCN(CC)C(=O)CCC1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 542185117 MQBJLJUWKPYITO-UHFFFAOYSA-N 413.518 4.715 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2ncc(C(F)(F)F)cc2Cl)no1 545017007 DCCACKULHBJSRB-WAYWQWQTSA-N 410.739 4.806 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@H]3Cc4ccccc4S3)n2)c(Br)c1 545743371 VJPZIANBRROQEI-OAHLLOKOSA-N 418.272 4.721 5 20 HJBD Cc1cc(Cc2noc(CCc3ncc(-c4ccccc4Cl)o3)n2)ccc1[N+](=O)[O-] 545850310 OAXJPHBGNXNJJY-UHFFFAOYSA-N 424.844 4.971 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)o1 555314740 OBKDKNFXTXKXQT-SFHVURJKSA-N 418.375 4.605 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 563987192 XOEGFGYRTBGALO-AVYPCKFXSA-N 400.450 4.846 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@H](CC4CC4)C3)cc2[N+](=O)[O-])n1 566522870 WEJTWSTTYZPOLB-CQSZACIVSA-N 403.529 4.773 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)nn1 590274083 LFLGWEDFPCLCIF-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD CC1(C)CC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC(C)(C)N1 603466709 YXQQYHRSCRSRAI-UHFFFAOYSA-N 413.543 4.785 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 604473983 OJOXYHNOJAAGLB-QFIPXVFZSA-N 423.444 4.526 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 609374149 IKGASQVPGXYVFF-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609700100 AYSSPRAXPWANLI-KBXCAEBGSA-N 420.918 4.641 5 20 HJBD O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 610303881 ZUWYTUNDECFDDU-UHFFFAOYSA-N 412.858 4.960 5 20 HJBD CC(C)(NC(=O)c1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 611152929 IQGVSXMZTFJYAP-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD O=C(CCCCCc1ccc(O)cc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 618900516 BNPRQBDULZXCCE-UHFFFAOYSA-N 410.392 4.739 5 20 HJBD Cc1nn(C)c2ncc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc12 619648251 HSODHIFWGLEJMX-UHFFFAOYSA-N 419.466 4.588 5 20 HJBD CC(=O)NCCCCCC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 646368517 LUNXATCUDRKONW-UHFFFAOYSA-N 402.498 4.584 5 20 HJBD C[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)N1CCCCC1 726607087 OUMOQLIUVXKNSG-CQSZACIVSA-N 422.323 4.581 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)OCc1c(F)cccc1[N+](=O)[O-] 728989608 ADCNQXPEASZGEU-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD CSc1ccsc1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 730447336 KYJSZROZRXVNRO-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD O=C(COC(=O)c1c(Cl)cc(C(F)(F)F)cc1Cl)c1cccc([N+](=O)[O-])c1 731710357 PCGYUDXEHFVJMQ-UHFFFAOYSA-N 422.142 4.960 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1csc(Cc2ccc(F)cc2)n1 734898671 MPIKSRNOBXMUNC-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 735318362 MHPMXUHETKWCME-QGZVFWFLSA-N 405.454 4.921 5 20 HJBD O=C(COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)Nc1ccccc1Cc1ccccc1 736866708 NDIMVKCDJRWZIF-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745071613 LWLHBDQQENLHIK-INIZCTEOSA-N 412.486 4.875 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)OCc1cc([N+](=O)[O-])ccc1Cl 745750672 RNZYJHHEGIYJCT-OAHLLOKOSA-N 404.633 4.722 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(N3CCCCC3)cc2)cc1[N+](=O)[O-] 751921093 DTVWAPNAMBZWDB-ZWKOTPCHSA-N 410.518 4.571 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 755064979 NWCXPCHSQNBUQT-STQMWFEESA-N 422.840 4.678 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnc(Cl)c1Br 759279630 SZQLWCOOISPNBH-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)c2cccc([N+](=O)[O-])c2Br)c1 760869576 ZDRGLSKWZNEEAB-SJCJKPOMSA-N 419.275 4.589 5 20 HJBD O=C(Nc1cc(-c2ccccc2)[nH]n1)c1cc(Br)cc([N+](=O)[O-])c1Cl 763903657 OPFKOCUDZUSSPC-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 764301083 PHHMPPNWMDWHPF-JTQLQIEISA-N 419.812 4.743 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 774928013 JMIMIILGUFQYKK-UHFFFAOYSA-N 402.407 4.771 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)OCc1ccccc1)c1cccc([N+](=O)[O-])c1 781952410 UTTKBPQQOQTLJO-INIZCTEOSA-N 419.437 4.835 5 20 HJBD CC(C)c1ccc(C(=O)N(Cc2ccccc2)C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 784431485 SBAOWLMAFNMPBR-NRFANRHFSA-N 408.454 4.687 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1Cl 790913780 KTIMBAYEJCAZHO-UHFFFAOYSA-N 417.220 4.627 5 20 HJBD C[C@H](OC(=O)Cc1csc2nc(-c3ccccc3)cn12)c1cccc([N+](=O)[O-])c1 792671329 WSKYVCRYRATNEL-AWEZNQCLSA-N 407.451 4.818 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])o1 800932882 JYAUPACLGGRIFF-OAQYLSRUSA-N 409.442 4.541 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccccc2OCc2ccccc2)no1 904467213 WNEJBWRLOHCFKL-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD Cc1ccc(-c2nc(COC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)co2)cc1 913223592 QPXPVWKEANOSJM-UHFFFAOYSA-N 421.453 4.518 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 917283740 SYNBMULONBQYGI-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cc(Cl)ccc1[N+](=O)[O-] 920013769 COALCYXXCONNOW-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CC=C(c2c[nH]c3ccccc23)CC1 935487816 AKUHQSWBJYACNB-CQSZACIVSA-N 424.888 4.846 5 20 HJBD O=[N+]([O-])c1ccc(CN(CCC(F)(F)F)CC(F)(F)F)c(Br)c1 1339516708 MIZMKORIQYREKR-UHFFFAOYSA-N 409.124 4.674 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(F)cc3[N+](=O)[O-])cc2)cc1 7230731 XAWCDKXLDFTAAA-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD COc1cc(COC(=O)c2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC(F)F 10390238 WXNMKDVDGMHYPS-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc2ccccc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17672922 KQWSDPDBXCFQSE-UELRPHRMSA-N 416.393 4.511 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(Cl)c1 22793173 HJZMZGFLVBWYQA-NSHDSACASA-N 411.241 4.702 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 24441893 WSXRQHVBYABGTK-UHFFFAOYSA-N 410.417 4.897 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(Cl)ccc2OCC(F)(F)F)cc1[N+](=O)[O-] 26496091 BFUHZLPJVPLZJJ-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(Cc2ccccc2)CC1 64862444 NLCDVGDOVACOFL-UHFFFAOYSA-N 407.495 4.813 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3cccc([N+](=O)[O-])c3)c(C)c2)n1 110143373 LTILWGJRWMHDIY-UHFFFAOYSA-N 415.496 4.837 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NC[C@@H]2CC=CCC2)cc1[N+](=O)[O-] 115966807 MSNCYXHPYHTTJN-OAHLLOKOSA-N 410.495 4.634 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cc2ccncc2)cc1 138354425 MPKFBBLSZYFJPZ-AWEZNQCLSA-N 411.845 4.640 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N2CCC(C(=O)Nc3ccccc3Br)CC2)c1 301542115 CJULSRIGVRUBTD-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 426034294 HVAFOSCKLRHOMN-KUHUBIRLSA-N 405.882 4.647 5 20 HJBD COc1cccc(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)c1[N+](=O)[O-] 435868596 GCRJRXYCFBHPTI-LLVKDONJSA-N 415.446 4.665 5 20 HJBD Cc1cc(SC2CCCCC2)ccc1NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1 438834080 IXRQKPNIGUWCMN-UHFFFAOYSA-N 413.499 4.905 5 20 HJBD CCS[C@@H]1CCC[C@@H](NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444110180 PJLVTJVJSJYIEO-HUUCEWRRSA-N 416.493 4.754 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Oc3ccc(F)cc3)c3ccncc23)c1[N+](=O)[O-] 444122993 DAURRFRCINAMPF-UHFFFAOYSA-N 421.388 4.612 5 20 HJBD Cc1c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cnn1CCC(C)C 444233284 APFKKLUXWRACMM-UHFFFAOYSA-N 424.451 4.763 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)c1cccc2c(=O)c3ccccc3[nH]c12 445145051 MJSIYGHOXKNXMV-UHFFFAOYSA-N 403.290 4.980 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1)Nc1cccc2ccccc12 445348389 CXHZMWLODIRHFX-PKNBQFBNSA-N 418.384 4.622 5 20 HJBD O=C(Nc1ccc(Cl)c(Br)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 447144471 BBRVYBOYLOFDAU-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cccc(F)c2)on1 448537119 GNMHTNJGGGKHMP-UHFFFAOYSA-N 401.419 5.142 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[C@@H](C)CO1 460381163 BNTZDRLOUMTSRE-MGPUTAFESA-N 423.494 4.629 5 20 HJBD COc1cc(CNc2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)cc(OC)c1OC 461715246 ARBWIVHVNVXLJT-UHFFFAOYSA-N 400.353 4.560 5 20 HJBD Cc1cc(NC(=O)c2cccc(-c3csc(C)n3)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 463541804 GQRVOTBTDKWJFI-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H]1C[C@H](c2ccccc2F)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 464274657 IWNWWIWYSSPHFW-BXKMTCNYSA-N 414.480 4.904 5 20 HJBD C[C@]1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOC1 466437219 ZBPLDSUWKCXEGX-LJQANCHMSA-N 406.891 4.556 5 20 HJBD CC(C)[C@H](CCO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467639488 WIJNXEZVTCJJNR-INIZCTEOSA-N 408.907 4.536 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1ccc(-c2ccccc2OC(F)(F)F)o1 467991271 WTBIZFNVEYFGQZ-UHFFFAOYSA-N 420.343 4.726 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCC[C@@H](C)c1cccc(C(F)(F)F)c1 470985675 SMFKYKNOMHOKSG-CYBMUJFWSA-N 410.392 4.936 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2C)cc1[N+](=O)[O-] 471352827 BPHCWCGVOQZTGX-UHFFFAOYSA-N 419.485 4.703 5 20 HJBD C[C@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 471446448 ISMSGDSYSWXFPV-DOTOQJQBSA-N 407.495 4.812 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 472529564 UNEIZUBHNHFDQR-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 477601350 YJPMMPFDDYEFDZ-UHFFFAOYSA-N 414.849 4.884 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](C3CC3)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480986639 SZALGBDGXJRBGX-OAHLLOKOSA-N 416.861 4.921 5 20 HJBD Cc1ccc(F)c(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c1Br 486163304 HUKVXIHMZUVISY-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 489613530 IIEUBJOFEJCSMI-GFCCVEGCSA-N 420.922 4.995 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccc(Br)cc2)cc1[N+](=O)[O-] 493311958 VSONGQIBTFFHDP-CYBMUJFWSA-N 406.280 4.568 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2F)cc1 495380087 NXPXYSIWZJEWGG-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD O=C(NCCc1nc(C2CCCCC2)cs1)c1ccc([N+](=O)[O-])c2cccnc12 498127237 XJHGZZBRILWVFX-UHFFFAOYSA-N 410.499 4.620 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])cc1OC 504749289 GJRVUNIXJOYUCJ-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 505048088 LLVFYSSZHSCUSN-QGZVFWFLSA-N 422.491 4.694 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3ccc(C)c([N+](=O)[O-])c3)s2)cc1 505140910 JPYGHTKTXXBPGK-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCC(C)C 505246073 DPUJUAILLWZXNI-HNNXBMFYSA-N 401.463 4.829 5 20 HJBD C[C@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 505929380 CMSJNWIYMCGOJN-ROUUACIJSA-N 401.551 4.619 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)ccc1[N+](=O)[O-] 511699317 ISFJQVQPXNFHOD-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccc(F)cc2)cc1 513084201 UGFAMNCYFRAYGH-OAQYLSRUSA-N 409.417 4.962 5 20 HJBD COCCCn1c(Sc2ccc([N+](=O)[O-])cc2F)nnc1-c1ccccc1Cl 513107271 DPDXRVNKAGKWHH-UHFFFAOYSA-N 422.869 4.834 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 515707289 MQRLTDYUZJWUSH-SECBINFHSA-N 423.705 4.937 5 20 HJBD CN(C(=O)c1ccc(Oc2cccc(Cl)c2Cl)nc1)c1ccc([N+](=O)[O-])nc1 517054217 DGHNLYBLXKJESB-UHFFFAOYSA-N 419.224 4.761 5 20 HJBD CC(=O)c1sc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)nc1-c1ccccc1 520097928 OWRDEODQZQAGGC-UHFFFAOYSA-N 420.450 4.514 5 20 HJBD O=[N+]([O-])c1cccc(F)c1S(=O)(=O)Nc1ccc(OC2CCCC2)c(Cl)c1 521601888 QDRZISKLUXPVLT-UHFFFAOYSA-N 414.842 4.510 5 20 HJBD CN(C)[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1c(F)cccc1F 522377787 JGLDZGBMELRZTB-IBGZPJMESA-N 401.413 4.526 5 20 HJBD CC(C)c1nc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc2o1 531187769 VQMBTJRSXZGILO-UHFFFAOYSA-N 404.220 4.874 5 20 HJBD CC(C)(C)[C@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 539303301 LQNIUCSONGPSHF-QGZVFWFLSA-N 409.408 4.590 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 540542733 GPKNDVVMOAKTKG-MJGOQNOKSA-N 422.453 4.634 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(OCC2CCCCC2)CC1 540909608 KSCLLPPNXDYVLN-UHFFFAOYSA-N 423.941 4.562 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(OC4CCCC4)nc3)no2)cc1 547205886 YDIWPCRHGUJJIQ-UHFFFAOYSA-N 402.357 4.501 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 552612328 RCLYJWMQWPWJER-UHFFFAOYSA-N 420.309 4.947 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 556509216 WLOCINBUMSDPBL-ODXCJYRJSA-N 418.375 4.555 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)C2CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 557975540 DJCAJSMBFULARC-CQSZACIVSA-N 418.444 4.813 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])C(=O)OC(C)(C)C 568946137 JHRGSVOHBVXMJF-OAHLLOKOSA-N 416.499 4.596 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 573840815 VHDOFTHFNNWPIA-LJQANCHMSA-N 410.861 4.545 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCn2c(cc3c(Cl)cccc32)C1 576646145 NYMYFXLHADIPHC-CYBMUJFWSA-N 423.860 4.830 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 578077061 RJDVXCHZUAFJBT-JKSUJKDBSA-N 400.475 4.763 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)c3ccccc3)CCC2)no1 579645156 SJICIIQZQXBTPD-QGZVFWFLSA-N 420.469 4.523 5 20 HJBD C[C@H](C(=O)Nc1nnc(SCc2cccs2)s1)c1cccc([N+](=O)[O-])c1 581980764 KOBMQPPRFWXXPC-JTQLQIEISA-N 406.514 4.542 5 20 HJBD COc1cc(CSc2ncccc2Br)c([N+](=O)[O-])cc1OC(F)F 583924421 VCZMZIKSALRJNR-UHFFFAOYSA-N 421.219 4.655 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)COc1ccc([N+](=O)[O-])cc1 603660035 UIQAQAUYDZYWGL-UHFFFAOYSA-N 420.425 4.565 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Oc2ccccc2)c(F)c1 603991792 RHMRIYHLWJRTFV-CYBMUJFWSA-N 424.384 4.746 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3cc4ccccc4o3)cs2)cc1[N+](=O)[O-] 609009910 KKGGFUSXGMAYOE-UHFFFAOYSA-N 409.423 4.654 5 20 HJBD Cc1ccc(C[C@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccn2)cc1 609678360 JNHOIOOVJHJJJY-VGSWGCGISA-N 404.470 4.642 5 20 HJBD C[C@H]1Cc2ccccc2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852757 NAJROFOGSZRNKC-NSHDSACASA-N 417.252 4.679 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1ccn(-c2ccccc2[N+](=O)[O-])n1 611204157 UZLYSNIJLGFKTL-UHFFFAOYSA-N 422.388 4.687 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@@H]2[C@@H]1[C@@H]2C(F)(F)F 611211272 HZURIFOZNCWJFD-IAOVAPTHSA-N 408.401 4.769 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCO[C@H](c2ccco2)C1 612550488 QWUBINFMADUYQF-IBGZPJMESA-N 416.499 4.684 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1Cl 614815064 YJROLEGPIQMSEO-UHFFFAOYSA-N 420.852 4.764 5 20 HJBD Cc1occc1-c1nnc(SCC(=O)c2ccc([N+](=O)[O-])cc2)n1-c1ccccc1 619777177 WROPCBMYWLLWHO-UHFFFAOYSA-N 420.450 4.719 5 20 HJBD O=C(NC[C@H]1CCN(c2ccc(F)c(F)c2)C1)c1cc2ccccc2c2cccnc12 620487698 BLYHFQJZLAZXCO-MRXNPFEDSA-N 417.459 4.923 5 20 HJBD COc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])cc3c1OCCO3)oc1ccccc12 727294059 MJVOQHFNMCZDKK-UHFFFAOYSA-N 420.377 4.526 5 20 HJBD COc1cc(Cl)c(C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1OC 731489784 MFMVVMLOLQBXQN-UHFFFAOYSA-N 424.887 4.850 5 20 HJBD Cn1c(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])nc2cc(F)ccc21 735834083 YNAKXUSQWFQRER-UHFFFAOYSA-N 402.735 4.905 5 20 HJBD CCCCCC[C@](C)(CCC)C(=O)N1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 742539924 GJTRTEVOIMOYJP-NRFANRHFSA-N 423.579 4.718 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746067063 LOASNNKYYLINJF-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1cc([N+](=O)[O-])ccc1Br 748685936 MQMVBCXLYSCNMH-UHFFFAOYSA-N 409.211 4.680 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])n1 753076566 NQGDQYKUUKHMKP-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD Cc1cccc2c1[C@H](C)C[C@@H]2CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753557204 MUEUNNBVEKCTTG-GDBMZVCRSA-N 407.426 4.678 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CC1)c1cc(F)cc([N+](=O)[O-])c1Br 754967191 KTOZRIVKLLCJCM-UHFFFAOYSA-N 413.630 4.569 5 20 HJBD CC(C)C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccn1 761382328 JEDCCROUYCYBQN-HSZRJFAPSA-N 400.478 4.880 5 20 HJBD CCN(CCc1ccccn1)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768155553 JWEQBCVGUGYGDJ-UHFFFAOYSA-N 407.495 4.846 5 20 HJBD CC(C)COC(=O)Nc1cccc(N[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1 774282967 IBQCGJDOBUYZTB-MSOLQXFVSA-N 401.467 4.592 5 20 HJBD Cc1ccc(C(F)(F)C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 780033177 YBKQTTFEHXBSFF-UHFFFAOYSA-N 403.410 4.782 5 20 HJBD Cc1sc2nc([C@@H](C)OC(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)nc(O)c2c1C 784256841 HYIOSMMQUSBEAD-LLVKDONJSA-N 415.471 4.963 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N(C)c2ccc(Br)cc2Cl)c1F 788130113 ZNDKFLSSURUHHK-UHFFFAOYSA-N 401.619 4.735 5 20 HJBD Cc1cnc(COC(=O)c2cc(C3CC3)nc3onc(C(C)C)c23)c(C)c1[N+](=O)[O-] 789446325 NWBQCOBOOHJSKB-UHFFFAOYSA-N 410.430 4.501 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Cl)c(Br)c1)c1ccc([N+](=O)[O-])cn1 794948202 FBMXRCRVOBETSR-KPKJPENVSA-N 411.639 4.509 5 20 HJBD C[C@H](OC(=O)c1ccc(F)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796367632 VFGSZMNYIKHPMJ-LBPRGKRZSA-N 407.357 4.855 5 20 HJBD COc1ccc(N(CCC(C)C)C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 797353797 KQSXUFLZXWRNRK-UHFFFAOYSA-N 401.419 4.513 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@H](c2ccccn2)C1 799380370 TYPBIEBBICSPIK-INIZCTEOSA-N 405.479 4.771 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1ccc([N+](=O)[O-])cc1Cl 803091598 PPVZMZFZDILRED-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD O=C(NCc1csc(CCc2ccccc2)n1)Nc1cccc([N+](=O)[O-])c1Cl 809914094 GQKIWCAOKMFFFE-UHFFFAOYSA-N 416.890 4.812 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1SCCc2sccc21 811216697 NBECNTFYRZAMDH-MRXNPFEDSA-N 418.521 4.854 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(c2ccc(Br)cc2)CC1 842754699 SYDTYUSCIAXZDT-UHFFFAOYSA-N 423.694 4.701 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1NC(=O)OC(C)(C)C 915165828 NXEAIZOJGSJYNQ-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccc(Cl)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 916451937 ZWKQRNJNROOSEJ-LJQANCHMSA-N 411.801 4.676 5 20 HJBD Cc1ccc[n+](/C(C(=S)N[C@@H](C)c2ccccc2)=C(/O)c2cccc([N+](=O)[O-])c2)c1 917614706 YQLCBRLNFOBKQT-KRWDZBQOSA-O 420.514 4.753 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NCc1ccc([N+](=O)[O-])cc1Br 1116669493 QNOWKBXQQRYVIM-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD CCOC(=O)c1cc(-c2nnc(Cc3cccc4ccccc34)o2)cc([N+](=O)[O-])c1 1117237297 VABWINIRYPDTNM-UHFFFAOYSA-N 403.394 4.566 5 20 HJBD Cn1ccnc1C[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1319946976 OXFMTWPNXDTFSF-OAHLLOKOSA-N 414.893 4.696 5 20 HJBD Cc1cc(C)c(OC(=O)CCc2nc(-c3ccc(C(C)C)cc3)no2)c([N+](=O)[O-])c1 1322108183 AEMIOYBXBFYNJE-UHFFFAOYSA-N 409.442 4.923 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)c2ncccc12)N1Cc2ccc([N+](=O)[O-])cc2C1 1322375855 XLAQPCLHCILKNF-UHFFFAOYSA-N 417.469 4.681 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C(C)C)cccc1C(C)C 7079220 OKZZMGKAEWCFSZ-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccc2F)n1CC(C)C 8651189 VXLGHPPRFRUXDO-UHFFFAOYSA-N 416.478 4.949 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11030112 BFBNMTLPAQUFOF-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1ccccc1Cl 15584076 SEQRBBOMKDLNAO-UHFFFAOYSA-N 404.797 4.676 5 20 HJBD CCCCOc1ccc(OC)cc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 30522559 LPEANWAKSHNAAF-ZDUSSCGKSA-N 421.881 4.875 5 20 HJBD Cc1c(NC(=O)N(CCN(C)C)Cc2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 64519734 CLNSUXYUELDTKA-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)sc1Cc1ccccc1F 71975456 ALPBKONJJKWCLH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1ccccc1[C@@H]1CCCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 108332205 UIDDXHXMJQYUAU-QFIPXVFZSA-N 418.497 4.742 5 20 HJBD CN(C(=O)CSc1nc(-c2ccc(F)cc2)cs1)c1ccc([N+](=O)[O-])cc1 217147014 VFYCTZWVBIIJDF-UHFFFAOYSA-N 403.460 4.613 5 20 HJBD Cn1c(CSc2nc3ccccc3s2)nnc1Sc1ccccc1[N+](=O)[O-] 301096368 PNIBQMFEQDVLFM-UHFFFAOYSA-N 415.525 4.777 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3c[nH]c4ccccc34)n2C[C@@H]2CCCO2)cc1 301104735 SNSWNJSSURRZOS-HNNXBMFYSA-N 421.482 4.665 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 301468184 YXGAWPCEBNDZLN-WMZHIEFXSA-N 423.513 4.719 5 20 HJBD Cc1ccc(NC2CCN(C(=O)c3ccccc3C(F)(F)F)CC2)c([N+](=O)[O-])c1 302335158 AREYZJPKQXPAIS-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD Cc1cc(C)cc(C[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)c1 426769204 GWAYTUPSGULXLR-LJQANCHMSA-N 410.495 4.726 5 20 HJBD COc1cccc(C(=O)Nc2ncc(Cc3cccc(C)c3Cl)s2)c1[N+](=O)[O-] 426881166 HVNMRUMKTZXJTR-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD O=C(c1cc2ccc(Br)cc2[nH]1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427582758 IVQNCYWQFKDPGU-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CCCCn1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1CC 428242194 FKYCRGXPEGAYPU-UHFFFAOYSA-N 413.503 4.838 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 432685258 KIRZEKNEDUIDLN-UHFFFAOYSA-N 404.854 4.654 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc(F)ccc2NC(=O)c2ccccn2)cc1[N+](=O)[O-] 439107891 LSWUFRDUUSQDBT-UHFFFAOYSA-N 422.416 4.757 5 20 HJBD CCOc1cc(C(=O)N(C)c2nc3ccc(Cl)cc3s2)c([N+](=O)[O-])cc1OC 443860009 OFNXMFIBIFRXID-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD Cc1ccccc1[C@@H]1CN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)[C@H](C)CO1 444197799 SBDYQKRRGWPNTR-VGSWGCGISA-N 407.470 4.563 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444307886 MHKVKFKZTWNDDX-GYCJOSAFSA-N 412.489 4.913 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3cnn(-c4cccc(Cl)c4)c3)no2)cc1 445647591 GTWOLWCAMYJQNO-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@H]4CCC[C@@H]43)cc2[N+](=O)[O-])n1 460383457 DSEKBZHRHRNDEQ-HIFRSBDPSA-N 403.529 4.916 5 20 HJBD CCn1nc(C)c(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1C 461303853 FMHHHLCRSKNFMU-UHFFFAOYSA-N 417.516 4.596 5 20 HJBD Cc1cc(NC2CCN([C@@H](C)c3ccncc3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461948911 OSTCHJGVIVZLRN-AWEZNQCLSA-N 408.424 4.955 5 20 HJBD CCn1cc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])cn1 464437001 MTIZBXKVHYUCMM-UHFFFAOYSA-N 402.863 4.868 5 20 HJBD COc1cc(CN[C@@H](COc2ccccc2F)c2ccccc2)c([N+](=O)[O-])cc1F 464496664 VXNBTGZFOPWRTE-IBGZPJMESA-N 414.408 4.792 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(COc3ccccc3)c2C)cc1[N+](=O)[O-] 472847611 JKHAAPFMSAXXPH-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD O=C(Cc1ccc(NCc2ccc([N+](=O)[O-])cc2F)cc1)N1CCCc2ccccc21 478229645 JRUJRWWRUVSOKP-UHFFFAOYSA-N 419.456 4.868 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c1[N+](=O)[O-] 485517238 OKUDPLBYXJMQFJ-CQSZACIVSA-N 420.918 4.901 5 20 HJBD C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 493974615 CBIHRVWCDXWFAZ-SJKOYZFVSA-N 409.364 4.608 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494137001 NJXFLMBVMQKCET-UHFFFAOYSA-N 419.441 4.719 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)sc2C)cc1 497773132 YXYFIWLFCWWHJO-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 500375319 WJCRNZPQVJMGAK-MEEMXUNPSA-N 423.513 4.740 5 20 HJBD COc1cc(CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C2CC2)ccc1OC(F)F 503513207 YYLVGTHRLNGFJN-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2cc(O)cc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 507323280 HFCDYVQFCWJTPH-SNVBAGLBSA-N 417.874 4.877 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3nccc4ccccc34)no2)c2ccccc12 507701027 YMQOSHUJZAFEOE-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD O=C(Nc1ccccc1Oc1cccc(F)c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 511169026 DXBIYZZPRMQVTB-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD Cc1sc(NC(=O)C[C@@H]2CCO[C@H](C(C)C)C2)nc1-c1cccc([N+](=O)[O-])c1 513681054 XDMGGXNFSCBOEY-PBHICJAKSA-N 403.504 4.807 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H](c1ccccc1)C(F)(F)F 515492821 PTLZUPZPZQPUPE-JOCHJYFZSA-N 410.395 4.575 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1cccs1 515680126 GOIVJFSPBDRABA-HXUWFJFHSA-N 416.886 4.984 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 517949090 IMYJVPBYBWAOFP-OAQYLSRUSA-N 417.465 4.712 5 20 HJBD COc1cc(CN[C@@H]2CCC[C@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 522717201 OQQUTEJRYGBWTQ-MOPGFXCFSA-N 416.543 4.946 5 20 HJBD COc1ccc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1OC1CCCC1 522880315 WPKPUXDUGCUUOQ-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538219910 XTKIPCUKNVFKCT-ORAYPTAESA-N 406.479 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)n(-c2ccc(Cl)cc2Cl)n1 539550601 UPUHYPSTMSDWLK-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD O=C(c1c2c(nc3ccccc13)/C(=C/c1ccc([N+](=O)[O-])cc1)CCC2)N1CC(F)C1 541499745 CUHNLWWKVDRCKZ-FOWTUZBSSA-N 417.440 4.814 5 20 HJBD CC(C)Cn1cc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(C(F)F)n1 542228433 RISOZYDCCAGMTP-UHFFFAOYSA-N 418.853 4.769 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](c2nc3ccccc3s2)C1 542713426 DOLMZGIMMSUNGS-LBPRGKRZSA-N 400.435 4.755 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(C(=O)NC3CC3)c2)c2ccc([N+](=O)[O-])cc2)o1 543424672 YPPNSENHQURJHD-QHCPKHFHSA-N 419.481 4.522 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 544135197 YJGHDPCAXOBYNK-JKSUJKDBSA-N 400.500 4.681 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(-c3ccccc3)nc2)no1 545673423 QGQATCYFYRAHFZ-ZDUSSCGKSA-N 405.439 4.955 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])c2cccnc12 546624303 YESMSSNEBCUFHI-UHFFFAOYSA-N 419.462 4.635 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(COc4ccc5ccccc5c4)no3)cn2)cc1 547056293 HTVCQFGODMJWDI-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 547383360 CMUOFCLHBFEXGY-HNNXBMFYSA-N 406.385 4.572 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3c(c2)ncn3-c2ccccc2)c1 549290150 MSENGLPQBMINSA-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)c3n[nH]c(C(C)C)n3)c([N+](=O)[O-])c2)cc1 551802881 WQUDVZDOIUOUCU-AWEZNQCLSA-N 408.462 4.570 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2c(O)cccc2Cl)cc1[N+](=O)[O-])c1ccccn1 552781685 RRZURHZEVKHZNY-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2C)c1 558750118 XEEIXQJKVMZNNZ-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD COc1ccc2oc([C@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 565799797 BEANPKGRYINEGT-LSDHHAIUSA-N 411.458 4.644 5 20 HJBD C[C@@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1(F)F 566038455 VWJNALFELASTHI-FZKQIMNGSA-N 423.847 4.905 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OC(F)F)c(Cl)c1 591719860 FBQNSBYEWBENJX-MRVPVSSYSA-N 421.183 4.909 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)c1 604198954 ULDSENNLRVQTPL-UHFFFAOYSA-N 418.902 4.705 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CO[C@@H]3CCC[C@H](C)C3)c2)c(C)c1[N+](=O)[O-] 608806953 WVGDBDXXCHYABV-MGPUTAFESA-N 414.506 4.532 5 20 HJBD Cc1ccccc1-c1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cs1 608937836 MUXKSAABEXMMDG-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 609212047 FHJPNTMNHMPZDG-CYBMUJFWSA-N 414.462 4.726 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccc(Cl)c1Cl 609505143 YIFFKIZAXAQRQO-CABCVRRESA-N 417.252 4.830 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)n1 610046299 AAKYQTYBROYSOD-UHFFFAOYSA-N 422.485 4.695 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)o2)cc1 610047126 JENUGVMQYXDTBH-UHFFFAOYSA-N 406.438 4.810 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1C 610199319 PMZSNMCWMSCICV-OAQYLSRUSA-N 407.514 4.792 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4cc(F)ccc4F)[nH]c3c2)c1 610225285 ZOYDTPMANLWNSF-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N[C@@H]3CSc4ccc(Br)cc43)c2c1 618689922 SPXKMBQLNHUMIZ-CQSZACIVSA-N 403.261 4.559 5 20 HJBD O=C(NCC1(c2ccccn2)CC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 619834251 ACQYVZWEORCYIQ-UHFFFAOYSA-N 405.479 4.603 5 20 HJBD Cc1ccc(-c2cc(COC(=O)c3cc([N+](=O)[O-])ccc3Br)on2)cc1 725985973 PVGWJLVORMFAQY-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])cs1 730644331 BDNZMPMOCKPTMW-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD COc1ccccc1-c1ccc(CN(Cn2nc(C)c([N+](=O)[O-])c2C)C(C)C)cc1 742158415 HPVQICKYGDLFRU-UHFFFAOYSA-N 408.502 4.952 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 743069246 ZOKGMNGNXMKFBD-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD Cc1ccc([C@@H](NC(=O)CNc2ccc(Cl)c([N+](=O)[O-])c2)c2cccs2)cc1 748537935 KBBSOXFXPZAOMU-HXUWFJFHSA-N 415.902 4.936 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Oc1ccc(-c2cscn2)cc1 749284853 WBZVCPPBFIMFBG-UHFFFAOYSA-N 412.395 4.507 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1N1CCCC1 750603453 OBZXWMIKSSPCAK-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD COc1cccc([C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1 750842819 BBJBHLHUIMETHB-SECBINFHSA-N 413.655 4.510 5 20 HJBD Cc1cccc(-n2ccnc2SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)c1C 753853118 JCMGDVKNXRMZMT-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)ccc1Cl 756801927 RYXWVYDWRNXBMO-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD CC(C)(C)N1C[C@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)CC1=O 761857126 ODHDXQFPIGXOEL-OAHLLOKOSA-N 422.441 4.552 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)C[C@H](C)c2ccccc2)c1=S 764963524 OZNOIJOVYUALGO-INIZCTEOSA-N 411.531 4.702 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC[C@H]1NC(=O)OC(C)(C)C 769428322 YFYJBYBOJMJAPB-HNAYVOBHSA-N 415.490 4.590 5 20 HJBD COc1ccc(OC(=O)c2[nH]c(-c3cccc(C(F)(F)F)c3)nc2C)c([N+](=O)[O-])c1 772640916 OIIKPMUIIHVTAB-UHFFFAOYSA-N 421.331 4.540 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc(-c2ccco2)s1 776133507 MBDHSCXBAYWHCW-GOSISDBHSA-N 407.407 4.653 5 20 HJBD CCS(=O)(=O)c1ccc(CNC(=O)c2c3ccccc3cc3ccccc23)cc1 777204411 BVUCUMLLLPIWJV-UHFFFAOYSA-N 403.503 4.717 5 20 HJBD COCc1nc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)c(-c2ccccc2)s1 799336436 MUMOXBVVFRPZMB-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD CC(=O)c1cc(NC[C@@H](O)c2cccc(OCc3ccccc3)c2)ccc1[N+](=O)[O-] 804552223 GXPYDPCVUAUYDX-HSZRJFAPSA-N 406.438 4.522 5 20 HJBD CC(C)(CCc1noc(-c2ccc(Cl)c(NC(=O)c3cccs3)c2)n1)[N+](=O)[O-] 812812099 WHJCYJDUYVTLNO-UHFFFAOYSA-N 420.878 4.692 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 917783983 BLEUJVHPINSEGF-UHFFFAOYSA-N 412.465 4.577 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCCN(c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 919156687 FHJIAZYWSGWSKG-ZBFHGGJFSA-N 411.483 4.725 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)OC(C)(C)C 921279920 DYQSHYMUYWNBEX-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 1251708592 IRKPXFASBFXHBF-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCCN2C(=O)c2ccccc2)n1 1323625454 PXUHSCDONXVMPF-SFHVURJKSA-N 406.442 4.629 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccccc2OCc2cscn2)n1 1324273679 BEKGCTCODGMGQK-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(OCc4ccccn4)cc3)c1)OCOC2 1326981731 BOGPREGVBVXABF-UHFFFAOYSA-N 424.478 4.869 5 20 HJBD Cc1cc(-c2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)c(N)c([N+](=O)[O-])c1 1328175681 CJFRKRCXSCXQGI-UHFFFAOYSA-N 424.420 4.813 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1343293260 VLILNOQKUQLZLZ-GXTWGEPZSA-N 400.378 4.996 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)c([N+](=O)[O-])c1 1526688514 ZMPFYSMSGRQGAH-IBGZPJMESA-N 412.833 4.563 5 20 HJBD CC1(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])[nH]4)CCC3)cc2)CCCCC1 1791534200 XROJPWSFWPUMBB-UHFFFAOYSA-N 424.501 4.641 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 9352456 IMQLWLLNGFVEQG-LSDHHAIUSA-N 416.499 4.771 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 14498867 WPNOQIPFQVKFCA-ZDUSSCGKSA-N 417.874 4.684 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 14808543 OFLHEJKNGLONTO-UHFFFAOYSA-N 408.860 4.606 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC(=O)Nc4ccccc43)cc2[N+](=O)[O-])cc1 15912363 FBSVZVGGROVSOD-UHFFFAOYSA-N 419.462 4.653 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 16176139 CJNIIPJQEHJYKT-FQEVSTJZSA-N 400.500 4.839 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)c2ccc(Cl)cc2Cl)c1 16195748 SNFGRBVIDWELMR-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD O=C(OCc1nnsc1Cl)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 23269936 ZJJICEKKIFOMNX-UHFFFAOYSA-N 407.860 4.608 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 26863217 BFCAEQPFVBOJCI-UHFFFAOYSA-N 420.821 4.582 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 28614673 JIKALIHKUBUOJX-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 53455925 XTYUVMGVQGSBRG-IRXDYDNUSA-N 404.470 4.962 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(F)(F)F)cc1NC(=O)c1ccc([N+](=O)[O-])o1 72011778 FDNKYLVCDKIXOG-UHFFFAOYSA-N 415.324 4.806 5 20 HJBD CSc1nnc(CCCNc2ccc([N+](=O)[O-])c3cccnc23)n1C1CCCC1 97091662 BKSAYNBEXWJFKW-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD Cc1cc(NC(=O)c2ccc(OC3CCCC3)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105980969 XGWIFXPFDYZSQU-UHFFFAOYSA-N 406.442 4.663 5 20 HJBD COc1cc([C@H](C)Nc2ccc(Br)cc2[N+](=O)[O-])cc(OC)c1OC 107820118 IWIWBDGLVBVRPB-JTQLQIEISA-N 411.252 4.556 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccco2)Cc2ccc(C)s2)cc1[N+](=O)[O-] 109202375 KIPITBZBKIMYMO-UHFFFAOYSA-N 400.456 4.799 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 110563906 BNEKSAUZPUZWLC-CYBMUJFWSA-N 404.536 4.963 5 20 HJBD COc1cc(Cl)ccc1C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 116012398 SOAXKCUYPMJWOO-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc12 170488492 GMGDKKVWSSFPMP-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD COc1cc(CNc2cccc(CN(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 237279654 KNGZMOIEVMQUOB-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 301526354 HXIIPNALXYJCIP-LLVKDONJSA-N 403.236 4.504 5 20 HJBD Cc1ccc([C@@H]2CCCCCN2C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)o1 431571117 PVQAJSSFGBJBAK-SFHVURJKSA-N 409.442 4.567 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(-c3ccccc3)nn2-c2ccccc2)c1[N+](=O)[O-] 434180091 PHFJEKRZUFGCCL-UHFFFAOYSA-N 416.441 4.546 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 436438535 IPCOJXYKAWMFDM-MRXNPFEDSA-N 420.746 4.576 5 20 HJBD CCc1cc(O)nc(-c2cccc(NC(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)c2)n1 438953915 BXBRBNPCEMCTHF-UHFFFAOYSA-N 406.442 4.696 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)CN(Cc1ccccc1[N+](=O)[O-])C1CC1 441229929 UHVOANYJKNRYJG-INIZCTEOSA-N 407.470 4.525 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443897508 OFKUWHPFVTZTSY-OAHLLOKOSA-N 407.373 4.590 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@H](c2ccccc2)c2ccccc21 444510540 NKVCGMQZPHLCPI-HXUWFJFHSA-N 411.417 4.641 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1C 448414307 CVZFPWYYNNIHGQ-UHFFFAOYSA-N 407.474 4.764 5 20 HJBD Cc1cc(Sc2nnc(Cc3cccs3)n2-c2ccccc2)ncc1[N+](=O)[O-] 470870719 BSARIMMMVTXSFI-UHFFFAOYSA-N 409.496 4.682 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H]2C[C@H]2c2ccc(Br)s2)cc1[N+](=O)[O-] 474508690 FMUGPDLIPZITIZ-RAIGVLPGSA-N 424.320 4.644 5 20 HJBD COc1c(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)cccc1[N+](=O)[O-] 477019325 LQTAMVWNTCWWLM-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CN(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C1c2ccccc2-c2ccccc21 477622695 RXTQVHQDKFNYEP-UHFFFAOYSA-N 411.417 4.691 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 478396534 UMBFCDINCTTYLH-CQSZACIVSA-N 406.417 4.505 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 478939227 MPWOBWSFQWBUTF-UHFFFAOYSA-N 414.267 4.796 5 20 HJBD CCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(Cl)c1 482700684 RDUZAVWWMOXKRH-GFCCVEGCSA-N 416.840 4.878 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)cc3nc(C)oc23)c1 484477074 QJJLVJLFQYVCLW-UHFFFAOYSA-N 422.260 4.781 5 20 HJBD C[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 485567842 LLGBBOBHLNEZJR-MRXNPFEDSA-N 408.429 4.733 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@H](c2ccccc2)C1 486698810 IYVGXRFIDLHMQW-FQEVSTJZSA-N 401.466 4.837 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@@H](C)c2ccccc2Cl)c(C)c([N+](=O)[O-])c1 486881123 DHAOAVWYTSJFQL-LBPRGKRZSA-N 405.838 4.568 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(-c2ncco2)c1 488891738 PCARGMBPPQCSNU-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccccc2OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] 493238760 QGUFHCKRBUIIKL-AEFFLSMTSA-N 413.474 4.734 5 20 HJBD Cc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1Br 493380954 AUFJOKCTMSHPBG-JTQLQIEISA-N 412.671 4.758 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)ccc1[N+](=O)[O-] 494257773 UKMHHXCXIQTNNM-MRXNPFEDSA-N 404.850 4.757 5 20 HJBD O=C(N[C@@H]1CCOc2c(F)cccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 495124102 UJNCOKZHFKTKSM-HXUWFJFHSA-N 422.412 4.567 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 498242195 JODVOYSZNJJETG-IBGZPJMESA-N 412.396 4.631 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1 499956905 KJWXMJSXGPKMBP-UHFFFAOYSA-N 407.817 4.626 5 20 HJBD O=C(NCC1CCOCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 501715464 FVYQAJZZJCQLON-UHFFFAOYSA-N 406.891 4.556 5 20 HJBD C[C@@H](COc1ccccc1C(F)(F)F)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 507988420 ZGNDAXUMRMWXDY-NSHDSACASA-N 408.332 4.557 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1OCC 508050692 AWALNVLVVMIAHF-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD COc1cccc(Cc2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)c1 511072893 MXFIZKJTZMZBSM-UHFFFAOYSA-N 415.471 4.657 5 20 HJBD CCOc1cc(NC(=O)N(CC)Cc2c(F)cccc2F)c([N+](=O)[O-])cc1OCC 513952963 HQIDPSJZSWBMLK-UHFFFAOYSA-N 423.416 4.724 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1C 514544392 DPZXKSPZHYNFAM-UHFFFAOYSA-N 412.446 4.782 5 20 HJBD CCn1c(SCc2cccc(NC(C)=O)c2)nc2cc3ccccc3cc2c1=O 518000501 MNBDAKYZIIAFHP-UHFFFAOYSA-N 403.507 4.820 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccc(F)cc1 520150878 USELPNHSLZXJLZ-UHFFFAOYSA-N 408.429 4.732 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNc2ccc(Br)cc2[N+](=O)[O-])c1 524264842 BXRMIKXHKGYWGY-GFCCVEGCSA-N 406.280 4.954 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 530387542 QIXOIQKKVLFHBU-IBGZPJMESA-N 409.421 4.883 5 20 HJBD Cc1nn(C)c(C(=O)N[C@@H](CCCCc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 531673656 NSLQUSYWKPPIKM-FQEVSTJZSA-N 406.486 4.521 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC(c2c[nH]c3ccccc23)CC1 533221227 IUIIGAIRAWXBHN-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 533905610 XKQYTRKZLKGFBA-UHFFFAOYSA-N 417.469 4.626 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534992723 YAKSRMXKEVXYPN-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(COc2ccccc2)cc1 536916629 QQAXTAWXXQRSBX-UHFFFAOYSA-N 401.422 4.836 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538003455 ZRQUSYWWAWRPQX-HZPDHXFCSA-N 414.220 4.692 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(S(=O)(=O)CC)cc1 538499720 IRENZNKULFVXMP-UHFFFAOYSA-N 422.528 4.533 5 20 HJBD CN1CC[C@H](CNC/C=C/c2ccccc2[N+](=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 539564884 AXHWCBJGOYUUHJ-NWWLCNQNSA-N 403.885 4.683 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(-c3nc(C4CC4)no3)cc2C)cc1[N+](=O)[O-] 544184983 RKCQGCWNQVRLLA-UHFFFAOYSA-N 407.430 4.515 5 20 HJBD COc1cc(Br)ccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 545786340 ODOKZZOTVUIQBK-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1c1nc(-c3ccc([N+](=O)[O-])cc3)no1)O[C@@H](C)C2 546258220 OKJRLUHVRKIVLL-ULFGMLNVSA-N 407.426 4.638 5 20 HJBD Cc1ccc(-c2nc(Cc3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)cs2)o1 546490388 FSIXPZMTWUOJLL-UHFFFAOYSA-N 421.438 4.666 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@@H](c2ccccc2)C1 554158621 BENKGCLNXXLBHK-OAHLLOKOSA-N 408.376 4.556 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1C(F)(F)F 560212006 PBOWKECDRBAVPP-UHFFFAOYSA-N 423.391 4.797 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1cccc([N+](=O)[O-])c1C 568442589 TXZUZPYTSKLJCH-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 582873299 QXKAHBWIOPYBHX-IBGZPJMESA-N 424.460 4.612 5 20 HJBD Cc1cc(Cc2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)ccc1Br 589377717 AHVJFGXZKJPKPT-UHFFFAOYSA-N 424.201 4.780 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H]1Cc1ccc(F)cc1 604085216 WNVZWTZEIXEDQX-CQSZACIVSA-N 409.364 4.574 5 20 HJBD C[C@H](NC(=O)N[C@@H](COc1ccccc1F)c1ccccc1)c1cccc([N+](=O)[O-])c1 604126135 JFLINJJALFRNLO-KKSFZXQISA-N 423.444 4.914 5 20 HJBD CSCc1c(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)oc2ccccc12 608789345 QWHUPOGUADRJII-UHFFFAOYSA-N 408.439 4.846 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 609444323 WQLMYQDRVIUEAQ-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD CSCc1c(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)oc2ccccc12 609453413 JRTAWTFGLCRKBX-UHFFFAOYSA-N 408.439 4.642 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCS[C@@H](C)[C@@H]1c1ccccc1 609819383 ICRNKJHCWUMFPM-YZVOILCLSA-N 402.541 4.781 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncc(-c2ccccc2)o1 610068608 PPKHAYHKVANSAW-CYBMUJFWSA-N 420.450 4.864 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccco1)Cc1cccs1 610104483 LZUWBYGULGVXRG-UHFFFAOYSA-N 413.499 4.984 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)nc1-c1ccccc1 611181972 XCPBEWBBHODPEE-RYUDHWBXSA-N 405.298 4.834 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(Cn3c(Cc4ccccc4)nc4ccccc43)n2)s1 618411226 HDYOVLMCMMTVNR-UHFFFAOYSA-N 417.450 4.695 5 20 HJBD CCCCN1Cc2cccc(N[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2C1 727464004 OHTIFGRAQQDHHY-AWEZNQCLSA-N 416.909 4.803 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc2c(c1)CC(=O)N2C 728301232 MDQOTFIJFHUZCV-CQSZACIVSA-N 407.495 4.693 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1C[C@H]1c1ccc2ccccc2c1 731271963 VNULGZHMZBCLAE-PMACEKPBSA-N 415.405 4.645 5 20 HJBD COc1ccc(CC(=O)O[C@@H](c2cncc(F)c2)C2CCCCC2)cc1[N+](=O)[O-] 731776007 SYTJETUMOCDWEM-OAQYLSRUSA-N 402.422 4.545 5 20 HJBD C[C@@H](NC1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCCC1)c1ccccc1 733266720 FRBMTMPQJMWCOJ-OAHLLOKOSA-N 401.894 4.642 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccccc1Cl 741603100 JWKXSNPNTHUEAN-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD CN(C)c1ccc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 743788688 AGJCITSHKAEVFQ-UHFFFAOYSA-N 403.482 4.827 5 20 HJBD O=C(Oc1ccc(OCc2ccncc2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744015466 SBNMQIDUWVMRBE-UHFFFAOYSA-N 418.327 4.807 5 20 HJBD Cc1sc(NC(=O)COC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(C)c1C 744581649 JVSQERWFAWZPGE-UHFFFAOYSA-N 404.488 4.675 5 20 HJBD C[C@H](OC(=O)C1(c2cccc(F)c2)CCCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 744855626 HYDFGSISYTZJHV-HNNXBMFYSA-N 414.433 4.506 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 747635646 WDKAHZXRUNVHGP-FLIBITNWSA-N 423.450 4.547 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2F)CC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748620070 OWJODXKSIODHEI-UHFFFAOYSA-N 403.410 4.557 5 20 HJBD CC[C@@H](Oc1ccccc1Cl)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 748803793 ZXEBAXIZTPOLNT-QGZVFWFLSA-N 416.817 4.804 5 20 HJBD COC(=O)c1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])sc2c1CCCCC2 750178890 WZRVPKPZCCZFQQ-UHFFFAOYSA-N 408.863 4.618 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)c1 754959727 YISLEEDBTCTCNA-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 754960878 TZVIHYCCDIMCPV-QHCPKHFHSA-N 419.481 4.579 5 20 HJBD CC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cc(F)ccc1F 754978961 WDTZDWSGKQPVSP-ZDUSSCGKSA-N 417.181 4.656 5 20 HJBD COc1ccc(CN(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)C2CC2)cc1 755026713 WVALWOVCAGVMAN-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD C[C@@H](OC(=O)C1(Cc2ccccc2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 758141420 DUVAZYBSDDUVRJ-OAHLLOKOSA-N 407.426 4.662 5 20 HJBD C[C@H](Nc1ccc2c(c1)CC(C)(C)O2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 759140205 UOEUJINYAAGUEF-NSHDSACASA-N 423.391 4.766 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1C[C@@H](O)c1ccco1 762986077 IBJSNJBMFCYLGT-VDZJLULYSA-N 418.515 4.563 5 20 HJBD O=C(Nc1cccc(CC[C@H](O)c2ccccc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 762991194 GCEPZVLFHXOEAY-QFIPXVFZSA-N 415.449 4.995 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1ccccc1)C[C@H](O)c1ccsc1 763008991 KDZZDWJVAZCJBF-FQEVSTJZSA-N 421.478 4.514 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](COc2ccccc2Cl)C1 764317020 BINWAGMEDQETGZ-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1c(C)cc(C)cc1C 765524077 IBCCIWUBPCNQGZ-MJGOQNOKSA-N 412.486 4.659 5 20 HJBD CNc1c(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 765583716 ZYPZPUMKPMXQJJ-OAQYLSRUSA-N 405.454 4.555 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 767325566 AJWXLFKIWGTRMF-HNNXBMFYSA-N 411.336 4.543 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 770382513 UCBQBWCUZYSURJ-WBVHZDCISA-N 415.490 4.732 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1cccc([N+](=O)[O-])c1Br 782167719 PQOSAJSRPJVFRI-UHFFFAOYSA-N 418.169 4.584 5 20 HJBD COc1ccc([C@H](NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 789667632 KGNHGINRVYJOQP-OAQYLSRUSA-N 411.389 4.530 5 20 HJBD COc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F)oc1ccccc12 791299674 DOGWDFLVTQSSFJ-UHFFFAOYSA-N 423.400 4.960 5 20 HJBD C[C@@H](c1ccc(Br)cc1)S(=O)(=O)Cc1cc([N+](=O)[O-])ccc1Cl 793081534 MOSDSNZQHLCYOH-JTQLQIEISA-N 418.696 4.687 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1cc([N+](=O)[O-])ccc1N1CCCC1 802260804 WILGVOPFLPRFST-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(-c2noc(C(F)F)n2)cc1 805635439 PFVDSESQRVEBNW-UHFFFAOYSA-N 409.732 4.593 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1OCC(C)C 914874950 XFUCBFMJPCPAMB-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD COc1ccc(OC(=O)[C@@H]2CC=CC[C@@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 916986345 DUXXCCQETLMZFU-LSDHHAIUSA-N 410.451 4.869 5 20 HJBD O=C(Nc1cccc(-n2ccnc2O)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 920081596 JVTHETOVJRQJRL-UHFFFAOYSA-N 416.393 4.531 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 920846196 NDBLRPBHNRRBKC-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCO[C@@H](c2ccc(Cl)s2)C1 1324675162 YJKRWUZOKXBFQA-GFCCVEGCSA-N 420.796 4.542 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(Br)ccc2Cl)o1 1341397202 JECBBVSDAFVTSU-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1cccc([N+](=O)[O-])c1 6220467 NQTFTGURLMMXMZ-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 12451712 CXKBVUOZHOAXNK-LJQANCHMSA-N 410.813 4.785 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@H]3c3ccc(F)cc3)cc2)c(C)c1[N+](=O)[O-] 25325975 BWJDAGZCOKTCGE-NRFANRHFSA-N 422.460 4.573 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccnc1Sc1ccccc1 42918457 OBJKTJUXYGEKQA-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD O=C(Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1)c1cc2ccccc2c2cccnc12 45883887 ITSAZHBJODXKLG-QFIPXVFZSA-N 411.461 4.758 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H](C)c1ccc(F)cc1 46645642 FEIVCXGOXSYBFY-QGZVFWFLSA-N 402.469 4.904 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1c1cccc(F)c1 55863957 NTDBLHLERIFOMV-OAQYLSRUSA-N 400.453 4.658 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H](c1ccccc1)c1ccc(F)cc1 65724745 OKEYCKKSEJFVJR-QHCPKHFHSA-N 403.413 4.594 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCc2sccc2[C@H]1c1cccs1 139626152 HKLBNHWKILBFKP-IBGZPJMESA-N 416.549 4.984 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])o2)nc1-c1ccc(Br)cc1 215799307 DMUIMIJSXCCWND-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Br)sc1Br 227266100 QSZDQBCYMIEIDF-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD COc1ccc(CNc2cccc(CN(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 237196860 HXGRZZAJTCNEDL-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc([N+](=O)[O-])cc3N3CCOC3=O)cc2)cc1 301478441 XLRASTACDDYEHR-UHFFFAOYSA-N 419.437 4.839 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc2ccccc2[nH]1 426038771 ZMEPPJQEFXIASM-HXUWFJFHSA-N 407.474 4.542 5 20 HJBD O=C(O)[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 426821525 UBZTULGDIPIMIM-GOSISDBHSA-N 402.403 4.503 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccccc1[N+](=O)[O-] 431336897 KYGCSERIBAJHKQ-UHFFFAOYSA-N 409.486 4.527 5 20 HJBD COc1ccc(Br)c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437781740 FOQLDWSKHMMTGM-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2c(C)cc(Cl)cc2[N+](=O)[O-])c(C)c1 439114067 GETDBBJUQNQLLK-CYBMUJFWSA-N 403.866 4.646 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443902400 KTISHSPMRAKPLX-NSHDSACASA-N 400.784 4.970 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3c(F)cc(F)cc3F)cc2[N+](=O)[O-])C1 443922640 WKPUNAKPBDWENH-TXEJJXNPSA-N 407.392 4.747 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444307881 MHKVKFKZTWNDDX-FYSMJZIKSA-N 412.489 4.913 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447375649 QTNRZYZJOKZMLT-UHFFFAOYSA-N 405.454 4.801 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](c2ccccc2)C1 463103126 UESMZVQDYFIUTL-QFBILLFUSA-N 400.500 4.747 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1CC(=O)OC(C)(C)C 465297793 WTIYUYANLIMKAS-CQSZACIVSA-N 416.499 4.598 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)CC[C@@H]1c1ccccc1 470009345 ODCRDACJDGSASK-NQIIRXRSSA-N 407.514 4.851 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(Cc3nnc4ccccn34)cc2)cc1[N+](=O)[O-] 473798900 GJYDYCQKULVEFJ-UHFFFAOYSA-N 415.453 4.604 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(CC(F)(F)F)c1 477559353 KSBXLMKBVBDDAZ-UHFFFAOYSA-N 401.772 4.717 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 485502813 OYRNVKPPQNSSHM-VIFPVBQESA-N 417.712 4.775 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1Cc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 485529509 HKAPBGHWGJTCQS-OLZOCXBDSA-N 409.305 4.560 5 20 HJBD C[C@H](CN(C)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nccs1 486122723 COQIBGHLOUWWRB-OAHLLOKOSA-N 411.483 4.506 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc([C@@H]2C[C@@H]2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 486707610 NDEYGQDFJIIRSI-NWLYGAKOSA-N 408.376 4.750 5 20 HJBD CCN(C(=O)c1cc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)ccc1Cl)C(C)C 487679646 UCHZBMZDZRBKGQ-UHFFFAOYSA-N 417.893 4.608 5 20 HJBD Cc1cc(NCc2c([N+](=O)[O-])ncn2C)ccc1-c1ccc(Br)cc1 488195708 CMKYMNAONYEMNU-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1nc(-c2ccccc2F)cs1 488770778 PWFFRDBBVJAETJ-UHFFFAOYSA-N 400.435 4.796 5 20 HJBD COc1cc(CNc2ccccc2Br)c([N+](=O)[O-])cc1OCC(F)F 490439681 YOKRHHPEBDJLHU-UHFFFAOYSA-N 417.206 4.622 5 20 HJBD COc1ccc(CSCC(=O)N2CC3(CCC3)[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 495455856 SWUUUTCXGKTRBH-NRFANRHFSA-N 412.511 4.590 5 20 HJBD Cn1cc(CNc2cccc(Oc3ccccn3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 500698211 NPHCEOPLSQCWKY-UHFFFAOYSA-N 401.426 4.795 5 20 HJBD Cc1ccc([C@H](OC[C@@H](O)CN(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 501877713 ZKEVKICXMHOHIV-UKILVPOCSA-N 420.509 4.502 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N[C@H](C)CC)cc1 504077060 QOEOLRDLDHKAED-CQSZACIVSA-N 415.515 4.878 5 20 HJBD CCOc1cc(C(=O)NCc2ccc(Oc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 504959522 MIZIHDOBGNOGSN-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(CSCc3ccc([N+](=O)[O-])cc3)cs2)cc1 513816958 XPSSSRVBGYEXBR-UHFFFAOYSA-N 413.524 4.974 5 20 HJBD CC(C)(C)O[C@@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 513949168 WNPJKWNAXFJYBW-OAQYLSRUSA-N 412.486 4.521 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2SC2CCCC2)cc1[N+](=O)[O-] 515772872 GYFHVXNZWJKDDS-UHFFFAOYSA-N 401.488 4.960 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1 517288561 WYVPBPPTYAYFQS-IBGZPJMESA-N 415.877 4.543 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(N3CCCC3)nc2)cc1[N+](=O)[O-] 521821448 UGKNGDRYJNSLIC-UHFFFAOYSA-N 417.513 4.648 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)CC1CCOCC1 521935503 TWRSRGUTPDBOSC-KRWDZBQOSA-N 414.527 4.531 5 20 HJBD C[C@H](NC(=O)N(CCc1ccc(Cl)cc1)CC1CC1)c1cccc([N+](=O)[O-])c1 523880616 NMMYTKQMHWCJBK-HNNXBMFYSA-N 401.894 4.974 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2nc3cc(Cl)ccc3s2)c1 531274105 ZIVKHVSUAAQKAQ-UHFFFAOYSA-N 407.904 4.552 5 20 HJBD CC[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](c1ccc(C)cc1)n1cncn1 534424751 ZCNKVKKTUJRSCP-SIKLNZKXSA-N 402.458 4.523 5 20 HJBD CC[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C(F)(F)F)cs1 541633577 ZLOYIKVVSFHYLR-CYBMUJFWSA-N 412.393 4.966 5 20 HJBD COc1ccccc1C/C(C)=C\c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 545784911 IPZZGTDZTXFZLH-UVTDQMKNSA-N 404.426 4.705 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)c1 546285657 PZQFLEQAWPPLLC-RMKNXTFCSA-N 414.421 4.713 5 20 HJBD COc1ccc(CCc2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1Br 547100922 DCTYSDKSIUMBHG-UHFFFAOYSA-N 418.247 4.510 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(Cc3ccc(-n4cncn4)cc3)C3CC3)o2)cc1 549847145 BZQUPLWGJLOTEF-UHFFFAOYSA-N 415.453 4.600 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@H]1c1cccc(Br)c1)c1ccccc1[N+](=O)[O-] 554059316 WFSNWCQORQKYNI-GJZGRUSLSA-N 403.276 4.512 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1Br 565127600 RHWMGAJLNUUEKM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(C)CCn1ncc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1C(C)C 568605492 NNCBVNIKEWNAIX-UHFFFAOYSA-N 401.511 4.667 5 20 HJBD CC(C)OCCCN(C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 579941006 YYPMTCKNONWBEL-UHFFFAOYSA-N 419.909 4.747 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(C(F)(F)F)cn2)no1 582810363 HUYNWZVIMYNDTN-ZDUSSCGKSA-N 406.364 4.719 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccc(F)cc2F)C2CCCC2)cc1[N+](=O)[O-] 603530805 GXPIQZFNNGPRBP-UHFFFAOYSA-N 404.413 4.857 5 20 HJBD CN(C(=O)CSc1nnc(-c2ccc3ccccc3c2)o1)c1ccc([N+](=O)[O-])cc1 603726717 YVTDLNHPZKJZRT-UHFFFAOYSA-N 420.450 4.553 5 20 HJBD O=C(Nc1ncccc1OC(F)F)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 609025366 FTGHEHLREWTPMY-UHFFFAOYSA-N 400.341 4.587 5 20 HJBD O=C(NCc1cccc(Oc2ccccc2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609490156 MWNZGMLFYVXVAU-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 609508646 VLZIXDYIGWWNTH-INIZCTEOSA-N 414.512 4.633 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609546087 RRCQCHUFHGFART-PIGZYNQJSA-N 410.257 4.896 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 609855262 USFGIGJSSPIBIL-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3(CCO)CCCC3)o2)c(Br)c1 610395531 TVGBCGITMYYLSK-UHFFFAOYSA-N 423.307 4.650 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc(C2CC2)cc1)c1ccccc1[N+](=O)[O-] 611330894 XYPJHLXGPWVKEQ-LJQANCHMSA-N 411.458 4.677 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1Oc1cccnc1 618744258 KJCAGPONNYCZSI-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1Br 725986099 SBOMTJOQVWSEGR-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1ccc(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)cc1[N+](=O)[O-] 729412890 MMZAGVQRHGHLBF-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)c1cc([N+](=O)[O-])ccc1Br 729656772 HTGOCOIGUKYECU-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD CCCCN(CC(N)=O)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 733894182 YPYFXDNYNJWGQW-UHFFFAOYSA-N 414.505 4.661 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1OCc1cscn1 734779950 MSNHKQNEGPAVME-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD COc1ccc(-c2nc(COC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 744382010 OBSQIDFMRJDFLQ-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD CC(C)CCc1nc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cs1 744640154 RUYWATKMRSOURB-UHFFFAOYSA-N 420.534 4.943 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H](C)c2ccc(C)o2)c2ccccc2)c([N+](=O)[O-])c1 745665195 RABYIVPJTVUAGV-HRAATJIYSA-N 422.437 4.831 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc2ccccc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746098325 KQWSDPDBXCFQSE-ZVHGMHCTSA-N 416.393 4.511 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 746263940 QIPJLZRFVOQDBG-AEFFLSMTSA-N 414.458 4.677 5 20 HJBD Cc1c(C(=O)N(c2ccccc2F)C2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 746527746 UUIMGSNTKSZZNV-UHFFFAOYSA-N 401.394 4.848 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 747518068 XJGCHMFPJCXLIF-GOPGUHFVSA-N 413.817 4.706 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1Br 751071711 FXXBYMISMGLSBV-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1F)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751710343 KEXYWCHSXRCYPE-CCHVVGMOSA-N 401.356 4.926 5 20 HJBD Cc1ccc(O[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(Br)c1 752981165 NSYPUQWZZQEPBF-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD COc1ccccc1[C@@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccn1 759611446 YMLMQZGMSNZJPI-QVKFZJNVSA-N 424.478 4.812 5 20 HJBD CCC(=O)c1ccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cc1 760273748 DGDAPZASWMWGER-UHFFFAOYSA-N 418.405 4.659 5 20 HJBD CCCN(Cc1ccc([N+](=O)[O-])c(Cl)c1)C1CCN(C(=O)c2ccccc2)CC1 761505017 CLJGKVMSKYKMLN-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD CC(C)(C(=O)Nc1nc(COc2ccccc2)cs1)c1ccc([N+](=O)[O-])cc1F 762420085 YIGWBPDZNQEFOB-UHFFFAOYSA-N 415.446 4.686 5 20 HJBD C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 766589818 BYQRJPWZXHKXQB-UWVGGRQHSA-N 402.319 4.814 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCC(O)(c2ccccc2C(F)(F)F)CC1 769835855 BMHUPWYMKOQPFQ-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD Cc1cnc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)s1 771866733 VJOWJVIEGUUKHS-UHFFFAOYSA-N 416.842 4.601 5 20 HJBD Cc1ccc(NC(=O)C2=S=C(/C(Cl)=C/c3ccc([N+](=O)[O-])cc3F)N=N2)cc1 777916112 VEHOWZWWOPIEIM-ZSOIEALJSA-N 418.837 4.720 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 778224481 ABYSPRIQTCOFJH-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778782369 BXVIYGQMLLCSKD-KBPBESRZSA-N 402.472 4.647 5 20 HJBD Cc1nn(-c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 781182321 AEAYYZWNCRUJTE-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD CC(C)[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1Cl 781643182 XAHYDLUTDFVDON-LJQANCHMSA-N 405.838 4.603 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OC[C@H]2CCCS2)cc1[N+](=O)[O-] 783393208 SUMBLYJGXQDUHH-CQSZACIVSA-N 417.508 4.600 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 784046716 BFZPNBALCFSBIC-NLKGSNSHSA-N 401.356 4.926 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@H]2C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 784444450 GXZJTEVYJJVLJB-GHTZIAJQSA-N 400.450 4.586 5 20 HJBD O=C(OC[C@@H]1CCC2(CCCCC2)O1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 786283923 HWMPAYXCCJCCQS-INIZCTEOSA-N 402.472 4.757 5 20 HJBD COc1ccc([C@@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2OC)cc1 788672889 STEBWYCNAREHJN-NPMXOYFQSA-N 407.470 4.634 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC2(CCC2)c2ccccc21 791023740 QAKUUVHVUWGZPE-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)c(C)c1 791905394 XXGHPFMEVYPXFD-CQSZACIVSA-N 403.276 4.604 5 20 HJBD CCc1nnc(COC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 799552873 DVVANVNNFNDQFG-UHFFFAOYSA-N 401.469 4.517 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799689521 MUSKZFNUHBMDKA-PBHICJAKSA-N 412.467 4.581 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 811532421 VYZXQKLHPXECQJ-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c(C)c1 815406732 SQGFHGKMQQZKPZ-CQSZACIVSA-N 404.850 4.666 5 20 HJBD CC(C)(C)OC(=O)N1CCC(Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)CC1 821197557 DBYZZEHDTGIBEH-UHFFFAOYSA-N 404.492 4.530 5 20 HJBD O=C1N[C@H](c2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)Nc2cc(F)c(F)cc21 877858922 LSSNETORRZOZFK-QGZVFWFLSA-N 405.744 4.641 5 20 HJBD CCOc1cc(/C=C2/C(=O)Nc3cc(Cl)c([N+](=O)[O-])cc32)ccc1OC(F)F 915114365 LEQQURJAWCSYEV-VZUCSPMQSA-N 410.760 4.741 5 20 HJBD O=C(Nc1ccccc1SC(F)(F)F)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 916059687 UCORYSMOAWSGPZ-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)o2)n1 1322473842 CXHTZYAYZTVBPI-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(OCc3ccccc3)CC2)c(Br)c1 1324151259 SPLVXWLTXSRAFU-UHFFFAOYSA-N 405.292 4.539 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 1984625 DVHGQCZVCYUUMS-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD C[C@H](OC(=O)Cc1cccc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11079523 FFPUXQJXVUINAL-ZDUSSCGKSA-N 412.829 4.514 5 20 HJBD C[C@@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 11443769 ULFRFPIVFULMPH-SECBINFHSA-N 422.821 4.786 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)Nc1c(Cl)cc(Cl)cc1Cl 26113563 DBMWYYAPEXAFDT-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccc(Br)s3)o2)c(Cl)c1 27754612 XSQGFDPUORXJSQ-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc4cn[nH]c4c3)cc2[N+](=O)[O-])n1 29370403 UNBRAUYRANZNMC-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD COc1ccc(CSCC(=O)N2CC[C@H](C)Sc3ccccc32)cc1[N+](=O)[O-] 31895408 MDXAVRMFPREOBC-AWEZNQCLSA-N 418.540 4.754 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 46152042 MYXRYGCFQZTMRV-JTQLQIEISA-N 400.378 4.742 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2ccncc2)cc1[N+](=O)[O-])c1ccccn1 53299887 LGNOLPODFGMUCE-IIBYNOLFSA-N 417.469 4.535 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc(F)cc2Cl)c(-c2cccs2)c1 58647379 PSTKUWZESWIEKQ-UHFFFAOYSA-N 413.835 4.884 5 20 HJBD Cc1c(CS(=O)(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 61796351 NJCOSRXDGFJKOU-UHFFFAOYSA-N 422.915 4.795 5 20 HJBD C[C@H](N[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)c1cccnc1 216835176 RLFICITYWWALHK-XOBRGWDASA-N 410.861 4.674 5 20 HJBD Cc1ccc(/C=C2/CCn3c2nc2cc(C(F)(F)F)ccc2c3=O)cc1[N+](=O)[O-] 220713114 XWEZALHRDSAKRV-JYRVWZFOSA-N 401.344 4.576 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Sc1nnc(-c2cccnc2)n1Cc1ccccc1 301080344 JAJWNXOFXLULDV-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNc1ccc([N+](=O)[O-])c2cnccc12 301470195 IPJRBHZSNWAOGO-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3ccncc3)cc2)c2cccc([N+](=O)[O-])c2n1 301995557 HIFMNHJKVIBVBW-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3ccco3)cc2)c2cc([N+](=O)[O-])ccc2n1 302165718 KTXDOFPVTAXKJY-UHFFFAOYSA-N 402.410 4.909 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])sc2C)cc1 303426196 ZEANZYYKPCZNFA-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1nc(-c2ccc(Cl)cc2)no1 431238936 PHLYEEIVLASKOA-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431406193 SPLZQLIFABRYTL-UHFFFAOYSA-N 424.501 4.632 5 20 HJBD Cc1ccnc(-c2noc(-c3ccc(NCc4ccccc4Cl)c([N+](=O)[O-])c3)n2)n1 432677619 KOOYLYSVJKXAGZ-UHFFFAOYSA-N 422.832 4.676 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C1C[C@@H]2CC[C@H](C1)C2=O 435439205 TZUIJZIIXMSFQH-FUNVUKJBSA-N 402.497 4.751 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@]1(O)CCC[C@@H](C(F)(F)F)C1 437035122 DWGFNPPOZDDHGU-CPUCHLNUSA-N 406.470 4.539 5 20 HJBD O=C(Nc1cccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1)c1ccoc1 437789952 YDLNWMSZPYPLIY-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD O=C(N[C@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 444075041 NZHWGQSMXRQDIL-VLIAUNLRSA-N 406.844 4.760 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)NCc1nc(C)c(-c2ccc(Cl)cc2)o1 444905787 KUJRNAYRBYKVHG-UHFFFAOYSA-N 416.821 4.542 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(NC(=O)Nc2ccccc2)c1 445528383 NMHXSQHUQZHORM-UHFFFAOYSA-N 404.426 4.810 5 20 HJBD C[C@H](CCc1cccn1C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 460470364 VFMYSMYMJJWICG-MRXNPFEDSA-N 409.511 4.836 5 20 HJBD C=Cc1ccc(CCNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 462382256 YCDFJNAZZRVNJE-QGZVFWFLSA-N 416.481 4.778 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3[C@H]4CCCO[C@H]4C3(C)C)c([N+](=O)[O-])c2)cc1 462901367 UKSDQJYXWCFSAL-DUXKGJEZSA-N 409.486 4.771 5 20 HJBD CNc1c(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 462981339 OBKHEWWRPNOSAS-MRXNPFEDSA-N 421.501 4.587 5 20 HJBD CC[C@]1(C)CCCN(C(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)C1 464594807 VOVZMVYUGTUGTQ-XMMPIXPASA-N 423.513 4.544 5 20 HJBD COc1cc(C(=O)N2C[C@H]3CCC[C@H]3C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 465084263 KJSVBJPQJQOIQB-GASCZTMLSA-N 416.861 4.921 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1c(-c2ccccc2Cl)noc1C 466495932 GSPDISFOTTZHCP-UHFFFAOYSA-N 401.806 4.863 5 20 HJBD Cc1nc2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccc2n1Cc1ccsc1 472450471 SLZSWWJVMYGORQ-UHFFFAOYSA-N 420.494 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 475647453 RYLXEUGYXFANOE-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD CCC(=O)c1ccc(NCc2cc(Br)c(OC)c(OC)c2)c([N+](=O)[O-])c1 479968328 ROJPSIGOKMSZDS-UHFFFAOYSA-N 423.263 4.579 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1cccc(COCc2ccccc2)c1 480209931 BEEABWIMDFAEMB-UHFFFAOYSA-N 422.506 4.720 5 20 HJBD COc1cc(C(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)cc([N+](=O)[O-])c1C 480586493 UVYINRKIRGKICR-UHFFFAOYSA-N 405.621 4.830 5 20 HJBD O=C(Nc1nnc(CC(F)(F)F)s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 484944754 IXFNXHKCXOFDOI-UHFFFAOYSA-N 424.360 4.596 5 20 HJBD O=C(N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 485691436 XGXCBPDXTBAJTC-QWHCGFSZSA-N 409.305 4.560 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCCC[C@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 486856681 HYNGOZYKDZQEPE-IBGZPJMESA-N 411.458 4.839 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2F)nc1)c1ccc([N+](=O)[O-])c2cccnc12 487136010 JJAXDIKCFKLKFW-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 489476021 MRWKBSAHZFQKPW-UHFFFAOYSA-N 411.408 4.757 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 490254592 UMDFCJWQZPZPIS-UHFFFAOYSA-N 418.318 4.578 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1c(Cl)cccc1[N+](=O)[O-] 490277830 WPWFIZIEWWORLC-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD O=C(c1cc(-c2cccc(Cl)c2)on1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498158948 DOKPSZDGPHMUDU-IBGZPJMESA-N 415.858 4.791 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccco1 498468517 ZJTXZIBVWRYAOR-UHFFFAOYSA-N 413.861 4.796 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(Oc3ccccc3)nc2)c([N+](=O)[O-])c1 503587416 UEVREUMWWQAKNG-UHFFFAOYSA-N 406.442 4.532 5 20 HJBD COc1ccc(-c2noc([C@H](C)SCc3c(F)cc([N+](=O)[O-])cc3F)n2)cc1 507104557 RSVFTQWOWGRYTQ-JTQLQIEISA-N 407.398 4.926 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Br)cc2)cc1)c1cc([N+](=O)[O-])c[nH]1 512180427 OCLKNJUKVMTEDS-UHFFFAOYSA-N 402.204 4.730 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)Cc1nccn1CC(F)(F)F 513430344 QBJSGLMWODWXSH-UHFFFAOYSA-N 410.421 4.714 5 20 HJBD O=C(COc1ccc(Cl)cc1Cl)Nc1cc([N+](=O)[O-])ccc1OC(F)F 515096453 UTRQNJONNYRFBG-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccnc(OC4CCCC4)c3)o2)c([N+](=O)[O-])c1 518270243 YUSNKTPRIFUQQN-UHFFFAOYSA-N 423.469 4.870 5 20 HJBD CC(=O)Nc1ccc(CC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 522671013 PWEXLTMJCFMPID-UHFFFAOYSA-N 405.410 4.527 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522741313 LLEUURLFTFVATF-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccc(COC(C)(C)C)c1 523185378 FMYFMTUWQKULCI-OAHLLOKOSA-N 402.516 4.707 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cc(Br)ccc1Cl 523498021 SWFYOZXGIOSASQ-UHFFFAOYSA-N 411.683 4.763 5 20 HJBD CSc1ccc(C(=O)N2CCSC[C@@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 523848247 RCNPTGAAIBNWFP-MRXNPFEDSA-N 408.932 4.900 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 524338538 MSUCIOJZEYTWKH-XZOQPEGZSA-N 402.450 4.594 5 20 HJBD COCCN(C(=O)[C@@H]1CCC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 525529572 GZIYNDSYRJMGDX-GOEBONIOSA-N 403.504 4.524 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c3c2CCCC3)cc1 531019388 IJDDOIZXZONRDL-UHFFFAOYSA-N 404.470 4.837 5 20 HJBD COc1ccc(CNCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c(C)c1 532210288 SJKMZBMCFGIDBO-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD CCOc1ccccc1OCCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534058566 DVSCBCOWJQBDOZ-UHFFFAOYSA-N 400.456 4.961 5 20 HJBD COc1ccccc1COC1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 537675017 XPQWOUPEQOUFQR-UHFFFAOYSA-N 419.481 4.851 5 20 HJBD CC[C@H](N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539253888 IKDYLXYJEOUETG-HIFRSBDPSA-N 407.417 4.573 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1C 540543098 JLIOIBUVOWBPTH-OAHLLOKOSA-N 407.474 4.935 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(N3CCCC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 541548864 ILPOEYRFMOAXIQ-DEOSSOPVSA-N 419.481 4.756 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1Br)c1ccc([N+](=O)[O-])cc1F 543457632 PXVHLWWPTZPZST-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3coc(-c4cccs4)n3)no2)ccc1N1CCCC1 547010906 LMBSFSVUOOLVCX-UHFFFAOYSA-N 423.454 4.552 5 20 HJBD COc1ccc(OC)c(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C(C)(C)C)c1 549272548 FWTUBBIWOOTVRQ-UHFFFAOYSA-N 420.893 4.635 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCc2cccc(COCc3ccccc3)c2)n1 556063580 IWFHYHFKJHRJBP-GOTSBHOMSA-N 420.513 4.782 5 20 HJBD O=C(Nc1ccc(OCCCO)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 561337961 UNZLAMLBXAXXKW-UHFFFAOYSA-N 424.478 4.760 5 20 HJBD Cc1cc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)ccc1F 572563610 IDBHULNCOBILQF-AWEZNQCLSA-N 414.437 4.730 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H](COC(F)F)C1 579267066 ZUNHRGFSYXFDHC-CQSZACIVSA-N 414.474 4.578 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890302 YIBXOGKUWGZUEZ-SJLPKXTDSA-N 406.486 4.591 5 20 HJBD Cc1ccc(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)c([N+](=O)[O-])c1 603906856 PWYQCISGQCWXJR-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2[C@H]3CCCC[C@@H]3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 609835921 QZDHNTBIJAVTPQ-WOYCLPQFSA-N 408.502 4.956 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCCc3ccccc3)nc2)c1 609907800 HMAPIHVGZJFYQD-UHFFFAOYSA-N 409.467 4.586 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609927743 KIFLVZSWGSSSLA-YPMHNXCESA-N 409.364 4.745 5 20 HJBD CN(Cc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1)Cc1cscn1 610045019 ODGNLYUXPXUSFS-UHFFFAOYSA-N 424.526 4.600 5 20 HJBD COc1cc(C(=O)OCc2csc(-c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 725947152 ZHIGRAYCJIASBI-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCSc1ccc([C@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1 732037401 RCKXQVUAXVYXNV-NSHDSACASA-N 416.471 4.876 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)/C=C\c3ccc([N+](=O)[O-])cc3)C2)nc2ccccc21 733958986 RTQPUAIMCJAJHF-RNUYOQPASA-N 418.497 4.945 5 20 HJBD O=C1OCCN1Cc1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 734158233 UMCPWHAFSLIPIQ-UHFFFAOYSA-N 409.467 4.888 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 737491866 RTUJEJRUBLNXJM-UHFFFAOYSA-N 403.797 4.623 5 20 HJBD CC(C)c1ccc(C(=O)[C@H](C)OC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 744844436 CMPKVYRURYCNMV-HNNXBMFYSA-N 421.453 4.547 5 20 HJBD Cc1cc2cc(C(=O)O[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)oc2cc1C 745581552 YUEKLIPLEFLNLK-GFCCVEGCSA-N 416.817 4.795 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H](C)c2ccc(C)o2)c2ccccc2)c([N+](=O)[O-])c1 745665198 RABYIVPJTVUAGV-KKSFZXQISA-N 422.437 4.831 5 20 HJBD COCCN(Cc1cc(F)ccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 746156519 IXNMFKNXRSPQJA-CYBMUJFWSA-N 400.372 4.962 5 20 HJBD CC(C)Cc1ccc(CCC(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 751845531 FAXCZFSESKGOLT-UHFFFAOYSA-N 409.442 4.519 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2ccccc2c1 753525127 PUUCOXPPUNUORD-CQSZACIVSA-N 403.394 4.645 5 20 HJBD C[C@@H](C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1ccc([N+](=O)[O-])cc1F 754104029 MQLYJETZWILBPA-LLVKDONJSA-N 421.400 4.962 5 20 HJBD C[C@H](C(=O)Oc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 754138118 ZUCPENDZLYCVCA-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2c(C)nn(CC(C)C)c2Cl)ccc1[N+](=O)[O-] 760071060 KYEGVRZHAKOGCP-KRWDZBQOSA-N 404.898 4.695 5 20 HJBD O=C(CCOc1ccc(F)cc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760494977 PCYAAMBZEHBDIH-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H](C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 761270486 HQSZLRPHJUZJKC-APPDUMDISA-N 409.364 4.661 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 769925309 MJIGVFOMKLDPLH-CYBMUJFWSA-N 414.849 4.714 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 774569962 QVWKOPBGCHIZTC-GFCCVEGCSA-N 415.339 4.912 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)OCc1ccc([N+](=O)[O-])c(F)c1 782652786 QWOUNDIHNFNPIQ-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)Nc2ccc(Br)cc2)C2CC2)c1 783747311 HRUALNTWHCTOAN-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786345578 QRTMJYDBWNZAFY-JVPBZIDWSA-N 402.447 4.667 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1 790464607 ARPRHCSPJJTQMC-VIFPVBQESA-N 415.646 4.570 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(F)c(Br)cc2Cl)c1F 791055425 JNXGJXJPIJEDHU-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)ccc1Cl 795384132 SWSQGPBMEIKEHT-UHFFFAOYSA-N 419.818 4.596 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(Cc1ccccc1)C[C@@H](O)c1ccccc1 804414708 GKYJBBWJWDGXFH-HXUWFJFHSA-N 410.857 4.624 5 20 HJBD C[C@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])n1ccc2ccccc2c1=O 811085781 BFQYMGIZCWVGEY-LLVKDONJSA-N 421.236 4.521 5 20 HJBD O=C(/C=C\SCc1ccco1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218238 RUAOVPWHADPDIK-VURMDHGXSA-N 402.453 4.802 5 20 HJBD COc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])c(-c4ccccc4)n3)CC2)cc1 812480868 SHLYLPWJHKTHTA-DEOSSOPVSA-N 419.481 4.615 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H]1CCN(Cc2ccccc2)C1 813153407 UPNWLKHZVZRTAG-UKRRQHHQSA-N 422.312 4.542 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccn(-c2cccc(C(F)(F)F)c2)n1 813243949 GTNFZDDHJZZDMR-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC(C)c1n[nH]c(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 813899584 GPVRNZYIKIGNHP-UHFFFAOYSA-N 416.821 4.639 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(N2CCCOC2=O)cc1 814263446 MIVMUKBHOWGKSU-GFCCVEGCSA-N 407.373 4.716 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815406715 RWGMDAQIHLPPGQ-NSHDSACASA-N 411.241 4.702 5 20 HJBD CC(C)c1noc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)n1 914889507 NXSMETJMMCPUOX-AWEZNQCLSA-N 410.430 4.631 5 20 HJBD C[C@H](Oc1cccc(Cl)c1Cl)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 915295292 QBSLDSPOPAKZNM-JTQLQIEISA-N 417.676 4.981 5 20 HJBD CC(C)(C)c1ccc(C(=O)OCc2nnc(-c3ccccc3Cl)o2)cc1[N+](=O)[O-] 917348651 QGZCJVIKRLOUKD-UHFFFAOYSA-N 415.833 4.953 5 20 HJBD C[C@@H](OC(=O)c1cc2c(Cl)c(Cl)ccc2[nH]1)C(=O)Nc1ccccc1[N+](=O)[O-] 919204439 HLXHGARQMFDXQV-SECBINFHSA-N 422.224 4.567 5 20 HJBD CC(C)(C)c1ccc(N2CCC(Nc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)CC2)cc1 919722716 JLPIHPGXZOKWDX-UHFFFAOYSA-N 422.529 4.858 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CSc2ccc(C(F)(F)F)cn2)n1 1116591431 YRTBYBQPMLLXME-UHFFFAOYSA-N 410.377 4.968 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nnc(CSc3ccc(Cl)cc3)o2)c1 1322389038 LKKXSAGFAULHNC-UHFFFAOYSA-N 400.847 4.927 5 20 HJBD CCOc1ccc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)o2)cc1OC 1324029977 UFRBTFPCYYJRPE-UHFFFAOYSA-N 424.457 4.709 5 20 HJBD CCOc1cccc2cc([C@@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 42637557 GREBBRVPXPVMDA-CABCVRRESA-N 411.458 4.726 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 45537167 LDIGUJSYAKUBCM-FOIQADDNSA-N 400.500 4.838 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)c1ccc([N+](=O)[O-])cc1 59584216 WTYUXRCGKHTTTL-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD COc1ccc(CCCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1 61167929 SBIRIROTTUEDMB-UHFFFAOYSA-N 411.483 4.997 5 20 HJBD Cc1cc(NC(=O)c2cc3ccccc3c(Cl)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 106203966 PQDHZZRPFAOIEU-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CC(C)N(C)c1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1F 108719561 IBMWVUMAACIRIZ-LBPRGKRZSA-N 408.861 4.671 5 20 HJBD CCCCC1CCC(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])CC1 409492070 SPPWPMQZVFRXHA-UHFFFAOYSA-N 400.422 4.684 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431402687 KOCLWDAODCKIRL-UHFFFAOYSA-N 414.531 4.513 5 20 HJBD C[C@@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 433931470 NPHFHCDITIRYCL-AWEZNQCLSA-N 410.451 4.730 5 20 HJBD CC[C@H](NC(=O)N[C@@H](C)c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 436203396 ITMFJGATRYKRPM-GWCFXTLKSA-N 412.309 4.930 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437285938 LFGIOEOUIBMDKB-UHFFFAOYSA-N 415.755 4.868 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(C)c(Oc3cc(C)ccn3)c2)cc1[N+](=O)[O-] 438673428 MZHWOVCQSZCIJL-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 447389780 ATSJYEROXSHMKE-CQSZACIVSA-N 414.512 4.850 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(Cl)cc1 462927035 GHRITMUZHBZJFH-INIZCTEOSA-N 408.792 4.785 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C(C)(C)C)sc3c2)c([N+](=O)[O-])cc1OC 464186649 XMBHZXSBCLSSIF-UHFFFAOYSA-N 415.471 4.772 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2)cc1 466221896 GZONLJJVADNCPS-UHFFFAOYSA-N 405.454 4.612 5 20 HJBD C[C@H](O)CC(C)(C)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467758196 NJIQQQACEYBNAC-ZDUSSCGKSA-N 422.934 4.926 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1Br 467939438 RXWJKERHGKZIFB-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N(Cc1csc(Br)c1)C1CC1 470127294 BLLKBOUDTZMVJU-UHFFFAOYSA-N 414.256 4.754 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)cc1[N+](=O)[O-] 475060214 LRWCFFZQQABLOF-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 475739131 MRASKWGASUPAQJ-ZIAGYGMSSA-N 400.866 4.922 5 20 HJBD CCC(=O)c1ccc(NCc2ccc(Oc3cccc(OC)c3)nc2)c([N+](=O)[O-])c1 479364305 IAESIRXNNYZUIK-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD CCC(=O)c1ccc(N[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)c([N+](=O)[O-])c1 480081500 FZRVZINKFSTGQO-INIZCTEOSA-N 424.501 4.617 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 481357265 DGDGKBFNXGHUGF-SFHVURJKSA-N 407.829 4.610 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1C(C)C 482735878 TXCBXZYJVSTDMN-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OCC 485750465 IMTQXUDQAAWZOD-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Oc2ccc(C)cc2)CC1 486848047 YZPGNTIVQURYKZ-UHFFFAOYSA-N 400.500 4.699 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC1CCCC1 489453995 BETPXFFILHTQHO-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc([C@@H]4C[C@H]4C)[nH]n3)cc2[N+](=O)[O-])n1 490239154 DUKSRKHFYURGDZ-BXKDBHETSA-N 415.500 4.610 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)C1CCC(F)(F)CC1 493104012 HUEGAWRKXJUJIT-UHFFFAOYSA-N 406.379 4.609 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@H](C)c3ccco3)cc2[N+](=O)[O-])n1 499414396 BETGBUJYXOYIQV-GFCCVEGCSA-N 403.485 4.937 5 20 HJBD O=C(NCC1(CCOCc2ccccc2)CC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 500705795 PYACYAQETBTZOM-UHFFFAOYSA-N 422.403 4.731 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 503677784 BJDMLIKQSDJBRL-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3c[nH]c4ncccc34)c(C)s2)cc1[N+](=O)[O-] 506174876 ODPTZLWWPGQSRN-UHFFFAOYSA-N 422.470 4.587 5 20 HJBD Cc1c(I)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1C(C)C 509031187 DVRUBPAGZDFCPU-UHFFFAOYSA-N 424.238 4.884 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2cc(Br)cc(C)c2o1 512940859 RIIWSHIWQUETIZ-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 513014879 NZFFURXESYNXQT-AWEZNQCLSA-N 423.391 4.693 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H](c1ccccc1)C(F)(F)F 515492822 PTLZUPZPZQPUPE-QFIPXVFZSA-N 410.395 4.575 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@@H]3c3cccc(F)c3)cc2)c(C)c1[N+](=O)[O-] 515999304 YZCQAJUEAQRAPJ-OAQYLSRUSA-N 422.460 4.573 5 20 HJBD O=C1CCc2cc(C(=O)COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])c(F)cc2N1 516344140 CMLCYNPNWZQVIM-UHFFFAOYSA-N 420.396 4.547 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)cc1[N+](=O)[O-] 516526157 HXMYALVDSRLRLE-UHFFFAOYSA-N 400.485 4.805 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 520310913 RMCILJMFCHRLET-QFIPXVFZSA-N 413.433 4.562 5 20 HJBD CCc1nc([C@@H](C)NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cs1 521080362 SQNHNZAKACAUIQ-QLFBSQMISA-N 416.547 4.587 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1nc(-c2cc(F)ccc2F)cs1 521547312 GEMSGIXBIFOZAJ-UHFFFAOYSA-N 414.393 4.590 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)ccc21 522334910 SMIHCQIGZVRTMB-UHFFFAOYSA-N 406.364 4.821 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)Nc3cc4ncnc(O)c4cc3[N+](=O)[O-])cc2)cs1 530717750 JYLITHXORYSJBU-LLVKDONJSA-N 407.455 4.849 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cc1OCC(F)(F)F 531501883 FCTVSQBTCCRLMU-UHFFFAOYSA-N 402.756 4.761 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(OC)c(Cl)c3)o2)c([N+](=O)[O-])c1 531698047 HLOHVMOKQXWUKU-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2ccsc12 534242043 XBDOWXLKADLCPK-UHFFFAOYSA-N 416.480 4.717 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@@H]1c1ccccc1 537135417 YYANDMHDSGDAKF-DYESRHJHSA-N 414.527 4.993 5 20 HJBD Cc1cccc(C)c1OC[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)(C)C 538321849 CKHSXPJEFDBVQK-LJQANCHMSA-N 424.501 4.845 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cccc(CN3CCSCC3)c2)cc1[N+](=O)[O-] 538586970 IJVSFXRIZJNOEF-MRXNPFEDSA-N 401.532 4.715 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](CC)c2cccc([N+](=O)[O-])c2)c1 538627578 KOKSHGPTVIRWLK-IERDGZPVSA-N 419.547 4.766 5 20 HJBD C[C@H](N[C@@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 540191405 MVTIOHPGTGKXOE-RYUDHWBXSA-N 407.264 4.540 5 20 HJBD Cc1csc([C@H](C)CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 541647310 RYIOJDZCPNGENR-CQSZACIVSA-N 410.499 4.505 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1 542208783 BMDRFSFTUFXOMZ-HSZRJFAPSA-N 415.449 4.799 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)Nc4ccc([N+](=O)[O-])cc4Cl)C3)[nH]c2c1 544622163 UGGGQQADWJZPOF-ZDUSSCGKSA-N 413.865 4.844 5 20 HJBD C[C@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1ccccc1F 545000368 VVKBCVIQIWIVDE-JTQLQIEISA-N 406.211 4.622 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3cccc4cccnc34)cc2[N+](=O)[O-])C1 545243905 IGTHZHLBAZHMBJ-IRXDYDNUSA-N 418.497 4.902 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4ccccc4CN4CCCCC4)no3)c2c1 545697693 ZFEKXCJAEYVZDK-UHFFFAOYSA-N 417.469 4.703 5 20 HJBD NC(=O)c1ccnc(NCc2ccc(Oc3cccc4ccccc34)cc2)c1[N+](=O)[O-] 574009283 IQMQYUHVGYSJCS-UHFFFAOYSA-N 414.421 4.646 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc2ccccc2cc1Cl 575169832 QICNFUZKNPKHMY-UHFFFAOYSA-N 409.751 4.745 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC([C@H](F)c3ccccc3F)CC2)c1 586868117 KNQMXTAHJXITBC-FQEVSTJZSA-N 404.413 4.696 5 20 HJBD COc1ccc(CN[C@H]2c3ccccc3O[C@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 588933996 DXUAIVOPQMDYRN-UPCLLVRISA-N 420.465 4.794 5 20 HJBD CCCc1ccc([C@@H](NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(C)C)cc1 603705655 XUKCELCSRPPTOG-IBGZPJMESA-N 417.893 4.653 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCc2cccnc2)cc1 603741460 FBFIUJBEVOCRNP-HNNXBMFYSA-N 417.421 4.806 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCc2c(Br)cccc21 609022579 HPHCVHGLAKKBGS-DIFFPNOSSA-N 421.316 4.642 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnc(-c2ncccn2)s1 609755670 OLJLXPZCXWEYFA-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD Cc1nn(C(F)F)c(C)c1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762957 VADOSPSRDSAZEB-UHFFFAOYSA-N 416.384 4.777 5 20 HJBD O=C(Nc1ccc(C(=O)NC2CCCCC2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609856302 AIOHHSLGGAFMKQ-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609909811 WZQAGWIIXFZERE-CJNGLKHVSA-N 411.458 4.876 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2ccccc2[N+](=O)[O-])c1)c1ccccc1 609978712 JJCIRURHLUHCFF-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)cn2)cc1 610186074 BAISQYZQRUZUBD-UHFFFAOYSA-N 411.439 4.522 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3nn(C)c4ccccc34)c([N+](=O)[O-])c2)cc1 612667034 UWUREAJVNQGIOL-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD CCN(Cc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 731470957 JGAWKVAREKRPHO-UHFFFAOYSA-N 417.437 4.743 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C 734730442 TXEKKXCXMKWVBH-LLVKDONJSA-N 405.863 4.937 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 734909161 ILKKWEIXVHQEQK-UONOGXRCSA-N 404.850 4.621 5 20 HJBD O=C(Nc1ccccc1)c1cccc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 736874044 FONKZOYXOMNBQF-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD COC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Cl 744480869 GQQHSXYAYSBYNB-CQSZACIVSA-N 418.616 4.626 5 20 HJBD C[C@H](OC(=O)c1c[nH]cc1-c1ccc(F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745268730 QRQNODXZDVKJRN-LBPRGKRZSA-N 422.372 4.697 5 20 HJBD CC(C)C[C@@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 752228401 BYYSQMRBSURTFF-CYBMUJFWSA-N 403.866 4.665 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)c1cccc([N+](=O)[O-])c1Br 759335689 CSUBEQWRVIYBFA-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131268 FQLSYEKAOVPDBR-HXUWFJFHSA-N 421.434 4.582 5 20 HJBD Cc1cc(Br)oc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131623 FOAONCRSNUYHFN-KRWDZBQOSA-N 417.215 4.600 5 20 HJBD Cc1oc2cc(OC[C@@H](O)c3ccc([N+](=O)[O-])cc3)ccc2c(=O)c1-c1ccccc1 776279857 ZMXKJABEZQQULL-OAQYLSRUSA-N 417.417 4.789 5 20 HJBD Cc1c(C(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)cccc1[N+](=O)[O-] 779464313 LGWDBYPLXMNXKA-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1cccc([N+](=O)[O-])c1Br 781677771 JQPZHLZFVMVDMK-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cccc1[N+](=O)[O-] 782850479 YQXLGFAETUAPBQ-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD CCCN(Cc1ccc([N+](=O)[O-])c(Cl)c1)Cc1nc(O)c2ccc(Cl)cc2n1 783508523 YAAYUSNKOWYFLK-UHFFFAOYSA-N 421.284 4.963 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784221730 GDBOXZYFIXEDQW-CQSZACIVSA-N 421.375 4.564 5 20 HJBD COc1cc(C(=O)Oc2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC(F)F 786015748 XNYXFDZNLIOZOR-UHFFFAOYSA-N 408.140 4.731 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccc2C(=O)N(C)C2CCCCC2)c1F 790298362 ZWUZHCNIRASMLW-UHFFFAOYSA-N 413.449 4.699 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 804524915 HDDQXHATKYHRKV-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809919210 WMCNZZPWDHQJDV-GFCCVEGCSA-N 409.895 4.869 5 20 HJBD COc1cc(F)c(F)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 811605998 UBHFLPYJYZHZSE-UHFFFAOYSA-N 421.581 4.550 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(Cl)ncc1Br 813007928 RHIXZCJHJWJHEE-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=C1/C(=C/c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC(=S)N1C1CCCCC1 912778935 DCUCBNMFCIVIEH-ATVHPVEESA-N 413.524 4.714 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)cc([N+](=O)[O-])c1 920902542 JDHFBCWRZUUVSK-LLVKDONJSA-N 421.331 4.890 5 20 HJBD O=C(Nc1cccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c1)C1CCCCC1 1117215240 WOVYTWPIZFAMEY-UHFFFAOYSA-N 422.441 4.743 5 20 HJBD CCCOc1ccc(-c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)cc1OC 1117245453 BCWGRYJNYHSPCW-UHFFFAOYSA-N 401.444 4.831 5 20 HJBD Cc1ccc(C(=O)c2ccccc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1C 1322110608 JPQMVWLYJJCZCK-UHFFFAOYSA-N 400.434 4.599 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnn(C2CCCCC2)c1 1324290591 DSGCXPSVPIZJKT-UHFFFAOYSA-N 412.471 4.777 5 20 HJBD O=C(c1cccs1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 1325051926 QUHRQWKNNHLBJU-UHFFFAOYSA-N 411.458 4.957 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 1327805758 CLGRGYGQBMFHHH-ZDUSSCGKSA-N 409.373 4.989 5 20 HJBD C[C@H](Cc1ccccc1)n1cccc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1331874029 ITUQFTIFAMDONY-MRXNPFEDSA-N 404.426 4.829 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCC(=O)c1ccc2ccccc2c1 11276703 FMVUZSOKEWLQJX-UHFFFAOYSA-N 421.452 4.734 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccccc1Br 14729718 VYXRCVJBELFLAW-UHFFFAOYSA-N 408.251 4.523 5 20 HJBD COc1ccc(CNc2nnc(Sc3ccc([N+](=O)[O-])cc3Cl)s2)cc1 15119056 IGQSQEFCTYSZQF-UHFFFAOYSA-N 408.892 4.872 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048768 IUMXHQQXJOFVDL-LSDHHAIUSA-N 416.499 4.769 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1Cl 55688929 JTHKTVUGPCWGSK-UHFFFAOYSA-N 407.829 4.558 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(NC(=O)c2ccccc2)c1C 55884923 LWCIWGNWQXYBJG-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1csc(Br)c1 57310997 ALHHYIYZRBHLKV-JTQLQIEISA-N 415.334 4.558 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58233673 UQQSCLYPEJMMGD-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)Cc2ccccc2F)c1 61001720 UVTZVPHFJCEGIT-INIZCTEOSA-N 407.445 4.766 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc(Br)cc3[N+](=O)[O-])o2)cc1 110459656 RQPWSMTYMOOYLY-UHFFFAOYSA-N 408.233 4.567 5 20 HJBD O=[N+]([O-])c1ccccc1CNCc1ccc(OC(F)(F)F)c(Br)c1 392234966 SYTDUPWXFWYGHV-UHFFFAOYSA-N 405.170 4.546 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccco1 426783170 IYYBQVHYGRLURQ-QFIPXVFZSA-N 423.469 4.906 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 427460557 WIZFYKHOSOIPLP-UHFFFAOYSA-N 406.442 4.847 5 20 HJBD Cc1cc(OCC(=O)N[C@@H](C)c2sc(-c3ccccc3)nc2C)ccc1[N+](=O)[O-] 430404705 WXHRKCUCJFNGLH-AWEZNQCLSA-N 411.483 4.591 5 20 HJBD Cc1c(NC(=O)c2cnn(C(C)C)c2C2CC2)cc(Br)cc1[N+](=O)[O-] 431181211 LJKZITKUGQQTMF-UHFFFAOYSA-N 407.268 4.573 5 20 HJBD CCc1ccnc(CN[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)c1 435787991 BTPQLLYJBMJFQV-NRFANRHFSA-N 424.888 4.675 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435824759 FAWGQNQSNHAQAD-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436107158 VQUMUUDZOGDWPZ-UHFFFAOYSA-N 413.477 4.837 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2C)c1 437077533 LTMUOZYAMDAYPG-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD O=C(c1cc2cc(Br)ccc2[nH]1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437458310 IFHOQXMGQQKYAZ-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD O=C(c1ccc(Sc2ccccn2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437464707 CTDFPCOBGFKQDN-UHFFFAOYSA-N 405.479 4.946 5 20 HJBD Cc1cc(CN(C)C(=O)Cc2cccc([N+](=O)[O-])c2C)cc(C)c1OCC(F)(F)F 438902457 SDCWYQQPDVOENY-UHFFFAOYSA-N 424.419 4.662 5 20 HJBD Cc1ncsc1CN(C)C[C@@H]1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 439174276 YHTHDDIGTSVDHV-LBPRGKRZSA-N 415.346 4.625 5 20 HJBD CCO[C@@H]1C[C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444086553 XNHBBKHKORNZGY-FCHUYYIVSA-N 404.510 4.539 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccc(F)c(C)c2)c2ccccc2Cl)c1[N+](=O)[O-] 444256320 KJFXSIVJESRQHW-KRWDZBQOSA-N 416.840 4.501 5 20 HJBD CCC1(CC)CN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)CCS1 444329736 WIZDYPNUCCUWHG-UHFFFAOYSA-N 416.493 4.707 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 446260192 KJBPIXCGBCOVDS-ROUUACIJSA-N 424.284 4.640 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 460681654 XKOXSBGGWQJNIE-UHFFFAOYSA-N 411.502 4.610 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](C)C[C@H]1c1cccc(F)c1 461309752 WHSWELAXAIWTJM-IGCXYCKISA-N 406.841 4.764 5 20 HJBD Cn1c(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c(Cl)c2ccccc21 461718133 WLCSVLOHAGTMMV-LJQANCHMSA-N 401.875 4.628 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1cnn(-c2ccc(F)cc2)c1C 462425106 AFQBZCMJSHFICK-CRAIPNDOSA-N 424.476 4.674 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@@H](C)[C@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 464520745 NZDCGRSYIBLBSD-DGGJZMOXSA-N 416.481 4.996 5 20 HJBD O=C(Nc1ccccc1)c1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1Cl 466281988 XWJJVIWXUIZVDL-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(CCC(F)(F)F)CC(F)(F)F 468521639 GMORFZAPPXMXIQ-UHFFFAOYSA-N 400.300 4.766 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)o1 469194474 NPPUFOXLFVUZQD-UHFFFAOYSA-N 419.393 4.576 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@@H](c2c(F)cccc2F)C1 469376676 HJYNCHVPQLHQMN-CYBMUJFWSA-N 415.421 4.626 5 20 HJBD CC[C@H](O)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 473529808 HSPMOXDDMPUPNC-HNNXBMFYSA-N 408.907 4.680 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc(F)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 473590385 VXENMNXRONSKEN-INIZCTEOSA-N 402.422 4.667 5 20 HJBD Cc1cc(NC(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)ccc1[N+](=O)[O-] 473782716 QWCSVTMIMIJZER-CQSZACIVSA-N 400.504 4.650 5 20 HJBD COc1c(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 475530675 LJSRIBBMLIDAAY-LJQANCHMSA-N 424.432 4.500 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc3sc(N4CCCC4)nc3s2)cc1[N+](=O)[O-] 476240169 VNQUWKQKNHSPTL-LBPRGKRZSA-N 416.528 4.666 5 20 HJBD COc1c(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cccc1[N+](=O)[O-] 476611744 OLWYTMBJPDZFJD-CQSZACIVSA-N 422.281 4.656 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1ccc(Br)cc1[N+](=O)[O-] 476945469 ZSZUNFKBILYDMI-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1ccc(NC(=O)c2cnn(-c3ccccc3Cl)c2C(C)C)cc1[N+](=O)[O-] 480562588 ZPWODLFTQPFOFL-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1ccc2ccccc2c1-c1cc(CN2CCc3ccc([N+](=O)[O-])cc3C2)on1 481061061 QZGJIMFXIMHYLQ-UHFFFAOYSA-N 415.449 4.970 5 20 HJBD CC(C)(C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 494548263 YFELPEPSQSJXDV-CQSZACIVSA-N 418.877 4.580 5 20 HJBD CCn1c(SCC(=O)c2ccc([N+](=O)[O-])cc2)nnc1-c1cccc(Cl)c1 502540034 GZJFCWTUAIDYEC-UHFFFAOYSA-N 402.863 4.502 5 20 HJBD Cc1[nH]nc(C(=O)Nc2nc(-c3ccc4c(c3)Cc3ccccc3-4)cs2)c1[N+](=O)[O-] 504043647 RQOLVIBRPXUMHO-UHFFFAOYSA-N 417.450 4.573 5 20 HJBD CC[C@@H](C)n1c(SCC(=O)Nc2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1 504312757 BHZYRIUDAZZEJY-CQSZACIVSA-N 411.487 4.555 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(C3CC3)n2Cc2ccccc2)c(F)c1 505434129 VGTIWXJAQBBHLK-UHFFFAOYSA-N 402.426 4.683 5 20 HJBD CCc1cc(=N[C@@H](c2ccccc2)c2nccs2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 505609000 HZIJIZIVLMKBDU-FQEVSTJZSA-N 417.494 4.694 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 509973207 VTDDHIALQGTJQX-UHFFFAOYSA-N 422.828 4.809 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@H]1c1cccc2ccccc12 510083260 GHRQVTQRVHKYBO-QHCPKHFHSA-N 412.449 4.911 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 513129138 VYSMKJPYVKEYES-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)Cc1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 513682905 CIUYYYGMYIXCOG-HXUWFJFHSA-N 412.921 4.930 5 20 HJBD O=C(Nc1cccc2nc(O)oc12)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 517002423 LQXWUTVZZSXCQR-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD Cc1cc(C(=O)CSc2ncccc2[N+](=O)[O-])c(C)n1CCc1cccs1 518525607 XCFLQVGNCUAQNQ-UHFFFAOYSA-N 401.513 4.687 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1cccc(OCc2cccs2)c1 518816011 HRBVDSMUDLFKSL-UHFFFAOYSA-N 420.450 4.673 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 519120749 SFUXSCFAETVMKF-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)C2CCCCC2)c1 519990548 JWXPCYLYEHCCQC-UHFFFAOYSA-N 410.474 4.744 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)ccc21 521924965 UYYWQCIHHMKLMC-UHFFFAOYSA-N 404.426 4.712 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 524543443 HIJLLTRNROJORM-SSEXGKCCSA-N 420.446 4.901 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COc1ccc(OCc2ccccc2)cc1 530779825 HIBJIQNSEVHENA-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN[C@H]2CCOC3(CCC3)C2)cc1 531759850 UWSWHKAWKJQTTH-FQEVSTJZSA-N 418.497 4.639 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC(c4ccccc4C(F)(F)F)CC3)c1)OCOC2 531888787 ALBKNONCZRVHOY-UHFFFAOYSA-N 422.403 4.860 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](Cc1ccccc1)c1cccs1 534676375 CXQZWKUNYHTPMY-HXUWFJFHSA-N 405.479 4.780 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(Cl)c3c(c2)OCCCO3)cc1[N+](=O)[O-] 539075045 REFREIABIHFIGR-ZDUSSCGKSA-N 406.866 4.659 5 20 HJBD Cc1c(NC(=O)CCN[C@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 541594077 OGIZXWCZGVHWMD-LJQANCHMSA-N 409.511 4.867 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1C(=O)c1ccc[nH]1)c1ccc([N+](=O)[O-])cc1F 541594922 JYCAJNRPXMOMSL-NSHDSACASA-N 415.808 4.689 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](c2nc3ccccc3s2)C1 542713425 DOLMZGIMMSUNGS-GFCCVEGCSA-N 400.435 4.755 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Cc2ccncc2)cc1 543122703 RJPWMRRFNBDEIK-UHFFFAOYSA-N 413.886 4.965 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccccc4C(F)(F)F)no3)c2c1 545532235 YDXOTOLXEVLCMK-UHFFFAOYSA-N 402.288 4.584 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(/C=C/c4nc5ccccc5s4)n3)c2c1 545780235 AJDMHKCJWSBYPK-BQYQJAHWSA-N 403.423 4.830 5 20 HJBD COc1ccc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1-c1ccccn1 547192112 RWGAUAGIEQUHMI-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD COc1cccc(C2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 547751069 VJZOVLPSEZLYMI-UHFFFAOYSA-N 407.470 4.681 5 20 HJBD Cc1sc(NC(=O)c2ncsc2C(F)(F)F)nc1-c1cccc([N+](=O)[O-])c1 548951133 YVJPIHFEWHRFEU-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD O=C(N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1ccc([N+](=O)[O-])s1 552418564 FPXNLARJOFUQID-MRXNPFEDSA-N 414.405 4.573 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1cc2cc([N+](=O)[O-])ccc2o1 553153778 IOPNARCYCHIJEN-UHFFFAOYSA-N 412.199 4.904 5 20 HJBD CN(C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@@H]1CCCOC1 565321161 JCLZFMKFZRBQPY-CQSZACIVSA-N 406.891 4.650 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1C1CC1 571356208 PAEKRZCHLQUJTF-UHFFFAOYSA-N 411.487 4.701 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(-n2cccn2)c1 574235529 JGQBWASLUVQKTL-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cnc(-c2ccccc2Cl)s1 586825048 GTFZGYGLBBNOCY-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD COc1cc(CN[C@H](C2CC2)C(F)(F)F)ccc1OCc1ccc([N+](=O)[O-])cc1 588932579 MQFDMWWZHQSTOL-LJQANCHMSA-N 410.392 4.613 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CCC(C)C)C3CCCC3)cc2)c(C)c1[N+](=O)[O-] 603531126 XZLHSNOQDOZZEY-UHFFFAOYSA-N 412.534 4.887 5 20 HJBD COc1cccc(C2(CNC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CCCC2)c1 609376232 BZYBFHRICVNTHY-MRXNPFEDSA-N 414.527 4.712 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cc(C)ccc3c2Cl)cc([N+](=O)[O-])c1 609884496 FUUMPRBCYGCIAJ-UHFFFAOYSA-N 404.831 4.810 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 609983175 ZETCMBDDVWDGIX-CYBMUJFWSA-N 405.520 4.699 5 20 HJBD CCOC(=O)CCc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 610097123 MUZSYOUBQYPKDI-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c(N2CCCC2)n1 611585506 VRKRWRCCMWDZNH-UHFFFAOYSA-N 408.527 4.917 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)c12 727710539 XNVMWMOMJDPSDU-UHFFFAOYSA-N 421.844 4.758 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1cc([N+](=O)[O-])ccc1Cl 732578980 ZHYNEFJBDJVROI-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD CCN(CC)[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccc(C(F)(F)F)c1 733874482 UUILDFCTPALCEF-KRWDZBQOSA-N 413.371 4.774 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1(c2ccccc2Cl)CCOCC1 734895007 CFHDGSHYXWZSNQ-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD CCN(Cc1cccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 742045587 CYVUILBGMSKGAV-UHFFFAOYSA-N 413.474 4.913 5 20 HJBD C[C@H]1C[C@H]1C(=O)Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744284044 MYNPABPTWCLYDG-JOYOIKCWSA-N 409.225 4.715 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 746537846 GCBKNSLZZRQAOA-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1cc([N+](=O)[O-])ccc1Cl 747990092 RQIDGUIJZMQASM-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccccc1Cl 754640596 MIPQKDNDODZMQF-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)C(C)C)c1C 754998953 LJPVWHOQWBXGQI-GOSISDBHSA-N 422.840 4.824 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755028028 IUUZDTOHEUJGNO-OAHLLOKOSA-N 420.263 4.735 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OC2C[C@@H](C)O[C@H](C)C2)cc1 756044004 DRJXIPCBNGDLLE-ZIAGYGMSSA-N 401.415 4.509 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(C(F)(F)F)cc1 756353233 ZLSFVIOWDXLNFP-SFHVURJKSA-N 420.343 4.789 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(C(=O)N(C)C3CCCCC3)cc2)c1[N+](=O)[O-] 758447148 YGAHVNWDSRVZOU-UHFFFAOYSA-N 413.449 4.699 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@H](OC1CCOCC1)c1ccccc1 761528562 WZCRXOQIGVALFQ-VFNWGFHPSA-N 404.894 4.663 5 20 HJBD C[C@H](C(=O)OCC(=O)Nc1ccccc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1 763410161 WBBNHOJBZWEKTR-INIZCTEOSA-N 420.421 4.673 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3ccc(F)cc3)n2Cc2ccco2)o1 768412780 RJNDVDFHMKFXRQ-PKNBQFBNSA-N 412.402 4.990 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(N3CCCC3=O)c(Cl)c2)cc1[N+](=O)[O-] 776806960 QMFBTBVICUBXNP-CYBMUJFWSA-N 403.866 4.947 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccc2c(c1)CC(=O)N2 780337079 VSCKXTIHANNWDZ-GFCCVEGCSA-N 400.456 4.510 5 20 HJBD CCOC(=O)/C(=C\c1cc(C(F)(F)F)ccc1Cl)c1ccc([N+](=O)[O-])cn1 794947853 APOMDQRZSFKIAQ-JYRVWZFOSA-N 400.740 4.766 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cc1F 801520880 AMQYXFSYNKBURH-OAHLLOKOSA-N 416.840 4.817 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C(=O)Nc2ccccc2Cl)C(C)C)c1F 803457406 IEEINVKRFFHHAE-KRWDZBQOSA-N 408.813 4.516 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 804197212 MVWHGWMRWZMPMX-CYBMUJFWSA-N 423.347 4.542 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H](c3cncc(Br)c3)C3CC3)sc2c1 804711475 LZZNMOSOBFUQLJ-OAHLLOKOSA-N 405.277 4.925 5 20 HJBD CC(C)(C)c1ccc(CCC(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 809460201 TURRGYUZVUQFKC-UHFFFAOYSA-N 409.442 4.618 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@H](c2ccc(F)cc2Cl)C1 809917292 IEXFGXPDNPPZDZ-HNNXBMFYSA-N 414.220 4.646 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 809920674 ZILVBVPILMAFIO-HTQZYQBOSA-N 419.709 4.843 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 812307905 AWTSPSCQPWLYEP-HXUWFJFHSA-N 412.392 4.595 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NCc2cc([N+](=O)[O-])ccc2Cl)CC12CCC2 813785111 HZPXCSSDWCLSSD-HNNXBMFYSA-N 409.914 4.660 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)n1ccccc1=NC1CCCCC1 836796397 BLYCHFDYXCCBGI-UHFFFAOYSA-N 411.505 4.530 5 20 HJBD C[C@@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 915600081 BMIQBKZMFHEIQN-MLRPBERTSA-N 415.449 4.738 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCC(F)(F)F)cc1 918875595 SCBXVDAFNRPMHD-LBPRGKRZSA-N 415.389 4.760 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc2ccccc2c1 919210584 HOLGHBGJAHRGBB-CYBMUJFWSA-N 404.378 4.678 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cccnc1SC(F)(F)F 920286529 ZOPZJLDFEZSCGR-UHFFFAOYSA-N 405.785 4.527 5 20 HJBD CC(C)(C(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1ccccc1[N+](=O)[O-] 1117747226 LCBBFUQPSBDRIH-SEJPIABJSA-N 421.541 4.574 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1317837992 KJEBYCSSSHNOJS-UHFFFAOYSA-N 409.417 4.681 5 20 HJBD O=C(c1ccc(Br)c(C(F)(F)F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319344199 LHDMWRFVHNGOKQ-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@H]4OCCC[C@@H]4C3)o2)c(Br)c1 1319712616 PGUKMXPYFFFZQY-ACJLOTCBSA-N 421.291 4.618 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)o1 1322141281 UAKQOTVHNQLXLS-AWEZNQCLSA-N 412.421 4.914 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(-c3ccc(Cl)cc3Cl)o2)C1 1322538968 YDDLVBBNANLGQL-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(CCSc3ccc(F)cc3)o2)c1 1341569489 BHMYLLIYXCNIDI-UHFFFAOYSA-N 414.462 4.719 5 20 HJBD Cc1ccc(C(=O)[C@@H](C)OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 10722772 PWQVIMFSJPPRBR-GOSISDBHSA-N 413.473 4.586 5 20 HJBD COc1cc2c(cc1NC(=O)CNc1cccc([N+](=O)[O-])c1C)oc1ccccc12 15319768 CYPBDNUTKJYROC-UHFFFAOYSA-N 405.410 4.862 5 20 HJBD CC[C@@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 21592978 YBCUPTLFLDEGNC-CYBMUJFWSA-N 414.792 4.739 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](c1ccccc1)N(C)Cc1ccccc1[N+](=O)[O-] 26346376 ZSLYEDQCQDMLPQ-QHCPKHFHSA-N 419.481 4.724 5 20 HJBD C[C@@H](Sc1nc2cc(F)c(F)cc2[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 42656278 KKOSPCPVJVPPIF-MRVPVSSYSA-N 403.370 4.653 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1cccc(Br)c1)C1CC1 105872568 DNAOBVNHJWBCQX-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD Cc1sc(NC(=O)c2cc(-c3ccccc3)no2)nc1-c1cccc([N+](=O)[O-])c1 195704328 GPAJSQMYRCEIRM-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CNC(=O)c1ccc(N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)c([N+](=O)[O-])c1 301213478 WINGKJAMUINDAX-NSHDSACASA-N 414.462 4.699 5 20 HJBD Cc1ccccc1-n1c(Sc2ncc([N+](=O)[O-])cc2Cl)nnc1-c1cccnc1 301291067 ULQLTTFJAGFCMC-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD CN(C)CC1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c4cccnc34)cc2)CC1 301946177 BXNLEOCQEZUGEL-UHFFFAOYSA-N 405.502 4.665 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1cccs1 410150506 BYIICWPMZYELEC-GOSISDBHSA-N 401.875 4.899 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1cc(Cl)ccc1Cl 426140555 ZQBNYHHPQFMPNC-NSHDSACASA-N 412.273 4.590 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 433900247 FQPWCFITUXKDOQ-UHFFFAOYSA-N 403.826 4.607 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccc(F)cc2O1 444250506 OXJLVKDXRNBQMK-OAQYLSRUSA-N 416.452 4.853 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2ccc(Cl)cc2C1 444294288 YAZOLLJJUBLBDI-UHFFFAOYSA-N 406.844 4.672 5 20 HJBD Cc1oc2ccccc2c1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444319399 CICWCIHOHFVFJO-UHFFFAOYSA-N 400.409 4.805 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)Cc1cccc([N+](=O)[O-])c1 460959990 FDEYVNJJCGBYEU-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD COc1cc(Cc2nc(O)c3cc(-c4ccccc4)ccc3n2)c([N+](=O)[O-])c(OC)c1 462519651 CUBYHAHFVQWPNC-UHFFFAOYSA-N 417.421 4.519 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1nncn1C(C)C 462625752 ZHMGWHQRRPOXQO-KRWDZBQOSA-N 407.474 4.642 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC(F)(F)F 462642873 PTNPBYYBHOSVLE-ZDUSSCGKSA-N 414.355 4.882 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1csc(CCc2ccccc2)n1 462771244 AMOLLBYERIYBPZ-LJQANCHMSA-N 423.538 4.722 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2cccnc2-n2cncn2)cc1 463248333 NQPINQBNAPGXQF-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OCC(F)(F)F)cc2)c([N+](=O)[O-])cc1F 464494385 BGPYMTDWXXAYMQ-NSHDSACASA-N 402.344 4.534 5 20 HJBD CC[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Cl)cc1 465481239 BZERFOVGLLNNRB-FQEVSTJZSA-N 417.893 4.952 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 466214452 AGGHUTWYXKYZFT-CYBMUJFWSA-N 423.922 4.547 5 20 HJBD Cc1c(CNC(=O)[C@@H](C)Sc2ccnc3cc(Cl)ccc23)cccc1[N+](=O)[O-] 481461285 BCXUJARMMNRHFB-CYBMUJFWSA-N 415.902 4.902 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OC(F)F 484365770 HGIBWRDYTOEWEY-LLVKDONJSA-N 420.368 4.784 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2c(F)cccc2F)CC1 485564318 ZYWCHNAFNFXBAP-MRXNPFEDSA-N 402.441 4.848 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 486091214 PGMKEXGSASKBPT-CHWSQXEVSA-N 409.305 4.875 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])o1 488814591 IJKOZUJPPHCRQL-UKRRQHHQSA-N 412.471 4.639 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1cccs1)C1CC1 498532462 RTPBWDMQZKSKMM-UHFFFAOYSA-N 416.446 4.958 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccccn2)c1 499029976 SCEWIJCGQKRVFH-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nnc(-c2ccccc2)s1 503428972 MQZRGDRFKJRMOT-UHFFFAOYSA-N 414.512 4.545 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)(F)F 505838468 HVGFPPAEYYENKK-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD CC[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(OC)c(OC)c1 510190366 DHVQUJGCRSHXAL-GOSISDBHSA-N 411.458 4.550 5 20 HJBD C[C@@H](c1ccccc1)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 510508720 RKCWLLVTHQUWEP-LBPRGKRZSA-N 414.405 4.528 5 20 HJBD COc1cc(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)ccc1[N+](=O)[O-] 510854703 RYWHNEVPWKPXIC-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CC1 511424810 SZHRNSRECDFDDR-QGZVFWFLSA-N 406.891 4.554 5 20 HJBD O=C(c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1)N1CCCC1 512342992 MKZBCHIACJANDD-UHFFFAOYSA-N 408.483 4.566 5 20 HJBD Cc1cc(N[C@@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)ccc1[N+](=O)[O-] 514188828 CQBHZDRXQPTNDW-NRFANRHFSA-N 414.458 4.647 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(c4c[nH]c5ccc(F)cc45)CC3)o2)cc1 516673258 OIWAFGGTKUUKKV-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1Cc2ccccc2[C@H](c2ccccc2)C1 518965027 LLOIIEFIVUVAQS-NRFANRHFSA-N 402.450 4.538 5 20 HJBD COc1cc(CNC2(c3nc(C)cs3)CCCC2)c([N+](=O)[O-])cc1OC(F)F 532717471 BTICAISPOMYOFK-UHFFFAOYSA-N 413.446 4.529 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N(Cc1ccccc1C(F)(F)F)C1CC1 533984081 OTNOKDMDBNJCLZ-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD COc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c2ccccc2n1 537153592 NVCOPSFUNXAJKJ-UHFFFAOYSA-N 411.483 4.582 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 539793313 YVCJPHBIRVTRHO-CVEARBPZSA-N 412.795 4.729 5 20 HJBD CC(=O)N(c1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)cs1)c1ccccc1F 540380630 WYNGTJCHBJQMFL-CYBMUJFWSA-N 414.462 4.726 5 20 HJBD CCCCn1c(SCc2ccc([N+](=O)[O-])cc2Cl)nnc1[C@@H](CC)N(C)C 542009891 RXOSVRBUXVNVOH-MRXNPFEDSA-N 411.959 4.945 5 20 HJBD CCc1ccc([C@@H](NCc2cnn(Cc3ccccc3)c2)c2ccc([N+](=O)[O-])cc2)o1 543407848 XTJFJUMUERZNNI-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD CCOc1cc(/C=C/c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc(Cl)c1OC 545529591 WYHKGOSRCGAYLS-CMDGGOBGSA-N 415.833 4.800 5 20 HJBD COc1ccc(CN[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1[N+](=O)[O-] 551619161 CYLIFPVDHLMQAU-FQEVSTJZSA-N 424.419 4.880 5 20 HJBD COC1(C)CCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 553053949 CHWOTCRHOQWOAS-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD COCCC[C@@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])c1ccc(Cl)cc1 553574017 WMQGMVMRALYZJX-AWEZNQCLSA-N 416.865 4.519 5 20 HJBD Cn1c(Cc2cccs2)nc2cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)ccc21 557661114 NDTSUGYNGFFIHT-UHFFFAOYSA-N 410.430 4.525 5 20 HJBD Cn1cc(C(F)(F)F)nc1[C@@H]1CCCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 557741702 HDRZNLMYTHPSKD-SNVBAGLBSA-N 422.329 4.750 5 20 HJBD COc1ccc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c(OC(C)C)c1 561391281 AJVGBTFAZIDIAX-UHFFFAOYSA-N 408.414 4.513 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 576620145 OBIDPBDWYPCRLP-LUJNMSEFSA-N 410.405 4.559 5 20 HJBD Cc1ccc(/C=C\c2nc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n[nH]2)o1 591373510 QOWOJOKJCMRMGK-PRDAAYKISA-N 424.442 4.688 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](c2ccc(F)cc2)C[C@H]1C 609909453 JPVLQPLOSQDZNG-VNHYZAJKSA-N 406.841 4.559 5 20 HJBD Cc1c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])oc2ccc(Br)cc12 609973756 FFLKBXWNWPIICG-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@@H](c4nc5ccccc5o4)C3)co2)cc1 611171746 SKZBWPLXIWVTAA-MRXNPFEDSA-N 404.426 4.771 5 20 HJBD O=C(NC[C@H](CCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212140 NCEUWPGIFQJMGJ-KRWDZBQOSA-N 414.527 4.526 5 20 HJBD CC(C)C[C@@H](C)CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 727881645 LHXQSZQHAZTEOE-VXGBXAGGSA-N 404.385 4.556 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(Cl)c2)c1C 735820955 NSFHLNIMBSQUSJ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@@H](C)c2ccncc2)cc1[N+](=O)[O-] 735960706 MSMUBSBAYDJHJN-AWEZNQCLSA-N 422.462 4.861 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(CCc1ccccc1)Cc1ccncc1 750878415 MKLPBYWOVDAAMK-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cc([N+](=O)[O-])ccc1Cl 751243224 IQYWEDAMUSGEOJ-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@H](c2cccc(F)c2)C1 755026680 VVATUWKXZNXWJS-LBPRGKRZSA-N 408.227 4.518 5 20 HJBD Cc1nn(CN2CCC(c3ccccc3C(F)(F)F)CC2)c2ncc([N+](=O)[O-])cc12 761832708 YWMZIONVQLBPLP-UHFFFAOYSA-N 419.407 4.504 5 20 HJBD O=C(O[C@@H](Cc1ccc(Cl)cc1)C(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 763478224 BDXUTQUHPAKDHZ-AWEZNQCLSA-N 409.694 4.857 5 20 HJBD Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)oc1-c1ccc(Cl)cc1 765491904 DSEGUEZAZVKAOV-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H](C3OCCO3)C2)ccc1Oc1ccccc1Cl 769305880 PQZMCKOISANRPW-INIZCTEOSA-N 418.877 4.625 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1csc(-c3c(F)cccc3F)n1)CC2 773986045 WKUWYSLZXDRPRP-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD COc1ccc(N[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cc1OC1CCCC1 774322986 CTUQUBFSGCFCSE-MSOLQXFVSA-N 400.479 4.717 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@H](O)c1ccc(F)cc1 777420390 RICJSHMWSWNNOO-LIRRHRJNSA-N 420.506 4.868 5 20 HJBD Cc1c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cnn1C1CCCCC1 781170700 IWBBFNYNVKPTJW-JOCHJYFZSA-N 420.469 4.946 5 20 HJBD CCCCN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H](C)c1ccc(C)o1 781606953 QGMXQIYYGRLAMS-HNNXBMFYSA-N 403.435 4.727 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(-c2ccccc2)n1 784643635 KGCFCIIWPOBKSB-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(OC(F)(F)F)cc1 789613003 GCBYDGGWHLBYNU-UHFFFAOYSA-N 404.291 4.688 5 20 HJBD Cc1cccc(CCC(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1 790039911 CQUKREXVYPYPCH-UHFFFAOYSA-N 404.422 4.694 5 20 HJBD COc1ccc2cc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)ccc2c1 804310619 RJBNNEIIPQQFNI-UHFFFAOYSA-N 419.206 4.911 5 20 HJBD CCC(=O)N1CCCC[C@@H]1c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809362688 GXCDBQNAVMYGTO-LJQANCHMSA-N 422.441 4.901 5 20 HJBD O=C(Nc1cccc2c1COC2=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 809382781 MBBDNMWDAGWISE-UHFFFAOYSA-N 412.467 4.800 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nnc(-c2cccs2)o1 811373058 OHXUFRPRVUXMJH-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD C[C@@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Br)c1 812455686 OEWBNFMUYORWQT-LLVKDONJSA-N 411.683 4.773 5 20 HJBD Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)nn1-c1ccc(Cl)cc1Cl 812815172 HFFHCSMXFVWKLP-UHFFFAOYSA-N 410.261 4.525 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 817038388 KDJKZNORXIVRLZ-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)c1sc2cccc(C)c2c1Cl 875312131 QNKCVKMKHORDIX-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccc(Cl)c2)cs1 915178724 NVAVVCGQPPPZOK-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD O=C(OCc1nc(-c2ccsc2)no1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917256721 DSNYAHBLXZYZRF-UHFFFAOYSA-N 423.406 4.856 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H]3CCCCNC3=O)cc2[N+](=O)[O-])c1 917394581 GVQAJLPKXNDJDE-LJQANCHMSA-N 411.502 4.577 5 20 HJBD C[C@@H](NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 917700932 MHTSKMZKGRCAHU-IVZQSRNASA-N 416.433 4.540 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2)c1 920280001 XLQXKFUVVGIRML-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 920468442 VYYGPBNPUBOWJP-KRWDZBQOSA-N 419.525 4.765 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)OC(c1ccc(F)cc1)c1ccc(F)cc1 920798114 DDDGAOHOLRZIAX-UHFFFAOYSA-N 423.375 4.556 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCOc1cccc2ccccc12 920893305 FULPGUXWTXQQIP-UHFFFAOYSA-N 423.468 4.930 5 20 HJBD O=C(OCCC(F)(F)F)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 1116807237 XBVMKFCIQPKHNE-UHFFFAOYSA-N 401.724 4.588 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nnc(-c3ccc(F)cc3Br)o2)c1 1117225186 FTSBKQNKNJWUDG-UHFFFAOYSA-N 417.194 4.549 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2ccccc2Br)c([N+](=O)[O-])c1 1123268884 VONZAVKRBGVWSL-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1317843148 DGLJVCWCZHSSKU-UHFFFAOYSA-N 409.417 4.681 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)ccc2OC)cc1 1318124108 ZSKLHNQJYXZJAH-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccccc2[C@@H](O)C(F)(F)F)c(Br)c1 1320397174 AUSFBVPEPOROTJ-CQSZACIVSA-N 405.170 4.565 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c(Cl)n1 1338400115 PGHVYRNIPCDSCX-CHWSQXEVSA-N 402.882 4.686 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1sc2cc(F)ccc2c1Cl 3536363 FRMGBJABXVPBDD-UHFFFAOYSA-N 423.805 4.826 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 14851941 ZFSZOBLZKHIDCV-LJQANCHMSA-N 410.813 4.785 5 20 HJBD Cc1sc2nc(Sc3ccc(C(F)(F)F)cc3[N+](=O)[O-])n(C)c(=O)c2c1C 26757838 ZDRILWHNBGUZCR-UHFFFAOYSA-N 415.418 4.690 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(S(=O)(=O)c3ccccc3)cc2)c2ccncc12 52407326 OFUZBRVDPZBVDI-UHFFFAOYSA-N 406.419 4.768 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1Cl 55689531 ASAQWEZFKLILHR-GFCCVEGCSA-N 419.840 4.654 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(CCN(C)C)Cc1ccc(C(F)(F)F)cc1 64519051 MQCVZVFWWBKMIG-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(CCc1ccc(F)cc1)C1CCCC1 97174959 KMLBYIHHNAKCLU-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD COc1cc(/C=C(/CCCC(=O)O)c2nc3ccccc3s2)cc([N+](=O)[O-])c1O 209570092 IFSXCMFOQWBSFH-LCYFTJDESA-N 414.439 4.714 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 213061201 HCCXZNOOVVDABF-WDZFZDKYSA-N 401.394 4.733 5 20 HJBD COc1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccccc2)cc1[N+](=O)[O-] 237542586 LHTKJSLENVERHD-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD O=C1CCc2cc(Nc3ccc([N+](=O)[O-])c(OC(F)F)c3)ccc2N1CC1CC1 302346880 CNVUCDWSCWDCHP-UHFFFAOYSA-N 403.385 4.629 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N(Cc1ccccn1)C1CC1 426008164 ANPKZYOQKOYXLR-UHFFFAOYSA-N 405.479 4.946 5 20 HJBD COc1ccccc1-c1noc(C2CCN(C/C=C\c3ccccc3[N+](=O)[O-])CC2)n1 433020323 CCRYGBZDVXWOJJ-VURMDHGXSA-N 420.469 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(-n2ncc3ccccc32)cc1Cl 435621758 OMGOBRBSWSSRHB-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 435933618 GFOPZTPZLHVZRZ-UHFFFAOYSA-N 423.831 4.685 5 20 HJBD CCc1nc2cc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)ccc2o1 436064019 UUFYRGACBJAQAT-UHFFFAOYSA-N 401.397 4.776 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436254658 RMXOVZUXIKIQRC-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD COc1cccc2sc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)nc12 436348857 NTFXVKBTIUUUKI-UHFFFAOYSA-N 419.437 4.691 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@H]1CCC[C@@H](C(F)(F)F)C1 438509381 OVMVHMHHJXVTPE-SDDRHHMPSA-N 408.804 4.500 5 20 HJBD Cc1ccnc(Oc2cc(N[C@@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)ccc2C)c1 439621888 XKBJTPIBOXTQST-HXUWFJFHSA-N 418.453 4.616 5 20 HJBD CCCN(C(=O)c1ccc2c(C)nn(-c3ccccc3)c2n1)c1cccc([N+](=O)[O-])c1 441466414 CHGWZUSHHJDKKA-UHFFFAOYSA-N 415.453 4.694 5 20 HJBD COc1cc(Cc2noc([C@@H](C)SCc3ccccc3)n2)c([N+](=O)[O-])c(OC)c1 445817890 HIPRPCFPSDUQRQ-CYBMUJFWSA-N 415.471 4.580 5 20 HJBD CC(C)Oc1ccc(Cl)cc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460353670 ZXGOVHLBIWLOGN-IBGZPJMESA-N 406.891 4.923 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC=C(c2ccc(O)cc2)CC1 460579536 DSBVOWCYEFAUGC-UHFFFAOYSA-N 419.485 4.509 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n(C)n1 470187744 JKROSFYMLHNZBH-UHFFFAOYSA-N 422.488 4.502 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472966916 LOEYMPUYXKSPPW-UHFFFAOYSA-N 423.296 4.652 5 20 HJBD COc1cccc(C=C2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 473011124 TUTCBJWZXMGRRK-MRXNPFEDSA-N 420.469 4.894 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 490086983 DETHHHLPUOGIBA-LJQANCHMSA-N 415.877 4.765 5 20 HJBD CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1cccnc1 496593847 QOKWKBRMAGRHRZ-QFIPXVFZSA-N 424.888 4.693 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 501205404 GFWJKPDRXOWAKN-GOSISDBHSA-N 405.882 4.592 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(N3CCCC3)n2-c2cccc(Cl)c2)c1 501537548 IGPXPTARXBZREV-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cccc([N+](=O)[O-])c1 502308270 HOAYDNJKVKSWBU-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)cc2)C(C)C)c([N+](=O)[O-])cc1OCC(F)F 502562510 HBGKIZYTPIKTTI-HXUWFJFHSA-N 412.408 4.873 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc3ccccc23)C(F)(F)F)c1 511690345 HROOWVLBDJZIQV-GOSISDBHSA-N 404.344 4.790 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@H](n4ncc5ccccc54)C3)cs2)c1 513391490 YLNPMQDGJMLCKO-IBGZPJMESA-N 405.483 4.515 5 20 HJBD COCc1nc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)c(-c2ccccc2)s1 514665307 CAMSZKRMHROETJ-UHFFFAOYSA-N 408.439 4.890 5 20 HJBD O=C(NC[C@@H](c1ccccc1Cl)N1CCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 516715881 MXPIJSNWQKPIJF-SFHVURJKSA-N 423.300 4.860 5 20 HJBD CN(C(=O)c1oc2ccccc2c1COc1ccccc1)c1ccc([N+](=O)[O-])nc1 518609534 IUYNLRLHRCFTMH-UHFFFAOYSA-N 403.394 4.592 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)CNc3ccccc3[N+](=O)[O-])s2)cc1 518612727 HNFIYJUASPBEDU-CQSZACIVSA-N 410.499 4.624 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 520016241 BHBHDWVTIPXFCT-INIZCTEOSA-N 420.412 4.962 5 20 HJBD CC(C)(C(=O)Nc1ccc(-n2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1 523484189 WYVREZCDSSTDCY-UHFFFAOYSA-N 400.438 4.850 5 20 HJBD Cc1ccc2[nH]c(C(=O)N[C@@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])cc2c1C 523902349 MZZYUJVXGKRKLO-IBGZPJMESA-N 423.469 4.506 5 20 HJBD C[C@H](N[C@@H](CO)c1c(F)cccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537983431 LNMGOHHXGDQJFD-LRDDRELGSA-N 404.438 4.986 5 20 HJBD CCOC(=O)c1sc2cccc(F)c2c1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 538176739 MRYCRSXKKQOKCP-LBPRGKRZSA-N 402.447 4.976 5 20 HJBD CN(C(=O)CCc1cc(F)ccc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356595 HJUIZYUNJKMXKZ-UHFFFAOYSA-N 403.410 4.592 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)NCc2ccccc2)cc1 539911582 PSVIIJZCUOTLOK-UHFFFAOYSA-N 417.465 4.702 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 540177047 ZEMPRVHFDRZLJZ-UHFFFAOYSA-N 418.453 4.894 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc2c1 542002639 FWRUTTKIWYPYME-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)ccc1F 543546793 VQBYPWCVZJRJNG-CYBMUJFWSA-N 423.404 4.598 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccc(C)cc3)nc3onc(C)c23)cc1[N+](=O)[O-] 543854161 JDRNZAWUUZIBKH-UHFFFAOYSA-N 418.409 4.676 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 543922112 QMFBJVIXAOKWLB-SFHVURJKSA-N 420.343 4.574 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cnccc1-c1ccccc1 560100519 GFPWGVJGEVAGBA-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cn1cc(CNc2cccc(-c3noc(C4CC4)n3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 563344089 CIWXKNASYWMALU-UHFFFAOYSA-N 416.441 4.535 5 20 HJBD COc1cc(C(=O)N[C@H](CCC(C)C)C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 578690804 KHRZJVGJJSVQAK-MRXNPFEDSA-N 402.438 4.786 5 20 HJBD CN(C(=O)c1cscc1Br)c1nc(-c2ccccc2[N+](=O)[O-])cs1 584492955 ZYLCAAJZIYQEHN-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1C[C@H](CO)Cc2ccccc21 590404947 BBOPUMCYORODRS-MRXNPFEDSA-N 420.490 4.557 5 20 HJBD COC(=O)CCSc1cc(Cl)ccc1NCc1ccc([N+](=O)[O-])cc1OC 600857040 VLUHDOOOTHXLOR-UHFFFAOYSA-N 410.879 4.524 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCC[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])cc1OCC 603753563 JWBLXHOXRUXQFK-NWDGAFQWSA-N 404.385 4.699 5 20 HJBD COc1ccc([C@H](N[C@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604478642 CLNSYNICHDVUOU-MZNJEOGPSA-N 419.481 4.700 5 20 HJBD Cc1ccc(COc2ccc(C[C@@H](C)NC(=O)c3ccccc3[N+](=O)[O-])cc2)cc1 608900574 OEMBBEVGSHINEO-GOSISDBHSA-N 404.466 4.843 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2nc(C(C)(C)C)cs2)cc1SC 609303770 GHPIKXYXDPNIDB-JTQLQIEISA-N 409.533 4.570 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609723433 SSPWQUPVXFLZGU-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD Cc1cc(C)n(-c2ccc(CC(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2)n1 609859328 ZXNWQKIPHLFPAD-UHFFFAOYSA-N 418.497 4.916 5 20 HJBD CC(C)(C(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1 610064894 MBISTHUNWMUIAA-UHFFFAOYSA-N 409.461 4.899 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)CNc3ccccc3[N+](=O)[O-])s2)cc1 610068535 NGIAPPOHYAIUIG-MRXNPFEDSA-N 424.526 4.967 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](C)c1ncc(-c2ccccc2)o1 610068580 OMJLTXVOMFPBGX-STQMWFEESA-N 415.833 4.548 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](Cn3cccn3)c3ccccc3)o2)c([N+](=O)[O-])c1 610162178 DUIHIPJVSLGSQU-NRFANRHFSA-N 418.453 4.591 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc2)nn1 610329671 VBVBHULPZCSLGC-UHFFFAOYSA-N 421.457 4.630 5 20 HJBD COc1cc(NC(=O)CCSc2ccc([N+](=O)[O-])cc2F)c(OC)cc1Cl 618963620 SIZBZGMRTUDVFJ-UHFFFAOYSA-N 414.842 4.525 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCC[C@@H]3c3ccccc3C(F)(F)F)n2)c1 728049649 JLOXIAUWXARJGT-GOSISDBHSA-N 417.391 4.667 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)OCc3cc([N+](=O)[O-])ccc3OC(C)C)c12 729118055 UDONKFKATCIADT-UHFFFAOYSA-N 413.430 4.707 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 729214436 OWOJPXPFESXYKA-WCIBSUBMSA-N 408.435 4.836 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NC[C@@H](O)c1cccc(C(F)(F)F)c1 730805980 LAWNXJRLPAZUAG-CQSZACIVSA-N 405.170 4.522 5 20 HJBD COc1cc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1OC(C)C 733324396 VYRWPFAKWDGDJA-UHFFFAOYSA-N 413.499 4.804 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCC[C@@H](O)c3ccccc3)c([N+](=O)[O-])c2)cc1 742427214 FYGGUVZGXFBFOQ-JOCHJYFZSA-N 405.454 4.691 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ccccc2c1 745565526 QNSBIIWIEJFDFC-UHFFFAOYSA-N 418.327 4.562 5 20 HJBD O=C(Nc1ccc(N2CCCCCC2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 751005252 QTJZUKYOBDZNRA-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)c2nc(Cc3ccc(Cl)cc3)no2)c1[N+](=O)[O-] 752558316 OVZWZINQDHSZQK-LLVKDONJSA-N 419.796 4.588 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)c1[N+](=O)[O-] 753092346 AAFSZKKSYZUGEC-OAHLLOKOSA-N 409.417 4.507 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 755324403 KYNTZYBYHFMOLZ-UHFFFAOYSA-N 410.451 4.755 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)c1[N+](=O)[O-] 758463122 ZPOPDEHFHUHGED-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD O=C(Nc1ccc2[nH]c(-c3ccc(F)cc3)nc2c1)c1cc(F)c([N+](=O)[O-])cc1F 769483675 BBYMWYQXXWGBOY-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD O=C1O[C@@H](c2ccccc2)Cc2cc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)ccc21 771224502 NLYCCLWUAGAYEL-MRXNPFEDSA-N 419.418 4.828 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)OCc2ccc([N+](=O)[O-])c(F)c2)cc1 777611052 XEYKMXJBCXLUNM-UHFFFAOYSA-N 400.431 4.760 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)c1nc(Cl)ccc1Cl 788864850 DSRXCGQHEAIMTG-UHFFFAOYSA-N 416.220 4.780 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(O)c4ccccc34)cc2[N+](=O)[O-])CC1 807578466 BUPPFTDVGWJFDT-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD O=C(Nc1nc(CN2CCCCC2)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813422023 OSEYGWFCFGLNLW-UHFFFAOYSA-N 415.302 4.596 5 20 HJBD C[C@@H](c1ccc(F)cc1)N1CC[C@@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C1=O 814720055 HSIHNRSAARXKCZ-MEDUHNTESA-N 417.487 4.798 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(C(F)(F)F)nc1Cl 817862169 IFFZYYOECKSWQU-MRVPVSSYSA-N 413.739 4.899 5 20 HJBD Cc1ccc(/C(O)=C(/C(=S)NC(C)C)[n+]2ccc(C(C)(C)C)cc2)cc1[N+](=O)[O-] 839407257 UDPZHELDHYMMDE-UHFFFAOYSA-O 414.551 4.697 5 20 HJBD Cc1c(CNc2ccc3c(c2)N(C(=O)Cc2ccccc2)CC3)cccc1[N+](=O)[O-] 864029148 AXNPUWZPLJKPSM-UHFFFAOYSA-N 401.466 4.647 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccsc3C(F)(F)F)no2)ccc1-n1ccnc1 904924700 BCXOOYHEFONJRR-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD O=C(Nc1cccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)c1ccccc1 916422209 WVZXBQBWRRMTAA-UHFFFAOYSA-N 402.362 4.813 5 20 HJBD Cc1ccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2c1[C@H](C)CC2=O 1318082812 FSUMWFYCCVYFBZ-GFCCVEGCSA-N 408.435 4.936 5 20 HJBD CC(C)(C)n1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)nc2ccccc21 1319911514 KPVWYJUHWMVDSZ-UHFFFAOYSA-N 404.373 4.553 5 20 HJBD C[C@@H](Nc1ccc(-c2nnc(Cc3cccs3)o2)cc1[N+](=O)[O-])c1ccccn1 1341585175 HFMMRGYWAHVUHP-CYBMUJFWSA-N 407.455 4.865 5 20 HJBD Cc1[nH]c(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc1Cc1ccccc1 4492333 JWEGUYHRVHKWSN-UHFFFAOYSA-N 416.890 4.601 5 20 HJBD Cc1cc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1Br 5119359 AEMANZSLLMUAOY-SNVBAGLBSA-N 412.671 4.758 5 20 HJBD O=C(Cc1ccc(-c2ccccc2)cc1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 5471618 LULFGTYMKORBQG-UHFFFAOYSA-N 415.405 4.598 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3ccc([N+](=O)[O-])s3)cc2)cc1 15737207 USUMNWLBVZIOMY-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)cc12 19163385 KNWZMSICIZQEJU-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ccc(Cl)nc2)n1 23382244 QFJDATJXTLCESR-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1nnc(CN2CCCC2)n1-c1ccc(Cl)cc1 29001239 KBYFRZWGQIYBFO-UHFFFAOYSA-N 415.906 4.576 5 20 HJBD COc1ccc(CSCC(=O)NC2CCC(c3ccccc3)CC2)cc1[N+](=O)[O-] 31916934 RBFSYXITMZKPJT-UHFFFAOYSA-N 414.527 4.679 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](Cc3ccccc3)c3ccccc3)n2)cc1 51797330 XGVNWDUQHBHCRV-OAQYLSRUSA-N 400.438 4.718 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58278966 FWSRHZHCJPEDPK-UHFFFAOYSA-N 424.432 4.986 5 20 HJBD CC(C)CCOc1cccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1 64752428 IYQIVQTYYFWCTD-UHFFFAOYSA-N 408.458 4.673 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C(=O)Nc2cccc(F)c2)c1 207297503 NURODCGGRGUYEH-XFXZXTDPSA-N 405.385 4.638 5 20 HJBD CN(C)C(=O)Cc1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 236975493 NQHLOMKZYIVEHN-UHFFFAOYSA-N 423.444 4.769 5 20 HJBD C[C@@H]1C[C@H]1[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 302161991 KAFOTGTWYIUZHK-BCQZVRRWSA-N 400.909 4.522 5 20 HJBD COc1ccc(OCCSc2nnc(C[C@@H](C)c3ccccc3)o2)c([N+](=O)[O-])c1 303933249 RBIJEORXBBLFLT-CQSZACIVSA-N 415.471 4.504 5 20 HJBD COc1ccc(Br)c(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 429071238 XSCJQDWHPAKEJB-NSHDSACASA-N 408.252 4.557 5 20 HJBD CC(C)Oc1ccc(N(C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 429594583 VHCIJHCEIOMVAE-UHFFFAOYSA-N 400.478 4.605 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429953270 WWXRDJVATNOWKZ-UHFFFAOYSA-N 415.696 4.533 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1[N+](=O)[O-] 435874078 HMKCMFQTBIHZQZ-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])c2cccnc12 437470904 JQCNGFWETJPFTD-CTYIDZIISA-N 415.371 4.838 5 20 HJBD O=C(Nc1cccc(CSCc2ccccc2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 440903801 UITUSSAWWJUNDX-UHFFFAOYSA-N 421.478 4.605 5 20 HJBD C[C@H]1c2ccc(F)cc2C[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292594 RYCDVAAVOMRTOC-CABCVRRESA-N 400.453 4.968 5 20 HJBD COc1ccc(C(=O)CC(C)(C)Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 445467293 ACFOARPFTNFSHG-UHFFFAOYSA-N 401.444 4.557 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)c1 461099947 HIOMRLXVJUNCFL-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 462605944 WRCVJQCUGZEYEV-AZUAARDMSA-N 409.530 4.505 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](c2ccccc2)C1 463096173 ZMZKOOLZUNKIHF-APWZRJJASA-N 400.500 4.745 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(Cc4cc(OC)c(F)cc4[N+](=O)[O-])CC3)c2c1 464689585 UKHICVGFTCKZNP-UHFFFAOYSA-N 411.433 4.522 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 467120804 RFNSUERSROPYIR-UHFFFAOYSA-N 424.375 4.750 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)ccc1F 475554347 IEOCMLMPIJYZIP-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD C[C@@H]1[C@@H](C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCN1c1ccccc1 475803460 DEQDUTUPPCIYMZ-WBVHZDCISA-N 422.510 4.596 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 481446245 SGRNLEIEEUJTTL-DJJJIMSYSA-N 401.850 4.769 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484170379 PWWSGMYLNQNCHU-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486092648 FXQKVIKZJXIERO-RBUKOAKNSA-N 404.388 4.908 5 20 HJBD CCn1c(SCc2c(Cl)cccc2[N+](=O)[O-])nnc1-c1ccccc1OC 487672782 IDOWCOAORRXCJP-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(C(F)(F)F)c1 488638776 COUGYNGQQSLLPD-UHFFFAOYSA-N 418.368 4.744 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(OC(F)F)cc1F 490286098 NILWVSKRUAODAY-UHFFFAOYSA-N 404.341 4.839 5 20 HJBD C[C@@H](C1CC1)n1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cn1 490936849 JOBUCXSBAAHOET-JTQLQIEISA-N 414.409 4.512 5 20 HJBD CCCOc1cc(NC(=O)N2CCS[C@H](C)CC2)c([N+](=O)[O-])cc1OCCC 494561043 HOLIMBZLSMUKKR-CQSZACIVSA-N 411.524 4.532 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccccc2)c(Br)s1 494650363 OXPMTRVBAOPJAN-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccc([C@H]3C[C@@H]3C)o2)n(-c2ccc([N+](=O)[O-])cc2)n1 500617683 DFUONUZPXIONQS-GYIHYUDTSA-N 406.442 4.548 5 20 HJBD CN(C)CCCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1csc([N+](=O)[O-])c1 501786365 QLBBNZOJOIBVPW-UHFFFAOYSA-N 416.330 4.557 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cccc(-c3cnco3)c2)cc1[N+](=O)[O-] 510611794 AMEYQHAZRMJMLW-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Cl)c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 517559551 FEACWUTWQRFJCS-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD Cc1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1C(=O)N(C)C(C)C 519579330 KPUVLNPFNSFMEZ-UHFFFAOYSA-N 408.458 4.651 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)s3)c(C)s2)cc([N+](=O)[O-])c1OC 525028491 VUUNTJIVVXVJJT-UHFFFAOYSA-N 419.484 4.666 5 20 HJBD Cc1ccc([C@@H]2CCCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 525544265 VCENPFFNWWAJJQ-NRFANRHFSA-N 407.514 4.873 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)cc1SC 525644112 RJQFLMNVNZEZTF-FMKPAKJESA-N 400.500 4.732 5 20 HJBD CCOc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc(OCC)c1OCC 530780264 ZADGRDJCPNKDOE-UHFFFAOYSA-N 402.447 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNC1(c2cccc(C(F)(F)F)c2)CCC1 537157397 DLHNSQSTXXPNSP-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD Cc1ccc([C@@H](NC(=O)CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2cccs2)cc1 539377170 PJNSYSVGUNHPGQ-GAJHUEQPSA-N 423.538 4.830 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1 539890409 SSKYCZPOVVIWKF-UHFFFAOYSA-N 420.347 4.841 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 539891092 QLIXQMFZOOBECK-JSGCOSHPSA-N 406.891 4.697 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(C(=O)Nc2ccccc2)cc1 542231302 GNYGATWSEGMMBB-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccccc2C[S@@](C)=O)cc1[N+](=O)[O-] 545112360 RTNALAHNSHQADT-MUUNZHRXSA-N 420.556 4.864 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C\c3cccc([N+](=O)[O-])c3)n2)c1)c1ccco1 545606308 KZAAKFZSJYHGEG-KTKRTIGZSA-N 402.366 4.661 5 20 HJBD C[C@@H](Nc1ccc(-c2nc([C@@H]3Cc4ccccc43)no2)cc1[N+](=O)[O-])c1ccccn1 546291510 ZAALNSJOSIPBAI-RDTXWAMCSA-N 413.437 4.901 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 546700660 KJJURPUTJVQZDI-LBPRGKRZSA-N 409.255 4.649 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 546846800 HXOFCTRBFIKIKX-LJQANCHMSA-N 421.419 4.833 5 20 HJBD CC(C)n1ncc2c(C(=O)N(C)c3cccc([N+](=O)[O-])c3)cc(-c3cccs3)nc21 555333825 XBOUATQIOWMDTI-UHFFFAOYSA-N 421.482 4.926 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1cccnc1 566826142 IHHDEANLIQUTOF-UHFFFAOYSA-N 404.470 4.829 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@@H](Sc2cccc(Cl)c2)C1 567773375 OKLHITKWJQCQEY-OAHLLOKOSA-N 413.886 4.803 5 20 HJBD CC(C)(c1ccc(Cl)cc1)c1noc(-c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1 570434677 FVPFUXVTBZTHFD-UHFFFAOYSA-N 410.817 4.878 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 604016406 ZUNJJQBSHFZTMK-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCc1ccc(-c2ccncc2)cc1 606813583 VUEMMGYYMZROIO-UHFFFAOYSA-N 419.484 4.533 5 20 HJBD Cc1ccc(Oc2ccccc2CNc2cc3ncnc(O)c3cc2[N+](=O)[O-])cc1 609288222 CYPQJZDLWNOOPX-UHFFFAOYSA-N 402.410 4.956 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 609507621 RBKZYWXGGDPTAP-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2O[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] 609652201 BLUUCSAVJKOTPE-INIZCTEOSA-N 406.438 4.925 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N(C1CCCC1)C1CC1 609854445 AAHMZGLCAYZBMJ-UHFFFAOYSA-N 409.273 4.635 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4ncc[nH]4)c3)cc2[N+](=O)[O-])C1 609875908 IPZYIVCRQZMOBE-HOTGVXAUSA-N 419.485 4.720 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 609921099 JEFMCFVOSOXCQO-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD O=C(Nc1ccc(Cl)c2ncccc12)c1ccc([N+](=O)[O-])cc1Br 610038369 QBNQXPSEAJIBCA-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 610122876 WFUWQHFMDQXAKH-QGZVFWFLSA-N 404.470 4.784 5 20 HJBD O=C(NC[C@H]1CCC[C@H](O)C1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610198422 DGFKZACINMMTLV-BBRMVZONSA-N 420.918 4.680 5 20 HJBD CC(C)OC1CCC(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)CC1 611051949 SZKGWAKOMZMCPD-BEEJXANESA-N 417.550 4.543 5 20 HJBD O=C(CSc1ccc2ccccc2c1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 619215654 VZVDDEZAAZJBLZ-UHFFFAOYSA-N 410.451 4.640 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NC[C@@H](O)c1ccccc1C(F)(F)F 726788156 XJEMXZLHHRCFFS-CQSZACIVSA-N 405.170 4.522 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1OC 727709178 VWVKXCCNYHUTOY-CYBMUJFWSA-N 422.428 4.942 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c2c1 730452506 LVIAJHGSJXKCKQ-UHFFFAOYSA-N 402.385 4.905 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1OC 734394765 PBHSUPHSULGLPM-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445031 DPNQQPSKTRHFOV-KTKRTIGZSA-N 407.407 4.616 5 20 HJBD COc1ccc([C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@@H](C)n2cccn2)cc1 737329982 JYLUCTZEDJAFOC-BFUOFWGJSA-N 415.833 4.613 5 20 HJBD C[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 744078579 RKJRFBXRUCZBHF-DNVCBOLYSA-N 414.458 4.540 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749909344 NCCZNZCUDLONOZ-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD CSc1ccc(C(=O)OCc2coc(-c3c(F)cccc3F)n2)cc1[N+](=O)[O-] 751609049 CQIFYHBGFYETQH-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2ccc(CCC(F)(F)F)cc2)cccc1[N+](=O)[O-] 751888568 VVAVXNUPIQODJW-CYBMUJFWSA-N 424.375 4.582 5 20 HJBD CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 752975523 JVFYZVHAWDLTIF-UHFFFAOYSA-N 404.835 4.581 5 20 HJBD C[C@@H](Nc1ccc2c(c1)CC(C)(C)O2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 759140203 UOEUJINYAAGUEF-LLVKDONJSA-N 423.391 4.766 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCCc1cccc([N+](=O)[O-])c1 759978734 CLZMARYWAMBCKG-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2C)c1[N+](=O)[O-] 760364129 IQFVNJCTKCRBPN-UHFFFAOYSA-N 423.448 4.588 5 20 HJBD Cc1cc(Cl)cc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c1 764225337 FMKKBSYFQCBGSU-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD CN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 768159713 HOBADAMPYSYHMS-UHFFFAOYSA-N 424.844 4.789 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1c1cccc(F)c1F 776139974 XDOUKMSKECGNKE-LLGFUMIMSA-N 410.376 4.704 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cc([N+](=O)[O-])ccc1Cl)CC2 776403666 BFMBSISSJZIUEX-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@@H](O)c1cccs1 777424681 MDVRYFFMKOFSHY-XJKSGUPXSA-N 408.545 4.791 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCc1ccc(S(=O)(=O)C(F)F)cc1 778625173 VWAXYGKFLLVXPX-GFCCVEGCSA-N 401.456 4.894 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccc(Cl)nc1C(F)(F)F 785951329 OSTXLTDPGNLIRY-UHFFFAOYSA-N 409.147 4.601 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)c1F 790168755 CGIUCARRAVPTNH-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])cc2F)n1 790543627 QNVYKFBKEGEDQY-UHFFFAOYSA-N 420.803 4.510 5 20 HJBD CC(=O)c1cc(Cl)ccc1OS(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 791418985 RGJFEWWQPWLUNA-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD O=C(N[C@@H](CCO)c1cccs1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799696233 QATBERJWZZCKHL-HNNXBMFYSA-N 414.508 4.661 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cc(Cl)c(N)c(Cl)c3)cc2[N+](=O)[O-])CC1 916703100 RFPKTYLNNUTZCC-UHFFFAOYSA-N 423.300 4.972 5 20 HJBD COc1ccc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c(OC)c1 916838896 HSFFZOVJJHLQFR-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3ccc(Cl)cc3)o2)c(Br)c1 1257693318 HMKKCRGVVKDTCT-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cc(N2Cc3ccc([N+](=O)[O-])cc3C2)c2cc(Br)cc(F)c2n1 1318778841 LUOXZDAYEIOCRK-UHFFFAOYSA-N 402.223 4.873 5 20 HJBD CN(Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@@H]1CCCc2c1cnn2C 1319716629 YGSJIXCODCSYPD-QGZVFWFLSA-N 400.866 4.751 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1323959097 RDFRSUABJLVAOI-UHFFFAOYSA-N 405.458 4.806 5 20 HJBD COc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1Br 1324055942 HPDLALHXYJCVHJ-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD COc1ccc(SCCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 10031976 ONRFNTVQPXDGSH-UHFFFAOYSA-N 415.496 4.848 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OCC(F)(F)F 14127815 SJBAEANUNIKDCN-NSHDSACASA-N 400.378 4.655 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 14808703 DSJGVJWUWYEJER-UHFFFAOYSA-N 411.505 4.702 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(C(F)(F)F)ccc1-n1cccn1 15640549 LZGYIIBWRCGKCZ-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CC[C@H](C)NC(=O)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1CC)C(C)C 31747635 JHRSQCNLLVAWMR-YWZLYKJASA-N 411.571 4.601 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 47166962 XHROUMBTAJDCHK-UHFFFAOYSA-N 414.437 4.547 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(-c2nccs2)cc1 64723194 MOCRTUVGLLNENM-NSHDSACASA-N 403.847 4.778 5 20 HJBD COc1cccc(-c2nnc(SCc3ccccc3[N+](=O)[O-])n2Cc2ccco2)c1 68860739 OFHANLXHDPDKCC-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(NCc2ccccc2)c(Cl)c1 109615297 WLFXMGQTZKKQCJ-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1cccs1)C[C@@H]1CCCO1 215698571 VGZWTCUWMHMXQJ-HNNXBMFYSA-N 402.497 4.692 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Nc1cccc(Oc2ccncc2)c1 301236435 MEJZYPZOESXNGA-UHFFFAOYSA-N 421.381 4.522 5 20 HJBD O=[N+]([O-])c1cnn(CCSc2nc(-c3cccs3)c(-c3cccs3)[nH]2)c1 428638220 FTPBKMDLEAFOGE-UHFFFAOYSA-N 403.514 4.764 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 430661172 AAAAQXNAZKSCRC-CPJLOUKISA-N 414.480 4.734 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1Cl 431956024 SYUOFPLHFGDULA-UHFFFAOYSA-N 417.893 4.647 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 433929742 GCEWZAGCFPJIJU-UHFFFAOYSA-N 418.331 4.793 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1csc(CCc2ccccc2)n1 436400486 OETYIXSGMLXVAT-UHFFFAOYSA-N 408.405 4.862 5 20 HJBD CCOc1cc(NC(=O)c2ncccc2Oc2ccccc2)c([N+](=O)[O-])cc1OCC 440454632 USIVXBWJQPBHPK-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)s1 440616326 RZLQEKUIMHCFLI-OAHLLOKOSA-N 411.483 4.996 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(CCc2ccc(C)cc2)CC(F)(F)F)c1 443895438 JZIGSRFENNGCLU-UHFFFAOYSA-N 412.433 4.872 5 20 HJBD CC(C)(CNC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 445176957 VVBXAAYFRJAEFA-UHFFFAOYSA-N 417.712 4.780 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2F)on1 448488543 BXQZZOODXJIMHS-UHFFFAOYSA-N 401.419 5.143 5 20 HJBD Cn1c(C(=O)N(c2cccc(N3CCCC3)c2)C2CCCCCC2)ccc1[N+](=O)[O-] 460115538 VJMLOBMDGJURPD-UHFFFAOYSA-N 410.518 4.903 5 20 HJBD COc1ccccc1[C@H]1CCN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C1 462481231 WGDBTEOLYCEXPW-SFHVURJKSA-N 405.454 4.571 5 20 HJBD CCn1c(SCc2cnn(C)c2C(F)(F)F)nc2cc3ccccc3cc2c1=O 464912745 XDHALTMDLLIYFR-UHFFFAOYSA-N 418.444 4.614 5 20 HJBD COc1ccc2c(c1)N(C(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCCC2 465275074 IZADSOCAVXKIJI-UHFFFAOYSA-N 412.489 4.533 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1occc1CN1c2ccccc2C[C@H]1C 466498786 FZJGKIXLLUFDQM-OAHLLOKOSA-N 421.453 4.790 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ncccc2Cl)CC1 469969759 ROIXJYHJSTVIRY-MRXNPFEDSA-N 401.894 4.618 5 20 HJBD CC(C)c1ccc(-c2ncc(CS(=O)(=O)c3ccc([N+](=O)[O-])cc3)s2)cc1 469978644 LAUSTACGNOPKIW-UHFFFAOYSA-N 402.497 4.816 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)c(C(=O)N2CCCCC2)c1 470487436 PEELJEXWHMLONN-IBGZPJMESA-N 423.513 4.737 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(Cl)c1 474145212 PESMSRYSSYBEQN-UHFFFAOYSA-N 400.765 4.889 5 20 HJBD O=C(CN1CCCC[C@@H]1c1nc2ccccc2o1)Nc1ccc(Cl)cc1[N+](=O)[O-] 474209395 JDEMEYKVYRVDGP-MRXNPFEDSA-N 414.849 4.555 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(-c2cccc(F)c2F)o1 478904254 ATXXBONWBXHMQC-ZRDIBKRKSA-N 421.359 4.787 5 20 HJBD CCOc1cc(N2CCN(C(=O)Nc3cccc4ccccc34)CC2)ccc1[N+](=O)[O-] 478913559 CYGPNPCLSZMBKM-UHFFFAOYSA-N 420.469 4.501 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 485469646 VGQBEDLEFQBDGS-UHFFFAOYSA-N 417.306 4.961 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@H](C)c1cc([N+](=O)[O-])ccc1C 485746956 URTBANGDXHNEHA-CQSZACIVSA-N 409.408 4.944 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486110063 ZIXNSVOPDWZZGY-RBUKOAKNSA-N 404.388 4.908 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(-n3nc(C)cc3C)cc2)c1[N+](=O)[O-] 486343566 MMZATSZRIFHFIW-HNNXBMFYSA-N 410.499 4.610 5 20 HJBD COC(=O)Cc1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 500792084 LKEBSCUGHYXVLF-UHFFFAOYSA-N 410.401 4.854 5 20 HJBD COc1ccc2nc(C3CC3)cc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c2c1 507691623 DYASTZSZEZUUDM-UHFFFAOYSA-N 403.438 4.622 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(Cc2ccsc2)c2ccccc2)c(Cl)c1 509562181 WWYMLMLASJQYCS-UHFFFAOYSA-N 408.888 4.705 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(Cl)cc2)cs1 515434338 AHVZKQOZJVQJAJ-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OCCC(C)C 515791079 KEVQZMYYCAOZLT-CQSZACIVSA-N 404.438 4.658 5 20 HJBD C[C@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 518909597 ONBFISXULBGUKG-SFHVURJKSA-N 419.481 4.793 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2ccccc2O1 520367389 TYOMYEHSCGHFGA-MRXNPFEDSA-N 403.438 4.635 5 20 HJBD O=C(NCCCOc1cccc2cccnc12)c1cc2cc([N+](=O)[O-])ccc2s1 520982722 YIXQTDOAUXCJJJ-UHFFFAOYSA-N 407.451 4.557 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 521749966 ZKHARMQGTSRXKL-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD Cc1nn(CCC(=O)Nc2nc(-c3ccccc3)c(C(C)C)s2)c(C)c1[N+](=O)[O-] 523274587 OKOFZIBIWVJXDR-UHFFFAOYSA-N 413.503 4.684 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2Cl)o1)NCc1cccc([N+](=O)[O-])c1 524339086 QETJVMWTIUMJJY-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)o1 525500734 UTTDAUIMZXHTEO-MRXNPFEDSA-N 402.447 4.525 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](Cc2ccccn2)c2ccc(Br)cc2)cc1 530390256 SIYRIFQZMHEYJR-HXUWFJFHSA-N 412.287 4.826 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(Cl)c1 537089552 KOFJBOSTTWBVAH-UHFFFAOYSA-N 407.879 4.959 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(SC(C)C)cc1 539301870 SRKRVCYWAJQMPE-HNNXBMFYSA-N 417.531 4.783 5 20 HJBD COc1cc(C(=O)Nc2ncccc2-c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 540051503 KHALXIVUKOGWNK-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC1CCN([C@@H](C)c2ccncc2)CC1 540148689 AIVIUYLIRUGTPU-PKOBYXMFSA-N 414.575 4.676 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 542454676 IQKDVXNLTSIFBI-HXUWFJFHSA-N 408.458 4.522 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)CSc3ccc(Cl)cc32)cc([N+](=O)[O-])c1OC 544220170 FBFYYNFGQQOOKE-LLVKDONJSA-N 422.890 4.654 5 20 HJBD Cc1c(NC(=O)CCN[C@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 544486125 CRUGUXSMJMMBMR-OAHLLOKOSA-N 410.499 4.706 5 20 HJBD COc1cc(-c2nc(-c3ccc(SC)cc3Cl)no2)cc([N+](=O)[O-])c1OC 547219791 KCRJQNZPCVNRHV-UHFFFAOYSA-N 407.835 4.704 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 563717209 SAMFMGZNVAUXIQ-DIFFPNOSSA-N 423.416 4.977 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 563717213 SAMFMGZNVAUXIQ-GTNSWQLSSA-N 423.416 4.977 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 573504837 FOPFKSOKPBKELP-HNNXBMFYSA-N 403.438 4.898 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@@]2(CCc3ccccc3O2)C1 579648986 NGAIQBXASXFKFU-IBGZPJMESA-N 421.375 4.615 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939636 ZZJHGRKNWGWUFT-QVKFZJNVSA-N 421.501 4.589 5 20 HJBD O=C(Nc1cccc(COC2CCCCC2)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 604464963 JPUYVXUWYCFBMN-UHFFFAOYSA-N 420.469 4.882 5 20 HJBD C[C@H](Nc1cccc2c1ccn2C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 608889071 GITDMUWVAANALO-NSHDSACASA-N 406.364 4.544 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)c4cc5cc([N+](=O)[O-])ccc5o4)C3)[nH]c2c1 608986760 NPVPLUATBVZWEK-CQSZACIVSA-N 404.426 4.546 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3cc(C)c(C)cc3C)cs2)cc1[N+](=O)[O-] 609009796 OXECRTHAOYYWGB-UHFFFAOYSA-N 411.483 4.833 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 609754237 IFVLYHNILYIPIY-DZGCQCFKSA-N 405.292 4.803 5 20 HJBD Cc1ccc([C@@H]2[C@@H](C)CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609997883 ZEYRDGJKLGKXKU-NYHFZMIOSA-N 405.454 4.770 5 20 HJBD C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C[C@@H](C)O 610126249 QTXVXLAOURGTCY-QWHCGFSZSA-N 408.907 4.536 5 20 HJBD Cc1ccc(F)c(C(=O)O[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 727214164 GYIJKEXJIBVESF-NRFANRHFSA-N 422.412 4.888 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(CCc1ccccn1)Cc1ccc(F)cc1 727313900 OSBGYNMLWHBJGL-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 729956695 MQMOQQHPDVKMGS-INIZCTEOSA-N 419.275 4.733 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3cc(C(F)(F)F)ccc3F)[n+]2[O-])c1 733206554 NPBIILQTYCSPKZ-GQCTYLIASA-N 405.307 4.619 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OCc1nc(-c2ccc(Br)s2)no1 733903820 RJJKJZQYSPCKPS-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734890118 BNBQWSHDNXLSQS-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Oc1ccc(NC(=O)c2ccccc2)cc1 735419963 BFSVQRYJGOINGP-UHFFFAOYSA-N 410.813 4.649 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)ccc2C)c1 742004330 BAFXTANSQVLFCL-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD O=[N+]([O-])c1cn(CN2CCc3sccc3[C@H]2c2cccs2)nc1-c1ccncc1 742145197 ICNMCYUAAPQVFS-FQEVSTJZSA-N 423.523 4.582 5 20 HJBD CS[C@H]1CC[C@@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 742383254 LBDZFRLDEPGKIF-NQIIRXRSSA-N 408.527 4.821 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(Nc2nc3sccn3c2[N+](=O)[O-])cc1 742426169 LQEGEBPXJDUPHZ-NSHDSACASA-N 403.464 4.633 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCCCC[C@H]1c1ccncc1 754964505 AZHVNPOUISDPKY-HNNXBMFYSA-N 422.254 4.649 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@H](O)c1cccs1 755765509 XEMSZPHFKYZFJH-SFHVURJKSA-N 416.886 4.686 5 20 HJBD Cc1nc(COC(=O)CCOc2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 757044415 VNAAJBDGMKKJGJ-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD CCN(Cc1cccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 758167988 WVTMOINCADXEFN-UHFFFAOYSA-N 417.437 4.743 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(C)C 771515135 SQNVECUNVUFLIK-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1cc2ccccc2c2cccnc12 772321639 BRMASVVTTILOIG-FUHWJXTLSA-N 419.525 4.906 5 20 HJBD Cc1c([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)nnn1-c1cccc(Cl)c1 773040807 OCRWKIJPJCKJDE-LLVKDONJSA-N 406.273 4.642 5 20 HJBD Cc1ccc2c(CC(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)c[nH]c2c1 775496096 RQBSISFVWJWVTE-UHFFFAOYSA-N 406.467 4.714 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218545 SBZYGSHNHUZOBB-HSZRJFAPSA-N 415.405 4.520 5 20 HJBD CC[C@H](Oc1ccccc1F)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218695 UGSJAEFOKIRCIH-PZJWPPBQSA-N 410.401 4.619 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(C[C@@H](O)c4cccc(F)c4)n3)cc2)c1 777736424 QLWORJUXVAHXNE-HXUWFJFHSA-N 421.384 4.852 5 20 HJBD C[C@H]1CC[C@H](C(F)(F)F)CN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 780607018 AKDAOUUPLDHZBZ-IUCAKERBSA-N 410.190 4.552 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@@H]1CCc1ccccc1 782167388 OAJADBMVQYBIIQ-OAHLLOKOSA-N 403.276 4.595 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] 782519949 UKISEAAHNOWRNC-ZDUSSCGKSA-N 413.455 4.805 5 20 HJBD O=C(OC[C@H]1CCC2(CCCCC2)O1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 786283932 HWMPAYXCCJCCQS-MRXNPFEDSA-N 402.472 4.757 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1csc(-c3ccoc3)n1)CC2 792896275 FRRHVVUUQGTMOX-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cn1 798671310 LSZZVNAZXZFMOD-WDEREUQCSA-N 400.378 4.794 5 20 HJBD C[C@@H](Nc1ccccc1NS(=O)(=O)c1csc([N+](=O)[O-])c1)c1ccccc1 799928441 ATFKCTVAZVNZEN-CYBMUJFWSA-N 403.485 4.630 5 20 HJBD C[C@@H](COC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 800168084 FOUVOAZBBRJMJM-NSHDSACASA-N 420.343 4.828 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccc(-c2noc(C(F)F)n2)cc1 805637032 UAZOKETYULAPTR-LLVKDONJSA-N 403.341 4.809 5 20 HJBD COC(=O)c1sc2ccccc2c1CNC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809917008 AZODZESTAUJDKC-UHFFFAOYSA-N 419.846 4.571 5 20 HJBD CCS(=O)(=O)c1ccc([C@@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 810011946 RAGMEDRQAWFQJV-LLVKDONJSA-N 417.314 4.546 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(-c2ccccc2C(F)(F)F)on1 813243669 TYCFFCKFBGDTFT-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1ccc(Cl)c(Cl)c1 857831278 PIHVXUZAWGZMHM-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cc(F)cc(F)c2)s1 875036514 CYTZSXXIOKGZKH-ZZXKWVIFSA-N 401.394 4.572 5 20 HJBD C[C@@H](OC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(OC(F)F)cc1 920552412 PJGPZHJZCYLXSD-GFCCVEGCSA-N 417.368 4.515 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 921200837 YOMUQDQJAKBWSZ-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD Cc1c(-c2nnc(COc3ccc4ccccc4c3)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1118065733 ZRJLQNUYQMQSMD-UHFFFAOYSA-N 406.354 4.594 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC3CCC2CC3)cc1[N+](=O)[O-] 1254092850 FHBQXDBYYZCWTF-UHFFFAOYSA-N 410.495 4.562 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccccc2[C@H](O)C(F)(F)F)c(Br)c1 1320397173 AUSFBVPEPOROTJ-AWEZNQCLSA-N 405.170 4.565 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1 1353231265 IBOGTWCDGMNVSV-CABCVRRESA-N 408.298 4.540 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1ccc(OC)c([N+](=O)[O-])c1 7596886 SDXANAPLHDYOLG-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 16015045 SDGUVPUHDGDDOU-JLHYYAGUSA-N 408.479 4.907 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3Cc4ccccc4[C@@H](c4ccccc4)C3)c1)OCOC2 25399691 UKZUZOBNKBLFCG-HSZRJFAPSA-N 402.450 4.609 5 20 HJBD Cc1nc(-c2ccc([C@H](C)Nc3ccc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2)cs1 27546087 ZYSRTEKAYJTEEI-LBPRGKRZSA-N 417.512 4.603 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 53493566 RWZPSDNHSLMAIG-UHFFFAOYSA-N 415.837 4.742 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 57070894 RHLRQPQPHHWBKM-LLVKDONJSA-N 410.373 4.634 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 65751124 RRHOMQKNRRLNGV-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD O=C(NCc1ccsc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 106294253 OTRCIJHZODWNDF-UHFFFAOYSA-N 401.875 4.852 5 20 HJBD CCN(C[C@H]1CCCO1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 109344687 JEDWNGAIYJDCKM-QGZVFWFLSA-N 404.850 4.682 5 20 HJBD COc1ccc(C[C@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 237439056 OKLWYZRYMXOOTP-FQEVSTJZSA-N 422.481 4.694 5 20 HJBD Cn1c(COc2ccccc2Cl)nnc1Sc1ccc([N+](=O)[O-])cc1Cl 248016693 GOMIEUGWQDUETN-UHFFFAOYSA-N 411.270 4.760 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1)c1cccc(F)c1 301101280 QMHIRXWAVOQPDY-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(C(=O)Nc3ccc(F)cc3)CC2)ccc1[N+](=O)[O-] 301882746 NAYUFTKRBZCERM-UHFFFAOYSA-N 415.465 4.766 5 20 HJBD COc1ccccc1[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)N(C)C 409719509 JYDGTVCLDWAGJG-FQEVSTJZSA-N 411.527 4.724 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(Br)c1 426120648 SRKBEHFCTITMIW-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 427481197 PMXMNUQAVKMSHQ-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NCc2noc(C3CCOCC3)n2)c1 434880283 MDHNLHXQUPGXEB-UHFFFAOYSA-N 418.500 4.697 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1cccc(-n2cccn2)n1 435449659 UNMRSORKWMWHLA-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD COc1cccc(C(=O)Nc2ncc(Cc3ccc(Cl)cc3C)s2)c1[N+](=O)[O-] 435567745 MGEYMKUUZAZRHG-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CCOc1ccc2nc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)sc2c1 436359953 LCTVJWHMJUHDFQ-UHFFFAOYSA-N 415.474 4.942 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(C)c1)Cc1ccccn1 439882308 PABQRBKFJCDMNS-UHFFFAOYSA-N 420.469 4.931 5 20 HJBD COc1ccc(Nc2ncc([N+](=O)[O-])s2)cc1OCc1ccc2ccccc2n1 443508826 GNRVTBXCIZYKQT-UHFFFAOYSA-N 408.439 4.931 5 20 HJBD CC(C)[C@@H](c1ccccc1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447019748 CXLWRLMTIJONQG-KRWDZBQOSA-N 416.421 4.631 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1CN1C[C@@H](C)O[C@H](C)C1 462218372 NEJAYLYFNWCZGL-QZTJIDSGSA-N 413.518 4.603 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(SC)c(OC)c1 462483683 NHFSEWVRILTEJU-KRWDZBQOSA-N 402.516 4.553 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 463950324 LSSHLBUEYVTJDO-UHFFFAOYSA-N 407.474 4.698 5 20 HJBD COc1ccc(Br)cc1CN(C)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C 463950960 OKGATZIWEFCOCW-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD CCN1CCC(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 466603869 HCXCAYJAWMJXGY-UHFFFAOYSA-N 419.934 4.614 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 468185887 DXMNSBYASALVJF-IRXDYDNUSA-N 411.502 4.518 5 20 HJBD CC(C)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 470319697 XXKMRTZRIPGCFW-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD COC(=O)c1cnc(NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c([N+](=O)[O-])c1 478283628 SNNUBQPYHDTMQR-GOSISDBHSA-N 416.437 4.502 5 20 HJBD COc1cc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)cc([N+](=O)[O-])c1C 479664495 ZWYPSQCPWIXVKW-UHFFFAOYSA-N 419.437 4.725 5 20 HJBD COC[C@@H](C)n1c(S[C@@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484488582 LDVWBETXIUDLQU-CABCVRRESA-N 413.499 4.674 5 20 HJBD Cc1cc(OCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)ccc1Cl 486116669 FPQOSGWGMPMGQN-UHFFFAOYSA-N 416.783 4.527 5 20 HJBD CC(=O)c1ccc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)o1 486445783 ZPBMUBWHUYQDKB-UHFFFAOYSA-N 413.455 4.817 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 486868410 JPBWWBMYCAVJSA-UHFFFAOYSA-N 404.854 4.651 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 487037899 BKDAUZOCYKDCAT-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)o1 488864845 ZLNWGTKXYMPMOL-DYVFJYSZSA-N 412.471 4.639 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489780487 UOFXMFIHLDBZNL-SFHVURJKSA-N 401.463 4.645 5 20 HJBD CCOc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cc(Br)c1OC 492336817 XEYHVQNBMWSHML-UHFFFAOYSA-N 423.307 4.790 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)Cc1ccc(Br)c(F)c1 494636832 MHCLIBCRGCRRRL-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497837701 VDZCYFRIXHKAHJ-ZDUSSCGKSA-N 414.405 4.667 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497872813 HQCDVCOBRCCWFZ-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](c1ccc(Cl)cc1)c1ccccn1 497888543 FCBREBIDVFZABG-GOSISDBHSA-N 401.875 4.956 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Cl)c(OC(F)F)c2)c([N+](=O)[O-])cc1OC 504164043 LFJQVQALSJFHJU-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCc1cccc(C(F)(F)F)c1 506997693 TWFOZZFTLMJSQG-ZDUSSCGKSA-N 412.433 4.843 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(OCc2ccccc2)nc1 508584552 ALEXRQSRSALXSI-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD Cc1c(NC(=O)NCc2ccccc2CN(C)C2CCCCC2)cccc1[N+](=O)[O-] 515776451 DRBFJUJVCCUERO-UHFFFAOYSA-N 410.518 4.989 5 20 HJBD CCCOc1ccc(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)cc1OCCC 520990121 HVQNCPNEECKCDN-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)Nc1cccc([N+](=O)[O-])c1C 535230596 NUVRWTFIGYMYTE-UHFFFAOYSA-N 404.348 4.873 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC2(CCN(Cc3ccccc3)C2)CC1 535669693 AWXOMDMRIGCUJO-UHFFFAOYSA-N 422.529 4.732 5 20 HJBD Cc1sc(NC(=O)c2cnc([C@@H]3CCCO3)s2)nc1-c1cccc([N+](=O)[O-])c1 536323310 CJSKCNBTCAAAQZ-ZDUSSCGKSA-N 416.484 4.587 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2cccc(C)c2)CC1 537796562 SYHCEYWEHIXTCG-UHFFFAOYSA-N 412.511 4.571 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H](C)C3(CCC3)C2)cc1[N+](=O)[O-])c1ccccn1 540029371 BQEQKVIHPUZXFS-SJORKVTESA-N 408.502 4.815 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(C)c(Oc2cc(C)ccn2)c1 542400320 HZKIVQUVAXNQFS-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3ccccc3C)CC2)cc1SC 542669295 CMNSBEITCKJEHB-UHFFFAOYSA-N 400.500 4.654 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 544138304 KKHYRMFHRNMFCQ-LSDHHAIUSA-N 418.877 4.976 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)s2)cs1 544462702 JFHOSZOXUAJECB-LLVKDONJSA-N 419.503 4.690 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCOCC2(CCC2)C1 544715408 VTMUWKRXTLSZLG-UHFFFAOYSA-N 416.861 4.683 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4cncc(Br)c4)no3)cc2c1 545608824 MSWADRNKXJKTOK-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 546489718 HSMKMOMKZOXUKY-MRXNPFEDSA-N 406.398 4.662 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(CCCOc4ccccc4Cl)n3)c2c1 546489978 PKGJJLGCMKSISG-UHFFFAOYSA-N 412.833 4.715 5 20 HJBD COc1cc(Cc2noc(/C=C\c3ccc(Br)s3)n2)ccc1[N+](=O)[O-] 547177528 ASDWXECKXIMRQI-DAXSKMNVSA-N 422.260 4.572 5 20 HJBD Cc1cc(N2CCC(C(=O)NC3CCCCC3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 549134215 QWEFFWZHLPVLBW-UHFFFAOYSA-N 413.440 4.587 5 20 HJBD O=C(NCCCc1cccc(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559234791 VMVODZBDMIHTDL-UHFFFAOYSA-N 420.309 4.995 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)C(F)(F)F 569209100 RIEXXQUDKDJBBY-VIFPVBQESA-N 420.290 4.778 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(Br)cc1F 582936221 GDRFCOVQDROGMU-JTQLQIEISA-N 418.222 4.536 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(Cc2ccc(Br)c(Cl)c2)n1 589069475 YFPYWXHNLGCHOW-UHFFFAOYSA-N 408.639 4.575 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H](n2cc(Cl)cn2)C1 589672041 WFUHQVLPYQTSDS-OAHLLOKOSA-N 420.922 4.567 5 20 HJBD Cc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1-c1nnnn1C1CC1 600857878 WRJLWDLTSKQABL-UHFFFAOYSA-N 418.379 4.523 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)NCc1ccccc1[N+](=O)[O-] 603811908 GESMXNXOBUFXKD-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609855252 HVCYMDWGURNXDX-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1 610043986 GOGGSXSLEQBIQK-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCc2ccncc2)cc1 610095526 XXOAEHSCAWOTDP-UHFFFAOYSA-N 420.469 4.713 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 726605993 ORFNPQWDKKQPHF-MRXNPFEDSA-N 415.490 4.592 5 20 HJBD CC(=O)c1cc(Br)ccc1OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 727966937 PRHKMMHMDAZETC-LLVKDONJSA-N 424.272 4.646 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 729400587 JSAOOHAJVNNBMI-UHFFFAOYSA-N 403.460 4.673 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1csc(COc2ccc(F)cc2)n1 734782031 KZWRWGHEQINGKO-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)ccc21 735330501 PQSGRXQJFWCMFN-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD COc1cc2ccccc2cc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445363 FJPGJHOWCYWILM-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD COc1ccc(S(=O)(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)c2ccccc12 736421113 QMNZOIMBHVUMBL-UHFFFAOYSA-N 406.847 4.519 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744202342 DUJADWGTFKAWOK-HNNXBMFYSA-N 409.417 4.683 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 746059965 YLQNYYXRSMMUDB-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(c1ccc(Nc2ccccc2)cc1)C(C)C 747123732 HEUZYBHGBXCGGR-UHFFFAOYSA-N 407.474 4.675 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437757 VREYNTOXHKNYPE-SECBINFHSA-N 409.733 4.614 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)c1Br 754037947 QRWNFCRPXPDLRT-MRVPVSSYSA-N 410.659 4.764 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)C[C@H](O)c1cccs1 755759333 YBRQRJHKNSBOFJ-SFHVURJKSA-N 416.886 4.686 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 763405579 QMBGMHWVXZFIHI-IRXDYDNUSA-N 418.449 4.936 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] 775404664 GEMALSXDUCHLIW-CQSZACIVSA-N 409.255 4.590 5 20 HJBD CS[C@@H](C)CCC(=O)O[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 779108884 PSKVEHKDPAFCFZ-MGPUTAFESA-N 416.499 4.658 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)on1 789441423 TVKOOPCMWJZOSQ-UHFFFAOYSA-N 413.455 4.552 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1ccc(OCC(F)(F)F)c(F)c1 800497548 KWQJIDYKULRDEM-LLVKDONJSA-N 415.343 4.504 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(C2SCCCS2)cc1 803410473 JWUPTZSJBMZBOB-UHFFFAOYSA-N 402.541 4.778 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809933928 AOSSDZVESOKCTC-UHFFFAOYSA-N 407.257 4.573 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccn1Cc1ccncc1 811085246 FIYNRAYXNRCKQL-UHFFFAOYSA-N 406.225 4.503 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 811218424 ADBSYSDUWLJQRY-LLVKDONJSA-N 419.484 4.629 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1NCc1ccccc1[N+](=O)[O-] 823666824 TUZNPQBUHRIXBA-CMKODMSKSA-N 403.523 4.643 5 20 HJBD CC[C@H](C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccccc1 864244651 HSTXVFPVLMMURT-IBGZPJMESA-N 408.458 4.576 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C 921281472 SEOONMCAPLDWOI-HUUCEWRRSA-N 409.486 4.582 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)N1Cc2ccc([N+](=O)[O-])cc2C1 1327532918 MXKTUPAWESGSHC-UHFFFAOYSA-N 405.439 4.519 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1344608756 YOEZHXVVCTWOGO-UHFFFAOYSA-N 413.327 4.613 5 20 HJBD Cn1c(SCc2cn3cc(Cl)ccc3n2)nc2cc3ccccc3cc2c1=O 7128183 QEPOSGLUZHEIPX-UHFFFAOYSA-N 406.898 4.680 5 20 HJBD COC[C@H](C)n1c(C)cc(C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)c1C 7797853 KILHHMYJUKGPEA-LBPRGKRZSA-N 419.528 4.805 5 20 HJBD CCCCc1ccc(C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 10691609 UKAPAXFIZTVJON-UHFFFAOYSA-N 424.375 4.752 5 20 HJBD C[C@@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1ccccc1[N+](=O)[O-] 21741609 CWOBMUHJBMPWTL-LLVKDONJSA-N 418.525 4.691 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@H](c3ccc(F)cc3)C2)c([N+](=O)[O-])c1 29528093 DREWELGQFNQIMT-QFIPXVFZSA-N 406.413 4.543 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 56545945 UEKMRBFROMSANE-UHFFFAOYSA-N 413.865 4.735 5 20 HJBD Cc1ccc(Br)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 64421026 YJWNUUQXULNFCP-JTQLQIEISA-N 413.655 4.725 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n(-c2ccccc2F)n1 64908506 NDPSSVQUTVAUPG-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1ccccc1C(F)(F)F)C1CC1 65724583 GGLRAZFGIHGJPQ-UHFFFAOYSA-N 417.387 4.760 5 20 HJBD COc1ccc(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)[nH]c3c2)cc1[N+](=O)[O-] 71959774 AQZQUSNMAJXATJ-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c(OCc2ccccc2)c1 71981539 BGEYQZAIOMYAFP-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD COc1cc(CNc2ccc(NC(C)=O)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 237270387 XUAIVWQDOUNIDU-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD Cc1cc(NCC2(N[C@@H](C)c3ccccc3)CCOCC2)c2cccc([N+](=O)[O-])c2n1 302002589 JNYWGVYEBHVPSQ-SFHVURJKSA-N 420.513 4.763 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1cnccn1 426864132 KQPRQXJSOUJBMY-IBGZPJMESA-N 403.269 4.913 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 430202068 DZXQPFRYFRRILY-OAQYLSRUSA-N 420.444 4.623 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc2noc(-c3ccc(F)cc3)c2c1 437276049 LRCHCIIZBQJLNX-UHFFFAOYSA-N 405.385 4.814 5 20 HJBD C[C@H](C(=O)Nc1ccccc1Cc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 438513468 IOXRXHLMECHISE-GOSISDBHSA-N 415.493 4.571 5 20 HJBD O=C(NCC1(CCO)CCCCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442634270 MDQCFQLEAROEQI-UHFFFAOYSA-N 414.527 4.809 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc1 443977628 JAMXHWFGSMVBNC-UHFFFAOYSA-N 420.903 4.670 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Nc1ccc(O[C@H]2CCOC2)cc1 447676382 FEFKRFGSHZUDFB-LBPRGKRZSA-N 419.306 4.555 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC[C@](CO)(Cc2ccccc2)C1 462935855 LWDIRTWAQYPDOU-MHZLTWQESA-N 410.517 4.845 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])cc1)c1ccccc1 463601795 YKGGEJGEJCPLIH-QGZVFWFLSA-N 404.470 4.843 5 20 HJBD Cc1ccccc1[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(C)C 465921453 PXCVYEQFSJQNNF-JOCHJYFZSA-N 411.502 4.853 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OC1CCCC1 466454591 JUCOFNVSHSXJPR-CYBMUJFWSA-N 402.422 4.555 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 470153097 WSKPMASJURCNFL-UHFFFAOYSA-N 411.437 4.515 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470706638 UTDQGZDOHBRNSF-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCSc2ccc(F)cc21 471234777 PQYRLXCWQSQGRI-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ccc1Cl)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 471445615 XIVAAZVNLQVOHW-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Oc1cccc(NS(=O)(=O)c2ccccc2)c1 473522248 QDCLDLHKRCTVQW-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cn1 475503889 UMCUCCLARYIRBL-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)[C@@H](C)C(F)(F)F 477968335 PMNSALUIAILRAZ-OLZOCXBDSA-N 410.396 4.576 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(SCCOC)cc1 484595167 WBERMMPVCDTLRA-CQSZACIVSA-N 420.556 4.936 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3ccc(Cl)cc3)s2)c1 484706487 MNJODBXDUZWDAS-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 486653270 PNSFCUPFXPLXCR-QUCCMNQESA-N 404.470 4.579 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N(CCc1ccc(F)cc1)C1CCCC1 488420975 PRDIYJQEPBEKFD-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD CCCCCn1nc(C)cc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488888700 AMJRYQKLZGHFHF-UHFFFAOYSA-N 413.400 4.630 5 20 HJBD COc1ccc(-c2noc(CSCc3c(Cl)cccc3[N+](=O)[O-])n2)c(OC)c1 490868921 CHWSDWQDSDBXRV-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccc(F)cc1Br 498036171 OBKSXCUPLHDGTC-NSHDSACASA-N 411.227 4.519 5 20 HJBD C[C@@H]1CCC[C@H](OCCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)C1 499132008 BGXRMSMLRXUVGZ-SJORKVTESA-N 420.506 4.850 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc(C(C)C)n1 499440542 FJDZPRGIETVORW-OAHLLOKOSA-N 420.473 4.637 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 500055883 PWURRTHQDUFHGA-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD C[C@@H](Nc1ccc(Cl)c(OC(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 502584423 JEEGUQOBAPSFIP-MRVPVSSYSA-N 420.199 4.942 5 20 HJBD CCC[C@H]1C[C@H](C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 503135120 BBPNNMNXRMJLKE-QAPCUYQASA-N 424.457 4.574 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 505577721 AHKSPBKRDAFERF-UXEPBGEESA-N 410.417 4.767 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 507112679 WMAMEJGEIOXEGN-UHFFFAOYSA-N 419.466 4.911 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 508275696 QXEAJKVWRDEFSO-ZDUSSCGKSA-N 411.483 4.884 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 509041973 XURNJOGCKWFRHU-LJQANCHMSA-N 412.486 4.643 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 513575004 SPBRKOVCSLHSSM-CRAIPNDOSA-N 402.878 4.558 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)Cc3c(C)noc3C)c([N+](=O)[O-])c2)cc1 523088709 XEVFSWADZGOQEH-AWEZNQCLSA-N 408.458 4.803 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 523139359 PAPSXWZKWDAHJY-JKSUJKDBSA-N 402.878 4.730 5 20 HJBD CCCCn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nnc1[C@H](CC)N(C)C 530932395 YYQFGDKXBVHPMS-HNNXBMFYSA-N 411.959 4.945 5 20 HJBD CC(C)c1ccc(-n2nnnc2SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 534063328 BYDHEWMYIVEKJT-UHFFFAOYSA-N 422.470 4.641 5 20 HJBD COc1cccc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)nc12 537094211 UJXXEKQSPRUFNX-UHFFFAOYSA-N 403.485 4.966 5 20 HJBD CC(C)CN(C(=O)C1CCSCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538255820 QCNNZOUPQVRATB-UHFFFAOYSA-N 405.545 4.851 5 20 HJBD C[C@H]1CCCCN1CC(=O)Nc1ccc(F)c(N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 538545280 MZRHMEDFPUSMLL-JKSUJKDBSA-N 414.481 4.720 5 20 HJBD COCCC[C@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Br)cc1 539093874 OTNVBJJPOQJBFQ-KRWDZBQOSA-N 423.307 4.623 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC(F)F 539146411 FHEIZYGUVQSXKW-UHFFFAOYSA-N 411.380 4.820 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)c2cc3c(cc2Br)OCCO3)cc1[N+](=O)[O-] 540191995 WPRWBEXYCLDKMR-STQMWFEESA-N 421.291 4.849 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 541495642 YHFRMXVKBBBOGA-UHFFFAOYSA-N 421.457 4.887 5 20 HJBD CCc1ccc([C@@H](NCc2ccccc2Cn2cccn2)c2ccc([N+](=O)[O-])cc2)o1 541549037 OXLVROPRXIWAEF-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 543692792 ZOGCUNJPYVSJQJ-KRWDZBQOSA-N 411.393 4.543 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2Cn2cccn2)no1 544974359 KRGCYZDUVJPKMZ-CQSZACIVSA-N 407.455 4.743 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1 546088945 XEQIAYFDMUOHRF-JOCHJYFZSA-N 412.511 4.921 5 20 HJBD CCc1cc(Br)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548949483 NRLNKMBEFIPAHJ-UHFFFAOYSA-N 406.280 4.626 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(-c4ccc(C(F)(F)F)cc4)o3)n2)n1C 551052700 ZVGSNNUKMLJMTF-UHFFFAOYSA-N 419.319 4.633 5 20 HJBD Cc1cnccc1S[C@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 560984606 YJWLTGRNNPNXDA-GFCCVEGCSA-N 400.485 4.541 5 20 HJBD COc1ccc(Cl)cc1C1(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)CCOCC1 573214103 BLUZPOOYGFDEIN-UHFFFAOYSA-N 418.877 4.620 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 581914728 SGNBOHZJKGDHDM-MRXNPFEDSA-N 402.426 4.531 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H](c3cccc(F)c3)C2)c([N+](=O)[O-])cc1OCC 582793463 AQMLTGFRKBMICX-OAHLLOKOSA-N 417.437 4.553 5 20 HJBD COC(=O)c1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 583839446 IFNHZSZIIOSSRM-UHFFFAOYSA-N 410.401 4.603 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 583900792 VHYSDUOFZDVECF-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD C[C@H](C(=O)Nc1ncccc1OCc1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 584030669 PAWDMUMCIHKPGD-AWEZNQCLSA-N 411.845 4.964 5 20 HJBD C[C@H](C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 590422790 NJPHDTBNCKDNKA-LBPRGKRZSA-N 410.367 4.557 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 603964622 YKPNSAYFRWVPAS-CKFHNAJUSA-N 412.515 4.855 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)cc1[N+](=O)[O-] 603987119 JWGMCOIELDPASS-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD COc1cc(C(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 609228316 OTZDAPIJPMRKQT-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(c2nc3ccccc3s2)CC1 609854001 BPJJOHVOUPDJIH-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 609854117 GKSFIDMLKVQSDF-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609857861 QCOZFQVRSKGEKF-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCS[C@@H](c2ccccc2)C1 681999506 PYBBGTQHDLMBHT-JOCHJYFZSA-N 400.503 4.612 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C[C@H](c2ccccc2)C(F)(F)F)cccc1[N+](=O)[O-] 728652267 CRPHROGGWHNLAF-DZGCQCFKSA-N 424.375 4.510 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc(C)cc([N+](=O)[O-])c4N)CC3)c[nH]c12 729524856 VCPMXHVNKCIDID-UHFFFAOYSA-N 406.486 4.549 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](CCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1ccccc1 729651978 RLKOQIXNAOBERZ-KRWDZBQOSA-N 417.437 4.719 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@H](C)C2 730695842 XVOFLULVACCWHQ-WPGHFRTFSA-N 417.845 4.679 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 731526823 DRHDOYQQFHGWQV-CQSZACIVSA-N 408.385 4.585 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cc2)nc1 733235944 HEKXEECLEQIYCY-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD C[C@H](OC(=O)C1(Sc2ccccc2)CCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 733556779 SUQPRNYITAVBHB-HNNXBMFYSA-N 414.483 4.570 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 741202262 ZAVCOECWKXRIIW-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD Cn1c(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)ccc1-c1ccc(F)cc1 741958977 YEKWNPWEOHLXEX-NRFANRHFSA-N 411.458 4.627 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 742464803 PWFRORZFGKMLDG-KXBFYZLASA-N 413.474 4.744 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cnc1Cl 749243804 IRUZLTMGLBBADS-CYBMUJFWSA-N 411.849 4.772 5 20 HJBD COc1ccc(COC(=O)C23C[C@@H]4C[C@H](C2)CC(C(F)(F)F)(C4)C3)cc1[N+](=O)[O-] 751712587 YTCXEXFCEXALIS-BAUKFBFWSA-N 413.392 4.796 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1cccs1)CC(F)(F)F 752339883 AFMBCXCUJLERRR-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 755016395 IRZZGOVTGOQHPI-UHFFFAOYSA-N 423.238 4.978 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 758301729 JJEFCDMUAABLTD-OAHLLOKOSA-N 419.275 4.733 5 20 HJBD C[C@H](CC[S@@](C)=O)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761094394 SKBPHDIDMXHAPE-XHDOVSQSSA-N 419.546 4.820 5 20 HJBD CC(C)c1nnc(CCc2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)o1 761857322 FVKQDRLMEMSQIS-UHFFFAOYSA-N 421.413 4.729 5 20 HJBD CCn1c(SCc2cc(=O)oc3cc(C)ccc23)nnc1-c1ccc([N+](=O)[O-])cc1 768412056 KXGGAPKYPFOJBQ-UHFFFAOYSA-N 422.466 4.580 5 20 HJBD COc1cccc(C(=O)OCc2nc(-c3ccccc3Cl)cs2)c1[N+](=O)[O-] 769601530 RPNOGGHWJFSLMO-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 775525496 FAIQSWQRVNOVIG-AWEZNQCLSA-N 410.861 4.600 5 20 HJBD COc1ccccc1/C(C)=C\C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140541 ZNABHCTWHMKDHO-QHASNUMTSA-N 404.422 4.735 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1cc(Cl)ccc1OC1CCCC1 778193124 RGOXUUMDCNJAQW-UHFFFAOYSA-N 414.849 4.558 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cs1 787944101 MDPVWDSEXPCKCY-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(Cc2ccc(Br)c([N+](=O)[O-])c2)CC1 789762815 UMPWTLQDEZWIHD-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD C[C@@H](NCc1c(Br)cccc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 792182650 YUEOSJHODPOJNH-LLVKDONJSA-N 409.284 4.639 5 20 HJBD CCOC(=O)Oc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 799922976 XNAXEADQTPCWKJ-UHFFFAOYSA-N 418.833 4.840 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Cl)c(C(F)(F)F)c1 809320969 NMPBZXLAOFGRJZ-UHFFFAOYSA-N 401.772 4.610 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(N2CCCOC2=O)cc1 814264440 SJJPRODKYRGPGA-GFCCVEGCSA-N 420.263 4.877 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2c(Cl)cccc2Cl)C2CC2)cc1[N+](=O)[O-] 914478419 MXHHBXYYNFTZNH-UHFFFAOYSA-N 424.240 4.923 5 20 HJBD Cc1cc(CN(C)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc(C)c1OCC(F)(F)F 917848160 DNYHWGRQHUDJPP-TWGQIWQCSA-N 422.403 4.825 5 20 HJBD Cc1cccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1NC(=O)c1ccccc1F 918322339 VXHGOVYRXOQBGO-UHFFFAOYSA-N 421.428 4.567 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 919522733 HPAQXCXKOTWPFI-ZIAGYGMSSA-N 409.442 4.774 5 20 HJBD CCCCCCOCc1cccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)c1 920884171 SKQCJZJTOMWNIW-UHFFFAOYSA-N 414.458 4.715 5 20 HJBD CCOc1cc(NC(=O)Cc2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OCC 10962153 XKUMCMBWLWWJTL-UHFFFAOYSA-N 412.364 4.592 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3ccc([N+](=O)[O-])cc3)cc(-c3ccco3)nc21 11039133 URJDYOUZAQUMHT-UHFFFAOYSA-N 406.398 4.537 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(C/C=C/c1ccccc1)c1ccc2c(c1)OCCO2 23147945 RNEWGYWKPKRZTI-VMPITWQZSA-N 416.433 4.726 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 56097877 RNHMTRWFFGARSQ-QGZVFWFLSA-N 419.485 4.609 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccccc1)c1ccc(Cl)cc1 59012085 GYRGISJXRXSFBD-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccccc2CN2CCCC[C@H]2C)c([N+](=O)[O-])c1 71954930 WAJFDLGKRMPZSR-GOSISDBHSA-N 424.545 4.720 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1[N+](=O)[O-] 105351739 JTZAHDZMCHJDRF-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Br)ccc1Br 160236723 KHWCEMLWRPKBAV-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(C)[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1nc(-c2ccncc2)no1 301699513 DZNUBMRWSLZHDZ-OAHLLOKOSA-N 418.251 4.612 5 20 HJBD COc1ccccc1-c1nc(C2CCN(c3ccc(Cl)cc3[N+](=O)[O-])CC2)no1 302712986 LIPRPBNZEJSLEV-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 303536797 HHMNHMLSTOUSLB-UHFFFAOYSA-N 413.474 4.952 5 20 HJBD CC(C)(C)c1ccc(-c2ccc(CN[C@@H](Cc3ccccc3[N+](=O)[O-])C(=O)O)o2)cc1 426811158 LFPODVXBANPUCO-FQEVSTJZSA-N 422.481 4.938 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(CC(F)(F)F)C3CCC3)cc2[N+](=O)[O-])C1 427920858 CNSMRYVWEOYTQV-ZIAGYGMSSA-N 413.440 4.634 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c2cccnc12)[C@@H](C)c1cc2ccccc2o1 432477573 NAVPRFXLZKVWJR-HNNXBMFYSA-N 419.437 4.878 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC2(CCC2)[C@H]1c1ccccc1 432911424 RNWVAFWNDFJERJ-QGZVFWFLSA-N 403.385 4.955 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(F)cc1Br 435253022 ZLSKOYVHBGXOFZ-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD CN(CCOc1ccc(Cl)cc1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435823274 AHACDXMILJUJJL-UHFFFAOYSA-N 424.859 4.625 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 437441670 JDFGPMFXKRXKHJ-WJYNOGRTSA-N 422.406 4.775 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 440623667 KLBFPDITOGLHLV-ZACQAIPSSA-N 407.580 4.681 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccccc1SCc1cccs1 444638924 XKGKQVQZSHYICU-UHFFFAOYSA-N 424.507 4.755 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(-c4ccc(C(C)=O)cc4)o3)n2)c1 445575143 CGTDTTZYCKWUEI-UHFFFAOYSA-N 419.393 4.707 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc([C@H](C)Sc3cc(Cl)ccc3Cl)n2)n1C 445605224 ROBDFBWYGGBNLI-ZETCQYMHSA-N 414.274 4.847 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1C(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460349394 OLQKYMJNXQIDND-FCEWJHQRSA-N 422.428 4.991 5 20 HJBD CC(C)(C)S(=O)(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 468090051 SREKWAVUNOJTTD-UHFFFAOYSA-N 418.496 4.634 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)c1 468891262 HBGFGDKZBJNFOF-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(C(=O)Nc2ccccc2)ccc1C 470841591 UJMQEFIQFHOUPT-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD COc1ccc(COc2c(Cl)cccc2[N+](=O)[O-])c(Br)c1OC 471292248 KDKLWABGWABDII-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc([C@@H]3CCCOC3)[nH]n2)cc1[N+](=O)[O-] 473404243 CWBKCNVEBHMGBF-OAHLLOKOSA-N 418.519 4.602 5 20 HJBD O=C(NCCOC(F)(F)F)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 474938448 LFMIBUHDTFDJIR-UHFFFAOYSA-N 420.796 4.666 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C\c1nc2cc([N+](=O)[O-])ccc2s1 478367285 GNCOABSLOMVPLE-TWGQIWQCSA-N 408.888 4.822 5 20 HJBD CC(=O)c1ccc(Oc2ccc(OCc3nc(C(C)(C)C)no3)cc2)c([N+](=O)[O-])c1 484194970 ABZLPSFQLYYDKO-UHFFFAOYSA-N 411.414 4.849 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C(F)(F)F)c1 486138014 OVDZOGWWJUMOML-GFCCVEGCSA-N 423.210 4.761 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccnc(Oc2ccccc2F)c1 489091210 YPUSNSNHARBPRF-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD COc1ccc(CSc2nnc(-c3ccccn3)n2-c2ccccc2)cc1[N+](=O)[O-] 489415675 SZQCRRONGKARRU-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CC(C)c1nc(-c2ccccc2NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n[nH]1 492613873 OPNGYNCVOOTMBF-CYBMUJFWSA-N 411.487 4.623 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1cccc([N+](=O)[O-])c1 494653914 LUUHAIBKQQBPJK-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCN(Cc1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1)C(C)C 498141347 XGSMGQNBJQYWPT-JOCHJYFZSA-N 413.543 4.713 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)c1[N+](=O)[O-] 498565654 YZHNZHUUSOHFQD-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]1CCc1ccccc1 498758227 AZWKQTHOTQLLTI-GOSISDBHSA-N 407.495 4.956 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1 500870985 IIGMOFFMIDGLAD-QGZVFWFLSA-N 422.485 4.720 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc(Cl)cc1[N+](=O)[O-] 501905168 IOTSUDBPWOOQHM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)sc2C1 502972148 VHKBEAKZLDLIQW-OAHLLOKOSA-N 417.534 4.765 5 20 HJBD CCOc1ccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Cl 504383236 VASMSVFICXMESX-UHFFFAOYSA-N 405.882 4.767 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2cccs2)n1C[C@@H]1CCCO1 504508327 STIQKRZTSPYUJI-LBPRGKRZSA-N 420.491 4.525 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1cccc(Br)c1OC(F)F 506538928 OLXRHXNWHPJCIL-UHFFFAOYSA-N 409.570 4.586 5 20 HJBD CCCCN1CCC(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 507915894 YMWKOSWJZSSPPN-UHFFFAOYSA-N 401.482 4.880 5 20 HJBD O=C(Nc1nc(-c2ccccn2)cs1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 511874181 QCGPEIDXCUGDNB-UHFFFAOYSA-N 416.437 4.591 5 20 HJBD CCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)[C@H](C)C(C)C 520026238 GGCRPBVFYISJDC-CQSZACIVSA-N 415.456 4.723 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(CSC3CCOCC3)c2)cc1[N+](=O)[O-] 522542233 UNHGLCRXHMQSIX-UHFFFAOYSA-N 415.515 4.691 5 20 HJBD C[S@@](=O)CCCNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 522631127 MFGQXCAMDGQFQN-MUUNZHRXSA-N 404.532 4.543 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2nc(C3CCC3)[nH]c2c1 523972634 KIOYUHINWIKMHT-UHFFFAOYSA-N 414.849 4.800 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ncccc2OC(F)F)cc1[N+](=O)[O-] 524349824 FDYSWFLFHWVENN-UHFFFAOYSA-N 411.430 4.982 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](Cc2ccccn2)c2ccc(Br)cc2)cc1 530390255 SIYRIFQZMHEYJR-FQEVSTJZSA-N 412.287 4.826 5 20 HJBD COc1ccc(CC(=O)N2CC[C@@H](C)[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 533018371 VLFIFNBOLANQJY-XCLFUZPHSA-N 422.403 4.774 5 20 HJBD O=C(Nc1ccccc1)c1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 534728567 MVJRYYKQAZMIMR-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C)O1 535766761 GAWPSFZLAVLUQH-YWVIFJGQSA-N 404.850 4.726 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc([S@@](C)=O)cc1 535888866 PMFOOQSPLCVLIK-PEGYKEAPSA-N 408.479 4.736 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(CCN4CCCC4)cc3)cs2)c1 538832314 FNNPPNCIRJDGQB-UHFFFAOYSA-N 408.527 4.969 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 541783199 BBJAHUNVQOMKNM-FQEVSTJZSA-N 413.380 4.793 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccc([N+](=O)[O-])cc1F 544403227 ZNQWMCXVJXGNSP-GFCCVEGCSA-N 410.430 4.852 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 544677950 UOJSPUJEXLNYOE-UHFFFAOYSA-N 400.385 4.766 5 20 HJBD C[C@H](c1nc(-c2ccccc2CN2CCCCC2)no1)c1ccc([N+](=O)[O-])cc1F 544810666 LGHNEAHGJDWRCX-HNNXBMFYSA-N 410.449 4.922 5 20 HJBD NC(=O)c1ccc(-c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)cc1 545007037 RQTQOJKOEZQAQW-BENRWUELSA-N 418.434 4.643 5 20 HJBD COc1cc(Cc2noc(-c3cccc(-c4nc(C)cs4)c3)n2)ccc1[N+](=O)[O-] 545080593 NHWUDLGZIVRSHR-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccnc(OC3CCC3)c2)no1 547174584 VHBAUZAWZBLGQU-NSHDSACASA-N 416.821 4.765 5 20 HJBD CC(C)(C(=O)Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1ccccc1[N+](=O)[O-] 549930611 NQRXPGCSIQURMA-UHFFFAOYSA-N 424.501 4.919 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CSc2nncn2CCc2cccs2)c1 555009549 TXZKFDDEUJQBBC-UHFFFAOYSA-N 414.434 4.802 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(OC(F)F)cc1 555225442 IZKWFUAUPNCGIG-SECBINFHSA-N 404.291 4.706 5 20 HJBD CNC(=O)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1Cl 556338896 SUYQVSOUZYENDI-UHFFFAOYSA-N 401.875 4.948 5 20 HJBD C[C@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 557759927 XIVJXVVNNVFWCK-LIRRHRJNSA-N 422.403 4.535 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)N(CC)CC)c(Cl)c1 564065447 LFSXTHPXHHNHRN-UHFFFAOYSA-N 405.882 4.741 5 20 HJBD COCCC[C@@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1 571072924 NLOPHEMBISJKBN-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD O=C(NC[C@@H]1COc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 574117239 MRGQJWBMZURMHM-OAHLLOKOSA-N 405.410 4.685 5 20 HJBD Cc1ccc(C(=O)C2CCN(c3cc(C(F)(F)F)ncc3[N+](=O)[O-])CC2)c(C)c1 578302489 PMFHRXANGKCDIO-UHFFFAOYSA-N 407.392 4.725 5 20 HJBD C[C@@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1cn(C)c2ccccc12 579288218 OVGGVROJKIUKOM-CYBMUJFWSA-N 401.426 4.693 5 20 HJBD COc1cc(CN2CCC(F)(F)[C@H](C)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 583174820 KJXPNMMZJALIKY-OAHLLOKOSA-N 406.429 4.660 5 20 HJBD COc1cc(-c2nc(O)c3cc4ccccc4cc3n2)ccc1OC[C@@H]1CCCO1 590124357 JVEJYYRVQZVTMM-SFHVURJKSA-N 402.450 4.722 5 20 HJBD C[C@H](Sc1nnc(Cc2cccs2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608929989 JJQJMBXAPKTOPG-JTQLQIEISA-N 415.456 4.533 5 20 HJBD CNc1ccc(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)cc1[N+](=O)[O-] 609186438 PROVFBGXZLZTIU-LLVKDONJSA-N 414.462 4.699 5 20 HJBD O=C(NCCN1Cc2ccccc2C1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609781043 MIDBLXOHDMDGFT-UHFFFAOYSA-N 418.453 4.524 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(-c3ccc(OCc4cccnc4)cc3)no2)c(Cl)c1 609813561 LJXPQOAAWSPVGA-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD CCOC(=O)c1ccnc(Oc2ccc3cc(Br)ccc3c2)c1[N+](=O)[O-] 609959994 ASVXXZHEKLRKOF-UHFFFAOYSA-N 417.215 4.875 5 20 HJBD O=C(C[C@@H]1CCOC1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 610037291 WFPFMJPXRSJQAG-LBPRGKRZSA-N 409.364 4.722 5 20 HJBD C[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC(F)F)cc1 610100352 VUEJMOHDDBBIJN-AWEZNQCLSA-N 407.417 4.579 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@@H](Cc3ccc(Cl)cc3)c3ccccn3)nc2c1 611939211 PCXQCERAXULABG-SFHVURJKSA-N 408.845 4.858 5 20 HJBD C[C@H](OC(=O)COc1ccccc1OCc1ccccc1)c1cccc([N+](=O)[O-])c1 730745067 ZBCNRXDCGNPNDH-KRWDZBQOSA-N 407.422 4.857 5 20 HJBD Cc1c(C(=O)Nc2ccc3oc(CC(C)(C)C)nc3c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 731199774 XTTFWTXYTJOUPC-UHFFFAOYSA-N 412.402 4.794 5 20 HJBD COc1ccc(C2=N/C(=C/c3ccc(-c4ccc(F)cc4C)o3)C(=O)O2)cc1[N+](=O)[O-] 734315423 LOUAEYYVQKRIEY-GZTJUZNOSA-N 422.368 4.655 5 20 HJBD O=C(Nc1ccccc1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccsc1 739746219 PIFGCQRBNLSLOW-UHFFFAOYSA-N 423.494 4.661 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(Cl)c1 740776617 HTWUQXWPJVEXOV-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 746415017 XLHZJCLATOOYMN-GOSISDBHSA-N 413.430 4.578 5 20 HJBD C[C@@H](OC(=O)CCc1ncc(-c2ccc(F)cc2F)o1)c1ccccc1[N+](=O)[O-] 749055451 LKDYHDDJEGOMTB-GFCCVEGCSA-N 402.353 4.765 5 20 HJBD Cc1cc(C)c(C(=O)CCCC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)c(C)c1 751650709 BWCCDHJHLILJDP-UHFFFAOYSA-N 410.426 4.758 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H]1CCc1ccccc1 754988859 KHUAONXRTKQOCE-OAHLLOKOSA-N 421.266 4.734 5 20 HJBD C[C@@H](C(=O)OCC(=O)c1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1F 755054746 MINRDIOKFJDYJA-SECBINFHSA-N 400.189 4.570 5 20 HJBD O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)Nc1ccccc1SC(F)F 758407263 MAQDBOOQUPCUCR-UHFFFAOYSA-N 412.805 4.551 5 20 HJBD COc1ccccc1[C@H](Nc1cc(Br)ccc1[N+](=O)[O-])c1ccccn1 760269708 KTSLOPUVHJPVIG-IBGZPJMESA-N 414.259 4.962 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](COc2ccccc2Cl)C1 764317039 BINWAGMEDQETGZ-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2ccccc2Cl)cc1C 766468631 UTPFMXCPJKBBGB-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)ccc1OC(C)C 766889767 SBJHEUQOFNWRGF-UHFFFAOYSA-N 413.474 4.633 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])o2)c(C)c1 768350669 CTYXMUORIGEAKD-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(N[C@@H](C)c2ccccn2)c1[N+](=O)[O-])c1ccccn1 771146722 LQTYTYHNFFRDSQ-KBPBESRZSA-N 408.418 4.639 5 20 HJBD COc1cccc([C@@H](O)CN(Cc2ccccc2)c2ccc(C(C)=O)cc2[N+](=O)[O-])c1 772903227 WWKPWLBMPPHDII-DEOSSOPVSA-N 420.465 4.546 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ccc(N(C)C)cc2)CC1 775886736 HCFZGMCWOJWOIE-INIZCTEOSA-N 421.501 4.651 5 20 HJBD CC(C)(C)n1nc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C1CC1 776138735 RZLZMWXUXCOXCP-NRFANRHFSA-N 420.469 4.765 5 20 HJBD O=C(C[C@@H]1CCc2ccccc2C1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140473 YSOHYQZERBQRIE-MZNJEOGPSA-N 402.450 4.818 5 20 HJBD C[C@@H](Nc1ccc2c(c1)N(C)C(=O)CO2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 777089350 AYNKCVGWCFYFEF-CYBMUJFWSA-N 409.467 4.852 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 779161573 ISZXGTOUOSGYII-DOTOQJQBSA-N 415.490 4.590 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cccc(Cl)c1[N+](=O)[O-] 783558074 USHLDJIXDVBCFZ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1cccc(Cl)c1[N+](=O)[O-] 784017893 LGXNHKXDFUXXAP-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD C[C@H](Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc(N)c([N+](=O)[O-])c1 788701326 DHWTURFWQISTRM-NSHDSACASA-N 401.360 4.767 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799338256 IUVKQNGAXIEUQC-GOSISDBHSA-N 420.494 4.652 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN[C@H](CO)c1ccc(C(F)(F)F)cc1 809974243 QFBWGWBQMWYZOS-CQSZACIVSA-N 409.191 4.744 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(N2CCCOC2=O)cc1 814264441 SJJPRODKYRGPGA-LBPRGKRZSA-N 420.263 4.877 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cc(F)c(Cl)nc1Cl 815205832 NKMKRPBEHWUOLM-UHFFFAOYSA-N 413.110 4.812 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccc(OCC(F)(F)F)cc1 864025637 SCCSCOBCFLTLND-UHFFFAOYSA-N 405.170 4.911 5 20 HJBD O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@H]1c1nc(-c2c(Cl)cccc2Cl)no1 904306987 PSXLLKJDEZMAGZ-NSHDSACASA-N 423.212 4.522 5 20 HJBD Cc1nc2c(c(C)nn2C)c(C)c1CC(=O)OCc1nc2ccccc2c2ccccc12 919301075 ZGICVJCMAPYDTN-UHFFFAOYSA-N 424.504 4.881 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc2ccc(C(F)(F)F)nc2c1 920795795 SUHGWHJYOSLTOH-UHFFFAOYSA-N 408.357 4.860 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(-c3cccc(Br)c3)o2)c1 1117224360 YEYDBYYVTZFQPJ-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD C[C@H](CO)N(Cc1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 1255741078 LLTLTNVKXNWJFV-QGZVFWFLSA-N 421.453 4.802 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1319358838 UOVRUVZYOVFQNZ-UHFFFAOYSA-N 405.410 4.943 5 20 HJBD Cc1cc(N[C@@H](c2ccccc2)c2cnn(C)c2)c(Br)cc1[N+](=O)[O-] 1321795392 ANSPMDLPRWYFBC-SFHVURJKSA-N 401.264 4.601 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(Cc2cccc([N+](=O)[O-])c2C)o1 1327892832 NMNGGPLNNHQQDL-UHFFFAOYSA-N 401.850 4.850 5 20 HJBD O=C(CCN1Cc2ccc([N+](=O)[O-])cc2C1)Nc1ccc(Oc2ccccc2)cc1 1331328604 ZMIFOJCEQDBVRL-UHFFFAOYSA-N 403.438 4.732 5 20 HJBD CC[C@H](C)[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5680592 NYMVRBOPQMRPMP-ORAYPTAESA-N 404.850 4.560 5 20 HJBD Cc1ccc(Nc2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)s2)cc1 10317252 GDBTVFJYSHTPER-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)C(C)C)cc1OCC 16709447 OMNVHRRYCMMCGN-OAQYLSRUSA-N 416.474 4.528 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 31726136 XLBCYKXZHZPIBG-MRXNPFEDSA-N 423.256 4.537 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1N1CCCCC1 57941708 LNULWSWSCOJSKP-UHFFFAOYSA-N 423.391 4.621 5 20 HJBD COc1ccc(CNCc2sc(C)nc2C)cc1OCc1ccc([N+](=O)[O-])cc1 237254215 JQFUZXQBRVXUKH-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD CNC(=O)c1ccc(N[C@@H](c2ccc(Cl)cc2)c2cccs2)c([N+](=O)[O-])c1 301304269 ZFDDAQQLDWMWPF-SFHVURJKSA-N 401.875 4.871 5 20 HJBD CCOc1cccc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)c1[N+](=O)[O-] 302209100 FSVOBOPWBKQYTN-HNNXBMFYSA-N 412.421 4.567 5 20 HJBD O=C(O)[C@H](Cc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2ccccc2Cl)s1 426771726 GEWPKDRSQRJFKZ-SFHVURJKSA-N 416.886 4.762 5 20 HJBD Cc1cc(CNC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)ccc1-c1ccccc1 428568698 UUTPJLYFCDOHAQ-UHFFFAOYSA-N 413.433 4.754 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H]2c2cccc3ccccc23)cc1SC 431162782 KNPDSILMYGEPJE-FUHWJXTLSA-N 408.479 4.764 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCSC[C@H]1c1ccccc1 444034628 PRAHIJFIVZXXSE-QFIPXVFZSA-N 400.503 4.612 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCCc1csc(-c2ccc(Cl)cc2)n1 446231126 IJQAOBDWGGPMOM-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD O=C(c1ccc(Oc2ccncc2)c(Cl)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 446419445 DBOAPYIUPFZJMN-UHFFFAOYSA-N 409.829 4.634 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccsc1Cl)c1ccccc1[N+](=O)[O-] 446473813 OOZOPZWNPILIPO-CYBMUJFWSA-N 411.867 4.514 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@@H]1CCCN(C2CCC2)C1 447681253 XPOQIVJEOJBIQH-VXGBXAGGSA-N 422.398 4.709 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(OCC3CCCC3)CC2)nc1OCc1ccccc1 462488363 HNJOUYJSRKBKEP-UHFFFAOYSA-N 411.502 4.744 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C 463968134 YSDFBZKDTANAAZ-FQEVSTJZSA-N 410.518 4.588 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] 466237582 AGJLJLZJHQQCBW-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ccc(C)cc2OC)nc1 470818192 VMCWXFGKEZQDCX-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472960959 UEDDTVJKZWXGDO-UHFFFAOYSA-N 423.296 4.652 5 20 HJBD COc1cc(C(=O)Nc2ccccc2COCc2ccccc2)cc([N+](=O)[O-])c1C 478704055 UIYVIEFBZADSSX-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 485623051 KSOOJRNEVJZFFH-CYBMUJFWSA-N 406.282 4.690 5 20 HJBD O=c1cc(/C=C/c2ccc(-c3cccc([N+](=O)[O-])c3)s2)[nH]c(-c2ccncc2)n1 486073158 CHLFRHACNCAAJI-SNAWJCMRSA-N 402.435 4.639 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)c(OC)c1 489981085 LJIRXMHXVPLVIB-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2F)c1 503424125 BDBSXIAUSJKSGT-UHFFFAOYSA-N 400.435 4.674 5 20 HJBD COc1cc(CN[C@H](c2ccccc2C)C2CC2)c([N+](=O)[O-])cc1OCC(F)F 505480294 NJSDUCNDSUOFKZ-NRFANRHFSA-N 406.429 4.797 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC 506639693 MIJJZYIZMGGIEG-MRXNPFEDSA-N 408.401 4.551 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cc3)nc2)cc1[N+](=O)[O-] 511717284 YZRLBEMSUNQYCQ-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCn1nccc1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 513530857 CKHMPPJIPRMMFM-UHFFFAOYSA-N 404.829 4.686 5 20 HJBD COCCOc1ccc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1OC 514458595 VIAUTZNHNCLQAH-QNGOZBTKSA-N 406.438 4.613 5 20 HJBD COc1cc(CN(C)Cc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OC(F)F 514486468 DZYPOMRPGHHERL-UHFFFAOYSA-N 402.397 4.990 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])Nc1ccnn1C1CCCC1 517912450 KVUBUVJMKRDPRV-UHFFFAOYSA-N 406.442 4.591 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@@H](Cc2ccccc2)C1 518506004 XNMQKNFYIDLWSR-INIZCTEOSA-N 422.403 4.631 5 20 HJBD Cc1ccc(NCCCNC(=O)c2c[nH]cc2-c2ccc(Cl)s2)c([N+](=O)[O-])c1 523517501 IHWZEBASAZCFSV-UHFFFAOYSA-N 418.906 4.845 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 524311397 KXEPZYISBONYBI-UHFFFAOYSA-N 410.361 4.906 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(-c2ccc3c(c2)CCO3)c1 527318220 OMFDIWBXEAMRPY-UHFFFAOYSA-N 404.422 4.848 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccc(CO)cc2)cc1 533195810 MVUVVWOULMHBTE-UHFFFAOYSA-N 410.451 4.610 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)n1 537150264 KWKCRUVXDPMQIL-UHFFFAOYSA-N 417.878 4.562 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2OC(F)(F)F)c([N+](=O)[O-])cc1OCC 538197237 LVAIYNIDUVJGEG-UHFFFAOYSA-N 414.336 4.543 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)Nc1cc(Cl)cc([N+](=O)[O-])c1O 539751270 SGJONDGFWPULOP-UHFFFAOYSA-N 422.224 4.834 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc([C@H]3CCCO3)o2)ccc1Oc1ccccc1Cl 540791816 VQECGCSVYWHAEA-QGZVFWFLSA-N 416.821 4.887 5 20 HJBD Cn1cc(CNc2cnn(Cc3ccc(Cl)cc3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 540808784 HDGMHKHXBKQBPA-UHFFFAOYSA-N 422.876 4.506 5 20 HJBD CCCc1c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cnn1-c1ccccc1 542118342 VNGMJQTYDDXWDB-UHFFFAOYSA-N 419.485 4.930 5 20 HJBD C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccn(-c3c(F)cccc3F)n2)no1 545118286 OTPYZCNHCAFVOK-ACCUITESSA-N 423.379 4.593 5 20 HJBD CC[C@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc(OC)cc1 545146463 GTVVPRMUMZRKCG-CQSZACIVSA-N 406.442 4.795 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CSc2cccc(Br)c2)n1 545535294 WXNREDLVQZTQKK-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nc(Cc3c[nH]c4cccc(Br)c34)no2)c1 545776770 OCELFGPQKBDGMA-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cc1ccc(C(=O)N2CC=C(c3cccc(Br)c3)CC2)cc1[N+](=O)[O-] 545819265 ATYIDVKEGLCKFM-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD C[C@H](c1ccc(Br)cc1)c1noc(CNc2ccccc2[N+](=O)[O-])n1 546545149 FYIYHHCEXIVCGD-LLVKDONJSA-N 403.236 4.504 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(COC(C)C)c1 550555527 KYVNUYIGCDAHJD-UHFFFAOYSA-N 407.264 4.843 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2nccc3ccccc23)no1 556308726 BXQMBKZHWXQCBZ-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD C[C@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 557759925 XIVJXVVNNVFWCK-IFXJQAMLSA-N 422.403 4.535 5 20 HJBD CCCC(=O)COc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 564389425 HEURLBYYPRRNNP-UHFFFAOYSA-N 411.241 4.902 5 20 HJBD C[C@@H](C(=O)Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cccc([N+](=O)[O-])c1 571955455 ADJWFRKQRCHPRN-CQSZACIVSA-N 415.877 4.617 5 20 HJBD O=C(Nc1ccc(Cl)c2cccnc12)C1CCN(c2ccccc2[N+](=O)[O-])CC1 602028790 FCOLGCQRZVQEFS-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603829703 UHIYDLRKBGMXSL-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)cc1[N+](=O)[O-] 609010494 FEWQXJBVKKFVRU-INIZCTEOSA-N 410.495 4.997 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 609723473 WWFNHNOZJRHGQA-UHFFFAOYSA-N 421.183 4.911 5 20 HJBD CCO[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C12CCC2 610185637 ICLPKBSXWIIRNC-WOJBJXKFSA-N 412.511 4.824 5 20 HJBD CC(C)COc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 614710637 FFQSRKDHNQMROR-UHFFFAOYSA-N 400.478 4.828 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1nc(Cc2cccc(F)c2)oc1=S 727818289 ZXRKNWNNQJYIMG-UHFFFAOYSA-N 422.869 4.587 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCC3)c(Cl)c2)cc1OC 730842181 AQOJZRLLHFFPAX-UHFFFAOYSA-N 419.865 4.508 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 735317179 GDJKADWXITYGPG-CQSZACIVSA-N 422.397 4.734 5 20 HJBD Cc1c(C(=O)Nc2cccc(Cl)c2OC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 735638131 QXRRHVWHQIDJDP-UHFFFAOYSA-N 407.810 4.813 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 735802918 NGAFFTFHTVXQKX-UHFFFAOYSA-N 421.840 4.663 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(C)n([C@H](C)c3ccccc3)c2C)cc([N+](=O)[O-])c1 736197778 WXCGXYHQZLIEFF-OAHLLOKOSA-N 421.453 4.661 5 20 HJBD CC(C)(CNC(=O)c1csc([N+](=O)[O-])c1)Sc1ccc(Br)cc1 742730856 UQLCDLUAVSFYIA-UHFFFAOYSA-N 415.334 4.720 5 20 HJBD C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 744048512 JAOJCBDQKQLMMV-DNVCBOLYSA-N 414.458 4.540 5 20 HJBD C[C@H]1CCC[C@@H](OCc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)c2)C1 745092335 UBPLFERSTHWAJC-VBKZILBWSA-N 413.430 4.851 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 746078530 HDVDCBICBGTEDB-UHFFFAOYSA-N 404.684 4.532 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)O[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746439674 PGYVNSFWCJXKAA-OAHLLOKOSA-N 423.265 4.839 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H](C)C1CCCCC1 751056972 HHYBUDQTWCIOHK-INIZCTEOSA-N 414.506 4.988 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1cccc(N2CCCC2)c1 756146188 QBOLBPQEERICLM-AWEZNQCLSA-N 404.308 4.808 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1ccc([N+](=O)[O-])cc1Br 757816758 MFNMFKJIQJEEMT-INIZCTEOSA-N 417.259 4.534 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC[C@H](O)c1ccc(Cl)c(Cl)c1 760130939 JIFLRUBQJGOYKF-KRWDZBQOSA-N 412.273 4.764 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1cc([N+](=O)[O-])ccc1Cl 762919873 MRSUJHDIAUJKDT-CQSZACIVSA-N 414.874 4.820 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3s2)cn1)c1cc(F)c([N+](=O)[O-])cc1F 763382175 QRRXEKXUOBJXBR-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD C[C@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC[C@H]1NC(=O)OC(C)(C)C 764148065 AXLJXVZAWKRXND-GOEBONIOSA-N 407.511 4.512 5 20 HJBD C[C@H](Oc1cccc2ccccc12)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 769345824 ASLDMUSYCFZGEA-HNNXBMFYSA-N 418.405 4.914 5 20 HJBD Cc1ccc(OCCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133555 NKRKXWRDDFPZPI-QHCPKHFHSA-N 406.438 4.790 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(O)c(Cl)c1 786501994 XGXMWOGIEBRCBR-UHFFFAOYSA-N 420.046 4.930 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(Oc2ccccc2Cl)CC1 809917190 BJYWLTXOWDYECN-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD C[C@@H](OC(=O)CCCc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 811350935 XSODFDUFMGRMAA-CYBMUJFWSA-N 415.833 4.925 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ncc(C(C)(C)O)s2)cc1[N+](=O)[O-] 811620812 ZKSKPJLVVWWWDG-UHFFFAOYSA-N 409.533 4.669 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NCc2ccc([N+](=O)[O-])cc2Cl)CC12CCC2 813785302 MPTTVRXFVBIVCH-OAHLLOKOSA-N 409.914 4.660 5 20 HJBD CC(C)Oc1ccc(-c2ccc(NC(=O)c3cnc(O)c([N+](=O)[O-])c3)c(F)c2)cc1 817049733 LBGZKCHUDRLISQ-UHFFFAOYSA-N 411.389 4.541 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1S(=O)(=O)C1CCCC1 817472047 FCEMBWYUZFAGSU-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N(Cc1cc(Br)ccc1F)C1CC1 914481196 LXZVLQSQRKKRCJ-KMKOMSMNSA-N 419.250 4.701 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nc3cc(Cl)ccc3n2C)cccc1[N+](=O)[O-] 915994549 NKSBZZSJUXXANI-NSHDSACASA-N 404.879 4.563 5 20 HJBD CCC[C@H](NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 917263264 BBSYBYUCNGZPJN-KDOFPFPSSA-N 410.426 4.544 5 20 HJBD COc1ccc(-c2nc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1OC 921136091 BCJISQGWZFLPRP-UHFFFAOYSA-N 420.830 4.608 5 20 HJBD O=C(c1ccnc(OC2CCC2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 1319841514 JERPNWJGJFZLRV-UHFFFAOYSA-N 401.850 4.631 5 20 HJBD CN(C)c1ccc(COC(=O)c2nc(-c3ccccc3)oc2C2CC2)cc1[N+](=O)[O-] 1320171456 MBZUJNXHVVNQES-UHFFFAOYSA-N 407.426 4.550 5 20 HJBD C[C@@H](NC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cccc([N+](=O)[O-])c1 1326073448 APIFCVRTFCZKBA-AMZGXZFVSA-N 422.529 4.541 5 20 HJBD O=C(c1csc(Cc2ccc(Cl)cc2)n1)N1CCCc2ccc([N+](=O)[O-])cc21 1339272946 PCDKXPOSEGWBMV-UHFFFAOYSA-N 413.886 4.889 5 20 HJBD Cc1cccc(NC(=O)CN(C)c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1C 8052898 OMIHRKXGLPOEPI-UHFFFAOYSA-N 417.465 4.518 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 14376417 ZWPQFHJUKYVFBO-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C\c1cccc([N+](=O)[O-])c1 16387956 XRCYFGSETDPLJN-LFIBNONCSA-N 401.447 4.978 5 20 HJBD C[C@H]1CCc2c(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)csc2C1 17661969 PDPGJJKJSICSRA-NWDGAFQWSA-N 413.455 4.749 5 20 HJBD C[C@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 30449144 GZNYVPRMUSLLTP-HNNXBMFYSA-N 409.417 4.752 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(COc3ccccc3)cc2)c1 32304223 JUNLTPLMTOIAKV-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD COc1ccc2c(-c3ccccc3)c(C(=O)NCCc3ccc([N+](=O)[O-])cc3)oc2c1 65663460 JMAYEOYGLUUSOW-UHFFFAOYSA-N 416.433 4.989 5 20 HJBD COc1cc(/C=C\c2nc3cc([N+](=O)[O-])ccc3s2)cc(Br)c1O 109678629 SPFLHSIJUTVBQE-DJWKRKHSSA-N 407.245 4.852 5 20 HJBD CSc1ccc(C(=O)N2CCC(Oc3ccc(C)c(C)c3)CC2)cc1[N+](=O)[O-] 195641848 ZMEUNPVORCTQMY-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD Cc1ccc(Cl)c(O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 410316973 ACDRVVQTIGJYCD-JTQLQIEISA-N 400.765 4.564 5 20 HJBD C[C@H]1C[C@]1(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 410376128 UERNXJYZGQEJFJ-OYHNWAKOSA-N 413.905 4.516 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(F)cc1 429267963 PBRYJZWMCVFRHH-ZDUSSCGKSA-N 414.412 4.753 5 20 HJBD COC(=O)c1nc(NC(=O)c2cc3ccccc3c3cccnc23)sc1C1CC1 429501930 BITGUWPCRDPPCG-UHFFFAOYSA-N 403.463 4.761 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NCc3ccc([N+](=O)[O-])cc3OC)s2)cc1 430213038 AGACQOROZHBYGD-ZDUSSCGKSA-N 413.499 4.895 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)CC1 434663621 GLJRTQQCSVTWLM-UHFFFAOYSA-N 423.444 4.526 5 20 HJBD O=C(Nc1ccc(-c2cnco2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436060707 OBNKVDGQHKZXJE-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD CCOc1ccccc1[C@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436092175 QLPFNKPSAHEAAF-ZDUSSCGKSA-N 422.431 4.849 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H](c2ccccc2)c2ccccc21 436297767 NXDBCPJWMBFMCZ-OAQYLSRUSA-N 410.433 4.573 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(-c2ccc(Cl)s2)o1 436383530 FCBJFBDAALJSEF-UHFFFAOYSA-N 408.770 4.925 5 20 HJBD COc1ccc(OCCSCc2nc(-c3cccs3)oc2C)c([N+](=O)[O-])c1 437283111 GWZUHIOPGNANPM-UHFFFAOYSA-N 406.485 4.941 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](C(F)(F)F)C1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 438627629 RDHUCMSULKHJTF-ZJUUUORDSA-N 411.327 4.686 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)O[C@@H]2CCC[C@H](C)C2)c([N+](=O)[O-])cc1OCC 443845602 VHTNBTQKUQTQLX-DAYGRLMNSA-N 408.495 4.705 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444080843 JECLJCXOZAVACB-MRXNPFEDSA-N 400.478 4.614 5 20 HJBD O=C(N[C@H]1CCCc2cc(F)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444293114 SNNFTQQLOYYRAK-FQEVSTJZSA-N 404.416 4.767 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cc(C(F)(F)F)cc(C(F)(F)F)c3)[nH]c2c1 446852877 MLILCFVYGQXMKY-UHFFFAOYSA-N 418.297 4.799 5 20 HJBD COc1ccccc1O[C@@H](C)CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447668284 BPLBJLVITNFVDA-JTQLQIEISA-N 421.322 4.532 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccnc(Oc2ccc(F)cc2F)c1 448449192 VDPGCXABOBBYJA-UHFFFAOYSA-N 424.363 4.723 5 20 HJBD CCOc1c(Cl)cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1OC 460366520 YIBQUAMDPWVMSH-IBGZPJMESA-N 422.890 4.543 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 462592638 KKSYVGABBTZYLU-JTQLQIEISA-N 411.227 4.556 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1n[nH]c(C(C)C)n1 462933600 VGYVTQDFJVUMSU-INIZCTEOSA-N 407.474 4.711 5 20 HJBD CCOc1ccc2nc(-c3ccc(NCc4c([N+](=O)[O-])ncn4C)cc3)sc2c1 470529048 MDVRCKPXZWJPMN-UHFFFAOYSA-N 409.471 4.616 5 20 HJBD C[C@@H]1[C@@H](Cc2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 471436030 JNDNLGAIKRFIBM-TZIWHRDSSA-N 410.517 4.634 5 20 HJBD Cc1nc(NC(=O)[C@H](C)Sc2ccnc3cc(Cl)ccc23)ccc1[N+](=O)[O-] 475299676 GEAYHMAXTALPNU-NSHDSACASA-N 402.863 4.619 5 20 HJBD CCn1c(SCc2ccc(-n3ccnc3)cc2C)nnc1-c1ccc([N+](=O)[O-])cc1 475892052 LPRGYYSOCYJLMJ-UHFFFAOYSA-N 420.498 4.660 5 20 HJBD CCOC(=O)c1cccc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 477049070 MIPBEFLJFLWCIQ-NSHDSACASA-N 408.863 4.544 5 20 HJBD COc1ccc(CNC(=O)N(C)C2c3ccccc3-c3ccccc32)cc1[N+](=O)[O-] 477909358 CYELXWDHDGOHDD-UHFFFAOYSA-N 403.438 4.515 5 20 HJBD Cc1cccn2c(NC(=O)Cc3ccc([N+](=O)[O-])cc3F)c(-c3ccccc3)nc12 479064976 STBAHZNUIOOKIJ-UHFFFAOYSA-N 404.401 4.538 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(F)(F)F)c1 481916002 CFFFBRGIEAGABM-UHFFFAOYSA-N 400.378 4.604 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCSc3ccc(Cl)cc32)cc1SC 481971264 CAMWVZIXTLXRDO-CYBMUJFWSA-N 424.931 4.946 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@@H](c2ccccc2F)C1 482885567 HBAAPBCYLVDHCZ-MSOLQXFVSA-N 414.480 4.746 5 20 HJBD CC(C)(C)O[C@@H](C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1 486720251 ZEUHFPAEFCAJCE-QGZVFWFLSA-N 410.392 4.786 5 20 HJBD O=C(Nc1c(F)cccc1Oc1ccccc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 487444866 MOBJUCMWYRLSEH-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 489613531 IIEUBJOFEJCSMI-LBPRGKRZSA-N 420.922 4.995 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3ccccc3)c(C)c2)cc1OC 490413691 LZHGAQKPZIGLJD-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cnn(Cc2ccccc2)c1 494476767 PILVRYGTOWSAJB-AWEZNQCLSA-N 404.392 4.709 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 500761213 DHUKGUIPJPGGLJ-IBGZPJMESA-N 402.859 4.594 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1NC(=O)C[C@H]1C=CCC1 500909886 SUWSLHNUZXHQPI-HNNXBMFYSA-N 411.483 4.620 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1ccccc1N1CCCC1 506136255 JBOPAIXZYYQLBU-UHFFFAOYSA-N 408.527 4.951 5 20 HJBD COc1cc(CN(C)[C@H](C)c2ccccn2)ccc1OCc1ccc([N+](=O)[O-])cc1 508629473 KKRGECWXTPUZGR-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD Cc1cccc(C(=O)N2CCCC2)c1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509229079 YRDDKNKCCHZCKJ-UHFFFAOYSA-N 424.501 4.582 5 20 HJBD C[C@@H](c1ccccc1)N(CC(=O)Nc1ccc([N+](=O)[O-])cc1Br)C1CC1 512292980 RHTAHKHIAUGXSP-ZDUSSCGKSA-N 418.291 4.521 5 20 HJBD CC(C)c1ccc(CN2CCC(c3nc(-c4ccccn4)no3)CC2)cc1[N+](=O)[O-] 512571948 DTVMBCSHLYGPMC-UHFFFAOYSA-N 407.474 4.543 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Cc1csc(-c2ccccc2Cl)n1 512973670 PJDBPFDTCJAGRX-UHFFFAOYSA-N 415.902 4.615 5 20 HJBD CC(C)(C)C[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)cc1 515153128 RWMBBYLOKJRKFM-KRWDZBQOSA-N 421.856 4.620 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 522938515 FLLVEFLWELPYAJ-CQSZACIVSA-N 411.845 4.713 5 20 HJBD COc1cc(C(=O)Nc2cc(-c3ccccc3)nn2-c2ccccc2)ccc1[N+](=O)[O-] 523386998 ITTOFTNJWOSEBU-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 524195591 VXKLLDDOOSEVLI-HNNXBMFYSA-N 402.882 4.513 5 20 HJBD CO[C@H](C)c1nc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cs1 531451725 CEXLBTKYARHBJE-CYBMUJFWSA-N 417.462 4.980 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)NC(c1ccccc1)c1ccccc1 537073785 JQZRRYAQKVNQJH-QZTJIDSGSA-N 403.482 4.540 5 20 HJBD O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)c1cc2cc([N+](=O)[O-])ccc2o1 537457707 RTTMFKLSZUFABO-UHFFFAOYSA-N 400.350 4.797 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(C)n([C@H](C)C4CC4)n3)n2)cc1[N+](=O)[O-] 538499334 JLCSLKUEGXLNER-CYBMUJFWSA-N 411.487 4.755 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccsc1)N(C)C 539686434 VBGVKCXGRTZKBE-KRWDZBQOSA-N 407.561 4.581 5 20 HJBD COc1ccc(NC(=O)c2cc3cc(C)cc(Br)c3o2)c([N+](=O)[O-])c1 543868601 SSKXPPRRMWNRAL-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD COc1ccc(-c2nc(Cc3noc([C@@H](C)c4ccc([N+](=O)[O-])cc4F)n3)co2)cc1 544616391 GLTLMQJLRCESFL-LBPRGKRZSA-N 424.388 4.523 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 544746712 VSZGEDFAUYUTQC-SFHVURJKSA-N 422.915 4.519 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@H]1CCCC[C@]1(C)O 552976767 JWIPBUCWYGZMSR-KNKQGSTJSA-N 420.575 4.889 5 20 HJBD O=C(Nc1nc2c(s1)CSCC2)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 553303216 CMHJWFUHODQILX-UHFFFAOYSA-N 413.480 4.885 5 20 HJBD COc1cccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c1OC1CCCC1 561195821 HPIPYUQWYGYSFD-UHFFFAOYSA-N 400.460 4.542 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c1 561750120 QYHZOFPZZWOYAY-UHFFFAOYSA-N 422.485 4.747 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3csc([C@@H]4CCCO4)n3)n2)cc1[N+](=O)[O-] 572225584 WGEQZXOSQRMMRE-HNNXBMFYSA-N 416.484 4.587 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc2n[nH]cc2c1 580634505 HBSMJYFQWPSMOJ-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)Nc2ccccc2)s1)c1ccccc1[N+](=O)[O-] 580732419 QFDIZICTNQPMKP-UHFFFAOYSA-N 424.482 4.965 5 20 HJBD COc1ccc([C@@H](N[C@@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604478644 CLNSYNICHDVUOU-XDHUDOTRSA-N 419.481 4.700 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 608897994 JTBAYKRGIVOUPW-UHFFFAOYSA-N 402.790 4.812 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)s1 609784818 FSXBJJCLPYZSKX-UHFFFAOYSA-N 404.875 4.983 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC1CCOCC1 610163355 LOIWZCUDQLYCNN-MRXNPFEDSA-N 413.474 4.591 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC(C)(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 610184202 YLVQFRLYZUABLO-UHFFFAOYSA-N 410.373 4.634 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1 610910355 QGCKPABKARZLCG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1ccc(CC(=O)O[C@H](c2ccc(Cl)cc2)c2cccnc2)cc1[N+](=O)[O-] 730431504 WBEKRWZWDBPYBF-OAQYLSRUSA-N 412.829 4.527 5 20 HJBD Cc1ccccc1CNc1ccc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)cc1 731709994 SNUSUKJTZMHTHE-UHFFFAOYSA-N 404.422 4.555 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1 733248491 DBSPBOKQYJCJSZ-FQEVSTJZSA-N 407.514 4.741 5 20 HJBD COc1ccc([C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)n2cccn2)cc1 734085690 SXNPKLKFBKUGIB-DJJJIMSYSA-N 415.833 4.613 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2s1 734112771 ALPOPIUXMYCZBN-LLVKDONJSA-N 410.480 4.819 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CNC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c1 749643531 CIQNWGIMUSAJEE-XUZZJYLKSA-N 401.466 4.531 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1cnc(-c2cccs2)s1 751443456 JWANCDMYGXRPPH-XFFZJAGNSA-N 417.855 4.778 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 751919240 WIUSAZBYIOEMLM-UHFFFAOYSA-N 403.504 4.614 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 754435464 ULUSGIQNEOKUMW-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3F)c2C)c1 756734543 SDTVLVHPBWZUME-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC(C)c1ccccc1OCCC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760541984 IHEQJNBKTVXRCZ-UHFFFAOYSA-N 410.426 4.886 5 20 HJBD Cc1nn(C)c(C)c1[C@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)C(F)(F)F 760767494 ZDIXCJATXIIOFA-INIZCTEOSA-N 413.396 4.703 5 20 HJBD CC(C)[C@@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 763403196 FBDMKSICGSLNPI-SCLBCKFNSA-N 404.850 4.558 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@@H](O)c1ccc(F)cc1 777420380 RICJSHMWSWNNOO-AUUYWEPGSA-N 420.506 4.868 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(N3CCCCC3)c(F)c2)c1 778734180 ZPBCYHSMQWXWGK-UHFFFAOYSA-N 407.442 4.838 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1csc(Cc2cccc(F)c2)n1 779787115 OGLKPBYHKJDPMG-UHFFFAOYSA-N 419.865 4.707 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(NC(=O)OC)c1 779905478 LIFMWTOMGZLEIP-UHFFFAOYSA-N 407.423 4.667 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 783719337 IQACUFYBFIKIIO-UHFFFAOYSA-N 415.490 4.734 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3ccc(C(F)(F)F)cc3[N+](=O)[O-])co2)cc1 783906252 HJXCVBDPSVHZQD-UHFFFAOYSA-N 409.276 4.756 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@H](O)c1ccccc1 796597888 AUJAWGALDBPHRY-NRFANRHFSA-N 409.417 4.502 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccc([C@@H](C)NC(=O)OC(C)(C)C)cc1 797422977 IEAPCIXMFBXMFX-CQSZACIVSA-N 401.463 4.801 5 20 HJBD CN1CCC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)[C@H]1c1cccs1 809920563 WAMHJKTZPQAUGI-PXAZEXFGSA-N 408.911 4.514 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1ccc([N+](=O)[O-])c(Cl)c1 812590726 AHACYPHYMWSOAC-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 813246246 DPRLZJOZCMUWSO-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD CCSc1cccc(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 816983221 OCGFTTJSVNSNRK-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC(Cc2ccccc2)CC1 911592133 ANYXBNFIGDICOZ-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1cccc(COCc2ccccc2)c1 915600453 PNPLKMZVRBPYLE-KAMYIIQDSA-N 402.450 4.641 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3cccc(OC4CCCC4)c3)[n+]2[O-])c1 917518535 BQDMIDFPMSLUNP-VAWYXSNFSA-N 403.438 4.782 5 20 HJBD Cc1cc(C(=O)O[C@@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cc([N+](=O)[O-])c1 920901402 RSHIBDLXQBDIDJ-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CNC(=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c(C)c1 1321863961 WUFLFBNPJVBTPH-UHFFFAOYSA-N 409.417 4.806 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 22323346 LADSBUXDFXVDFF-UHFFFAOYSA-N 406.486 4.507 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccccc1-c1nc2ccccc2s1 45714459 XIXQMQREZGIPMB-UHFFFAOYSA-N 405.435 4.889 5 20 HJBD Cc1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc(C(C)C)n1 63440760 MDIAGOZREVLZDZ-UHFFFAOYSA-N 414.409 4.566 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(Sc2ncc(Br)cc2[N+](=O)[O-])o1 301706381 VPTZEUIDQCUQPA-LBPRGKRZSA-N 421.276 4.828 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2sccc2[N+](=O)[O-])cc1 302018488 MQACDLGFGZHXBQ-UHFFFAOYSA-N 404.469 4.986 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 302775048 FAXSPVKFNTWNKM-GFCCVEGCSA-N 409.364 4.613 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(-n2ncc3ccccc32)cc1Cl 435751412 GBZFRHZXWNPYDC-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD CCCc1cccc(C(C)C)c1NC(=O)c1ccc(N2C(=O)CCC2=O)c([N+](=O)[O-])c1 436014896 KYCHXVWRZUKQFP-UHFFFAOYSA-N 423.469 4.576 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cnn1-c1ccc(Cl)cc1 437096130 MTONWYLXKUPWJV-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 438007829 DUPGPJFSEMNCHC-JCNLHEQBSA-N 407.392 4.701 5 20 HJBD CCOc1ccc(OCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 440596432 HVFZCQIQYJBBLL-UHFFFAOYSA-N 406.438 4.606 5 20 HJBD O=C([C@@H]1C[C@@]12CCCc1ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 440634582 IVTIVURVABFRDV-GHTZIAJQSA-N 418.415 4.780 5 20 HJBD CCOc1cccc([C@H]2C[C@H]2NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444287187 JLDMISYLFYWTBQ-TZIWHRDSSA-N 416.452 4.505 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)c(Cl)c1 446085888 NVVDASUEPVLSHX-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD O=C(c1occc1CSc1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 461399734 CLIUYSPTLGNEMP-UHFFFAOYSA-N 410.451 4.515 5 20 HJBD C[C@H]1CCCN(c2cc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)ccn2)C1 463773445 SXXNOFKEOHDTBA-KRWDZBQOSA-N 408.502 4.816 5 20 HJBD C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCCO1 466743140 LJKDBIMBUJPBNI-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(NC(=O)c3ccco3)c(Cl)c2)cc1[N+](=O)[O-] 471258132 JSLHAKWOLFGDAP-UHFFFAOYSA-N 413.817 4.908 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc([N+](=O)[O-])cc1Br 471504853 WIQOCRDTVKJUEW-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD CSc1ccccc1CN(C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 473467986 ILWNLOJOOJGMDM-UHFFFAOYSA-N 424.472 4.954 5 20 HJBD Cc1cc(NC(=O)N(C)CCCc2cc(-c3cccc(F)c3)n[nH]2)ccc1[N+](=O)[O-] 473767513 SWLKRNXEDBOUGO-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 475336008 NRUJVAFIYJPVLS-QFIPXVFZSA-N 424.888 4.659 5 20 HJBD C[C@@H](N[C@H](C)c1cc2cccc(F)c2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 475697606 OBUKCOVLNLVGJU-GHMZBOCLSA-N 405.813 4.811 5 20 HJBD CCC[C@H](N[C@H](c1cc(OC)cc(OC)c1)c1nccn1C)c1cccc([N+](=O)[O-])c1 480341990 KSUCATZTVOIMFK-FCHUYYIVSA-N 424.501 4.566 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1nc(O)c2ccccc2n1 485272639 NLQBQWLYOVGSFW-SECBINFHSA-N 406.261 4.859 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCn2c1nc1ccccc12 486990499 XHJOIVWVPRUIRP-UHFFFAOYSA-N 416.462 4.756 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1Cl 491541060 HURHPVOOLLYQIU-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 492682054 JWTQEQFFWFYYMN-YSSFQJQWSA-N 416.468 4.843 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500652229 NFJYOHNXTLKRQR-ZIAGYGMSSA-N 419.300 4.879 5 20 HJBD COc1ccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 500766730 ILICHHYIHQFAAJ-GOSISDBHSA-N 402.859 4.838 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2c(OC(F)F)cccc2[nH]1 502015217 REKCTXSLPIPDJO-UHFFFAOYSA-N 403.385 4.728 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(Br)cc1C 503637681 OQQOANIMJQNGPY-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)c1 505162378 NKURPBNLGZDNSD-FQEVSTJZSA-N 404.422 4.524 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1ccc(Br)o1 506232687 MDVYHYFUOQUALQ-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 508271781 OMINXXUFLHXAEP-GFCCVEGCSA-N 409.895 4.869 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(F)cc1)[C@@H]1CCCO1 509448786 LRXRSZABVKUFGO-LEWJYISDSA-N 415.465 4.574 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 513435055 RKMSTJVPBHTXCP-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cc(F)c(Cl)cc1Cl 518442889 CQHTZMLOJAXUJZ-QMMMGPOBSA-N 424.215 4.786 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1OCC 519157975 UQUGILQKLQSDSA-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CN(Cc3ccccc3)CCC2(F)F)n1 520888956 QMGNJXQXLKQESU-SFHVURJKSA-N 414.412 4.578 5 20 HJBD C[C@H]1SCCN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 522807376 WPXADXOFELEZAS-VLIAUNLRSA-N 420.918 4.722 5 20 HJBD CN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1Oc1ccccc1 523705083 VZGDNMZUZDYOKT-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 524336647 VTJHNJZEVCSPOW-JOCHJYFZSA-N 405.454 4.582 5 20 HJBD Cc1c(CC(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)cccc1[N+](=O)[O-] 532694965 HWGUETUWBUXHGQ-UHFFFAOYSA-N 400.438 4.708 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Br)cc(C(F)(F)F)c1 534460726 POMSESQEZUKYKH-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)c1[N+](=O)[O-] 534608477 PNBLYONPLKKVMG-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(F)cc1 534880238 YBZWBBVXHQQRID-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 535968844 LDCVNZZCNTXADE-UHFFFAOYSA-N 409.389 4.647 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 537633510 PQISXENYSCRRBY-UHFFFAOYSA-N 407.470 4.932 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 540240506 LKIWULSBUPWMHN-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(Cl)ccc(C(=O)OC)c1C 545937246 MKIJCOMLMFMNOH-CQSZACIVSA-N 404.850 4.551 5 20 HJBD CC(C)(C(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1ccccc1[N+](=O)[O-] 550546396 IHXLXNSPDSXDBP-GOSISDBHSA-N 423.296 4.779 5 20 HJBD C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)c2ccccc12 558003926 NTKAUNPYRTWHND-LBPRGKRZSA-N 405.385 4.632 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3cnc4ccccc43)cc2)c1 558760916 PHIJNKCKXJEXBC-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCC(CC(F)(F)F)CC1 560826997 VJIQQUBDUBRIPP-UHFFFAOYSA-N 424.369 4.764 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1)[C@@H]1C[C@H]1C 565562700 XZOMOXFPZMTCIB-PIGZYNQJSA-N 421.375 4.627 5 20 HJBD COc1c(-c2nc(C(C)(C)c3ccccc3Br)no2)cccc1[N+](=O)[O-] 568333666 JFXNKNIZPJLUST-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(F)(F)F 580235032 OSHHHCYPKIHVSF-LLVKDONJSA-N 407.348 4.587 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CN(c1nccs1)C2 589372896 SRPDDKSCPRKLJN-UHFFFAOYSA-N 409.471 4.778 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccc(F)cc1)c1cccs1 603642492 YMAFSBNTQJICTJ-SFHVURJKSA-N 401.419 4.715 5 20 HJBD COc1ccc(-c2ccc(CNC3CCN(Cc4ccccc4)CC3)o2)c([N+](=O)[O-])c1 608834924 QOWDSOLCTGPMDG-UHFFFAOYSA-N 421.497 4.618 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610065127 MIKORLHQJKZRBI-IBGZPJMESA-N 403.276 4.551 5 20 HJBD COc1ccc(OCc2ncc(-c3ccc(Br)cc3)o2)c([N+](=O)[O-])c1 610217161 YXIXQQUFTMQUPJ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1N1CCCCC1 610223345 REUGGMNOZYHCJW-UHFFFAOYSA-N 407.392 4.785 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(OCc3ccccn3)c2)cc1OC(F)F 610275046 WSKCFYHJNJHTFM-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(Cn4cccn4)c3)o2)c([N+](=O)[O-])c1 610909730 UHKQGMARFDDZDP-UHFFFAOYSA-N 404.426 4.720 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CSc1ncnc2sc(C)c(C)c12 619838697 IKNPSOSFQDBJSS-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1csc(-c2cccs2)n1 728454837 ZBGPHYIKIPGXJB-GHXNOFRVSA-N 417.855 4.778 5 20 HJBD C[C@@H](CSc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 732389236 DXWWHKCRZNDIRR-QWHCGFSZSA-N 422.890 4.547 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@H](c1ccccc1)[C@H]1CCCO1 733792923 XHYGBGWSZRDQFZ-HZPDHXFCSA-N 411.336 4.854 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2s1 734207823 KTVKMJUAOXZOBB-VIFPVBQESA-N 408.386 4.689 5 20 HJBD CCSc1ccccc1OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 734791259 BTEFSLSLUNNPKQ-UHFFFAOYSA-N 401.362 4.867 5 20 HJBD C[C@H](Cc1ccccc1F)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 737469001 FERHBJYNAULGPM-OAHLLOKOSA-N 422.412 4.770 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 743813759 FNSWQSGUCMPPSV-ZDUSSCGKSA-N 400.435 4.825 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1cc(C2CCCC2)nn1-c1ccccc1 745760267 PKLGZBWYFULEBH-UHFFFAOYSA-N 424.432 4.595 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748449645 OTQUQUDSEUDUPK-CYBMUJFWSA-N 401.444 4.642 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cccc(Cl)c2[N+](=O)[O-])cs1 750534245 WDCVZNIZJYKOBP-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CSc1nc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2s1 750818614 XMHIZXAQINJYJA-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC[C@@H](O)c1cccc([N+](=O)[O-])c1 754749638 RUAVJDPWSJWJSN-KPZWWZAWSA-N 401.463 4.550 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c(Cl)c1 762900632 VSHCCUCTZURMJE-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD O=[N+]([O-])c1ccc(SCc2ccc(CSc3ccc([N+](=O)[O-])cn3)cc2)nc1 772861723 PFQAFBIHXDZPML-UHFFFAOYSA-N 414.468 4.878 5 20 HJBD O=C(c1cnc(Oc2ccccc2)cn1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 774103362 DWEWIMWMVCVRJQ-UHFFFAOYSA-N 424.844 4.887 5 20 HJBD Cc1ccc2c(CC(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c[nH]c2c1 776130987 BHTJNLYFDFZXTE-QHCPKHFHSA-N 401.422 4.655 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 776218258 NRTAEOSCPOSNEY-QAUKTMFWSA-N 410.445 4.931 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1 778035222 JAOSUZFAAQSOMI-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccc(OCc2cccnc2)cc1 785954479 UMVIYVWSZNAREL-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CC(C)(CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1 791175035 SFPARYZBMHOKAJ-UHFFFAOYSA-N 417.303 4.610 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809913593 OSYTWWYWSNQKDI-HNAYVOBHSA-N 403.866 4.846 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)c3c2CCC3)c(C)c1 813244392 AFJLWWLAJNGREK-UHFFFAOYSA-N 410.861 4.792 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@@H](Sc2cccc(Cl)c2)C1 813638848 UTLPUJZQRPDTHA-MRXNPFEDSA-N 419.890 4.576 5 20 HJBD COc1cccc(C(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2cccc(OC)c2)c1 815586115 ZNJIRNLXLHPFPZ-OAHLLOKOSA-N 407.470 4.634 5 20 HJBD CSc1ccc(C(=O)O[C@H](c2nc3ccccc3o2)C(F)(F)F)cc1[N+](=O)[O-] 816062615 STWCDMWBNCQLCF-CQSZACIVSA-N 412.345 4.918 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)co2)cc1 834440558 WQLXJJVXJZHSJP-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CCc3ccccc3)C3CC3)cc2[N+](=O)[O-])CC1 914490146 BVGSHIJKGINHRH-UHFFFAOYSA-N 407.514 4.678 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H](c1nc2ccccc2o1)C(F)(F)F 916654538 ZVJMZFPZSZXWGY-HNNXBMFYSA-N 412.345 4.675 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3c(Cl)cccc3[N+](=O)[O-])o2)c1 1324183162 KPUMRTBGNPATAU-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1338253870 XCPSIDPRRRSIGQ-VFVRVIDISA-N 408.376 4.604 5 20 HJBD CCCN(Cc1ccccc1Br)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 1526863318 RWSNVJHVUYGGRS-UHFFFAOYSA-N 422.279 4.810 5 20 HJBD CCOc1ccc(NC(=O)CN[C@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 10137347 VNFKTEDNGJOGAP-FQEVSTJZSA-N 405.882 4.572 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC(c2ccccc2)c2ccccc2)cc1OC 19199619 QZYNEPIDGDSLFA-UHFFFAOYSA-N 406.438 4.522 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(F)(F)F)ccc1N(C)C 24049588 DEKDJCUNRIZYHA-NSHDSACASA-N 413.421 4.799 5 20 HJBD COc1cccc([C@H](CNc2ncccc2[N+](=O)[O-])c2c[nH]c3ccccc23)c1OC 24107007 DNMZHLCUJUXQDW-SFHVURJKSA-N 418.453 4.732 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1cccs1 41135740 LKOWFIYCHWEYJW-LJQANCHMSA-N 402.859 4.594 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 55155266 ZOGDHHOVULRWBH-INIZCTEOSA-N 418.453 4.595 5 20 HJBD Cc1c(NC(=O)[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)C(C)C)cccc1[N+](=O)[O-] 58163236 GDUXTABCGFMVGZ-FQEVSTJZSA-N 411.502 4.594 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C3)cs1 301960439 QLYOVWGKHQAVQN-UHFFFAOYSA-N 402.457 4.559 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Cl 303427530 ZOMOWPFISDHIJN-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD C[C@H]1C[C@]1(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 410383850 SMHYXEPXTFEEAB-IVCQMTBJSA-N 416.908 4.860 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1c[nH]cc1-c1ccccc1F 427600864 ISIVIXBXEVYUKY-UHFFFAOYSA-N 407.323 4.678 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(COCCOC(C)C)c2)cc1[N+](=O)[O-] 429074138 HUNUIAPFEFBGHN-KRWDZBQOSA-N 415.490 4.728 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Br)cc1 436214446 ABDZQJMKPPCVNQ-SECBINFHSA-N 417.181 4.867 5 20 HJBD COc1ccc(N(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C(C)C)cc1F 437253753 BDGVIQKDDDFVMD-UHFFFAOYSA-N 400.328 4.817 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 437362438 GZLFNXQDHHITQC-BLLLJJGKSA-N 410.417 4.800 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(F)cc1 438189570 MNNGBORJXDKHEF-MRXNPFEDSA-N 424.350 4.630 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)O[C@@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])cc1OCC 443845606 VHTNBTQKUQTQLX-RKVPGOIHSA-N 408.495 4.705 5 20 HJBD CCc1[nH]nc(C(=O)NC(c2ccc(Cl)cc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 444037093 FHBSZLMQEVQWLN-UHFFFAOYSA-N 419.268 4.707 5 20 HJBD Cc1nc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 447276224 YXGSKRQPYSNQKT-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CCN(c1ccccc1)c1ccc(CNCc2cc(OC)c(O)cc2[N+](=O)[O-])cc1 462735676 LNSANDFUOTXQTG-UHFFFAOYSA-N 407.470 4.757 5 20 HJBD CC(C)CO[C@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)n1 466645771 ZLNQQBVRPZMUSY-MRXNPFEDSA-N 411.458 4.881 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC(c2ccccc2C(F)(F)F)CC1 474861687 QYNMPWXHEJDVJS-UHFFFAOYSA-N 422.403 4.789 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(COCC2CC2)c1 477625715 YEAGCAWWQJPDMY-UHFFFAOYSA-N 403.866 4.539 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(Cc4nnc5n4CCC5)cc3)o2)cc1 480480752 IHCBOCSTJQIDCM-UHFFFAOYSA-N 415.453 4.595 5 20 HJBD Cn1cc([C@H](N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cn1 480668192 ATSXCNLKXABDJJ-FRGLQRNOSA-N 408.477 4.558 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1C 481497102 MJZCRKBGKYRWPQ-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc3c(c2)CCCC3)cc1SC 481908108 RCOJJJBCFPQZEM-CYBMUJFWSA-N 400.500 4.695 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@@H]1CCCC[C@H]1C(F)(F)F 482222596 GJAOQOCRJJUSTC-MAUKXSAKSA-N 416.443 4.640 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1OC 482512216 ZVMFIJYITIAUDS-AWEZNQCLSA-N 418.515 4.947 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(C)n(-c2ccc(Cl)cc2Cl)n1 486140609 ALFZDOYCJHROMN-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD C[C@@](NC(=O)c1sc(Br)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 486441134 FGBMFFOGRQSWBX-CYBMUJFWSA-N 423.210 4.626 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)Nc2ccccn2)c1 487032103 UNKXESGATWNYDR-UHFFFAOYSA-N 422.466 4.606 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCOC[C@H]3c3ccc(C)o3)c([N+](=O)[O-])c2)cc1 487679040 YBPCCKSVMLROCR-NRFANRHFSA-N 421.453 4.635 5 20 HJBD Cc1c(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cnn1-c1ccc(Cl)cc1 492788372 IYBVWFUWEOCAEI-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)cc1C(F)(F)F 499622855 CAHZAKBKAMQAER-RUDMXATFSA-N 418.331 4.792 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cnc1N1CCCC[C@@H]1C 504847510 VNEWMRQWKGJGNN-ZDUSSCGKSA-N 422.407 4.948 5 20 HJBD COc1ccc(-c2ccc(CN(C)CC(=O)Nc3c(C)cccc3C)o2)c([N+](=O)[O-])c1 506773302 CRYJHMXFEIYMCL-UHFFFAOYSA-N 423.469 4.551 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 507666790 JVVLYZFEDBRTKN-LLVKDONJSA-N 409.255 4.651 5 20 HJBD O=C(CCCOc1ccc(F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 514981527 TXXLHEUISIZBDH-UHFFFAOYSA-N 401.419 4.655 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 518962507 BEFNQOFHFXBULS-HSZRJFAPSA-N 424.460 4.568 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]1c1cccc2ccccc12 520063562 ASSKVDIZAZYKGU-QHCPKHFHSA-N 412.449 4.911 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Oc2ccc(SC)cc2)c([N+](=O)[O-])cc1OCC 524822511 WXFLBTUYJUPBMN-ZDUSSCGKSA-N 420.487 4.520 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc(-c3cn4cccnc4n3)c2)cc1[N+](=O)[O-] 526130620 JWTHKCQDZOPVIP-UHFFFAOYSA-N 401.426 4.680 5 20 HJBD CCCCN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C1CC(C)(C)NC(C)(C)C1 535345779 PHLYCSOWZRVPGN-UHFFFAOYSA-N 414.550 4.557 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(OCC(F)(F)F)cc1 535671030 QLCBBPDVBPXPHD-LLVKDONJSA-N 407.348 4.508 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])NC1CCN(c2nc3ccccc3s2)CC1 537962704 IEWCDKZYBQTISF-INIZCTEOSA-N 410.543 4.784 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccco1)N1CCCCC1 540398620 XPSLIAUDPJLRTO-SFHVURJKSA-N 417.531 4.645 5 20 HJBD CC(C)OCCCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 541247943 YDNJLBJKRLSNQY-UHFFFAOYSA-N 411.296 4.912 5 20 HJBD COc1ccccc1[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccccc1 541286250 LDXNYVPPFNANGB-QFIPXVFZSA-N 408.479 4.601 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(COc4cccc(C(F)(F)F)c4)n3)c2c1 546561878 VQCISCXZEBLYEA-UHFFFAOYSA-N 418.331 4.648 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccccc2n1C(F)F 547246935 WSHTZELHAITMGC-NSHDSACASA-N 420.391 4.634 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(N[C@@H](C)c3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 553731197 VRWLPKDAHFWTGE-LBPRGKRZSA-N 413.865 4.959 5 20 HJBD C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(Oc2cccnc2)cc1 554643193 VRTONDUKCSTYSV-CQSZACIVSA-N 402.410 4.754 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@H](c1ccc(F)cc1)c1ccc(Br)o1 556104487 XNKBCFGTHVLDPU-GOSISDBHSA-N 405.223 4.969 5 20 HJBD O=C(N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1ccc([N+](=O)[O-])cc1 576299014 HKEMQSQFPSDBMN-GOSISDBHSA-N 408.376 4.511 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(Cn4cccn4)cc3)n2)cc1[N+](=O)[O-] 590859640 GACRXHVAEGHSFG-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1C 603624442 VNKOCRITYDZBNE-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 603742711 JZIAIDZFBDRPID-UHFFFAOYSA-N 416.433 4.988 5 20 HJBD CCCN1CC[C@H](CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 604417889 JGYUUXCKNXYXRO-QGZVFWFLSA-N 403.910 4.862 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H]3CCN(c4ccc(F)c(F)c4)C3)o2)c1 608836494 MWKDGDGVLIBMQM-HNNXBMFYSA-N 413.424 4.749 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)C3CCCCC3)o2)c(Br)c1 609042012 KMZGAQKNAXORPB-GOSISDBHSA-N 423.307 4.648 5 20 HJBD C[C@@H](CSc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609500443 JPGPMMMMDIWTEA-AWEZNQCLSA-N 412.433 4.914 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609516042 CONDQXLVGFTOED-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc([N+](=O)[O-])c(C)c1 609673970 NPTPNDQCIZGYQE-CYBMUJFWSA-N 416.421 4.694 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)[C@H]1C 609819908 UIOQYCICSVHSHV-KBPBESRZSA-N 419.934 4.826 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)cc2OCc2ccccc2)cc([N+](=O)[O-])c1OC 610172298 NBAUARQSUUCPCH-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](N[C@H]1CCC(F)(F)C1)c1ccccc1 610783464 VGUUZZGOJJUYQM-GUYCJALGSA-N 409.820 4.705 5 20 HJBD O=C(Nc1ccc(N2CCCC2)cn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611200101 OLBDGPCLUAQBTQ-UHFFFAOYSA-N 412.515 4.877 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 611253532 DUUWFAXUISCZQS-UHFFFAOYSA-N 423.494 4.519 5 20 HJBD COc1cc(CSc2nnc(-c3cccc(C)c3)o2)c([N+](=O)[O-])cc1OC(F)F 619247915 VDEXXZMJMLOAFC-UHFFFAOYSA-N 423.397 4.856 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCCCC[C@@H]1c1ccc(Cl)cc1 735265146 XPYKNEUOSUWBPG-GOSISDBHSA-N 406.841 4.910 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 735993722 LPFSGLPTHHZFCA-UHFFFAOYSA-N 411.888 4.955 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCSc2ccc(Cl)cc21 751079517 FKJPERHENWIWJE-UHFFFAOYSA-N 413.680 4.763 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cc(F)ccc1[N+](=O)[O-] 751112563 BRSSRRVHZLSXSU-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1Br 751198845 YVPKMQJLYUNMRO-MRXNPFEDSA-N 407.264 4.637 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755019944 CFAPQFMGPMJBAY-UHFFFAOYSA-N 407.195 4.515 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)C)c1C 755069012 PJDZPRJMUIUKEC-YWZLYKJASA-N 416.449 4.661 5 20 HJBD Cc1ccc([C@H](C)NCc2ccc(CCNC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 765606935 JCGHGPYUSJQOGT-KRWDZBQOSA-N 413.518 4.821 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@@H](c2ccccc2)c2ccccc21 767143584 YUFNVWNMPVOGIN-FQEVSTJZSA-N 417.421 4.614 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@H](CC(F)(F)F)c1ccc(F)cc1 768743039 PAZDMVRLZHPTTQ-OAHLLOKOSA-N 419.762 4.880 5 20 HJBD CCN(C[C@@H](O)c1ccc(C)cc1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 771763888 FQQCBOJLNZBBCZ-QGZVFWFLSA-N 411.380 4.509 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2c1 775494252 RSQJNHBTOXXQRB-UHFFFAOYSA-N 411.845 4.610 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 777835087 OOCWLJOJYHCHPV-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1ccc([C@H]2[C@@H](C)CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781645307 FNXCLXCVZFDNQJ-KSFYIVLOSA-N 411.458 4.744 5 20 HJBD CN(Cc1cccc(Cl)c1Cl)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790461063 DBABABFWKGWLKU-UHFFFAOYSA-N 405.640 4.895 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Oc1ccc(N(C)C(=O)OC(C)(C)C)cc1 791613941 XKUKQNWLYDXRSG-UHFFFAOYSA-N 416.430 4.584 5 20 HJBD Cc1c(CNC(=O)c2nc(Cl)c(Cl)c(Cl)c2Cl)cccc1[N+](=O)[O-] 792559796 OEUYTCVFJIIDKJ-UHFFFAOYSA-N 409.056 4.842 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(Cc4n[nH]c5c4CCCC5)n3)cc2)c1 809434563 FIXFCMOGKMDCRD-UHFFFAOYSA-N 417.425 4.630 5 20 HJBD O=C(Nc1ccc(F)cc1OC1CCOCC1)Nc1cccc([N+](=O)[O-])c1Cl 809918934 BEJXJUXFDWHDQU-UHFFFAOYSA-N 409.801 4.589 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cnn(-c2ccc(Cl)cc2Cl)c1 813246440 KPUWGLYEFRWIMT-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(F)c(F)c(F)c1F 813305431 DWSBAGTYLGVELC-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])NCc1ccc(OCc2ccccc2)cc1 914848113 WAUNORNPZVEWPA-UHFFFAOYSA-N 408.479 4.582 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)ccc1OC(C)C 915307528 HFXGWHCIYFHGFO-GFCCVEGCSA-N 407.854 4.927 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N(C)Cc1cccc(C(F)(F)F)c1 917144780 OGVIWPVQNUWJCY-NSHDSACASA-N 415.799 4.726 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)OCc1cccc([N+](=O)[O-])c1C 918933476 XTQCVMQPAXNBHM-UHFFFAOYSA-N 416.430 4.743 5 20 HJBD C[C@@H](COc1ccccc1)OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 920124363 LVDFMJQNZYKMSZ-IRXDYDNUSA-N 421.453 4.787 5 20 HJBD C[C@H](OC(=O)C[C@H]1CCCC[C@@H]1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 920726311 HUCGUFXOZDYCCO-RWMBFGLXSA-N 416.396 4.700 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1cc(Cl)ccc1[N+](=O)[O-] 1115831974 ZKWHCWRIKIRLLN-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD O=C1NCCN1c1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1116319456 OZUIYKHWNLFOMN-UHFFFAOYSA-N 412.833 4.650 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC(OC[C@@H]3CCOC3)CC2)o1 1320378388 VLVRKZYSKDAXIK-OAHLLOKOSA-N 420.893 4.526 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccc(OCCCO)cc2)o1 1323561261 SCAYLNSJGAOHAC-UHFFFAOYSA-N 402.834 4.882 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(-c4ccccc4Cl)o3)cc2)c(C)c1[N+](=O)[O-] 1327855718 DZYLALPRXCBBJW-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD C[C@](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)(C(=O)O)c1ccccc1 1334777624 AMOIAIMBPQNACT-JOCHJYFZSA-N 410.401 4.616 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 1338320833 RQCZANJXOYDWHN-APWZRJJASA-N 423.282 4.849 5 20 HJBD COc1ccc(NC(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])c1 1344472843 MTQXCZCSHRMGCC-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nnc(-c4ccc(Cl)cc4Cl)o3)n2)c1 1346054632 BNAPXVFQOHCSOP-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2ccccc2)cc1 7108005 XSIAEPPWQAELQG-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD C[C@H]1CCc2sc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2C1 7188293 ZUVRWLAFGRGUFX-RYUDHWBXSA-N 413.455 4.749 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3[nH]2)cc1 14898577 JFVZRPSFZPHRIJ-UHFFFAOYSA-N 401.426 4.579 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 16325176 IPAFCOQQKLMXSF-CQSZACIVSA-N 412.467 4.588 5 20 HJBD O=C(Cc1csc(COc2ccc(Cl)cc2)n1)OCc1ccc([N+](=O)[O-])cc1 17271951 KSFAVWXBHFJYNR-UHFFFAOYSA-N 418.858 4.570 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 18216279 LVUBIEKYMRSZIL-UHFFFAOYSA-N 401.513 4.900 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(Cl)c(Cl)c(Cl)c1Cl 19159592 DZISJGVEYXJJCN-UHFFFAOYSA-N 411.028 4.864 5 20 HJBD NC(=O)c1ccc(CN[C@H](CCCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 27374407 FXYRUHBCAORKHV-JOCHJYFZSA-N 403.482 4.548 5 20 HJBD O=C(Nc1nc(-c2ccc(Cl)s2)cs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 28604997 QHXHDNDISHWARX-UHFFFAOYSA-N 410.820 4.594 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32045089 JDOCGIFPHSQHFN-UHFFFAOYSA-N 412.496 4.511 5 20 HJBD O=C(Nc1cccc(COCc2ccco2)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 46675756 UOEVPKNULVTIFC-UHFFFAOYSA-N 407.426 4.731 5 20 HJBD COCCN(C(=O)c1cc(C)sc1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 60951696 XLWSLBDGFZVNHM-UHFFFAOYSA-N 417.512 4.690 5 20 HJBD COc1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c(OC)c1OC 71968414 NBVQEPZJHVYOSE-UHFFFAOYSA-N 420.771 4.905 5 20 HJBD Cc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cccc1C(=O)N1CCCC1 116327061 PFTBOVSPTIYONS-UHFFFAOYSA-N 409.467 4.606 5 20 HJBD O=C(c1cc(N[C@H]2CCSc3ccc(F)cc32)ccc1[N+](=O)[O-])N1CCCC1 302466568 SROYVJDKYYZMQE-KRWDZBQOSA-N 401.463 4.619 5 20 HJBD CCC[C@@H]1CCc2nc(NC(=O)c3cc(OC)c(OCC)cc3[N+](=O)[O-])sc2C1 303028857 PEXSRMNJEMULJD-GFCCVEGCSA-N 419.503 4.616 5 20 HJBD CC1(C)C[C@H](NCc2ccc(NC(=O)c3ccncc3)cc2)c2cc([N+](=O)[O-])ccc21 433162177 TWHPLKLZJYOCML-QFIPXVFZSA-N 416.481 4.754 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@@H](c2n[nH]c(C3CCCCC3)n2)C1 436342169 WTYXAGBVIVDDOY-CYBMUJFWSA-N 424.427 4.558 5 20 HJBD Cc1c(CN2CCSCC2)cccc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 439125979 YINLYBASYMUSQR-UHFFFAOYSA-N 413.543 4.828 5 20 HJBD C[C@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1C(F)(F)F 439188091 KYCJMOVMDIUNHC-LLVKDONJSA-N 419.363 4.531 5 20 HJBD C[C@@H](N(Cc1ccccc1)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)C 441395376 OEIHSIIDFHAGQP-CQSZACIVSA-N 417.893 4.650 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccc(N2CCCC2)c1 444250039 TUUGFODJZLPLNW-QGZVFWFLSA-N 411.505 4.773 5 20 HJBD CCCOc1cc(C)ccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444253412 YHTYSSOALJCPRP-UHFFFAOYSA-N 400.478 4.709 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1ccc(F)cc1F)C1CC1 445256761 XFHJJLYRYRCSOP-UHFFFAOYSA-N 417.231 4.502 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445264912 RCHMSECEEPIBKF-QMMMGPOBSA-N 403.685 4.905 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cccc([C@H]2CCOC2)c1 446427338 CXJHAUWMORMPFM-FQEVSTJZSA-N 418.449 4.930 5 20 HJBD CCN(C(=O)c1cc(C(=O)N(CC)[C@@H](C)C(C)C)cc([N+](=O)[O-])c1)[C@@H](C)C(C)C 460653570 YLKARXYVOREAHY-IRXDYDNUSA-N 405.539 4.608 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN(CCCOc1ccccc1)C1CCOCC1 464575810 HKHFFNCGCKWLLM-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD O=C(NCCc1ccc2ccccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465665597 VFNUBBOKNUTTPA-UHFFFAOYSA-N 419.481 4.544 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OCC)cnn1-c1ccc(F)cc1 466503139 FNVFFSJSDXCDBN-UHFFFAOYSA-N 412.421 4.523 5 20 HJBD Cc1cnn(Cc2ccccc2F)c1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 470191647 XLHUWUAKAJXMAQ-UHFFFAOYSA-N 422.338 4.558 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@@H](COc2ccccc2)C1 475191390 CTGSDAVLKLPHPX-CYBMUJFWSA-N 409.364 4.546 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Sc2nncs2)c(Cl)c1 477182626 ZSJRGVICRIUBFJ-UHFFFAOYSA-N 424.866 4.571 5 20 HJBD CCC(=O)c1ccc(NCc2ccc(N3CCc4ccccc4C3)nc2)c([N+](=O)[O-])c1 479384091 XERMKKKGKIIQNZ-UHFFFAOYSA-N 416.481 4.757 5 20 HJBD Cc1c(CNC(=O)[C@@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 481492296 MNHRDFDBYYQLDX-SJORKVTESA-N 407.495 4.879 5 20 HJBD Cc1cc(C)c(-c2nnc(SCc3nc(-c4cccc([N+](=O)[O-])c4)no3)o2)c(C)c1 483215521 SEIASJLAXRBWNR-UHFFFAOYSA-N 423.454 4.912 5 20 HJBD Cc1cc(Br)ccc1CS(=O)(=O)[C@H](C)c1cc([N+](=O)[O-])ccc1C 485333203 UFYXKMFJQPXSFT-CYBMUJFWSA-N 412.305 4.650 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(OCc3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 485617575 FQBYLONCJULYFO-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD COc1cc(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OCCC(C)C 485760718 SUFOPHZXIULXRS-UHFFFAOYSA-N 418.515 4.680 5 20 HJBD CCOc1cc(C(=O)NCC2(Cc3ccccc3)CCCC2)c([N+](=O)[O-])cc1OC 487287881 QXSZLVIIMLWHHH-UHFFFAOYSA-N 412.486 4.535 5 20 HJBD C[C@@H](Sc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 497541809 NDMQBCZEDHKFEA-SNVBAGLBSA-N 421.418 4.750 5 20 HJBD CCN(C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 498465432 KYLNMLJKTRZRGB-AWEZNQCLSA-N 405.882 4.592 5 20 HJBD COc1ccc(OCc2ccccn2)c(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 507169184 UGWBDTLZXNHZHK-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD CCN(CC)[C@@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccsc1 509278152 XOVCNLMZPYBIQJ-FQEVSTJZSA-N 418.563 4.659 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2c[nH]c3cccc(Br)c23)cc1[N+](=O)[O-] 513936778 YJCARTKGJWZTJP-GFCCVEGCSA-N 416.275 4.567 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Sc3nc(C)cc(C)n3)cc2)cc1[N+](=O)[O-] 514337830 JRKUSRZSRMRBRM-UHFFFAOYSA-N 423.498 4.837 5 20 HJBD COc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 522528804 PBWCNUBSUPFDIL-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD Cc1c(Br)cccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 524228349 LNNZEJVAOVMADF-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD Cc1nn(C)c(C(=O)N[C@@H](C)c2ccc(-c3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 537792906 QWVRLHGMKUCFQM-JTQLQIEISA-N 404.879 4.510 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H](C)C3(CCC3)C2)cc1[N+](=O)[O-])c1ccccn1 540029367 BQEQKVIHPUZXFS-IRXDYDNUSA-N 408.502 4.815 5 20 HJBD CCC[C@H](N[C@@H](c1ccc(Cl)cc1)[C@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 540143549 SBBURCCGQOZEBP-BDTNDASRSA-N 417.937 4.751 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1C 540543097 JLIOIBUVOWBPTH-HNNXBMFYSA-N 407.474 4.935 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)cc1[N+](=O)[O-] 540824103 JGKNLIONBWKZHG-AWEZNQCLSA-N 417.425 4.989 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NCc2cccc(NC(=O)CC(C)C)c2)c1Br 541576284 CXABCEHBDKAOOK-UHFFFAOYSA-N 421.295 4.657 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3C)oc12 543516457 IDCDBXHBXZKLDK-OAHLLOKOSA-N 411.458 4.728 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(-c2ccccc2)cs1 543518415 PTSVSBNVNGQASG-ZDUSSCGKSA-N 407.455 4.619 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2sc(N3CCCC3)nc2s1 545252314 YHQXJVNOYODOFH-UHFFFAOYSA-N 418.500 4.517 5 20 HJBD COCCOc1ccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1F 547157714 HIGCJBNKBNITPS-LBPRGKRZSA-N 419.434 4.662 5 20 HJBD CCc1nocc1CN(C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 556946368 DHVBJCYCZALMPX-UHFFFAOYSA-N 420.263 4.800 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccncc2OCc2ccccc2)cc1OC(F)F 557427149 TWKNCKLDKKRGCC-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD Cc1ccccc1[C@@H](c1noc(-c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1)C(C)C 569290138 DFQLWQOFETWYLD-FQEVSTJZSA-N 404.426 4.995 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(COc3ccc([N+](=O)[O-])c(C)c3)n2)cc1 576073042 GRASJCZAWVFFNK-CQSZACIVSA-N 424.457 4.560 5 20 HJBD Cc1cc(OCc2nc(-c3ccc(Oc4cccnc4)cc3)no2)ccc1[N+](=O)[O-] 577246155 ZJSXWYRXEYYEQJ-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD CN1CC[C@@H](c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c2ccccc21 584079147 RTIUEJIRUKSGAY-QGZVFWFLSA-N 408.461 4.703 5 20 HJBD Cc1sc(NC(=O)[C@H](C)Oc2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997073 QDYLCRPFCYTTIM-NSHDSACASA-N 401.419 4.572 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21 609186737 MODWTHLEBVRMPB-KRWDZBQOSA-N 411.458 4.594 5 20 HJBD NC(=O)c1ccc(CN[C@@H](c2ccccc2)c2ccc3ccccc3c2)c([N+](=O)[O-])c1 609464191 IZJVWWVXAARJQR-DEOSSOPVSA-N 411.461 4.726 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609727737 VOTXYIXKMJNSDA-CQSZACIVSA-N 406.866 4.682 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(-n2ccnc2)cc1 609761813 KSNGHJFUVFHQJX-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD COc1cc(CN2CCS[C@@H](C)[C@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 609829420 JKIYQFIYWQSRHE-DJJJIMSYSA-N 424.469 4.883 5 20 HJBD COCCN(c1ncc([N+](=O)[O-])cc1Cl)[C@@H](C)c1cccc(C(F)(F)F)c1 609892188 PPOCYAWYFHTHDN-NSHDSACASA-N 403.788 4.876 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)nn1 610184355 UTXQCMNHFPVAPB-CQSZACIVSA-N 410.455 4.605 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOCC2(CCCC2)C1 614173467 XVUIQBVLGXWVBQ-UHFFFAOYSA-N 411.458 4.812 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c1[N+](=O)[O-] 614400867 LPIODXGEBFWXJB-DTORHVGOSA-N 416.343 4.520 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Cc2ccncc2)cc1 653821844 QKSFZGJJWFCHSA-UHFFFAOYSA-N 419.484 4.779 5 20 HJBD Cc1cccc(C2(NC(=O)c3cc([N+](=O)[O-])ccc3Br)CCCC2)c1 727340067 JHGNNVMMUMWWIB-UHFFFAOYSA-N 403.276 4.865 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cn(C)nc1-c1ccccc1Cl 731165270 WYJXWODKWYQJHP-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@@H](c3ccc(Br)cc3)C2)c1 735490044 ARVBNNNISYJJNC-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD Cc1nc(Cc2ccccc2)sc1[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 739589340 LLFUGCWLYPEQCU-OAHLLOKOSA-N 412.467 4.634 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 741317037 YSCSQAKRMQWNBX-QWHCGFSZSA-N 416.817 4.975 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)cc([N+](=O)[O-])c1 744870878 HIYRENFTRHHPOT-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3cc([N+](=O)[O-])ccc3Br)o2)cc1 744916473 YSJZYOBHBFUHPT-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(C)c(NC(=O)OC(C)(C)C)c1 745454842 WAIPZJSCAGLCMO-UHFFFAOYSA-N 416.430 4.616 5 20 HJBD C[C@H](OC(=O)CCc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746115172 NRELYYFMVBWHGT-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ncc(C(C)(C)C)o1 747688003 MNDNYDKSMQAVAU-HNNXBMFYSA-N 404.466 4.745 5 20 HJBD O=C(Nc1cc(Cl)ccc1O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749576369 PFKJZTVMCCNPDJ-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1Cl 754479080 JDWXTOOFJHUENR-ZDUSSCGKSA-N 401.633 4.809 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755057259 VKUVPURNJZYHBA-GJZGRUSLSA-N 416.449 4.840 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)OCc1nc(C)c(-c2ccc(Cl)cc2)o1 755674716 AIDRNFVMHYCDKL-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD CN(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 758267212 NEHGLHFCYNFYFV-VQIMIIECSA-N 418.856 4.547 5 20 HJBD O=[N+]([O-])c1cc(-c2nc3ccccc3n2CC(F)(F)F)ccc1Br 758463986 MELRMSHLDJTEEF-UHFFFAOYSA-N 400.154 4.936 5 20 HJBD C[C@@H](Sc1nc2ccccc2s1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 762903992 YNBQKCYUZNIWSE-SNVBAGLBSA-N 415.452 4.570 5 20 HJBD CCOC(=O)/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1 764166965 DVNXIFSZEUSFPK-KPKJPENVSA-N 400.456 4.535 5 20 HJBD CCN(CCc1ccccc1)Cn1nc(-c2ccc([N+](=O)[O-])cc2)n(CC)c1=S 764902192 PWFKEAJOVSLEHU-UHFFFAOYSA-N 411.531 4.531 5 20 HJBD C[C@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2Br)c2ccccc2S1 782120561 AQRNCXTXOACUGF-NSHDSACASA-N 407.289 4.888 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] 782589247 SQBWABVIXLBFDN-LLVKDONJSA-N 407.448 4.625 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3ccccc3c2Cl)c(C)c([N+](=O)[O-])c1 792627313 NUNZFMSVQNADNQ-UHFFFAOYSA-N 404.831 4.810 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2cc(N)ccc2[N+](=O)[O-])C3)cc1 800849283 BDUSMVRSSPDIQR-UHFFFAOYSA-N 417.469 4.692 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 803996537 JUEUWWTUNKLTIU-MRXNPFEDSA-N 416.499 4.654 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)C1(c2ccccc2)CCOCC1 811219523 USMJHNLGOZNSMW-UHFFFAOYSA-N 424.478 4.510 5 20 HJBD CCCOC(=O)c1cc(-c2cncnc2)ccc1NCc1ccc(C)c([N+](=O)[O-])c1 864009298 KHVUURIAMMFHEU-UHFFFAOYSA-N 406.442 4.539 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)c2cnn(Cc3cccs3)c2n1 900711461 IRGIRPPLFVAMDO-CQSZACIVSA-N 422.466 4.676 5 20 HJBD Cc1cccc(CCCOC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 919678864 GJFNNGHCVMDIJV-KRWDZBQOSA-N 420.469 4.656 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H]1CCCC[C@H]1C 920818950 SQXBLYHNHXZWKR-CVEARBPZSA-N 400.479 4.598 5 20 HJBD C[C@H](Oc1cccnc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1116664562 RUNKMJLDRMYCIC-HNNXBMFYSA-N 404.426 4.678 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2)nc1)c1ccc([N+](=O)[O-])c2cccnc12 1336602019 QWMXNUFLGMXACI-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CC(=O)Nc1cccc(NC(=O)COC(=O)c2c3ccccc3cc3ccccc23)c1 6643770 UZCVNOBQAZACET-UHFFFAOYSA-N 412.445 4.747 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)CCSc2ccccc2Cl)cccc1[N+](=O)[O-] 23208240 NMWDITXOKPUQAS-ZDUSSCGKSA-N 422.890 4.609 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC[C@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 27627449 UFKGQBNHIHGWEZ-QFIPXVFZSA-N 420.513 4.626 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nc3ccccc3n2C(F)F)cccc1[N+](=O)[O-] 28281256 PWVOGPAWTDOYFO-LLVKDONJSA-N 406.414 4.767 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCC(F)(F)F)c1 31607891 LXIFULXBOHGELY-LLVKDONJSA-N 400.378 4.655 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc(-c3ccccc3)cs2)cc1[N+](=O)[O-] 31872204 IXGGIHKUTFBWCN-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32051495 FZAAVQUJXCQCBV-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(NCc1ccc(COCC(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 43342498 MFAWNRQJNXIKTC-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD CCOc1ccc([C@@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 47068979 OWAUHZKSWSBHMD-QHCPKHFHSA-N 419.481 4.925 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccccc2C(F)(F)F)c([N+](=O)[O-])cc1OC 55671587 XYWXMJFSOQPLET-LLVKDONJSA-N 412.364 4.512 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1ccccc1COc1ccccc1 60859624 BGPVXEFTLQUNTC-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)c2ccncc12 110024828 CPNZCZLXHBEQNV-HNNXBMFYSA-N 419.416 4.716 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])C(C)C)cc1OCC 146225947 ZVVXGAPQLFFUNF-OAQYLSRUSA-N 416.474 4.528 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cccc([N+](=O)[O-])c1Br 159051080 IYXRHJDKJKAARU-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=[N+]([O-])c1ccccc1CCNCc1csc(COc2ccc(Cl)cc2)n1 237766380 HDDXBYYGKPMPKY-UHFFFAOYSA-N 403.891 4.616 5 20 HJBD Cn1c(CSc2nc3ccccc3s2)nnc1Sc1sccc1[N+](=O)[O-] 301976228 CKKJVAJIBOVSAU-UHFFFAOYSA-N 421.554 4.838 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303782401 IRFSHEGYSYLYAU-OKILXGFUSA-N 402.520 4.509 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 426882096 MJXKYCRPABAFQW-WBVHZDCISA-N 423.307 4.501 5 20 HJBD CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-c3ccc(Cl)cc3)s2)n1 427379840 NZLHOSYDVMBCDR-NSHDSACASA-N 404.879 4.684 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(NC(=O)c3ccoc3)c2)cc1[N+](=O)[O-] 429067817 JQWSZLLOJVVUKG-AWEZNQCLSA-N 408.414 4.631 5 20 HJBD COc1ccc(CN2CCC[C@H](CSC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 430191560 KNLZCDBKJZYFIO-IBGZPJMESA-N 416.543 4.757 5 20 HJBD CC(C)(NC(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 431181432 KJHQBJMKJHTQGK-LJAQVGFWSA-N 417.531 4.699 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(C)(C)C(=O)O)cc1 431540846 ZDCUTPATJJTWBF-UHFFFAOYSA-N 402.472 4.710 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(n2c(C)nc3ccccc32)CC1 432210747 QJCZMNIRBNNFRH-UHFFFAOYSA-N 407.474 4.739 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(-c4ccco4)n3-c3ccccc3)nc2c1 434794467 NRLGERRYLVLQPA-UHFFFAOYSA-N 419.422 4.869 5 20 HJBD CN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc2cccc(Cl)c2s1 436397897 QVSQHFWSXZMGBJ-UHFFFAOYSA-N 400.847 4.545 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 437470494 GICVZIKAWXUWJV-BLIXFSHQSA-N 414.527 4.668 5 20 HJBD CC(C)OCc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438228457 GTRYBYDMIGVKFT-UHFFFAOYSA-N 413.474 4.595 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccc(Cl)cn2)cc1 438638646 YQFDNVCPVYEWKC-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccsc1)c1cccnc1 440743367 YXIRWJWEPQMYCR-UHFFFAOYSA-N 417.874 4.707 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cccc(N(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 441330032 GIWAFHKXPJAQGW-KRWDZBQOSA-N 421.444 4.608 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cn1 441393446 LUBHHJYVLSIFAX-UHFFFAOYSA-N 422.510 4.932 5 20 HJBD CCCN(C(=O)Cc1ccc(OCc2ccccn2)cc1)c1cccc([N+](=O)[O-])c1 441464990 OIUJYWSEUZUPOH-UHFFFAOYSA-N 405.454 4.555 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H](C)Oc1ccccc1Br 443955224 FVHBGGRATPWFCM-LBPRGKRZSA-N 422.279 4.563 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cc(C(C)(C)C)n[nH]1 447062656 CIGDGKKCUORKDP-UHFFFAOYSA-N 404.536 4.636 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@H]1CCO[C@H](c2ccccc2)C1 447687024 SMUANAPEDLVPPF-WFASDCNBSA-N 417.334 4.976 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc(-c2ccncc2)cs1 462995678 XHATYOQCCZVTKD-GDBMZVCRSA-N 410.499 4.559 5 20 HJBD C[C@@H](Sc1nnc(N2CCCCC2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463252063 XRIHLEKAPICWHJ-OAHLLOKOSA-N 413.503 4.671 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 463379776 UVLDSFXLVHTOHI-VIFPVBQESA-N 404.291 4.706 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2C1 463961091 ZNNFWMVQZJLJDA-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 469150338 VQDDWIIFJUZJBV-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@H](c2ccc(F)cc2)C1 471528252 LUUMOQLZGNMYHU-ICSRJNTNSA-N 414.480 4.746 5 20 HJBD COc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ncc1Br 476983157 ULUCLQFVFKJNSD-UHFFFAOYSA-N 408.255 4.806 5 20 HJBD Cc1cccc(CC2(O)CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)c1 477690212 CZWDQMNOCVUXNR-UHFFFAOYSA-N 410.517 4.906 5 20 HJBD Cc1c(CNC(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)cccc1[N+](=O)[O-] 480055777 SFUZQRMUXPKEND-UHFFFAOYSA-N 401.413 4.570 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(NC(C)=O)cc2s1 482023123 AWOVCQPLTNPJLI-UHFFFAOYSA-N 416.484 4.527 5 20 HJBD CCc1ccnc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 482740226 BSAHNJZGGUZLTL-AWEZNQCLSA-N 416.441 4.837 5 20 HJBD COc1c(C(=O)Nc2ccc(Br)c(Cl)c2Cl)cccc1[N+](=O)[O-] 484084650 WYAHFXHZWLNTNK-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1sc(Br)cc1[N+](=O)[O-] 485895213 MDYNDRAIUMVLCM-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2Br)c1[N+](=O)[O-] 486425443 QLGLAUPCEWQJAM-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)Cc1nc2ccccc2s1 486427682 RFFNGEKBAQIJHF-LBPRGKRZSA-N 401.513 4.678 5 20 HJBD O=C(Nc1ncccc1-c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 486434108 ORPPPZUFADHQSN-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492965144 YXHSQPZBMKPOQG-MSOLQXFVSA-N 404.388 4.908 5 20 HJBD O=C(N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])c2cccnc12 498185698 KYDLLOXPFPSLAF-KRWDZBQOSA-N 413.380 4.552 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccc(Cl)cc1)c1cccs1 500761328 GKFNYOWOSADRJW-SFHVURJKSA-N 402.859 4.838 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cnn1CC(C)C 501341017 BIMGOPVFPBKWIW-UHFFFAOYSA-N 407.474 4.620 5 20 HJBD COc1cc(OCC(=O)N[C@H](C)c2ccccc2Oc2ccccc2)ccc1[N+](=O)[O-] 506172551 CRFMGGGGLXHQGX-MRXNPFEDSA-N 422.437 4.652 5 20 HJBD CCN(Cc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])Cc1c(F)cccc1F 507244936 ZBSURYPAFPHXSR-UHFFFAOYSA-N 402.344 4.505 5 20 HJBD CC(C)(C)c1cc(NC(=O)CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)on1 510470682 XNCLFQXYTWTQCY-UHFFFAOYSA-N 409.446 4.675 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCOc1ccc2ccccc2c1 515366045 ZXXRVWWDXUEFKN-UHFFFAOYSA-N 422.484 4.503 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNCc1cccc(-c2nc(CC(F)(F)F)no2)c1 519570280 BLZPINHKRLOFMZ-XBXARRHUSA-N 418.375 4.553 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1nc(/C=C\c2ccccc2)cs1 520291849 KBAQFODJOGUELO-VURMDHGXSA-N 421.887 4.676 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OC(F)F 524365058 PCOAUNQMTDTSJW-OAHLLOKOSA-N 408.401 4.551 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)cc1 524475974 VMEVJXFPMPAIOY-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD CCCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@H](OCC)C12CCCC2 525408253 RBWDLLXJMHPSJF-OALUTQOASA-N 414.506 4.595 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@@H]1c1cccc(F)c1F 525519464 UMOKIZUYECTISI-KGLIPLIRSA-N 401.394 4.739 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)CC[C@H]1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 530676119 PBCPTLYSVCWMBT-VGOFRKELSA-N 422.554 4.719 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 533959862 NFXPYGREFLDERR-MRXNPFEDSA-N 424.526 4.972 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1ccccn1)C2 535291174 AAAMEPYGTMUSFF-OAHLLOKOSA-N 420.494 4.629 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1 538600295 WUZHVGJIWWBCDF-RDJZCZTQSA-N 408.376 4.688 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1Cl 539146244 SXCWVWOHEWHWBP-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1cccc(COCc2ccco2)c1 539636456 USASDLNYBFLQSV-INIZCTEOSA-N 409.442 4.734 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(CN(C)C(C)C)c1 540454331 OLYCSOKTJYIVCY-UHFFFAOYSA-N 415.559 4.866 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C/c1ccc([N+](=O)[O-])cc1Cl 544044236 DCZUDLGVHFSEQR-XFFZJAGNSA-N 421.762 4.921 5 20 HJBD COc1ccc(-c2csc(NC(=O)CSc3ccccc3[N+](=O)[O-])n2)cc1F 544056434 JXFMLUCMDAGILP-UHFFFAOYSA-N 419.459 4.597 5 20 HJBD COc1ccc(Nc2ncnc3cccc([N+](=O)[O-])c23)cc1NC(=O)C1CCCCC1 544394470 JFQXLBDKGXVBCV-UHFFFAOYSA-N 421.457 4.809 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4cccc5cccnc45)no3)cs2)cc1 545010381 MGFUPWWFMYRJCH-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CCc2nc(-c3ccc(C(C)C)cc3)no2)n1 546401677 JJZYGIBAVWMAAB-UHFFFAOYSA-N 419.441 4.912 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(CCCOc2cccc3ccccc23)no1 547110959 GFIIXRGKZDSGRA-UHFFFAOYSA-N 404.426 4.755 5 20 HJBD CCOC(=O)[C@@H]1CC[C@H](NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccc21 549235894 QEYJLXYEGAIBKS-MOPGFXCFSA-N 422.403 4.885 5 20 HJBD O=C(Nc1nc(C2CCOCC2)cs1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 557720594 WETUWNQHMHHELB-UHFFFAOYSA-N 424.482 4.941 5 20 HJBD COc1cnc2ccccc2c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 558754532 UNRRSIYBNFPDCD-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD CCOc1ccccc1[C@H](CC)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 571308573 NQUWQWHCIYNFLA-GUYCJALGSA-N 405.882 4.715 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 582822308 JGSHJFWSZVYEJU-HJPURHCSSA-N 420.465 4.621 5 20 HJBD Cn1cc(NC(=O)c2ccccc2Sc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cn1 603626299 FTPFWIMKTGWCCM-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1Cc2ccccc2-c2ccccc21 604432119 CAURPTKXGNHGLU-UHFFFAOYSA-N 400.843 4.624 5 20 HJBD Cc1sc2nc(-c3ccc(OC(F)F)cc3)nc(-n3ccc([N+](=O)[O-])n3)c2c1C 604519846 QCHGCQDLUUKBBM-UHFFFAOYSA-N 417.397 4.670 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](c1cccnc1)c1ccc(F)cc1F 609522384 GIVXQHSAXTUDFX-IBGZPJMESA-N 417.437 4.971 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](Cc1ccccc1)c1cccs1 609787532 FKFGBQGQXJRXSS-GOSISDBHSA-N 400.887 4.952 5 20 HJBD COc1ccc(CNC(=O)NCc2ccc(-c3cccc(C)c3)cc2)cc1[N+](=O)[O-] 609810253 PCDMWACVLSXALJ-UHFFFAOYSA-N 405.454 4.578 5 20 HJBD CCOC(=O)C[C@H](c1ccccc1)C1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 609894811 ZTSBIMSBVZDRIF-GOSISDBHSA-N 417.893 4.597 5 20 HJBD Cc1nc(CSc2ccc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc2)cs1 609971333 BJBWBKIXRXJFKQ-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cnn(CC4CC4)c3)cc2[N+](=O)[O-])cc1 610313117 LTONWOPEBGJISQ-UHFFFAOYSA-N 408.483 4.913 5 20 HJBD O=C1CCc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2N1 611206358 NCMWJHQHEFSMFQ-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD CC(C)[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccnn1C 615943524 VRRCYBFHAMOOMO-QGZVFWFLSA-N 402.882 4.771 5 20 HJBD C[C@@H](Cn1cccn1)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727766739 FVDDLUJZDIFULG-KRWDZBQOSA-N 409.489 4.948 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1ccc([N+](=O)[O-])cc1Br 740410727 SSBLZPYALPJDPC-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ncc(-c3ccccc3)o2)cc1OC 741308432 GBKKLIHHFSIYSV-ZDUSSCGKSA-N 412.398 4.575 5 20 HJBD C[C@@H](OC(=O)[C@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1cccc([N+](=O)[O-])c1 744068438 DRBAILRAADVKJK-DNVCBOLYSA-N 414.458 4.508 5 20 HJBD CC/C(=C\C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C)cc1 745127137 QILRNXNCDGMKCU-GXDHUFHOSA-N 402.834 4.532 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(CCC(F)(F)F)cc1 752516649 OKCYLDREOJUXES-HZPDHXFCSA-N 411.380 4.627 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3Br)c2)n1 760159188 NPAUESJFZJTRSE-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 767992442 UOCJIFZGVSAORV-LNYGYFNRSA-N 407.857 4.757 5 20 HJBD CC(=O)OC1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 769427354 QBASALXZDNMDIR-UHFFFAOYSA-N 404.850 4.568 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 772234527 CPMOFHJGZHTGQN-VIFPVBQESA-N 419.812 4.743 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Cl)co2)cc1 773106755 UBXWOWIKBQCODP-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD Cc1cc(C(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)ccc1[N+](=O)[O-] 779465155 TZOGPTOTFJVGCT-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(Sc2ccncc2)cc1 781741739 WDIXXHQHJSFARU-UHFFFAOYSA-N 424.438 4.621 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1cccc(Cl)c1[N+](=O)[O-] 785750746 XYRKEMNNANMEAR-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CCCN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1OC 790598315 SPOHZGDATKBTAK-ZDUSSCGKSA-N 408.857 4.938 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)c1cc([N+](=O)[O-])ccc1Br 791078068 WSCBCXAQWNRUBK-UHFFFAOYSA-N 417.712 4.825 5 20 HJBD CN(CC(F)(F)Br)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 807862538 CXCVJVZBQOZRKT-UHFFFAOYSA-N 423.279 4.689 5 20 HJBD O=C(CCCc1ccc2nc(O)[nH]c2c1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811084234 XHCRYSSKESSSRM-UHFFFAOYSA-N 424.240 4.550 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])s1 811086501 VZYOVPYYHXLPAD-QMMMGPOBSA-N 405.259 4.813 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNc1cccc(CN2CCC(CO)CC2)c1 811469589 LIPMNGUAWWBTPK-UHFFFAOYSA-N 424.328 4.718 5 20 HJBD CCCC(=O)Nc1ccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812916897 VDCGMJAURBTYIH-UHFFFAOYSA-N 410.257 4.570 5 20 HJBD CC(C)c1noc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)n1 914889509 NXSMETJMMCPUOX-CQSZACIVSA-N 410.430 4.631 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccccc2)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 915358109 XDKBABYUDJMHGE-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(COc1cc(C(F)(F)F)ccc1Cl)Nc1cc([N+](=O)[O-])ccc1Cl 916528575 WKRRTPSMZORVTP-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD COCCOc1ccc(Cl)cc1-c1nc(-c2cc(C)c([N+](=O)[O-])cc2C)no1 1261159233 YIUOEGXVRDGMKN-UHFFFAOYSA-N 403.822 4.607 5 20 HJBD COCCO[C@H](C)c1nnc(-c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 1323037413 FCXIZBPJTOSQIG-GFCCVEGCSA-N 419.821 4.815 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1-c1nnc(-c2cc(-c3ccccc3)on2)o1 1324110998 GTYYPBVPLHCJQI-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N(Cc3ccc(O)cc3)Cc3ccco3)c2c1 1324252886 YZKRXFQLVLXCRL-UHFFFAOYSA-N 410.817 4.697 5 20 HJBD CCn1nc(-c2nnc(-c3cc4ccccc4c4cccnc34)o2)c2ccccc2c1=O 1326584214 LZVRHSIVFABWHY-UHFFFAOYSA-N 419.444 4.835 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(-c3csc(C)n3)cc2)c([N+](=O)[O-])c1 1347520422 DTZQVYWBURYKII-LBPRGKRZSA-N 412.471 4.918 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2ccc(Br)cc2)c([N+](=O)[O-])c1 1526862985 NCNDCAWFCPPCEX-INIZCTEOSA-N 420.263 4.735 5 20 HJBD Cc1cccc(-n2ccnc2S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 8483697 CPRPDQMJKABCHP-AWEZNQCLSA-N 407.455 4.992 5 20 HJBD Cc1cc(C(=O)COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1cccs1 10758568 GLTUXQYGRMRJGE-JXMROGBWSA-N 424.478 4.562 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n1 15637316 QELDQKLFRKECNP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1cccs1)N(Cc1cccc([N+](=O)[O-])c1)CC2 24786815 CMPKWRSLHRHIPF-JOCHJYFZSA-N 410.495 4.821 5 20 HJBD Cn1c(SCc2nc(-c3ccc(F)cc3)no2)nc2cc3ccccc3cc2c1=O 24970171 HORXESHPRKBFDO-UHFFFAOYSA-N 418.453 4.568 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C2CC2)o1 27285528 YYIDANMXULALQG-BEFAXECRSA-N 409.486 4.716 5 20 HJBD O=C(Nc1ccc(COc2ccc(Br)cc2)cc1)c1ccc([N+](=O)[O-])o1 45529322 MNRKCXYINVHGCT-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD COc1ccc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 47166754 QTADHTPAIZKZLQ-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1csc(N(C(C)=O)c2ccc(C)cc2)n1 54912279 MXXXUFGBXIWWLF-UHFFFAOYSA-N 413.455 4.632 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccccc1OC1CCCC1 64421174 SZTHAKDXPJHAQN-CQSZACIVSA-N 418.877 4.653 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64917194 NTENPCBHTBZMGW-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 170502516 ZTXYXLDHJCIZGU-UHFFFAOYSA-N 400.485 4.632 5 20 HJBD CCc1cc(N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)nc(-c2ccc([N+](=O)[O-])cc2)n1 301116902 YZUFHKQARPNWCC-AWEZNQCLSA-N 417.469 4.672 5 20 HJBD O=[N+]([O-])c1c(N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)ccc2ncccc12 302196058 SRSGCAWBYXIXRL-SFHVURJKSA-N 403.360 4.740 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(-c3ccccc3Cl)o2)cc([N+](=O)[O-])c1 303742475 ZLDAMLPGPBTULZ-UHFFFAOYSA-N 400.774 4.547 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 426276915 IBGCDCZHFUMWRE-GOSISDBHSA-N 423.391 4.669 5 20 HJBD C[C@@H](CSc1ccc(F)cc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 426828910 XHJKYSNHAVQYOH-HNNXBMFYSA-N 418.490 4.532 5 20 HJBD C[C@@]1(CCc2ccccc2)CCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 434243624 OYLULDPDKMWTLR-RUZDIDTESA-N 421.541 4.683 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)cc1 437123599 FGZPPQNPMUPYIX-UHFFFAOYSA-N 423.494 4.922 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 440692555 LCHQOMSYIDNRSJ-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1ccccc1-c1ccccc1 441221084 FXMKFLYTJQZQGL-UHFFFAOYSA-N 401.466 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN([C@H](C)c2ccc(Cl)cc2)CC1 443959996 OTPYHSSHXOZUFI-MRXNPFEDSA-N 416.909 4.776 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C(F)(F)F 444287835 LFMNAYWAGYVUHN-OAHLLOKOSA-N 408.395 4.917 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N2C[C@H]3CC[C@H]2C3)cc1SC 447696817 BWDGQQJPSMSVLM-KBPBESRZSA-N 413.499 4.566 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CSc2ccc([N+](=O)[O-])cn2)cs1 448109068 CLDIDTCCDYYOAH-UHFFFAOYSA-N 400.485 4.732 5 20 HJBD CN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H]1CCSc2ccc(Br)cc21 460168569 WMWBXMISBCZBEU-INIZCTEOSA-N 421.316 4.595 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1CC(=O)c1ccc(F)cc1 462772863 JOVMPVMQMGQZLY-UWJYYQICSA-N 412.461 4.567 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 465148852 SEGSFVAFMXPSQR-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD O=C(c1cncc(-c2ccccc2)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 466317353 KOAVUMQBDMWNSG-UHFFFAOYSA-N 415.371 4.862 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1ccc(F)cc1OC1CCOCC1 477160874 ILCCMAQNZCXUEY-UHFFFAOYSA-N 403.410 4.553 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@H]1Nc1ccc(Cc2nnc3n2CCC3)cc1 478165668 DUSRTARVCOSLGE-GHTZIAJQSA-N 417.513 4.547 5 20 HJBD CCn1c(SCc2ccccc2OC(F)F)nnc1-c1ccc([N+](=O)[O-])cc1 481341401 MYEBLKNXPGKCOY-UHFFFAOYSA-N 406.414 4.767 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(-c3ccccc3F)n2Cc2ccco2)c1 481378842 WYSWHAMZCMOAGG-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3c(c2)OC2(CCCC2)O3)cc1SC 481930266 IUTSUURGCOANCJ-UHFFFAOYSA-N 416.455 4.619 5 20 HJBD COc1cc(C(=O)Nc2ccccc2SC2CCCC2)c([N+](=O)[O-])cc1OC 483284483 PUDLEWIWANIEBF-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD CCc1cnc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 485022020 BRGADUFBVLGERZ-UHFFFAOYSA-N 401.806 4.521 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1cccc(C)c1 486830746 AJIXPBRPOGTVLX-UHFFFAOYSA-N 416.384 4.505 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2CCC[C@@]2(c2nc(-c3ccccc3)no2)C1 486852140 IWBBDJQEBSJDPO-PRCFCKQXSA-N 404.470 4.760 5 20 HJBD C[C@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 489642363 YCWNZNFAAAVGJP-NSHDSACASA-N 410.411 4.510 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 490252139 QKPUAPCIVFWPMU-UHFFFAOYSA-N 418.287 4.662 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(CC(F)F)c1ccc(F)cc1 490323787 UAFJUFSQKQXOBR-UHFFFAOYSA-N 407.373 4.769 5 20 HJBD CC(C)(C)OC(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c(F)c1 490940927 YRQRTNSUOITVOL-UHFFFAOYSA-N 402.422 4.606 5 20 HJBD C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 493974614 CBIHRVWCDXWFAZ-SJCJKPOMSA-N 409.364 4.608 5 20 HJBD COc1cc(CNCc2ccccc2C(F)(F)F)c([N+](=O)[O-])cc1OCC(F)F 494596961 ARJRTKBNKMGPQA-UHFFFAOYSA-N 420.334 4.556 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1 502549698 BTJKAYKWLQTQDW-UHFFFAOYSA-N 420.400 4.685 5 20 HJBD Cn1cc(CN2[C@H]3C=C(c4ccccc4)C[C@H]2CC3)c(-c2ccc([N+](=O)[O-])cc2)n1 503063175 NOVYDLMGGBQSGH-DHIUTWEWSA-N 400.482 4.816 5 20 HJBD CC(C)[C@@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 503283798 POHILFPJNMSDRL-KRWDZBQOSA-N 424.284 4.696 5 20 HJBD Cn1cc(C(=O)Nc2ccc(Cl)c(OC(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 505662433 ORDNUXPWKYZCOE-UHFFFAOYSA-N 422.775 4.502 5 20 HJBD C[C@@H]1CCCCN1C[C@H]1CCCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 505929379 CMSJNWIYMCGOJN-QZTJIDSGSA-N 401.551 4.619 5 20 HJBD COC1(c2noc(COc3cccc([N+](=O)[O-])c3)n2)CCC(c2ccccc2)CC1 508599902 NQDZLFAWWCQPAJ-UHFFFAOYSA-N 409.442 4.756 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1ccc2ccccc2c1)C1CC1 509040066 TUFNGWJLCKJRCH-UHFFFAOYSA-N 412.449 4.739 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1Cl)N1CCc2cc([N+](=O)[O-])ccc21 514705912 GKASVQBKKPMJJR-UHFFFAOYSA-N 401.633 4.523 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 517902027 XDUZQOMSYRVNKP-CYBMUJFWSA-N 418.881 4.611 5 20 HJBD COc1ccc(CSCC(=O)N(c2ccccc2)C2CCCC2)cc1[N+](=O)[O-] 518191108 VIFXVVDCWISZSB-UHFFFAOYSA-N 400.500 4.812 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3cccc(Br)c3)o2)cc1 520688155 MJMIXIMQKAJOSD-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 522238145 MFKLFQNSABUISY-UHFFFAOYSA-N 406.373 4.864 5 20 HJBD O=C(Nc1ccc(Oc2cccc(Br)c2)nc1)c1ccc([N+](=O)[O-])cc1 522895954 VUUJJTHKTUEZSA-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(F)c1 525822120 JUXPXANEJDWQPZ-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD COc1ccc(CC(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 532341215 XIFZVUTTXFLRHH-QGZVFWFLSA-N 416.783 4.561 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1c[nH]c2cccc(Br)c12 532828132 GWYXLUDMFJGBLU-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD C[C@H](NC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1Cl 534214784 KOVUHLJSZONODR-JTQLQIEISA-N 402.756 4.523 5 20 HJBD COc1cc(C(=O)Nc2cccnc2-c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 534364860 AMUNXCYSVSKBED-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 535613748 GIRJDXFNHMCOGD-NRFANRHFSA-N 406.438 4.631 5 20 HJBD COc1cccc2ncnc(Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)c12 542102944 VSPWQIRUOPUPDG-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 550236369 SRBXWSUFBVXIKV-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD C[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 551825378 GAYZYHPLKJPUNC-KPZWWZAWSA-N 408.376 4.589 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 553224206 SCOXIBMRMVMQKN-GOSISDBHSA-N 413.861 4.672 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(C[S@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 560559216 YBNWAHZPFFWKRR-ZTOMLWHTSA-N 412.511 4.508 5 20 HJBD C[C@@H](N[C@@H]1CCC(=O)N[C@H]1C(C)(C)C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 560572507 DCJZSFIVKZSLSA-WAWZGNHOSA-N 401.532 4.667 5 20 HJBD Cc1noc(C)c1COc1ccccc1-c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 572442789 FKSXLHDDLOHQFG-UHFFFAOYSA-N 420.425 4.728 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1F 581400820 DINDFWZZNAXCBJ-ZJUUUORDSA-N 413.218 4.616 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ncoc3-c3cccc(C(F)(F)F)c3)no2)cc1O 582812398 ZJYKXQRGVBTDFN-UHFFFAOYSA-N 418.287 4.691 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCCN(c2nc3ccccc3s2)C1 604035648 HPPJPONMHCKFJS-HNNXBMFYSA-N 410.499 4.676 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1cc2cc([N+](=O)[O-])ccc2o1 604240651 HMSBQRJVKYKLDX-UHFFFAOYSA-N 402.410 4.862 5 20 HJBD COc1ccc(CNC(=O)c2cc(C)c(-c3ccc(F)cc3)s2)cc1[N+](=O)[O-] 608805548 MUOIWDWRAHTTRS-UHFFFAOYSA-N 400.431 4.709 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3noc(C(F)(F)F)n3)cc2)c1 608875755 SLUUFPLVPMUMKW-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD Cn1cc(C(=O)NCC2(c3ccc(Cl)cc3)CCC2)c(-c2ccc([N+](=O)[O-])cc2)n1 608882264 SBDYZZQUYJCHBF-UHFFFAOYSA-N 424.888 4.500 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(Cc2c(F)cccc2Cl)o1 608929735 NSVMVLQDKUNFCW-UHFFFAOYSA-N 409.826 4.532 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609836235 XTNDORZHEQNUOF-LJQANCHMSA-N 410.861 4.545 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4ncc[nH]4)c3)cc2[N+](=O)[O-])C1 609875910 IPZYIVCRQZMOBE-HZPDHXFCSA-N 419.485 4.720 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@@H](c2nc3ccccc3o2)C1 610963248 AAQUYBMAYFOSDP-GFCCVEGCSA-N 419.359 4.775 5 20 HJBD CCc1ccc([C@H](N[C@H]2CCOc3cc(OC)ccc32)c2ccc([N+](=O)[O-])cc2)o1 612409748 AZDJQJHLKULMIQ-NZQKXSOJSA-N 408.454 4.962 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](C)c1sc(-c2ccccc2)nc1C 612504613 CGDVEUSFQQZFLR-CQSZACIVSA-N 410.499 4.624 5 20 HJBD COc1cc2c(cc1NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)oc1ccccc12 727658797 FUCFXACNYZSQPO-UHFFFAOYSA-N 406.394 4.693 5 20 HJBD COc1cc(CNc2nc3ccc([N+](=O)[O-])cc3s2)ccc1OCC(F)(F)F 731206274 DQIQVKSAHHNPIA-UHFFFAOYSA-N 413.377 4.766 5 20 HJBD NC(=O)c1ccc(CN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)cc1 733186045 PYXZVXDXZUMWCB-UHFFFAOYSA-N 413.783 4.535 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCCc1nnc(-c2ccccc2)o1 735821543 MGJQLMJGLSYOJU-UHFFFAOYSA-N 400.822 4.568 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F 746436415 IFSCAJSZWFGXNL-SFHVURJKSA-N 419.359 4.858 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)co1 747635275 VBXOWWPZEPIAQR-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(Oc2ncc([N+](=O)[O-])cc2Br)c1 749147068 CMXXFRDCJRPGEG-UHFFFAOYSA-N 424.251 4.569 5 20 HJBD COc1cc(-n2cccc2)c(Cl)cc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 751073414 PNMCEGWNLLCXAE-UHFFFAOYSA-N 407.760 4.578 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3cn4cccc(C)c4n3)cc2)c1[N+](=O)[O-] 753446864 FIBCWZROYZAICE-UHFFFAOYSA-N 404.401 4.918 5 20 HJBD O=C(N[C@H](c1ccccc1)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1Br 754635657 JKTDJJAINZFYNG-CYBMUJFWSA-N 421.144 4.530 5 20 HJBD C[C@H](C(=O)OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc([N+](=O)[O-])cc1F 755061992 IHIVYHWPNCWTPA-VIFPVBQESA-N 412.295 4.741 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 760838105 RCKUQIBNVCFZEM-IBGZPJMESA-N 403.276 4.551 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)OCc1ccc(Cl)cc1[N+](=O)[O-] 761954213 XGCLZZPQHAFVSQ-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CC(C)(C)OC(=O)NCC1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 764137299 MQXLQSLBUCDQOZ-UHFFFAOYSA-N 407.511 4.513 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 766244696 SBVJKKMDKIGWFH-FQEVSTJZSA-N 417.893 4.843 5 20 HJBD COc1cc(CN(Cc2ccccc2)C[C@@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766797583 SIBZBMYNIZIOPA-LJQANCHMSA-N 416.474 4.540 5 20 HJBD O=C(COC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc2ccccc2c1 773601358 HBRHPDXLWNDWOC-UHFFFAOYSA-N 420.240 4.612 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(NC(=O)Nc3ccccc3)cc1)CC2 773984253 BWDGGZLDRRVFIH-UHFFFAOYSA-N 416.437 4.750 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1ccc(Br)c([N+](=O)[O-])c1 778280901 SFAOZJIMLNPYJH-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781686380 PZCBRRPMCDVGSH-PMACEKPBSA-N 411.458 4.539 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 784994676 WODUUKRVWJVZCN-VXGBXAGGSA-N 401.806 4.706 5 20 HJBD CC[C@H]1CCCCN1c1ccc2c(c1)CCCN2C(=O)c1cc([N+](=O)[O-])ccc1N 787256819 MDYMRHFYKIHDRI-KRWDZBQOSA-N 408.502 4.539 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 787406542 PTLWUELVQMUZCA-BXJCGYSOSA-N 403.523 4.623 5 20 HJBD O=[N+]([O-])c1cc(/C=C\c2nc(O)c3cc(Br)sc3n2)ccc1Cl 787476537 LLYBNHUJVPZUNW-RQOWECAXSA-N 412.652 4.891 5 20 HJBD CCc1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1C 790965665 DUIGFCMRMDCEJD-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD O=C(C[C@@H](O)c1ccc(Cl)cc1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811141502 MKKVOUBCPMPNIW-CQSZACIVSA-N 404.633 4.722 5 20 HJBD C[C@@H](c1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)N1CCOCC1 811470588 GPYIAXVTJUYWBQ-ZDUSSCGKSA-N 410.301 4.907 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)c1 812763125 POJOSGJMVOMPAS-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 812931312 LARXKGAUILDWMB-NSHDSACASA-N 422.268 4.519 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2=O)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812954632 VFAJIEDCEFNWLR-UHFFFAOYSA-N 422.268 4.666 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccccc1[N+](=O)[O-] 815608838 OHAKDDQQBLDPBD-UHFFFAOYSA-N 412.833 4.747 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cncc(-c2ccsc2)c1 816256428 JRYGYTQSMDCBMO-LBPRGKRZSA-N 418.858 4.882 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nc(-c3cccc(C(F)(F)F)c3)no2)cc1OC 904311396 HMBQOMAGUWSOLC-UHFFFAOYSA-N 409.320 4.738 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(N3CCOC3=O)cc2)cc1[N+](=O)[O-] 918198384 VYGFEQGHURROTQ-UHFFFAOYSA-N 418.453 4.931 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc(Cl)c1Cl 919212022 QKMIDFAZCBHRIF-SECBINFHSA-N 423.208 4.832 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccccc2OC)c(F)c1 1317993542 LZSNNRYHCAWVPE-UHFFFAOYSA-N 411.389 4.829 5 20 HJBD Cc1cccc(-n2nc(C)cc2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 1318808197 GBUVAVMUSMSICQ-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=[N+]([O-])c1ccc(CSc2n[nH]c(-c3ccccc3F)n2)c(Br)c1 1324139994 NSGMHECINFDHBC-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD O=Cc1ccc(-c2ccc(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)o1 1324501196 GVYHPNVRWNCKSJ-UHFFFAOYSA-N 418.361 4.679 5 20 HJBD O=C(Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 9856181 OLEDBJGFNLUHDZ-UHFFFAOYSA-N 413.437 4.736 5 20 HJBD COc1ccc(-c2csc(NC(=O)Cc3ccccc3[N+](=O)[O-])n2)cc1Cl 9942409 YGMLIZUZFIGWDP-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CCCOc1cc(NC(=O)Cc2c[nH]c3ccccc23)c([N+](=O)[O-])cc1OCCC 10632187 SABRTKKDIVHRCJ-UHFFFAOYSA-N 411.458 4.835 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1Sc1ccccc1 11619583 LZYCYCWALJLUKT-UHFFFAOYSA-N 409.467 4.805 5 20 HJBD CN(Cc1ccc2ccccc2c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 14899226 JUNGVODIAHLMNG-UHFFFAOYSA-N 406.485 4.967 5 20 HJBD COc1ccccc1OCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 31359234 CUBBPHCHNBBCDU-UHFFFAOYSA-N 424.478 4.563 5 20 HJBD CCOc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1Cl 46667727 UAQJJMWYLUISRA-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Cl 55741877 DZFFGBHQYRZTEH-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD C[C@@H](NC(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cccc([N+](=O)[O-])c1 58571821 QZVXZNJFFGVHIF-KZULUSFZSA-N 405.401 4.634 5 20 HJBD O=C(NCCCOc1ccc(Cl)cc1Cl)c1c[nH]c2cc([N+](=O)[O-])ccc12 63261804 WPXMGIKLRQBQOW-UHFFFAOYSA-N 408.241 4.582 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 72028628 MKUUOFNTCXNDLZ-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CC=C(c2c[nH]c3cc(F)ccc23)CC1 117421866 GNHIMOISFWYAIS-UHFFFAOYSA-N 422.460 4.642 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NCc2ccc([N+](=O)[O-])cc2)cc1OC 237347954 APFRNAXIJAFCLI-QFIPXVFZSA-N 406.482 4.994 5 20 HJBD Cn1cc(CNc2cccc(COCc3ccco3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 237789833 WHIIRMFBQDRVOY-UHFFFAOYSA-N 418.453 4.917 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 426314471 ZJXYEPGWDUTOCM-ZDUSSCGKSA-N 400.485 4.542 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426358556 ANBPHEPOOCMPFB-IBGZPJMESA-N 408.483 4.515 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n(C)n1 437048498 VALKXWLNPUYTTK-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 443963858 MAYVVKHXUMLCRV-UHFFFAOYSA-N 402.398 4.744 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)c1ccc2[nH]c4ccccc4c(=O)c2c1)CC3 444302945 SLVUAYCGBDSXSQ-KRWDZBQOSA-N 421.500 4.758 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@H](C)Sc4ccc([N+](=O)[O-])cn4)nc(O)c23)C1 448102620 LORWDHOHQFLOLK-UWVGGRQHSA-N 402.501 4.678 5 20 HJBD O=C(Nc1nc2ccccc2n1-c1ccccc1F)c1ccc([N+](=O)[O-])cc1Cl 460492753 QUMLLCISSMPHFE-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD Cc1cnc(Sc2nnc(Cc3cccs3)n2-c2ccccc2)c([N+](=O)[O-])c1 463057730 VCBXFLXHOALHJA-UHFFFAOYSA-N 409.496 4.682 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2)nc2c1c(C)nn2C 464725151 VGIASFSQUFVZCC-UHFFFAOYSA-N 415.453 4.667 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cccc(NC(=O)[C@H](C)n2cccn2)c1 468640728 BUSXPUITNFPUFI-WBILKINKSA-N 405.458 4.506 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)cc1[N+](=O)[O-] 471911709 RUJQUUIUUGSZRW-LJAQVGFWSA-N 408.479 4.717 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 475739129 MRASKWGASUPAQJ-KGLIPLIRSA-N 400.866 4.922 5 20 HJBD COc1ccc(NC(=O)CCSCc2ccc(OC)c(Cl)c2)c([N+](=O)[O-])c1 478599795 QFJHZACLQNMDTQ-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1SCc1c(F)cccc1[N+](=O)[O-] 481404551 RUKBHHHPTZHFPR-UHFFFAOYSA-N 422.869 4.870 5 20 HJBD CC[C@H](NCc1cccc(NC(=O)NCc2ccncc2)c1)c1cccc([N+](=O)[O-])c1 482961590 NUHMFFQRTDQQBM-QFIPXVFZSA-N 419.485 4.552 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cc1SC 483023613 XKWGPXHSNUXLJX-DOMZBBRYSA-N 416.499 4.847 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@H]2CCCO2)cc1 483097273 IAZJVUGTFXGBAA-QGZVFWFLSA-N 402.472 4.517 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(Cl)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 484882174 PNQUOHGVGHGIEZ-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1coc(-c2cccc(Cl)c2)n1 485378540 WQXLQYPZMQANMD-CYBMUJFWSA-N 420.874 4.888 5 20 HJBD Cc1cc(C)c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1Br 486106566 CGEDLBOIERZDSW-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD CC(C)(C(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1 500232143 NWZYCOFRJNLLOM-UHFFFAOYSA-N 414.355 4.981 5 20 HJBD Cc1ccccc1[C@H](OC[C@@H](O)CN(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 501824470 HVBRFHMQAQFSNU-WIOPSUGQSA-N 420.509 4.502 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)C(C)C)c1 502830633 QPIADRMEWXILOI-UHFFFAOYSA-N 401.488 4.944 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(C)c(O)c3)cc2[N+](=O)[O-])n1 510073409 XSDUFMGMPSIGBV-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F 512315203 QNUMZZBQTBXDFW-QAPCUYQASA-N 410.367 4.748 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1C 517642803 ZHTIXEZWDCUZPG-UHFFFAOYSA-N 420.450 4.841 5 20 HJBD Cc1cc(C)n(-c2ccc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2)n1 518359358 HKTNGCDTHMNYCU-UHFFFAOYSA-N 404.470 4.987 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1)C1CCCCC1 521091322 KUZALCYEMZOMCU-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CC[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(F)cc1F 523418711 ASHNIVYBIYLAIU-ZDUSSCGKSA-N 401.316 4.747 5 20 HJBD Cc1cc(S(=O)(=O)N(Cc2ccco2)c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 523730576 ADKGKMSPGHLEJV-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD Cc1ccc2[nH]c(C(=O)N[C@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])cc2c1C 523902351 MZZYUJVXGKRKLO-LJQANCHMSA-N 423.469 4.506 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 524418771 DMTRBIPIMZDLQJ-IBGZPJMESA-N 415.453 4.759 5 20 HJBD Cc1ccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(OC(C)C)c1 530797976 YZDFGHRBBASORT-UHFFFAOYSA-N 414.505 4.567 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCc2cc(Br)ccc21 532939314 QJFOSPZBSXHIFL-UHFFFAOYSA-N 403.257 4.775 5 20 HJBD O=C(CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1cccc(F)c1 534058493 CLYVQYPAKYBCNN-UHFFFAOYSA-N 401.419 4.651 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1cc(Br)cc2cccnc12 534636569 KBVDFFVUFIEILW-UHFFFAOYSA-N 401.216 4.687 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)NCCc2cccc([N+](=O)[O-])c2)CC1 534695455 JJLHDDLEDWBWCW-UHFFFAOYSA-N 406.486 4.516 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 538261139 OQCCACFWTLDSAN-KRWDZBQOSA-N 419.481 4.950 5 20 HJBD CC(C)[C@H](O)C1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 540686568 QGAXRSGSRBNVAM-SFHVURJKSA-N 423.307 4.504 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1F 541100361 LVQMTLPWADVYKQ-UHFFFAOYSA-N 405.401 4.641 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cccc(-c2ccccc2OC)c1 542376505 UCIWOKJPGUQRMH-UHFFFAOYSA-N 407.426 4.601 5 20 HJBD CC(C)c1nc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n[nH]1 542653491 AWRDQSSVKAQFOT-ZDUSSCGKSA-N 417.535 4.610 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 543644408 JNNICUOZAGAKBY-ZDUSSCGKSA-N 423.269 4.760 5 20 HJBD C[C@H](N[C@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544487558 WBZFLDARMISFEG-WDEREUQCSA-N 410.927 4.680 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)cc(Cl)c1O)c1ccc([N+](=O)[O-])cc1F 544677629 NLYFKLQZUNCOIJ-SSDOTTSWSA-N 417.618 4.598 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CCc2ncc(-c3ccccc3Cl)o2)n1 546941198 ADRUKPNALLQPCW-UHFFFAOYSA-N 410.817 4.662 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549998772 XPNRHCLQTZKRPQ-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1[C@H]1CCC[C@H](C)C1 550824087 DBQFXKOTKUBUNC-LRDDRELGSA-N 414.491 4.588 5 20 HJBD CC[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(OC)c(OC)c1 557470013 NXFCVGDFJCLVLS-LJQANCHMSA-N 416.477 4.580 5 20 HJBD CC(C)Oc1cc(Br)ccc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 558282277 XUSMVYKPRISOJO-UHFFFAOYSA-N 418.247 4.785 5 20 HJBD C[C@H](N[C@@H]1CCC(=O)N[C@H]1C(C)(C)C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 560572508 DCJZSFIVKZSLSA-XBHMSOGKSA-N 401.532 4.667 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2ccc3ncccc3c2)no1 563478136 ITHFDGXPCQKYEJ-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 570051403 SCOVVYJQPYDCLE-GOSISDBHSA-N 407.474 4.512 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(C)cc2C)no1 574365676 YERGRGUVZDZNSW-QGZVFWFLSA-N 408.458 4.512 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](COC)c1cccc(C(F)(F)F)c1 589374783 AMANCAIQPQSKMZ-QGZVFWFLSA-N 411.380 4.685 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(CNc2ccccc2SCC(F)(F)F)c1 589505469 QJJIULRESUGAAD-UHFFFAOYSA-N 400.378 4.648 5 20 HJBD CSc1ccc(C(=O)N2CCC(CCc3ccc(O)cc3)CC2)cc1[N+](=O)[O-] 589971650 QSSAEEGHZDKXIM-UHFFFAOYSA-N 400.500 4.507 5 20 HJBD COc1cc(C(=O)Nc2ccccc2SCC(F)(F)F)c([N+](=O)[O-])cc1OC 591759453 QNTARDQPJIEINC-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCc2csc(Cc3ccc(Cl)cc3)n2)c1 603677315 CDBXWCAPUSOVNP-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD Cc1sc(NC(=O)c2ccc(Cn3cccn3)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997869 VAMUSSXBXZHNOB-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccccc3COc3ccccc3)n2)c1 604011558 NRHIMVRENQFMSM-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)c1cccs1 608810221 FPWQYHUOQXRMSY-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD Cc1nn(CCC(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)c(C)c1[N+](=O)[O-] 608945645 UQBZRZOGVCJXGG-QGZVFWFLSA-N 424.423 4.571 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cnc(C2CC2)s1 609817708 YADDEATUAOEJSG-UHFFFAOYSA-N 421.438 4.627 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCN(Cc2ccccc2)c2ccccc21 609978143 IFEKXAIPQNAFDQ-UHFFFAOYSA-N 401.466 4.581 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609978251 FYOBTYWMYBTFHN-UHFFFAOYSA-N 413.524 4.837 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 610122538 MYWUBZSBLMFNNJ-QGZVFWFLSA-N 404.470 4.784 5 20 HJBD COc1ccc(CNC(=O)C2(Cc3cccc(Cl)c3)CCCC2)cc1[N+](=O)[O-] 610172221 FWLHNTFSPSBRNY-UHFFFAOYSA-N 402.878 4.676 5 20 HJBD COc1ccc([C@@H](NCc2nc3cc([N+](=O)[O-])ccc3o2)c2ccc(F)cc2)cc1 610581973 BUKWCBRJXTWMKF-QFIPXVFZSA-N 407.401 4.763 5 20 HJBD Cc1ccncc1[C@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 611939511 QEIPBZGLTVJVFZ-BTYIYWSLSA-N 424.888 4.982 5 20 HJBD O=C(OCc1ccccc1)c1cccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 727327216 YPAINKOLRCILKZ-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(SC(F)F)c2)cc1SC 728475046 JOKPALKUTVGATK-UHFFFAOYSA-N 400.428 4.892 5 20 HJBD Cc1ccc(NC(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 731536154 RYNSWCGOLKPWAP-IBGZPJMESA-N 403.866 4.534 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1cc([N+](=O)[O-])ccc1Cl 732575515 GLLJCIXOCAEODN-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD CC(C)[C@@H](C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 733576508 MYHGFXDHJLEXBT-FZKQIMNGSA-N 404.850 4.763 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1csc(-c2cccc([N+](=O)[O-])c2)n1 734894735 NOVZYWLCISGNPB-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD O=C(N1CCCc2ccc([N+](=O)[O-])cc21)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 736019765 WWFFPITWRBIDTP-RCRDTURJSA-N 419.319 4.608 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)Cn1cc(Br)c([N+](=O)[O-])n1 740758910 NXXWHMUOICMJCU-UHFFFAOYSA-N 415.291 4.522 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)Nc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1 742395128 IKSBBDMYKGEAKO-MRXNPFEDSA-N 413.474 4.772 5 20 HJBD Cc1ccc2nc(C3CC3)cc(C(=O)O[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c2c1 747048983 YHUILHQBEXTSNA-CQSZACIVSA-N 419.437 4.513 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCCC[C@H]3C[C@H](O)c3ccccc3)o2)cc1 751558611 CVYXFSPXYRDFPG-SFTDATJTSA-N 422.485 4.513 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCOc3c2ccc(Cl)c3Cl)c1 754471643 LOAVNVSMTHRIAE-ZDUSSCGKSA-N 413.282 4.877 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2n1C(F)F 756916863 WLFAWYQEQBUGBY-JTQLQIEISA-N 423.807 4.553 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 758362433 PUBIUWFBNFYESR-JOCHJYFZSA-N 423.444 4.810 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(Cc2cccc(F)c2Cl)no1 762130118 DXUZKEGCEOWRBW-UHFFFAOYSA-N 412.204 4.636 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)n2)nc1 762383589 DYQIYVQDJQMAMB-UHFFFAOYSA-N 422.470 4.783 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2cccc(F)c2)n1)c1ccc([N+](=O)[O-])cc1F 763002258 XHBMEZJBPVOADP-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])c(Cl)c1 764191944 KWMDWRHGPMEIJD-RKDXNWHRSA-N 418.721 4.888 5 20 HJBD O=C(O[C@@H]1CCOC2(CCOCC2)C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765682920 IDZYKJYTDKBWEW-MRXNPFEDSA-N 421.515 4.515 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1cc([N+](=O)[O-])ccc1F 766870401 PPJQJHNXPWKEFN-INIZCTEOSA-N 415.421 4.804 5 20 HJBD CC[C@H](SC)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 767670208 LSBVHGRANRRCRS-IRXDYDNUSA-N 422.890 4.613 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)oc12 769250412 SWZMDAICOQOXGC-UHFFFAOYSA-N 411.158 4.942 5 20 HJBD Cc1cc(C)cc(NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)c1 775385589 JIPONLONJJZEKY-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD Cc1ccc([C@@H]2[C@@H](C)CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781645297 FNXCLXCVZFDNQJ-AOMKIAJQSA-N 411.458 4.744 5 20 HJBD O=C(Nc1cccc2ccc(O)cc12)c1cc(F)cc([N+](=O)[O-])c1Br 782744449 XOLBOBLGFFPPDL-UHFFFAOYSA-N 405.179 4.608 5 20 HJBD CCc1nnc(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 785040675 VNYRVMMFSKUBPV-UHFFFAOYSA-N 419.846 4.811 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@@H]1CC=CCC1 788497479 NARWGKBNETVHDH-BEFAXECRSA-N 414.845 4.828 5 20 HJBD COc1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1NC(=O)OC(C)(C)C 795248715 GDBFKSCODONTDY-UHFFFAOYSA-N 409.389 4.841 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(NC(=O)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 797072980 OSFLDDLCWDOIHW-NRFANRHFSA-N 409.442 4.808 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc(-c2ccc(Cl)cc2)no1 809916667 RTXXQTOGBSJHTM-VIFPVBQESA-N 422.228 4.834 5 20 HJBD Cc1cc(N[C@@H](C)c2ccc(N3CCCOC3=O)cc2)c2cccc([N+](=O)[O-])c2n1 814264621 YTYPJLXHNIWXHB-HNNXBMFYSA-N 406.442 4.971 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Cl 814774760 CKPQUEBMSACRRA-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cn1 915179871 WUUUJOFJLXRQGS-IZZDOVSWSA-N 405.410 4.751 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 917109533 UJHCLABVVZFPOG-UHFFFAOYSA-N 423.391 4.779 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 920291989 DAXSIFQTYYZJBF-UHFFFAOYSA-N 403.460 4.530 5 20 HJBD O=C(Nc1cccnc1-c1ccc(Cl)s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1320679146 XSLCRZPKGMVHBD-UHFFFAOYSA-N 400.847 4.919 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H](c4nc5ccccc5[nH]4)C3)cs2)c1 1323283117 XANIIJKCGQKJIG-MRXNPFEDSA-N 419.510 4.974 5 20 HJBD COc1ccc(NC(=O)CNc2ccccc2Sc2ccccc2)c([N+](=O)[O-])c1 11619575 XPYFCUVLHCRFQX-UHFFFAOYSA-N 409.467 4.805 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccccc3Br)o2)c([N+](=O)[O-])c1 32533785 NZUHLRVOZAERKH-UHFFFAOYSA-N 420.244 4.761 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 56197346 HTQGZYNZTKAHDZ-UZUQRXQVSA-N 419.481 4.942 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)c2ccccc2)c1 72000904 YOOACOGNLAHHHN-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 72058538 CYFCFMUBZANNND-UHFFFAOYSA-N 405.458 4.591 5 20 HJBD CC(C)OCc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 109126620 RBIMELHFICJOPP-UHFFFAOYSA-N 400.478 4.718 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc(O)cc1)Cc1ccco1 112516094 CICDMBPUHWWGQC-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3nnc4n3CCCCC4)cc2[N+](=O)[O-])cc1 237967767 CTBTUNPVTGQJRW-UHFFFAOYSA-N 423.542 4.662 5 20 HJBD CN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 303196523 GPKGVXNKYLGVEI-KRWDZBQOSA-N 417.893 4.597 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(c3ccccc3F)CC2)cc1[N+](=O)[O-])c1ccccn1 303748249 GXBMZMLEYPBZLJ-HNNXBMFYSA-N 420.444 4.721 5 20 HJBD O=C(CCSCc1csc(Cc2ccccc2)n1)Nc1ccccc1[N+](=O)[O-] 409835187 HTVVEHSVAWIHPT-UHFFFAOYSA-N 413.524 4.904 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc2ccccc2s1 410293708 LHBARXLOQJSXGV-OAHLLOKOSA-N 409.511 4.903 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 427278235 XFHDZFOYOHSFDT-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(N[C@@H]1CCCc2c(O)cccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427437002 WFOYLGBGHQTYRK-OAQYLSRUSA-N 418.449 4.687 5 20 HJBD COCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc2o1 431457043 NFFJIPJPQQAJPT-UHFFFAOYSA-N 419.393 4.927 5 20 HJBD CCOCCCNC(=O)c1cccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1 433213384 OVSZKNLRLXNGJV-NRFANRHFSA-N 411.502 4.586 5 20 HJBD Cc1c(NC(=O)N[C@@H]2CCCc3c(O)cccc32)cc(Br)cc1[N+](=O)[O-] 435330444 STSKNSDMAJHFMD-CQSZACIVSA-N 420.263 4.570 5 20 HJBD O=C(NCCc1cccc(Cl)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435814689 AAGHQUDZXKHKNQ-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)c1 437656291 QDSXGGJTMDCHLX-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD CCCCOc1ccccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437883896 QEBHJHGBZOOGAI-UHFFFAOYSA-N 413.474 4.849 5 20 HJBD Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 438968830 BCMUBPKHMSJFFB-UHFFFAOYSA-N 423.391 4.571 5 20 HJBD Cc1cnn(-c2ccccc2)c1NC(=O)c1ccc(N2CCC[C@H](C)C2)c([N+](=O)[O-])c1 439836604 ZHDCMOZSFJAEQR-INIZCTEOSA-N 419.485 4.578 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 443861166 QCECWAXIBJVSHC-GFCCVEGCSA-N 423.391 4.703 5 20 HJBD CCCOc1ccc2c(c1)CCN(C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)C2 444246915 FMDNRVITNAMZKO-UHFFFAOYSA-N 412.489 4.669 5 20 HJBD O=C(NCC1(Cc2ccc(F)cc2)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444284861 GDTQUMSHMDLJDY-UHFFFAOYSA-N 418.443 4.712 5 20 HJBD Cc1cc(Br)ccc1-c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 445452446 CVYUNYGGENPITE-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446907474 WXVWQCVUJUUNQT-SNVBAGLBSA-N 422.272 4.681 5 20 HJBD Cc1nc(-c2ccc(S(=O)(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)s2)cs1 463440950 HMRCUFWXMTUCQL-UHFFFAOYSA-N 409.514 4.506 5 20 HJBD Cc1sc(NC(=O)COc2ccccc2[N+](=O)[O-])nc1-c1ccc2c(c1)CCC2 464086955 LHFVZMGLQXGPSZ-UHFFFAOYSA-N 409.467 4.533 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C[C@@H]1c1ccccc1 464222353 FSRHZMLXZVTDFB-JOCHJYFZSA-N 402.450 4.690 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 464666328 VGYGPQYJXOALLJ-UHFFFAOYSA-N 418.169 4.640 5 20 HJBD CC[C@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 464787548 CFQFFNHFFILLER-QFBILLFUSA-N 419.934 4.755 5 20 HJBD C[C@@H]1[C@H](Cc2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 471436025 JNDNLGAIKRFIBM-CTNGQTDRSA-N 410.517 4.634 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)ccc1[N+](=O)[O-] 473784184 GYIMTPXGVQQIEZ-INIZCTEOSA-N 406.442 4.760 5 20 HJBD CCC[C@@H](NCc1cccc(NC(=O)c2ccncc2)c1)c1cccc([N+](=O)[O-])c1 480912336 RTCIIYDGGCXLKA-JOCHJYFZSA-N 404.470 4.873 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(COc3ccccc3)n2Cc2ccco2)c1 481830011 IHZZSHIRVDMVRM-UHFFFAOYSA-N 422.466 4.866 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccccc2C)C(C)C)c([N+](=O)[O-])cc1OC(F)F 482963519 NHBDUIBQUQXUNJ-GOSISDBHSA-N 408.401 4.640 5 20 HJBD CCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 485338820 PTXBFIUQQXYAGJ-UHFFFAOYSA-N 413.865 4.660 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)s2)c1Cl 489474154 JBNNZCCLUXBXNW-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD CCn1c(S[C@H](C)C(=O)N[C@@H]2CCCC[C@H]2C)nc2cc3ccccc3cc2c1=O 495295618 ZAWPLTZMBLSDFU-JXXFODFXSA-N 423.582 4.745 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1OC(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498130818 BSYILBXKKSURDS-FRQCXROJSA-N 420.437 4.574 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(-c2nc3ccccc3s2)o1 504171864 MZTJSQLBJZCJTI-UHFFFAOYSA-N 422.466 4.806 5 20 HJBD COc1cc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OCc1ccncc1 507151113 AJBSRUCNAGJKEO-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD CCNc1ccc(C(=O)N2CCCc3cc(OC(F)(F)F)ccc32)cc1[N+](=O)[O-] 512382327 DXJFBJFBWHXKIH-UHFFFAOYSA-N 409.364 4.518 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)Cc1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 513682904 CIUYYYGMYIXCOG-FQEVSTJZSA-N 412.921 4.930 5 20 HJBD CCOc1ccc(C(=O)Nc2ccnn2Cc2cccc3ccccc23)cc1[N+](=O)[O-] 514576017 ZICUAGBYCUUMRP-UHFFFAOYSA-N 416.437 4.644 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(OCc2ccccn2)c(Cl)c1 522238082 DHFWBFYKDVYTMY-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1cc(N2CCN(C)CC2)ccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])o1 522282977 KQFDHJJQBHDITB-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD O=C(CCNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)Nc1ccccc1 524223611 ZDTVPCFLPGJDDC-UHFFFAOYSA-N 420.425 4.537 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(-c2ccccc2OC)cc1 525079319 KPSFMXBNWDFYCY-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1ncc(-c2cccc(Br)c2)o1 525118967 IQDAZOLEHCOHPQ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)c2ccc(-c3ccccc3)cc2)cc([N+](=O)[O-])c1 525715406 SDRFPOICXICMCN-UHFFFAOYSA-N 404.422 4.715 5 20 HJBD COc1cc(CN[C@@H]2COc3ccc(C)cc32)ccc1OCc1ccc([N+](=O)[O-])cc1 531915474 JFKUHPOICOAUCV-OAQYLSRUSA-N 420.465 4.714 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 534462723 TYXPMVWBRWQGJH-KRWDZBQOSA-N 420.494 4.772 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@H](c2nc3ccccc3s2)C1 535026370 ZRIVCVQOTCSSEG-AWEZNQCLSA-N 418.478 4.773 5 20 HJBD CCC(CC)n1nc(C)cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 538419491 QBKQYGJYRAKWPH-UHFFFAOYSA-N 412.412 4.666 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CCc1ccc(Br)cc1 539412772 CQUBFMNGZOIIOB-ZDUSSCGKSA-N 421.291 4.507 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1NC(=O)c1ccco1 542539188 HJAYXBQOAFMKHN-ZDUSSCGKSA-N 411.389 4.630 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 544221726 UARZCJAXQUZILK-PXAZEXFGSA-N 421.400 4.820 5 20 HJBD C[C@@H](N[C@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544487553 WBZFLDARMISFEG-GHMZBOCLSA-N 410.927 4.680 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3ccc(Cl)cc3F)s2)c1 548994700 OEXUNCTUIFULQF-UHFFFAOYSA-N 422.825 4.557 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)c2ccc(OC(F)(F)F)cc2)c1 549294418 RWBRPNFOQAYBMK-UHFFFAOYSA-N 412.364 4.557 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3(Cc4ccc(F)cc4)CCOCC3)o2)cc1 550238483 GZHXBYJYQGBKNC-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1cc(F)cc(Br)c1)c1cccc([N+](=O)[O-])c1 555315030 GNRJSGWARDBPQS-KZULUSFZSA-N 421.266 4.964 5 20 HJBD O=C(CSCc1ccccc1Cl)Nc1ccc([N+](=O)[O-])cc1Br 559740830 SACHCYYFQWMMKA-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(-c2ccco2)cc1F)c1cccc([N+](=O)[O-])c1 560537447 AVHWPKOFKPSWAQ-NRFANRHFSA-N 406.417 4.607 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)c(F)c1 562378335 RVWJIVORMKJEKO-KRWDZBQOSA-N 422.254 4.543 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1)[C@H]1C[C@H]1C 565562698 XZOMOXFPZMTCIB-DIFFPNOSSA-N 421.375 4.627 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)c2cccs2)cc1)c1ccccc1[N+](=O)[O-] 578660173 SYISODSBHZWVLX-UHFFFAOYSA-N 409.467 4.574 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1cccc([N+](=O)[O-])c1 579428216 RKOHKSYOJUCFMQ-SECBINFHSA-N 406.826 4.648 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C2CC2)nn1-c1ccccc1 585723132 GUNUWSSNYAAKEG-CQSZACIVSA-N 408.483 4.777 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ncc(Cc2cc(F)cc(F)c2)s1 602347152 HKITVTBZOAKBKE-UHFFFAOYSA-N 421.450 4.651 5 20 HJBD Cc1nc(-c2ccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)oc1C 604446704 ABYQXQXRAXIJMO-ZDUSSCGKSA-N 415.833 4.926 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c2c(C(C)C)noc2n1 604447967 JKFZMAPUIKXFPL-UHFFFAOYSA-N 423.454 4.939 5 20 HJBD C[C@@H](NC(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1cccc([N+](=O)[O-])c1 608983947 NLJWFXRSOPCQAV-CYBMUJFWSA-N 407.392 4.706 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)s1 609305130 YZGKSSJJUHMEFX-UHFFFAOYSA-N 423.498 4.599 5 20 HJBD Cc1ncsc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791970 VBOMLONJLZJIBP-UHFFFAOYSA-N 421.837 4.875 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)Cc1ccccc1[N+](=O)[O-] 609980325 DYCIOZZUNVWHRC-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 610596589 HZZXJPDVWVGEBX-RBDMOPTHSA-N 411.502 4.774 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1OC 611198298 DPOUXIUDCICVIT-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)NCc1ccccc1-c1ccc(Cl)cc1 726763518 JBWFYNUMJQZRIU-UHFFFAOYSA-N 414.820 4.750 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c2Cl)cc1 726914847 ATGDGFHJKXNAJU-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 727706471 TUQXAXPEXNGZAQ-UHFFFAOYSA-N 410.361 4.906 5 20 HJBD CCc1ccc([C@@H](C)CC(=O)OCC2CCN(c3ccc([N+](=O)[O-])cn3)CC2)cc1 739170073 HKGUPQJUVDBLKC-KRWDZBQOSA-N 411.502 4.506 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755015267 ZHXOBVPNQSIJQD-AAEUAGOBSA-N 407.239 4.515 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 757814682 JCOVDTXJMJPCJP-OAHLLOKOSA-N 406.360 4.790 5 20 HJBD COc1cc2c(cc1NC(=O)COc1nc(C)cc(C)c1[N+](=O)[O-])oc1ccccc12 760555205 PTNPBHHOWRBUNE-UHFFFAOYSA-N 421.409 4.532 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131265 FQLSYEKAOVPDBR-FQEVSTJZSA-N 421.434 4.582 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(F)cc1Cl 776216631 BMPVKWZVPLHDSW-GOSISDBHSA-N 404.756 4.868 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@H](c4cccc(C(F)(F)F)c4)C3)n2)c1 784485834 LENZOAZAIXLZPX-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 789669484 YFKGJUPIHCHKRD-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cs2)cc1 800150675 ALDOTBBGUZFTOR-UHFFFAOYSA-N 415.446 4.746 5 20 HJBD COc1ccc2cc(NC(=O)CSCc3ccc(OC)c([N+](=O)[O-])c3)ccc2c1 803456251 NMNDYZCLLKABFJ-UHFFFAOYSA-N 412.467 4.637 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cccnc1F 806881875 DIFGLIYHTNVEKS-UHFFFAOYSA-N 419.771 4.644 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(Cl)c1OCC(F)F 807729587 ODJNPPDNEUDDOF-UHFFFAOYSA-N 423.174 4.866 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(Br)ncc1Cl 808427645 ZTMMOLKPGMYCPK-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CC(C)(CCc1nc(O)c2c(-c3ccc(Br)cc3)csc2n1)[N+](=O)[O-] 812449864 XLQJVHJKBUWWHB-UHFFFAOYSA-N 422.304 4.814 5 20 HJBD Cc1nc(C(C)C)oc1COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 813226377 LUGAFNJPRMUVBD-CQSZACIVSA-N 424.457 4.940 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2coc(-c3ccc(F)c(F)c3)n2)cc1[N+](=O)[O-] 815405900 NGWMDLUTVRXQGY-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cc2c(Cl)cccc2s1 825211772 IOVQVDHFGBDTLL-UHFFFAOYSA-N 405.815 4.686 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C(C)C)c1C 877043847 PJBKGPZUPAOUIN-SFHVURJKSA-N 404.850 4.685 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cc(Br)cs3)no2)c2ncccc12 904395891 RTKFRTBPKKMYBG-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD CCc1nc([C@H]2CCCCN2c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])no1 917094098 SWOIYDNNMGQWPK-LLVKDONJSA-N 404.776 4.944 5 20 HJBD Cc1nc(SCC(=O)OCc2cccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 918803237 ZWOZMIXRTWSPHZ-UHFFFAOYSA-N 417.512 4.669 5 20 HJBD NC(=O)[C@H](Nc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 1120855823 UPBPJVFNSFDYCE-CYBMUJFWSA-N 408.163 4.559 5 20 HJBD Cc1ccc(OC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c2c1[C@@H](C)CC2=O 1317757814 FSQZPIMNFPODFW-JTQLQIEISA-N 404.216 4.575 5 20 HJBD CC(C)Oc1ccc(CNC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c(C(F)(F)F)c1 1318901455 ZNRNKVHNQCZULQ-UHFFFAOYSA-N 423.391 4.626 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])ccc2OC)cc1 1319119511 SEBJSWIJNDJHHG-UHFFFAOYSA-N 410.401 4.979 5 20 HJBD O=C(c1nc(-c2ccccc2)oc1C1CC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 1324621556 SNPZIVFTFNGUSC-UHFFFAOYSA-N 403.438 4.932 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)cs1 1339682438 AMIQMEDJIRCLDC-ZDUSSCGKSA-N 408.483 4.813 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc2oc3ccccc3c2c1 7225181 MPJUIBCTQOGVOL-ZDUSSCGKSA-N 405.410 4.942 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc(Cl)c([N+](=O)[O-])c1 12484382 INOVSGVQATUXJS-LJQANCHMSA-N 410.813 4.785 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)[nH]1)Nc1cc([N+](=O)[O-])ccc1Cl 17749322 SQKFSAATGZQORI-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 22252814 PMDXNGMVPXFPRI-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1 25613552 CXOTZAQYRXPHEF-UHFFFAOYSA-N 419.441 4.557 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 28677430 STPQWAHQKJVKRG-LURJTMIESA-N 423.661 4.801 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC=C(c4c[nH]c5cc(F)ccc45)CC3)n2)c1 52823842 FUTCJLKGHAPBEN-UHFFFAOYSA-N 419.416 4.555 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(COc2cccc([N+](=O)[O-])c2)cs1 54912439 CMWJJPZNEJONKJ-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4ccc(Cl)cc4[N+](=O)[O-])CC3)c2c1 55681117 VGILXBKNLCZEJC-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 62917551 XCOMFRUWQMRXNY-CYBMUJFWSA-N 424.906 4.783 5 20 HJBD CCOc1cc(NC(=O)c2ccc(Oc3cccnc3)cc2)c([N+](=O)[O-])cc1OCC 63285958 RUQZJKQZEVTHAY-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3nnc(Cc4cccs4)n3C3CC3)sc2c1 111193691 AHOSTMJKOCQDTK-UHFFFAOYSA-N 415.525 4.934 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)[C@H](C)C2CC2)c([N+](=O)[O-])cc1OC(F)F 115623636 VGTMNHMAZQZCBJ-CYBMUJFWSA-N 420.412 4.646 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3sccc3Br)cs2)c1 236593895 BSLWQMFZJFRTLN-UHFFFAOYSA-N 410.318 4.832 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccc(-c2ccccc2)s1 426733200 LQMNPSWAZOVYFY-HXUWFJFHSA-N 421.478 4.590 5 20 HJBD Cc1cnc(C2CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)CC2)s1 432496338 KZNSZCDHPSWEIP-UHFFFAOYSA-N 421.497 4.605 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 439654800 MEIUCTFCQZMGFZ-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2nccc3ccccc23)no1 445505912 UTADMUMALAQPKZ-LBPRGKRZSA-N 410.817 4.910 5 20 HJBD COc1ccc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c(F)c1 445555236 AXGMQSKTRUMNCY-JTQLQIEISA-N 407.785 4.510 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@H]3c3cccc(C(F)(F)F)c3)n2)c1 445580227 PTNVAUIYSRUCQN-HOTGVXAUSA-N 419.359 4.867 5 20 HJBD C[C@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2ccccc2S1 446496596 FJDHKNITYHDOAN-NSHDSACASA-N 412.389 4.677 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1cccc(C)c1 446980309 QZSRTHLKGYCGBR-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCc2nc(-c3cc4ccccc4o3)cs2)n1 447595075 KXMYHCKLUULTIX-RDJZCZTQSA-N 423.498 4.935 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 461031200 ZIHFIHFITTVEMD-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCO[C@H](C4CC4)C3)o2)c(Br)c1 462550091 MOZUBPFDIJPKAK-DJJJIMSYSA-N 421.291 4.665 5 20 HJBD COc1ccc([N+](=O)[O-])c(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c1 462829226 ZCOUDUBBOROCCG-KRWDZBQOSA-N 401.221 4.627 5 20 HJBD Cc1cc(Br)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 463585922 BLRCEBOMVRTERE-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(CCCc1ccc(Br)s1)NCc1ccc([N+](=O)[O-])cc1Cl 466269323 QIBMJSJZZIIBRH-UHFFFAOYSA-N 417.712 4.711 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 474744792 YZQWNQWLYSTWJP-LSDHHAIUSA-N 412.877 4.698 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 474880869 GOPWCRNBBJTHGY-QWHCGFSZSA-N 413.861 4.766 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1F)NC(=O)CC2 477078814 YGXGZHLWOGDPGE-UHFFFAOYSA-N 417.462 4.619 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)C(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc2C1 482338522 ZVVRIRGCWSWSIS-INIZCTEOSA-N 413.783 4.620 5 20 HJBD CCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1cccc(Cl)c1 482698309 SDHSLTHSVTZRCN-UHFFFAOYSA-N 423.860 4.659 5 20 HJBD COc1ccc(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)cc1[N+](=O)[O-] 482721745 KNIJWVFVZDSUAX-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CCc1nc(CN2CCC(CN[C@@H](CC)c3cccc([N+](=O)[O-])c3)CC2)cs1 482874394 IMROEHGRAUXJLS-FQEVSTJZSA-N 402.564 4.567 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(CN2CCCCC2)cc1 486710573 JQIXLYOJYKEACF-UHFFFAOYSA-N 421.419 4.530 5 20 HJBD COc1cc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2)ccc1[N+](=O)[O-] 486793839 DCUSKCWBHOKVQG-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](c1ccccc1)C(F)(F)F 486964736 HKKOQWPMQNHYGY-GOSISDBHSA-N 409.408 4.861 5 20 HJBD Cc1ccc2nc(-c3ccc(NC(=O)CCn4cc([N+](=O)[O-])nc4C)cc3)sc2c1 488309380 WRSRTZGAGTWBNW-UHFFFAOYSA-N 421.482 4.714 5 20 HJBD Cc1cccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cn12 488347787 VTOIPDCGVWXMTR-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1SCc1ccc([N+](=O)[O-])cc1F 489445124 LJMRJTHBCWQWAE-UHFFFAOYSA-N 402.451 4.525 5 20 HJBD CCOc1cc(C(=O)NCc2ccc(-c3ccccc3)c(C)c2)c([N+](=O)[O-])cc1OC 490451765 FEIVXVIZISGYHM-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3c(C)cccc3O)cc2[N+](=O)[O-])n1 490683988 KIIJDCLYFCCOPF-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 500891964 MYDAEFGLUMOMKS-INIZCTEOSA-N 413.371 4.612 5 20 HJBD COc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCc1ccccc1 503862859 SGAHRUXOUZJTPO-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](CC)c1nnc2n1CCCCC2 504894185 KAYYHVYGQVJSIW-JKSUJKDBSA-N 403.552 4.524 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(Cc2ccc(F)cc2)CC1 508373298 XGXCSJDSYRAQQP-UHFFFAOYSA-N 423.391 4.822 5 20 HJBD CO[C@H](CSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 508997909 LOGUSOFKUYBAAQ-GOSISDBHSA-N 422.890 4.667 5 20 HJBD CCOc1cccc2[nH]cc(Cc3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c12 516683878 TVHJGOZGOYSKAU-UHFFFAOYSA-N 417.425 4.521 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Sc3ccc(OC)cc3)cc2)c1[N+](=O)[O-] 520273022 ZMKTVMUJRGMUQB-UHFFFAOYSA-N 412.471 4.683 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1 521711498 AIRPTSNUFBCCCK-AOMKIAJQSA-N 422.506 4.990 5 20 HJBD CCOc1cc(NC(=O)Nc2cccc(OC(F)F)c2)c([N+](=O)[O-])cc1OCC 522222914 RTGGVKAXTPJKHP-UHFFFAOYSA-N 411.361 4.638 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cc2c(cc1Cl)OCCCO2 524609284 QWEUQFDEOUTFED-UHFFFAOYSA-N 419.865 4.554 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1c(F)cccc1F)C1CCCC1 524711852 PAXNTUVOAONHTM-UHFFFAOYSA-N 404.413 4.613 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cn(-c3ccccc3)nc2-c2ccccc2)c1 524727174 LZIJIHBPTLVQHT-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD O=C(COc1ccc(Cl)cc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525084318 OXFYQTMMTKZGDU-QGZVFWFLSA-N 413.282 4.555 5 20 HJBD O=C(COc1ccc(F)cc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 532404439 LGKHIUTXWXMCNE-HNNXBMFYSA-N 413.232 4.783 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1cc(Br)cs1 534076651 HUBMJNJKVMNOTR-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 534405518 LOPDOVFXFWVOKD-LLVKDONJSA-N 417.940 4.518 5 20 HJBD COc1ccc(C[C@@H](C)C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 537150450 WUEDPLYTUOEXHB-CQSZACIVSA-N 411.483 4.569 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(-c2ccncc2)cs1 539372360 CDFPFVLKBBJVNJ-UHFFFAOYSA-N 414.512 4.544 5 20 HJBD Cc1ccc([C@H](C)NCC[S@](=O)c2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 540476329 FSRVCFDUNVMJQK-VDBVYFBLSA-N 401.315 4.668 5 20 HJBD COc1cccc(-c2nnc(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)o2)c1OC 541835182 YUICPHPJRHODSA-UHFFFAOYSA-N 422.397 4.534 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 543692793 ZOGCUNJPYVSJQJ-QGZVFWFLSA-N 411.393 4.543 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(-c4ccc(Oc5ncccn5)cc4)no3)cc2c1 546336049 CGYXCNRYDRFCKQ-UHFFFAOYSA-N 401.338 4.640 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2cccnc2)CC1 551677134 FPKAOUIHGNXGJM-UHFFFAOYSA-N 417.506 4.983 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Oc2cccnc2)cc1 561784162 HSCYIGLHSJPWGV-HNNXBMFYSA-N 417.425 4.683 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 572444813 GNQUSRIYCXCXAX-CQSZACIVSA-N 424.530 4.544 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 575195232 LWJDWZLDJIICKJ-LBPRGKRZSA-N 412.471 4.685 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 576504143 VKQGXNNLBLMEPF-INIZCTEOSA-N 418.247 4.842 5 20 HJBD CCN(CC)c1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cn1 581276538 QNNBJVGTHKTLPT-UHFFFAOYSA-N 411.465 4.640 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C(C)=O)[C@H]2C[C@@H]2C)cc1 584490125 GZLPKKKCVUZTAL-DJJJIMSYSA-N 413.499 4.720 5 20 HJBD Cc1ccc(OCC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 603906860 QZBUVGNEUPFIAI-MRXNPFEDSA-N 409.269 4.953 5 20 HJBD COc1ccc(C)cc1C1(CNCc2ccc(C(C)C)c([N+](=O)[O-])c2)CCOCC1 604419114 KMNVSBBGSCETQM-UHFFFAOYSA-N 412.530 4.873 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc4c(c3)CCC(=O)N4)c([N+](=O)[O-])c2)cc1 608972493 PLAYTFATCFAEGE-UHFFFAOYSA-N 417.421 4.833 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)ccc1[N+](=O)[O-] 609021440 ALCVVCXPDZYZLH-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2cccc(-c3nnco3)c2)cc1OC 611614681 IDAVRHDDLYHMMZ-CQSZACIVSA-N 413.430 4.657 5 20 HJBD O=C(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)Nc1cccc2cccnc12 726606078 SJSALPVDWQZGQA-UHFFFAOYSA-N 418.478 4.600 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cc12 727710235 JWEJGYCRTPFBRT-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2coc3ccccc23)c([N+](=O)[O-])c1Br 733994767 XCRNAPPXUVBAOR-UHFFFAOYSA-N 422.191 4.632 5 20 HJBD O=C(c1cc(Cl)nc(N2CCCC2)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 736015926 WIFHZXVGLMOPJE-UHFFFAOYSA-N 414.893 4.833 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 742889203 HUXUAZHEMXAPNJ-CYBMUJFWSA-N 424.457 4.914 5 20 HJBD Cc1c(C(=O)N2CC=C(c3c[nH]c4ccccc34)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748701256 PAMNNRALXBAPCO-UHFFFAOYSA-N 420.425 4.531 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 751214985 FVOPHSBBOWATIT-UHFFFAOYSA-N 403.429 4.526 5 20 HJBD CCc1ccc([C@H](NC[C@H](O)c2ccccc2Cl)c2ccc([N+](=O)[O-])cc2)o1 753680462 UYCHTERVWJHLKF-PZJWPPBQSA-N 400.862 4.816 5 20 HJBD CCn1c(SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)nnc1-c1cccc(C)c1 753850270 JRXSVHJJGRAVGR-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD CN1CC[C@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 762623069 HVHCWJXWMKCTQG-BFUOFWGJSA-N 412.292 4.823 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 762991281 GWGAZBVTTQQAON-HSZRJFAPSA-N 404.466 4.832 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763730048 MDJCABXQRGXXBV-UHFFFAOYSA-N 415.471 4.810 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(N2CCOC2=O)cc1 768098078 QYZZWFMKCIWPBE-WBVHZDCISA-N 415.515 4.773 5 20 HJBD O=[N+]([O-])c1cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)ccc1Br 768233995 DQVMNARZZYHYJA-UHFFFAOYSA-N 413.275 4.523 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(-c2ccco2)n1Cc1ccco1 768413549 ZHYAEUAECYMPLZ-UHFFFAOYSA-N 400.391 4.519 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4ccc([N+](=O)[O-])c(Cl)c4)CC3)c2c1 768709648 DOJVRXMQSYPPFK-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD COc1ccc(CCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137112 XYPVBRHLPPOPLD-QHCPKHFHSA-N 406.438 4.654 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)(F)F 776216682 BVOJBXYKOLUGKL-SFHVURJKSA-N 418.327 4.835 5 20 HJBD COc1ccc2ccc(OC(=O)c3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])cc2c1 786736663 ZMPWSCXMESLQGS-UHFFFAOYSA-N 419.336 4.586 5 20 HJBD C[C@@H](OC(=O)Cc1cccc(Cl)c1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 789250413 UZXMHVGAXIKCKQ-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nc(-c2ccccc2)n2c1CCCCC2 800148741 BLTKOXLYCBGJJV-UHFFFAOYSA-N 408.433 4.885 5 20 HJBD CN(C)c1ccc(N2CCC(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)cc1 811482178 GZHGYKVDZZWTPH-UHFFFAOYSA-N 423.344 4.726 5 20 HJBD C[C@H](N[C@@H](c1cc(F)cc(Br)c1)C1CC1)c1ccc(N)c([N+](=O)[O-])c1 815617046 DDEAZSZPBBHLGW-XTZNXHDOSA-N 408.271 4.881 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc2ccccc2c1 822393590 PCNDIKAMMASSIY-KMHDYCCVSA-N 418.449 4.570 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1SC(F)F 856193691 MNEOYBREHQEMDO-SECBINFHSA-N 402.806 4.969 5 20 HJBD CC(C)(C(=O)N1CCC(Nc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 1116613509 ABWLPIVDSSGRLP-UHFFFAOYSA-N 419.884 4.768 5 20 HJBD CN(C(=O)c1csc(-c2ccco2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1320623486 OZMJSLSLKZJCMM-UHFFFAOYSA-N 412.452 4.711 5 20 HJBD COc1ccc2ccccc2c1-c1cc(CN2Cc3ccc([N+](=O)[O-])cc3C2)on1 1320697078 NIQJKRWMSFAJEN-UHFFFAOYSA-N 401.422 4.927 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])o2)cc1C 10842729 PYYDEPXMFVMSEN-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCC2)[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 12104702 WEZWRXNDRCOWBQ-LLVKDONJSA-N 423.926 4.608 5 20 HJBD Cc1cc(OCC(=O)N(C)[C@H](c2ccccc2)c2ccc(F)cc2)ccc1[N+](=O)[O-] 26332602 LWLWVSIILYPXEG-HSZRJFAPSA-N 408.429 4.669 5 20 HJBD C[C@H]1CCCN(Cc2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)n2)C1 28677705 MFJCRHUUQWPMRQ-LBPRGKRZSA-N 416.528 4.750 5 20 HJBD CCCN(CC(=O)Nc1ccccc1C)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 31469154 NYFNCYZWGGDNJK-UHFFFAOYSA-N 411.483 4.609 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc3ccccc3c3cccnc23)cc(OC)c1OC 44547042 VMCXCMIJKAFHRH-OAHLLOKOSA-N 416.477 4.905 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3ccccc3n2)cc1[N+](=O)[O-])c1ccccn1 52984805 HSUJAGKUJLUDEY-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1c(NC(=O)c2cc(-c3ccc(F)cc3)nc3onc(C)c23)cccc1[N+](=O)[O-] 54866340 XSGCSDOGTKOMHX-UHFFFAOYSA-N 406.373 4.806 5 20 HJBD CCCCN(CC(F)(F)F)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 71894631 PJUSLQXTQSJTDD-UHFFFAOYSA-N 401.429 4.636 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc([N+](=O)[O-])c1 195692704 NLHROCBPTPAGKQ-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD Cc1sc(NC(=O)c2ccc(NC(=O)C3CC3)cc2)nc1-c1cccc([N+](=O)[O-])c1 195703849 GLJSHMRSPMWTRY-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccnc3)n2C2CC2)cc1C(F)(F)F 301072932 FXYQXFBCVUVEOL-UHFFFAOYSA-N 407.377 4.753 5 20 HJBD C[C@H]1CN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C[C@H](c2ccsc2)O1 301615256 BETYAQCMLWWBGN-LKFCYVNXSA-N 417.365 4.550 5 20 HJBD COc1ccc(-n2c(Sc3ccc(F)cc3[N+](=O)[O-])nnc2-c2ccccn2)cc1 301734397 ZIXGFCLGCZQZAP-UHFFFAOYSA-N 423.429 4.536 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c(C)c1 301895766 YLMGGIDEPTVZPJ-UHFFFAOYSA-N 404.470 4.657 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 303121250 IMSRSRHTDFNOMR-GJZGRUSLSA-N 416.359 4.746 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426447146 GYJNSCWZLMJDLV-OAHLLOKOSA-N 422.485 4.998 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@H](c2cccc(F)c2)C1 428710191 SAYVCHJAFQHQSU-AWEZNQCLSA-N 422.406 4.728 5 20 HJBD CCCc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429347786 GZTCCMONLPJANB-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(N[C@@H]1CCC[C@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435938933 SYZOXIPJZHSPDU-NWDGAFQWSA-N 406.379 4.671 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)c3c(C)cc(Cl)cc3[N+](=O)[O-])n2)cc1F 438944261 QLVZFDUQNDTWSP-LLVKDONJSA-N 418.812 4.545 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC[C@H]1c1ccccc1 460644100 VQTLZZLOXANQLT-HNAYVOBHSA-N 407.495 4.984 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 462605945 WRCVJQCUGZEYEV-ICSRJNTNSA-N 409.530 4.505 5 20 HJBD COC(C)(C)C[C@@H](C)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 462770485 YZBUXCWWJRTYML-QGZVFWFLSA-N 408.502 4.741 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCC2(CCC2)C1)c1ccc([N+](=O)[O-])cc1Cl 468262460 QDEYFBKHJQWDSN-UHFFFAOYSA-N 413.861 4.517 5 20 HJBD COc1ccc(-c2csc3nc(-c4ccc([N+](=O)[O-])s4)nc(O)c23)cc1OC 468759625 ANSJMXGHVHXXIX-UHFFFAOYSA-N 415.452 4.718 5 20 HJBD Cc1ccc([C@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 472010347 DLQPQZRGQRSPNT-JTSKRJEESA-N 404.470 4.756 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472295101 NPLPYNHOMVQUFW-MRXNPFEDSA-N 414.380 4.683 5 20 HJBD Cc1c(NC(=O)CCN2CCCC[C@H]2c2nc3ccccc3o2)cccc1[N+](=O)[O-] 474219316 QFWYGVAXVXYFPM-IBGZPJMESA-N 408.458 4.600 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 477048223 NFVDVLJWDVFYCV-VLIAUNLRSA-N 417.893 4.672 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1 477976554 JWRGHMOPJYESPT-VXGBXAGGSA-N 409.305 4.630 5 20 HJBD CC[C@H](C)CN(CC)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 480188649 GNNXTYPWBHECTC-AWEZNQCLSA-N 415.456 4.725 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 481414664 WFZDJIATNPSTFW-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(NC(=O)c3ccc(F)cc3)c2)cc1[N+](=O)[O-] 488063917 KRXOGQBNYAMXEK-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD CC1(C)C[C@@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccc(F)cc2O1 489739276 DXXFOWJCYVKQCS-MRXNPFEDSA-N 401.438 4.571 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 491330972 CVOKLUOTOFZQFZ-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2s1 491721797 MNHUXFPPNZQZBU-UHFFFAOYSA-N 423.454 4.677 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497864966 OIMCCCCMETUYLH-QGZVFWFLSA-N 408.376 4.606 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516342655 SLVUUCFZWWOPRL-DKSSEZFCSA-N 422.403 4.695 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 521122537 SXXJSLFLBAUZFW-HNNXBMFYSA-N 414.531 4.904 5 20 HJBD CC(=O)c1sc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 523496799 RJUQDNKLFZIZKM-UHFFFAOYSA-N 409.467 4.837 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1cc(Cl)ccc1Cl 524105622 DCZFUFZEPASKBJ-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 524850319 HFVBTCFUWSOIJZ-KRWDZBQOSA-N 404.470 4.784 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCSC[C@H]1c1ccc(Cl)cc1 535389098 HYAQIOIPIGWDDG-IBGZPJMESA-N 415.902 4.589 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)ccc1F 539880979 IWHNGPDHMKLNFJ-AWEZNQCLSA-N 412.421 4.509 5 20 HJBD C[C@@H](NC[C@H]1CCN(c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 540192255 BICOQEBALWIOCF-HUUCEWRRSA-N 404.308 4.534 5 20 HJBD Cc1cc([C@H](N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)ccc1F 540523339 OXQYNDOKJMLUOK-CWTRNNRKSA-N 405.857 4.764 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F 542562536 PYTMOIHKBNYDDX-ZIAGYGMSSA-N 409.408 4.642 5 20 HJBD Cc1cc(-c2nc(CCNc3ccccc3[N+](=O)[O-])no2)ccc1NC(=O)CC(C)C 546377020 KBHTWAJBJSOYAG-UHFFFAOYSA-N 423.473 4.592 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 546528187 JICGFYACLGVZCO-UHFFFAOYSA-N 411.792 4.702 5 20 HJBD CN(C(=O)c1ccc(F)c(Cl)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 546889360 ZOQNVWJNKUKDLM-UHFFFAOYSA-N 409.801 4.927 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCC[C@H]3c3cc4ccccc4[nH]3)n2)c1 553355461 DJTXTHYWRZWBKE-FQEVSTJZSA-N 403.442 4.853 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(C)cc3OC)nc2)c1 554885791 GRRKKHFOEHEXLH-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)Nc3ccc(F)c(Cl)c3)no2)cc1[N+](=O)[O-] 564127871 NKYNFNHNSXTYFY-UHFFFAOYSA-N 418.812 4.742 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 565691126 OPSJUMZXEJYVLU-AWEZNQCLSA-N 405.292 4.898 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CC)cc(C2CC2)n1 569963592 JGPYVKKYQOQRLO-UHFFFAOYSA-N 400.504 4.969 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 576124064 UZOJWPMUPOAGBW-AWEZNQCLSA-N 416.437 4.889 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNC[C@@H](Cc2ccccc2)C(F)(F)F)c1 584522470 LFIPBOLUBGXNEE-CQSZACIVSA-N 404.335 4.707 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1ncc(-c2ccc(F)cc2)o1 589509504 UYAYAJDCFZPWDZ-LLVKDONJSA-N 417.418 4.517 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C\c2cn3ccc(Br)cc3n2)c2ccncc2)cc1 589555249 GEYIUTOVUQPPPR-XDHOZWIPSA-N 421.254 4.989 5 20 HJBD COc1ccc([C@@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)N2CCCC2)cc1 608835450 GWWZODCCIRVWCH-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3sccc3OC(F)F)n2)cc1[N+](=O)[O-] 609215938 UMBLIMTURPXLBG-UHFFFAOYSA-N 411.411 4.942 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2ccccc2)s1 611537191 WSBQQJLEDSXZDT-UHFFFAOYSA-N 414.512 4.792 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nc([C@@H]4CCCS4)no3)n2)c(Cl)c1 612949200 MSTVCOBQLLLVCF-LBPRGKRZSA-N 412.258 4.705 5 20 HJBD CC(C)[C@@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccnn1C 615981063 AZGLAXBPDHPQPP-HXUWFJFHSA-N 423.473 4.682 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCN(c4nc5ccccc5s4)C3)n2)s1 618410942 MDJMYWORRHRKNY-LLVKDONJSA-N 413.484 4.700 5 20 HJBD CCN(Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1)[C@H](Cc1ccc(F)cc1)C(C)C 743401036 XLLBNKJKKYZPFM-HXUWFJFHSA-N 411.481 4.539 5 20 HJBD Cc1ccc2c(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc(=O)oc2c1C 745567970 XOOXYNOTJJQTEE-UHFFFAOYSA-N 421.327 4.694 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O)C1CC1 747073795 HQMDLXFKGCOMQP-HNNXBMFYSA-N 424.888 4.655 5 20 HJBD O=C(Nc1ccc2scnc2c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750863761 HYBNXVOGWVEWPP-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)cs2)cc1 752569377 ZJPFLIHFBHPRGI-UHFFFAOYSA-N 420.393 4.752 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 753035204 FICRNHUTASQCDQ-LAUBAEHRSA-N 423.469 4.503 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)ccc1-c1ccccc1 753087974 NGBCNFLLLNGBPX-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1ccc([N+](=O)[O-])cc1Br 756774593 PFSPKBVKFVZFAX-WFASDCNBSA-N 416.275 4.598 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 762977638 REJBINFUJOGTLL-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD CC(C)c1ccc2c(c1)[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)CCC2 763165571 SHQRRQPMSMLKGR-SFHVURJKSA-N 415.877 4.504 5 20 HJBD O=C(NCc1ccc(OCc2ccccc2F)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 766844856 VVGRWEMIAUFXOE-UHFFFAOYSA-N 416.355 4.521 5 20 HJBD Cc1ccc([C@@H](O)c2ccccn2)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 773609835 QPGVTJLADLAZRJ-JOCHJYFZSA-N 405.454 4.557 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc(-c2ccsc2)no1 775086840 LSDHQYCRQPLNEZ-UHFFFAOYSA-N 405.457 4.564 5 20 HJBD CSc1cccc(C(=O)OCc2ccc(OCc3ccncc3)cc2)c1[N+](=O)[O-] 777074703 NEWKTYCLRWZNSW-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 779984050 OZNUTLATDCAOSB-UHFFFAOYSA-N 409.851 4.589 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)Nc1cc([N+](=O)[O-])ccc1F 782204896 HOGJEQZLTUCBKX-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD O=C(CCSc1cccc(Cl)c1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 808702474 XHFJASWFLDBXMS-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](c2ccccc2)[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 812109584 RHYWWHFHGOLGOU-MQNAVGNWSA-N 419.481 4.738 5 20 HJBD CCONC(=O)c1c2c(nc3ccccc13)/C(=C/c1ccc([N+](=O)[O-])cc1)CCC2 831035069 QZFXGUFATQHWKR-JQIJEIRASA-N 403.438 4.701 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)c(F)c2)s1 875023571 XYBQOESUAFJWQJ-YWEYNIOJSA-N 401.394 4.572 5 20 HJBD CSc1ccc([N+](=O)[O-])c(-c2nc(C3(NC(=O)OC(C)(C)C)CCCC3)no2)c1 904420047 ZCROYJVZQBYXTN-UHFFFAOYSA-N 420.491 4.661 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 917263181 MTYHROSIRGXFKX-CYBMUJFWSA-N 410.426 4.650 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccc1F 1115877994 SHYIBQVAWDHZKK-IZZDOVSWSA-N 401.394 4.733 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cnn(Cc4cccnc4)c3)o2)c(Cl)c1 1116484827 LCPMAPATJFQBFY-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD CC(=O)N1Cc2ccc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cc2C1 1319431133 SGHOJATUEGBJAC-UHFFFAOYSA-N 416.481 4.837 5 20 HJBD COc1cc(C(=O)O[C@H]2CCCCC2=O)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 10911957 SIUBXAUNXIJOIX-KRWDZBQOSA-N 419.817 4.718 5 20 HJBD O=C(NCc1cc(Cl)c2c(c1)OCCCO2)c1cc2cc([N+](=O)[O-])ccc2s1 24080260 JLHFZHQFZLIWJM-UHFFFAOYSA-N 418.858 4.554 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1NC(C)=O 237249115 AMEJZIQVCQJVDY-UHFFFAOYSA-N 411.483 4.580 5 20 HJBD CO[C@@H](C)C(=O)Nc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 237305301 PGUPFHAGALVVJA-AWEZNQCLSA-N 411.483 4.909 5 20 HJBD CCc1cc(N2C[C@@H](C)O[C@H](c3ccsc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301615276 BFJZSLGMAPNGTK-KUHUBIRLSA-N 410.499 4.642 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1N1CCCC1 302692174 YLYXXIGBCHGKDV-UHFFFAOYSA-N 422.407 4.655 5 20 HJBD O=C(CCN1CCc2sccc2[C@H]1c1cccs1)Nc1ccccc1[N+](=O)[O-] 409867745 RURNDVAGWGYNCC-FQEVSTJZSA-N 413.524 4.694 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C)c1 429044803 FGLUWAVOQAAPAC-JKSUJKDBSA-N 412.490 4.623 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OC 429825391 DHIFCULMLPXAPC-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1ccc(Br)cc1[N+](=O)[O-] 429932573 YMWFHYGFEZMKCH-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(F)cc2Br)c1 435257164 TUTSEMWULZTFMY-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD COc1cccc(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 435570469 NUNAVXFBWHWVDR-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2cccc(Cl)c2s1 436396089 IFFNUPIDGKHKOD-UHFFFAOYSA-N 413.789 4.736 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437149066 FPWOMVQSGHIFHW-UHFFFAOYSA-N 401.344 4.852 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 437430011 JRKKYFUANLMZJG-MXVIHJGJSA-N 402.450 4.850 5 20 HJBD CCCOc1cc(NC(=O)Nc2c(C)nn(CC)c2C)c([N+](=O)[O-])cc1OCCC 437602095 IOAFHZGCDUPCMP-UHFFFAOYSA-N 419.482 4.650 5 20 HJBD Cc1cscc1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 439172789 VIELBFSUBVGHGS-UHFFFAOYSA-N 413.421 4.839 5 20 HJBD O=C(NCCOc1ccccc1F)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440413565 UXKIYUJXRNYVRY-UHFFFAOYSA-N 412.442 4.694 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 443933002 CWNNXUNCZTZTQY-CYBMUJFWSA-N 410.430 4.917 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc([C@@H]4CSc5ccccc5O4)no3)cs2)c1 446008123 XOXUKKLHFKNVTD-HNNXBMFYSA-N 424.463 4.994 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@H](c1ccc(F)cc1)c1cccnc1 460103412 FNIJHCALIQGFHZ-JOCHJYFZSA-N 423.444 4.779 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 462230023 RNAQBGMEWRALIT-KRWDZBQOSA-N 421.419 4.690 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Br)cc1OCCCO)c1ccccc1[N+](=O)[O-] 462955927 XUVLKXJMYIMVBY-UONOGXRCSA-N 423.307 4.530 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c([N+](=O)[O-])cc1F 464499804 UUICZFNRYJBMNZ-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CCC(C(F)(F)F)CC2)c([N+](=O)[O-])c1 464767396 CNUQQDHILSPUPT-LJQANCHMSA-N 421.419 4.857 5 20 HJBD COC(=O)c1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1OC(C)C 466682405 YBJBLVKPBFZNMN-UHFFFAOYSA-N 414.439 4.636 5 20 HJBD CO[C@@H]1CCOc2c(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cccc21 468685292 ZPIWBAMHOPOWPY-QGZVFWFLSA-N 402.472 4.818 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](c3cccc(F)c3)C2)cc1SC 478249366 IRBCPOYXBPXAOM-DZGCQCFKSA-N 404.463 4.531 5 20 HJBD O=C(NC1(c2cccc(Cl)c2)CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 483310475 DIMJRCQTWMMQKU-UHFFFAOYSA-N 411.845 4.701 5 20 HJBD CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485811462 AHQRWHDLYJGABX-NHYWBVRUSA-N 424.270 4.564 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccnc(Oc3ccccc3F)c2)c([N+](=O)[O-])c1 486489425 PVWADGMMERXNMM-UHFFFAOYSA-N 424.432 4.672 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486696702 SFCFNFOCOFCWSU-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N2CCCC2)cc1C 488640323 IWRQOXWMBPDCQX-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 489293589 KYXRYRBNGPQHNP-UHFFFAOYSA-N 411.270 4.545 5 20 HJBD COC(=O)c1cc(NC(=O)C(C)(C)c2cccc(C(F)(F)F)c2)c(C)c([N+](=O)[O-])c1 489755101 QAERHPKTJSLTOI-UHFFFAOYSA-N 424.375 4.625 5 20 HJBD Cc1c(NC(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)cccc1[N+](=O)[O-] 495127495 JSYSKGCGAHBJFT-AWEZNQCLSA-N 400.504 4.650 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)n[nH]1 495239907 LFPMYPRIKYRNAA-UHFFFAOYSA-N 414.849 4.563 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1csc(Cc2cccc(F)c2)n1 504073868 CLOVSJXTUCDHIT-UHFFFAOYSA-N 413.474 4.832 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@@H]1c1ccccc1 504094901 VRTLRHHCQWJEOW-DYESRHJHSA-N 414.527 4.995 5 20 HJBD CSc1ccc(C(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 505346826 YLQUWUKBXITUAC-MRXNPFEDSA-N 424.482 4.734 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OCC(F)F 505486353 CTDCXNVQALODIY-OAQYLSRUSA-N 424.419 4.936 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)O[C@H](c2ccsc2)C1 507278310 IEYLBHRXPSGALS-DYVFJYSZSA-N 406.529 4.761 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1 508393543 VGLSYKCDGHEOAX-YOEHRIQHSA-N 408.376 4.688 5 20 HJBD C[C@@H]1CCCCN1C[C@@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 512181748 QFZNLECHBPBWAW-QZTJIDSGSA-N 414.575 4.805 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1NC(=O)c1ccccc1 512847301 UUJALMCVVMRPTA-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1 512973374 MIUHDRPZULXPCJ-UHFFFAOYSA-N 422.485 4.548 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 513123882 XQGOAVHAOYVLPA-HSZRJFAPSA-N 417.465 4.803 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCc2ccc(O)cc2)CC1 514520383 XKXWYNZFVAKTFB-MRXNPFEDSA-N 414.527 4.652 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515782890 ZWIQOQWHZLPJBF-HOTGVXAUSA-N 415.490 4.904 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCN(Cc4ccc(F)cc4)CC3)o2)cc1 517543169 VQYGVQSGEZZBSU-UHFFFAOYSA-N 409.461 4.748 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 521857937 ISVRQLROVFDGLG-HOTGVXAUSA-N 416.359 4.542 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522717709 NHNZHLDDMNGWII-NSHDSACASA-N 400.784 4.557 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)N(Cc1ccc(F)cc1)c1nc2ccccc2s1 523338119 BSTQRQRVLPLPQM-UHFFFAOYSA-N 410.430 4.529 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N(C)Cc1cccc(F)c1 524224925 RPCDIXANZWNFTP-MRXNPFEDSA-N 423.444 4.985 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 524228722 XWILSGGYEAQUIQ-UHFFFAOYSA-N 409.873 4.723 5 20 HJBD CCCN(C(=O)c1cccc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 524835956 UTMZSWCXVIDBIC-OAQYLSRUSA-N 411.408 4.910 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 533433010 QTHVGVSUBRKUPN-AWEZNQCLSA-N 409.408 4.944 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1 535679884 KZOSQRZDRYMTAF-IFXJQAMLSA-N 420.872 4.510 5 20 HJBD Cc1sc(NC(=O)c2cn(C)nc2-c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 536752268 RWYJXECSEOMQFB-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C 540281793 PMXRDPHGZMICNQ-SJCJKPOMSA-N 422.279 4.563 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3ccccc3)C(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 541593441 JILXHWXXKSXWKV-UHFFFAOYSA-N 420.513 4.897 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC[C@H]2CCCO2)cc1 544075382 BOSZCXJPFNXQSA-MRXNPFEDSA-N 422.890 4.537 5 20 HJBD C[C@@H](c1nc(-c2ccc(OCc3ccccc3)nc2)no1)c1ccc([N+](=O)[O-])cc1F 544544935 LGIJJTRAPLMHMK-CQSZACIVSA-N 420.400 4.910 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 545855861 BYRMRVGGVZSKQA-INIZCTEOSA-N 424.476 4.691 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc2cccnc12 545951515 WMZVZXINNHGKIS-UHFFFAOYSA-N 418.375 4.627 5 20 HJBD COc1cc(Cc2noc(-c3coc(-c4ccccc4Cl)n3)n2)ccc1[N+](=O)[O-] 547179193 RCGQYJDCURZDAC-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD O=C(NCc1ncc(-c2ccccc2)o1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 547787948 UVIONYHVLWRUEX-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)C(C)C 551248477 CFECZQAKHNJMOF-UHFFFAOYSA-N 423.391 4.623 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 557759203 MGCHQBSBFMMIGC-DYVFJYSZSA-N 408.376 4.524 5 20 HJBD Cc1cccc(C)c1NC(=O)Cc1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 561915374 NONOHLYMSNXAIS-UHFFFAOYSA-N 424.460 4.529 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nccn1-c1ccccc1 579879979 ZVVABIDYFGPIQL-HNNXBMFYSA-N 410.499 4.774 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC(C)C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 582670780 MNWGGEIFHVNCEX-UHFFFAOYSA-N 406.486 4.759 5 20 HJBD COCCC1(C)CN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 589529780 NGIWPPLBOREMHV-UHFFFAOYSA-N 400.500 4.553 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCc2c(OC(F)F)cccc21 590530069 BENSIMNWLHALCX-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD CCCCOc1ccc([C@@H](C)Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cc1OC 603866920 NPBGCKSAQVVEFV-CQSZACIVSA-N 413.474 4.840 5 20 HJBD Cc1c(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)cccc1[N+](=O)[O-] 608784584 JCGODGBZGCZPGM-UHFFFAOYSA-N 412.421 4.794 5 20 HJBD CCc1c(-c2ccc(Br)cc2)n[nH]c1NC(=O)c1csc([N+](=O)[O-])c1 609534995 LQDSDCKTADBQJY-UHFFFAOYSA-N 421.276 4.624 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609647210 ARTPVFQWAFOXHA-DZGCQCFKSA-N 408.376 4.554 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 609700028 UENDQHDMGQFSJJ-KRWDZBQOSA-N 422.934 4.628 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(C(F)(F)F)(C(F)(F)F)C1 609757176 LLSVACZUYJMAQS-UHFFFAOYSA-N 412.311 4.766 5 20 HJBD CN1CCCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2ccccc21 609992910 CULNCKBYHWESIP-UHFFFAOYSA-N 416.481 4.694 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ncc(Cl)s2)cc1 610247085 RHBWCNABPDUQCT-UHFFFAOYSA-N 405.819 4.758 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccc2c(c1)CCO2)C1CCCC1 728006746 OLUYXCFWRDHWEW-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730642250 AFYHTHMXWWQFCO-STQMWFEESA-N 404.850 4.946 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(N2CCOC2=O)cc1 733325620 NNOPTKAOJHCCQB-CQSZACIVSA-N 424.482 4.526 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445699 UPTSYPGQLAKAFS-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCCN(Cc2ccccc2)c2ccccc21 737624646 WSKSHEFJGSAJRF-UHFFFAOYSA-N 405.429 4.791 5 20 HJBD C[C@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccccc1-c1ccccc1 743210996 RVIOJRKQGHICRC-AWEZNQCLSA-N 408.385 4.585 5 20 HJBD COc1cc(COC(=O)[C@H](c2ccccc2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 745549017 AXCWMCARFKKCMU-SFHVURJKSA-N 409.385 4.688 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2cccs2)no1 745568687 QUMGYQKZJGQVIB-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccc(NC(=O)c2ccccc2)cc1 748013806 NLRFVVGBZJIVII-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD C[C@H](OC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1)c1ccccc1[N+](=O)[O-] 749053208 GBPQGCMSVSSYTG-AWEZNQCLSA-N 420.450 4.822 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755068263 UBOXPWFQZXQXNH-KBPBESRZSA-N 416.449 4.533 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1 755219525 LZFMRVSVOVYEBV-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@H](O)c2cccs2)cccc1[N+](=O)[O-] 755752359 XPCVEYVLTCKGEJ-IBGZPJMESA-N 411.483 4.732 5 20 HJBD CCCN(C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)[C@@H](C)c1ccccc1OC 756965969 QPVREQUSQJSIEQ-AWEZNQCLSA-N 405.882 4.940 5 20 HJBD O=C(Nc1cccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)c1)c1ccc(F)cc1 761524052 SHGTUMFSQIHGSS-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC(c2cc3ccccc3[nH]2)CC1 761885915 ILVQQBXKFQXGRR-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD C[C@H](C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 763401154 IAEKXLYVASZDOP-INIZCTEOSA-N 404.422 4.547 5 20 HJBD CCC[C@H](N[C@H](C)c1cc(F)ccc1N1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 765990302 FCAYNEJOYWVJJB-ZHRRBRCNSA-N 415.509 4.887 5 20 HJBD Cn1cc(CNc2ccc(NC(=O)OC(C)(C)C)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 770514472 AYSWVEPEFWGTGS-UHFFFAOYSA-N 423.473 4.954 5 20 HJBD O=C(Nc1ccc(SCc2cccnc2)cc1)c1cc([N+](=O)[O-])c2nsnc2c1 771503504 YEEKEBZMQLWDEC-UHFFFAOYSA-N 423.479 4.539 5 20 HJBD CC(C)([C@@H](OC(=O)[C@H](F)c1ccccc1)c1ccc(Br)cc1)[N+](=O)[O-] 774366677 LHQQHSGRSRCYEL-CVEARBPZSA-N 410.239 4.800 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(O)(c4c(F)cccc4F)CC3)o2)c1 779195456 FFBAFNMINUCPBK-UHFFFAOYSA-N 414.408 4.617 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 781202441 KOSMEWJMXSDXMZ-VSRBDQBUSA-N 416.302 4.629 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 798128365 VVBCCJGECSNOQT-NYURDRINSA-N 416.433 4.840 5 20 HJBD O=C(Nc1ccc2nc(O)[nH]c2c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799530011 XFBZHJYXCXIMSX-UHFFFAOYSA-N 406.423 4.580 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)Nc1cccc([N+](=O)[O-])c1Cl 813244960 XFUMNLNUZFVLEE-UHFFFAOYSA-N 423.860 4.632 5 20 HJBD C[C@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 813454678 WGBZLVHYBICVME-KBPBESRZSA-N 414.333 4.628 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc2c(Cl)cccc2s1 825213192 WJIJBHBSIMKDSF-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD C[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc2ccccc2n1C(F)F 915307591 MANCSMGMTQCZJQ-VIFPVBQESA-N 409.780 4.876 5 20 HJBD CCNc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2[C@H](C)CC)cc1[N+](=O)[O-] 916597228 PNXCOOUBUKTACK-HUUCEWRRSA-N 413.474 4.724 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 918607538 PNAPJFFQFWZNBE-BAQGIRSFSA-N 411.845 4.809 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)COC(=O)c2ccc([N+](=O)[O-])cc2F)C(C)C)cc1 919567535 JHAHTLWXELCRJR-NRFANRHFSA-N 416.449 4.528 5 20 HJBD CCN(C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 920200689 IPDXXDXGHISHNV-LBPRGKRZSA-N 415.833 4.543 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc(-c2ccn(-c3cccc([N+](=O)[O-])c3)n2)o1 1341744972 XMZLLUUHTMZFOW-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])C1 26956878 HIODAJSQACALAL-AWEZNQCLSA-N 410.499 4.717 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](CC(C)C)c2ccccc2)cc1[N+](=O)[O-] 31913096 ZPLVRMWHUQLVDT-SFHVURJKSA-N 402.516 4.740 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2OC2CCCC2)cc1OC 46665114 YBKQADBAOZNYFT-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 50554766 GIGIYCOODGHELR-LJQANCHMSA-N 409.467 4.704 5 20 HJBD CCCN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1cccc2ccccc12 63951955 YRZCBGRXSCVKSL-UHFFFAOYSA-N 400.438 4.991 5 20 HJBD Cc1cc(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 78610444 DTSWJLNLLROFAT-KRWDZBQOSA-N 413.232 4.563 5 20 HJBD O=C(NC[C@H](c1ccco1)N1CCCC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107779099 GREBPTYSQICOKB-HXUWFJFHSA-N 421.453 4.547 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)[C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)c(C)c1 110486161 IZOPUZCJYVKVSL-HUUCEWRRSA-N 415.515 4.551 5 20 HJBD COc1ccccc1C[C@@H](C)N(Cc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1 116548486 XQKPDCZYCPNBIT-MRXNPFEDSA-N 410.495 4.939 5 20 HJBD CN(C(=O)Cc1csc(Cc2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])cc1 116991542 QWSYDMNCTWXLLS-UHFFFAOYSA-N 401.875 4.501 5 20 HJBD CSC1(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CCOCC1 237489926 ISUOPDIGRSTCNO-UHFFFAOYSA-N 406.479 4.528 5 20 HJBD O=[N+]([O-])c1cc(CNCCOCC(F)(F)F)ccc1Oc1ccccc1Cl 237889735 OODZXXXKCILYEZ-UHFFFAOYSA-N 404.772 4.709 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 301416547 CEYVQRSDGCPZDC-KNQAVFIVSA-N 411.502 4.621 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](Cc2ccccc2)c2ccccc2F)s1 302164803 KRLYZAGMBUMUOW-INIZCTEOSA-N 420.487 4.595 5 20 HJBD COc1cc([C@@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 429836746 JKRPZIKWZPPDLX-OAHLLOKOSA-N 400.475 4.546 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 429953778 LYIBQBPRFXDSGX-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1ccc(F)cc1[N+](=O)[O-] 433950513 ARQUXTBASRDZHU-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD O=C(N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1cccc2c(=O)c3ccccc3[nH]c12 437523169 GZZPYLZDMVEEEO-CZIWCDLHSA-N 404.416 4.636 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCC[C@H](C(F)(F)F)C1 439581140 LGRBELDZMNENMB-HOTGVXAUSA-N 401.429 4.884 5 20 HJBD O=C(Nc1cc(Cl)ccc1O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444247981 MIFMLFPQFHEONP-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 444438309 OECHFRAHHRSAOE-CQSZACIVSA-N 421.297 4.741 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 445723406 LFLSLIOTTLOEHQ-HNNXBMFYSA-N 422.510 4.856 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@@H](COCc3ccccc3)C2)nc1OCc1ccccc1 462480459 MANKGGRCNITMQL-OAQYLSRUSA-N 419.481 4.612 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)[C@H]2CCCO[C@H]21 462764598 GPNJXALNSGMSHD-DUXKGJEZSA-N 400.450 4.819 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(Br)ccc1Cl 463130768 INUCNRLRVVAJET-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(Cc1cc2c(cc1[N+](=O)[O-])OCCO2)Nc1ccccc1Sc1ccccc1 465052693 KUSWJEXDEWRWRO-UHFFFAOYSA-N 422.462 4.698 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CC[C@@]2(CCOC2)C1 465090623 BWQHYDUAOCJAGR-HXUWFJFHSA-N 418.902 4.652 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1ccc(F)cc1[N+](=O)[O-] 466413867 FMDNFQWMHSDKQJ-IBGZPJMESA-N 403.413 4.777 5 20 HJBD Cc1cc(C)n(-c2ccccc2CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n1 474318503 HEUQINUBRBDONV-UHFFFAOYSA-N 421.501 4.518 5 20 HJBD COCCC1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCC1 475495365 SZBSGWPIFMHEMI-UHFFFAOYSA-N 409.280 4.666 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 477574421 CDWGOIMEIPFYCV-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD CCOc1cc(Oc2cc(C(F)(F)F)nc(-c3cccnc3)n2)ccc1[N+](=O)[O-] 477724311 YMJLJPUHVIISEG-UHFFFAOYSA-N 406.320 4.657 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1cc2cc([N+](=O)[O-])ccc2o1 479860829 GYMVTQJUHUVLRX-UHFFFAOYSA-N 415.308 4.850 5 20 HJBD CCSc1cccc(F)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 484927945 PNFRMDYRADLMPG-UHFFFAOYSA-N 413.268 4.790 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1OC(C)C 488401946 WITHHBWOSNYUEM-UHFFFAOYSA-N 412.364 4.884 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(CC(F)(F)F)C2CCC2)cc1[N+](=O)[O-])c1ccccn1 488679308 VAUGLTHYYXCKGB-ZDUSSCGKSA-N 422.407 4.720 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])o1 488814588 IJKOZUJPPHCRQL-HIFRSBDPSA-N 412.471 4.639 5 20 HJBD O=C(NCc1nc2ccc(F)cc2[nH]1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491095830 ZQZBZEIDHZQTQJ-UHFFFAOYSA-N 422.441 4.691 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1ccc(C(F)(F)F)cc1)C1CC1 497812673 NDJZTPMCDMUUNJ-UHFFFAOYSA-N 407.392 4.607 5 20 HJBD O=C1COc2cc(NC(=O)c3cc4ccccc4c4cccnc34)c(Cl)cc2N1 498191367 ULYDCJDVIOSQBJ-UHFFFAOYSA-N 403.825 4.625 5 20 HJBD COc1cc(C(=O)N(CCc2ccccc2)CC(C)C)c([N+](=O)[O-])cc1OC(F)F 500542210 YWBMYCAALDUETL-UHFFFAOYSA-N 422.428 4.546 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccn(CCOC)c2c1 504532534 JHLMSTWEFBKPRT-UHFFFAOYSA-N 413.499 4.950 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3ccccc3)cc2)cc1OC 504959837 QCRBLRWCQYWCMZ-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)c1cc(Cl)ccc1C(F)(F)F 506597620 ZTEANDUAGJNVGE-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H](c4nnc5n4CCCCC5)C3)o2)cc1 506654399 OIPNLVJRNFFNKB-SFHVURJKSA-N 421.501 4.552 5 20 HJBD C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cccc(NC(=O)Cc2ccccc2F)c1 509604089 UHXUOBJWLCGZQU-MRXNPFEDSA-N 407.445 4.766 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)cc1SC 512978275 AIIKRYXXSDVZDJ-BJWYYQGGSA-N 412.511 4.876 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2ccc(C)s2)cc1[N+](=O)[O-] 513341217 SBIMPZOROUZAPO-HNNXBMFYSA-N 406.529 4.570 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 518086581 ZGLDXPCILRHIEZ-KRWDZBQOSA-N 422.268 4.512 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H]1CCc2ccc(F)cc21 518571512 WCVKGFMCGGAUOI-AWEZNQCLSA-N 414.380 4.649 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(COC3CCCCC3)c2)c([N+](=O)[O-])c1 519169486 JKLNRIGMWOZFSO-UHFFFAOYSA-N 413.474 4.503 5 20 HJBD COc1cc(OCC(=O)N(Cc2ccccc2)Cc2ccccc2C)ccc1[N+](=O)[O-] 521354278 JZZXZXRYBJVTMX-UHFFFAOYSA-N 420.465 4.520 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3cccc([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 522238721 MRNMXTZRTRNZIQ-UHFFFAOYSA-N 415.453 4.517 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1CSc1nc2ccccc2[nH]1 524347156 HJWVVRKWFCBOSW-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD Cc1cc(-c2cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 533147525 CQXCZOPUEKXFEO-UHFFFAOYSA-N 406.398 4.593 5 20 HJBD C[C@H]1C[C@H](N(C)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCN1Cc1ccccc1 534843151 HSCMLSPUQNSUNO-FDDCHVKYSA-N 420.513 4.735 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1)C[C@@H]1CCCCO1 537120513 RQKUSGAFGOUALA-SFHVURJKSA-N 417.531 4.688 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)co2)cc1 538272051 SYDYDVBPDOCESQ-UHFFFAOYSA-N 411.483 4.989 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc([S@@](C)=O)cc1 540743365 QPJRECWQMGJIOD-GMYLUUGSSA-N 420.556 4.715 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2cccc3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 541904224 LOOXANDQSBFFEQ-FQEVSTJZSA-N 418.371 4.719 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 544622265 VYJJQKREDBTOEJ-GFCCVEGCSA-N 414.220 4.726 5 20 HJBD CO[C@H](C)c1nc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546529570 BRBWZEHHIPTBHY-NXEZZACHSA-N 424.866 4.526 5 20 HJBD Cc1cc(C)cc(CSCC(=O)Nc2ccc([N+](=O)[O-])cc2Br)c1 551019699 VPXIKVXXDAZJIA-UHFFFAOYSA-N 409.305 4.846 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 557078479 DGOXMJKFFIYYDR-HNNXBMFYSA-N 408.376 4.642 5 20 HJBD CCOc1cc(Cc2noc(-c3cccc([N+](=O)[O-])c3C)n2)ccc1OC(F)F 558573235 UHUQVZHSARJDCJ-UHFFFAOYSA-N 405.357 4.544 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 558794122 QXWDAPIRGZFJCG-ZDUSSCGKSA-N 412.421 4.573 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCc2c(OC(F)F)cccc21 559432800 XFRBGPSTWMGFAC-GFCCVEGCSA-N 416.384 4.760 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC[C@H](CSC)C2)cc1 573229664 AGNQCCKWFSNJPN-HNNXBMFYSA-N 416.499 4.611 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 573516618 DVGYDDBGTGJXHU-JZXOWHBKSA-N 423.513 4.764 5 20 HJBD CCOc1cc(COc2ccc(NC(=O)c3cccnc3)cc2C)ccc1[N+](=O)[O-] 579601690 XLRAWFWRKPZNJQ-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(Cc2csc(C(C)C)n2)no1 581037229 UGQKCRUACPTCKL-UHFFFAOYSA-N 423.292 4.886 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC(C)(C)[C@H]3[C@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581104696 VNFRZWWAGRBENA-NHCUHLMSSA-N 409.486 4.549 5 20 HJBD O=C(C1CC(c2ccc(F)cc2)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 590242338 QNBDYOVNXFEYKH-UHFFFAOYSA-N 410.367 4.819 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1CCCC[C@H]1c1cc2ccccc2[nH]1 609388754 AYIMUXZUWPAICL-KRWDZBQOSA-N 419.890 4.645 5 20 HJBD CCc1nc([C@H]2CCCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)no1 609975249 MDZQHJOJSYAZEA-GOSISDBHSA-N 421.457 4.651 5 20 HJBD O=C(Cc1coc2cc3c(cc12)CCC3)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610210186 YHNLERSVLPGOAF-JOCHJYFZSA-N 408.479 4.646 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611198915 QKOOLFLHJHRNMS-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1ccc([N+](=O)[O-])cc1 611560430 CSOHZNHOQQYXMN-SFHVURJKSA-N 405.285 4.557 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1csc(C2CC2)n1 612660823 CBKTWWQEXHZXQK-UHFFFAOYSA-N 406.261 4.818 5 20 HJBD C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccccc1OCc1ccccc1 727708547 WLARUPRBZFPNSN-CQSZACIVSA-N 412.392 4.943 5 20 HJBD Cc1nonc1NC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 727709645 BOAVKTFWLDJLDO-UHFFFAOYSA-N 424.360 4.709 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1cc(F)ccc1Oc1ccccc1 727716100 ZZWVOIPCUUEHHT-UHFFFAOYSA-N 400.337 4.683 5 20 HJBD O=C(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])Nc1ccc2c(c1)oc1ccccc12 731051757 RTPQQZPZNYYFSY-UHFFFAOYSA-N 423.812 4.516 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(N2CCCCC2)c1 735002309 ADEWEXCOBIBDMV-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 741936525 OTTPALKYIKILAF-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD COc1ccc(COC(=O)c2ccc(C)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 745454482 PZVYCLZWIHZSIM-UHFFFAOYSA-N 416.430 4.616 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747316126 SAZKTJPMOLLGBL-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)n2)s1 748609051 PQOVEQMUKHDBLH-UHFFFAOYSA-N 418.456 4.866 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1ccc(Cl)cc1Cl 756766117 IUYQSOXXOZIVCC-SECBINFHSA-N 422.224 4.567 5 20 HJBD CC1(C)C[C@@H](NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)C(=O)O1 762044405 XMRIBRBLVRILBH-HXUWFJFHSA-N 413.473 4.757 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(Cc2cccs2)C(C)C)c1=S 764911813 FEZYZGXVEBOZCQ-UHFFFAOYSA-N 417.560 4.939 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cnn1C1CCCC1 768748966 VNRGLPOIHWXWPK-UHFFFAOYSA-N 411.506 4.703 5 20 HJBD O=C(OCc1nc2ccccc2n1C(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 781267450 HYEATQANHISKHX-UHFFFAOYSA-N 419.387 4.783 5 20 HJBD Cc1cc(COC(=O)c2ccccc2N(C)C(=O)c2cccs2)ccc1[N+](=O)[O-] 781471496 WVHWNCLYRSFMDW-UHFFFAOYSA-N 410.451 4.598 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(-c2cccc(F)c2)on1 786763419 AUOXKHLQGDUZOG-GFCCVEGCSA-N 402.403 4.613 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC[C@@H](O)c2cccc([N+](=O)[O-])c2)c(Cl)c1 790139034 KGPMBYUTCKWJRG-MRXNPFEDSA-N 408.838 4.708 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)c1cc([N+](=O)[O-])ccc1Br 790570317 BEYJALKCTMYWCK-UHFFFAOYSA-N 419.206 4.686 5 20 HJBD COc1c(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)cccc1[N+](=O)[O-] 796669752 BCCHLRPSKSVENU-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803863533 IQIDIWLQBCIYDS-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1Cl 805439452 ZAAYCKWIKIDTMV-UHFFFAOYSA-N 407.879 4.593 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc3cc(Br)cnc23)c1[N+](=O)[O-] 808864979 ZDENDCJBLDJYLW-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)o1 844161928 KWEXCDJCKILFNP-GOSISDBHSA-N 414.801 4.686 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H](C)c1ccccc1 864242486 GZVKZHCSKYQOTP-MRXNPFEDSA-N 408.458 4.576 5 20 HJBD Cc1c(I)cc([N+](=O)[O-])cc1-c1nc(C2CCCCC2)no1 904358605 UZGBMUJORGCGKD-UHFFFAOYSA-N 413.215 4.606 5 20 HJBD C[C@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 917940722 LQFFYINBGCGIQW-KRWDZBQOSA-N 404.470 4.670 5 20 HJBD CC(C)CCOc1ccc(-c2nnc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)o2)cc1 1117188485 MYLQZZVOJXHNKT-FQEVSTJZSA-N 422.441 4.935 5 20 HJBD C[C@]1(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CC=CCC1 1785751533 HWHKIOQLTWBZSS-QFIPXVFZSA-N 423.469 4.682 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 4590962 SDDHLQVQJAMQTL-KRWDZBQOSA-N 412.833 4.666 5 20 HJBD Cc1ccc(NC(=O)[C@@H](SC(=S)N2CCCC2)c2ccccc2)c([N+](=O)[O-])c1 5247125 VPYINIXQPFBALR-SFHVURJKSA-N 415.540 4.697 5 20 HJBD COc1cc(C(=O)OCc2cccc([N+](=O)[O-])c2)cc(OC)c1OCc1ccccc1 16369563 YNMUXCIQVHGGLI-UHFFFAOYSA-N 423.421 4.548 5 20 HJBD O=C(Nc1ccccc1I)c1cc2cc([N+](=O)[O-])ccc2s1 23120072 DXBULJSCDGAOPZ-UHFFFAOYSA-N 424.219 4.666 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)c1 26561177 FHTMJDKVTIZQGP-UONOGXRCSA-N 413.455 4.738 5 20 HJBD Cc1ccc(/C=C/c2nn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c(=S)[nH]2)o1 27533747 BQUAYOJDXATNJW-SOFGYWHQSA-N 419.894 4.664 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50311900 NRIKBZPTZUIUQM-LLVKDONJSA-N 403.410 4.830 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H](c2nc3ccccc3s2)C1 52609613 OPAGQMBMDVPURE-ZDUSSCGKSA-N 406.467 4.706 5 20 HJBD O=C(OCCNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccccc2)cc1 60043973 UKUNQSOREGFLAY-UHFFFAOYSA-N 424.840 4.502 5 20 HJBD Cc1cc(NC2CCN(Cc3ccc(F)cc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60860462 SQTOSQKDHUUUAH-UHFFFAOYSA-N 421.476 4.576 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)CC(C)C)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60861742 PXQOMEPVXBULRO-UHFFFAOYSA-N 419.485 4.957 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 63522621 HTUSFGUGDVKCIK-INIZCTEOSA-N 408.285 4.986 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](c2ccc(F)cc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 72028718 BSAXGBQBDDCKSD-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)cs1 170483256 FNRGAHKGZFXYAF-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD O=[N+]([O-])c1cnc(Nc2cccc(COCc3ccco3)c2)c(Br)c1 301532620 LMUWCJSZKJVCOO-UHFFFAOYSA-N 404.220 4.806 5 20 HJBD O=C(c1cccc(Oc2ncc(Cl)cc2[N+](=O)[O-])c1)N1CCc2ccccc2C1 301747011 QPUVNNKRIJQPSG-UHFFFAOYSA-N 409.829 4.634 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 303124275 ARDIETHQFZLKDR-INIZCTEOSA-N 423.454 4.862 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(CC(F)(F)F)c1ccc(Br)cc1 303663071 DRKNCKZYPRIKDW-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD Cc1nc(SCCC(=O)Nc2ccccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 409851103 XZXUOEPPLWYZCU-UHFFFAOYSA-N 402.501 4.646 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410100728 QESYQWWJWADBBO-UHFFFAOYSA-N 410.367 4.644 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2ccc[nH]2)cc1OCc1ccc([N+](=O)[O-])cc1 435769195 JBPSFOMJVOUFDI-OAQYLSRUSA-N 407.470 4.848 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCc1noc(-c2ccc(F)cc2F)n1 436037032 HWSRAHVQQMUYHL-UHFFFAOYSA-N 401.247 4.521 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 437370924 AZMCYQLFAZDNEG-SMDDNHRTSA-N 402.731 4.692 5 20 HJBD CSc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 437470971 HFHUXRMFBTZCNM-UHFFFAOYSA-N 424.472 4.654 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCc2ncsc2C1 438675963 AUMHZBWSAWQILU-UHFFFAOYSA-N 403.529 4.532 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2c(Cl)cc(Cl)cc2Cl)cc([N+](=O)[O-])c1 439260697 LSYGVWCYBPDZCZ-UHFFFAOYSA-N 417.632 4.984 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446837301 WPLQGNIQDAESFA-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040716 CZBSJRHRLPJEJI-FQEVSTJZSA-N 409.467 4.861 5 20 HJBD C[C@H](Sc1nnc(CN2CCCC2)n1Cc1ccccc1)c1ccccc1[N+](=O)[O-] 463044076 QBXWOPTWTSGRPM-KRWDZBQOSA-N 423.542 4.684 5 20 HJBD COc1cc(CSc2nnc(-c3ccco3)n2CC(C)C)c([N+](=O)[O-])cc1F 463396671 XPQORMCAEBCLMH-UHFFFAOYSA-N 406.439 4.542 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](CO)c3cccc(Cl)c3F)s2)cc1 466390480 VGGBYWPDXKRSHI-QGZVFWFLSA-N 406.866 4.939 5 20 HJBD CCOC(=O)c1cc(N[C@@H](CC)c2nc(C(F)(F)F)cs2)ccc1[N+](=O)[O-] 467781159 BFSVXEIGFRPJJT-NSHDSACASA-N 403.382 4.810 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cccc(OC(F)(F)F)c1 470012452 QNOYCZZBUMHGTP-UHFFFAOYSA-N 421.375 4.823 5 20 HJBD Cc1cc(Br)cc(Cl)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1F 479048299 ANQZKKCGDDJNQK-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(c2ccc(Cl)cc2)CC1 483095501 UMOLAVSBVKKQTO-UHFFFAOYSA-N 402.881 4.614 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2c(Cl)cccc2Cl)cc1SC 483441806 SZVCZQDIHVIZRG-UHFFFAOYSA-N 415.298 4.605 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCC[C@@H]1C(F)(F)F 484025357 KYEUBIDODZPMLY-WBVHZDCISA-N 401.429 4.884 5 20 HJBD Cc1csc(C(C)(C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n1 485475583 NBORGRPNCUKRFJ-UHFFFAOYSA-N 411.483 4.604 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1Cc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 485529511 HKAPBGHWGJTCQS-QWHCGFSZSA-N 409.305 4.560 5 20 HJBD CSc1cccc(C(=O)NCC2(c3ccccc3Br)CC2)c1[N+](=O)[O-] 486224590 AQEGPCOKSUCZOP-UHFFFAOYSA-N 421.316 4.541 5 20 HJBD CCCN(Cc1c(Cl)cccc1[N+](=O)[O-])C1CCN(c2cc(C)nc(C)n2)CC1 492335942 TYVIRHJOMSBXNV-UHFFFAOYSA-N 417.941 4.536 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccc([N+](=O)[O-])s3)CC2)ccc1Br 494118094 ZJWKRPBYRCUGGA-UHFFFAOYSA-N 409.305 4.747 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccccc3[N+](=O)[O-])CC2)ccc1Br 494147253 ZSKFUXJGTZNSSX-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CN(C(=O)[C@@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)c1ccccc1 500800043 ARNMZZUOLKUHKZ-LJQANCHMSA-N 409.489 4.513 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)ccc1[N+](=O)[O-] 511925438 NRTSWMVOGNROEW-SFHVURJKSA-N 402.859 4.838 5 20 HJBD CCOc1ccc(CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1 512309139 KLGPDPGRJFFAIO-UHFFFAOYSA-N 411.483 4.997 5 20 HJBD C[C@@H](NCc1ncc(-c2cccc(Br)c2)[nH]1)c1cccc([N+](=O)[O-])c1 518450538 JUOZSOBEEHMJEW-GFCCVEGCSA-N 401.264 4.598 5 20 HJBD C[C@H](Oc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)C(F)(F)F 519565943 WITLQQAKEIXQAB-NSHDSACASA-N 408.336 4.587 5 20 HJBD COC(=O)c1ccc(OC(C)C)c(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 520094223 SAMAPBDUGLBLLY-UHFFFAOYSA-N 414.458 4.718 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2[nH]ncc12 521759640 URFYWRKKAVJYDL-CQSZACIVSA-N 416.441 4.600 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1SCC(F)(F)F 524854103 DKDLNWODATZQHL-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3ccccc3[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 531363489 URXHXBWGZZXDHX-DLBZAZTESA-N 416.481 4.922 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3noc(-c4ccccc4)n3)o2)c([N+](=O)[O-])c1 532119735 CDCLGVJJPZOZSB-CQSZACIVSA-N 420.425 4.764 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCc2c(ccc(Cl)c2Cl)C1 535410631 KHNJSGOXFMIBGY-UHFFFAOYSA-N 404.253 4.510 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(NC(=O)c2cccs2)c1 536088665 ADQOQGSBWOHWEA-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(OCC2CC2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 542526028 CXRRVYNSVGYILM-QWHCGFSZSA-N 404.413 4.643 5 20 HJBD C[C@@H](C(=O)N1CCC(c2nc(C(C)(C)C)cs2)CC1)c1ccc([N+](=O)[O-])cc1F 543584988 BTKHRAWQOWADHZ-CYBMUJFWSA-N 419.522 4.998 5 20 HJBD CSCc1ccccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 544708867 WNUZCPSWGZSWML-UHFFFAOYSA-N 413.421 4.917 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nc(COC4CCCC4)no3)n2)c(Cl)c1 546477087 AHBUAFBSMMWVEA-UHFFFAOYSA-N 424.244 4.597 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccccc3Cl)c2CC)c1 548993211 GJTCZTWIMXDBNT-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 557086680 MCSVUFDHXWWGTM-ZDUSSCGKSA-N 422.416 4.757 5 20 HJBD COc1cc(C(=O)Nc2ccccc2OC(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 557936180 BUSFUMKXPACIRO-UHFFFAOYSA-N 410.373 4.634 5 20 HJBD Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc(C)c1OCc1cccnc1 561010944 PREMCIILOLRIJA-UHFFFAOYSA-N 419.481 4.500 5 20 HJBD CCCc1c(NC(=O)Nc2cc([N+](=O)[O-])ccc2CC)cnn1-c1cc(C)ccn1 572416200 NLERQAFJVATKRA-UHFFFAOYSA-N 408.462 4.643 5 20 HJBD CSc1ccc(C(=O)N(C)Cc2ccc(OCC(F)(F)F)cc2)cc1[N+](=O)[O-] 576775053 ZKRYGFMWIIAJPZ-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD COc1ccc(CSCC(=O)N[C@H]2C[C@H](c3ccccc3Cl)C2)cc1[N+](=O)[O-] 590531324 RKEXKDHYIVVNRE-SHTZXODSSA-N 420.918 4.552 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSCc3ccc(Br)cc3)n2)c1 603562670 FABBVNBLWDGCIM-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccc2c(c1)OCO2)C1CCCC1 603732715 RIFNYNWPKQYMER-UHFFFAOYSA-N 408.410 4.655 5 20 HJBD C[C@H](Sc1ccccc1Cl)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 603952622 FWPXFCKLFIRIEA-NSHDSACASA-N 408.863 4.529 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3ncccc3c2)cc1[N+](=O)[O-])c1ccccn1 604335887 CTZFLRPUSCCYPK-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 608797611 IHGKTCDKUREKRT-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)s1 609293000 UYYFRYHFOPRCEJ-CXAGYDPISA-N 411.487 4.871 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 609577321 STFMVTQPAHFDSE-QFIPXVFZSA-N 406.486 4.703 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(C(F)(F)F)(C(F)(F)F)C1 609771332 XHZPTVINQSEIAK-UHFFFAOYSA-N 405.682 4.597 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 610172313 OZKVOTWUWVZJHT-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ncnc4ccc([N+](=O)[O-])cc34)ccn2)cc1 611700085 BRTAZQAHOPBSFN-UHFFFAOYSA-N 417.425 4.736 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOc2ccc(OCC)cc21 614101753 ZTTCXBHSXOBIFA-SFHVURJKSA-N 416.499 4.749 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2c(F)cc(Br)cc2C1 616122715 GUGKDEGFBRTQOF-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD C[C@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C1CCCCC1 725969142 KSHYOUOTJPMMQW-GFCCVEGCSA-N 416.396 4.702 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3ccc(N)c([N+](=O)[O-])c3)c(C)c2)n1 726945588 VZNWJPLEXCLGBG-UHFFFAOYSA-N 400.485 4.654 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)cc1 726959526 LIWJUUQHQQNTCG-UHFFFAOYSA-N 415.405 4.856 5 20 HJBD COc1ccc(-c2noc(CSCc3cc([N+](=O)[O-])ccc3Cl)n2)c(OC)c1 735507741 WRLBTVYUKQUXFY-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD CC(=O)Nc1cccc(NC(=S)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 743061571 LEZSEZCIFFBSBN-UHFFFAOYSA-N 420.494 4.957 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)c1ccc(Oc2ccccc2)cc1 744833383 RUUSSHDOMPMUKI-CQSZACIVSA-N 409.369 4.954 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccccc1NC(=O)c1ccccc1 748016351 USZWWBQJPFBLJY-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749932738 BWNFFZKFTFABEW-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD O=C(Nc1cccc(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1ccccc1 750427907 AVUHRPUGVXVEGR-UHFFFAOYSA-N 415.405 4.685 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1ccc(Br)cc1[N+](=O)[O-] 750827570 SMEKYAJIAGUBTO-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD Cc1cc(COC(=O)c2cc([N+](=O)[O-])c(Br)cc2F)c2ccccc2n1 751690375 JQSLMHWVZFNWKC-UHFFFAOYSA-N 419.206 4.710 5 20 HJBD CC(C)Cn1ncc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1-c1ccccc1 757921959 OCWZKOBYFBXZFC-UHFFFAOYSA-N 400.385 4.645 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)c2)n1 758909506 ZXENKSBOHMDFSV-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(-c3cc[nH]n3)cc2)no1 761596275 HEIMJCBDJNNMLH-LLVKDONJSA-N 411.805 4.828 5 20 HJBD Cc1c(CC(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 762992162 MFCPMFGHJRRXGY-HSZRJFAPSA-N 404.466 4.751 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 763400977 ZHESYRLXLURKEV-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC=C(c2ccccc2C(F)(F)F)CC1 763515887 DITGWLYBRCWFTE-UHFFFAOYSA-N 412.314 4.821 5 20 HJBD O=C(N[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] 769288657 WMATUJRIVRFKGK-ZBEGNZNMSA-N 414.330 4.958 5 20 HJBD CCc1cccc(C)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775088955 XCPXAASQHYNXHE-UHFFFAOYSA-N 416.499 4.762 5 20 HJBD Cc1cc(C(=O)O[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)co1 781370150 OPYVZZAYQXRGNM-GOSISDBHSA-N 414.801 4.686 5 20 HJBD Cc1cc(-c2csc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])n2)c(C)o1 790560067 NFBPKILYVXDKST-UHFFFAOYSA-N 409.826 4.902 5 20 HJBD C[C@@H](CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2ccccc2)no1 811485210 CQMQPABFAGJETF-NSHDSACASA-N 407.257 4.845 5 20 HJBD Cc1nc(COc2ccccc2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cs1 813244523 GFVPTBWVVHRATD-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@@]2(CCc3ccccc3O2)C1 813277247 SKSSEUHNBLHFSK-IBGZPJMESA-N 407.253 4.512 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(Cl)cnc2Br)cc1[N+](=O)[O-] 813371973 OVQHDZVGKCKQPG-UHFFFAOYSA-N 412.671 4.956 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N(C(C)=O)C4CC4)cc3)o2)c([N+](=O)[O-])c1 815159915 ILVKWMAHJYFABL-UHFFFAOYSA-N 421.453 4.991 5 20 HJBD CCCN1C(=O)c2ccc(Cl)cc2NC12CCN(c1ccc([N+](=O)[O-])cc1)CC2 877849908 WWZQMCQIZHUBLU-UHFFFAOYSA-N 414.893 4.523 5 20 HJBD CCc1ccc(C(=O)Nc2sccc2C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 918630582 ASBATXCPMMYANI-CQSZACIVSA-N 423.494 4.962 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] 918933434 WZMCSELQIPOWRP-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD C[C@@H](OC(=O)C(F)(F)c1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1251206615 WGXHGGKJCCKJGE-SNVBAGLBSA-N 423.759 4.694 5 20 HJBD COc1ccccc1SCc1nnc(-c2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 1322448130 NMJMDUCPRISAKW-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)CN(C)C(=O)OC(C)(C)C)c3ccccc3n12 1522331430 MVDCKZAGSZKWCY-UHFFFAOYSA-N 418.497 4.755 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1 9896729 DIGIVGXMOGXURA-QGZVFWFLSA-N 407.392 4.779 5 20 HJBD CC(=O)N(c1nc(COc2cc([N+](=O)[O-])ccc2C)cs1)c1ccccc1F 42180472 CHYDDCRHNSPINW-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3o2)cc1 45781850 NSWYEKVUUDHKJX-UHFFFAOYSA-N 402.410 4.844 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 56404485 APYLACVVXKNLTI-GJZGRUSLSA-N 401.463 4.514 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc3c(c2)OCCO3)o1 61013217 CEVYZLDRLQBZHN-UHFFFAOYSA-N 400.818 4.569 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)ccc21 97551942 FACOSIBSTWHERI-MDWZMJQESA-N 423.469 4.934 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1N1CCC(c2nc3ccccc3s2)CC1 301821126 BJSQMHYSBAYBEF-UHFFFAOYSA-N 419.304 4.746 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(F)(c2ccccc2OC(F)(F)F)CC1 410171099 JEDMSKDSUOWYIP-UHFFFAOYSA-N 418.368 4.656 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426281459 YVYDHVNWVGVCGL-UHFFFAOYSA-N 415.799 4.999 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 435933363 DQJUXLFBLXDJGF-FQEVSTJZSA-N 404.466 4.787 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1O)[C@@H](c1ccc(Cl)cc1)c1cccnc1 436976785 CZGKQRGFKWYTHA-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437425617 LZRVUGUXFWKCSS-KOMQPUFPSA-N 404.416 4.636 5 20 HJBD COC(=O)c1cc(C(C)C)ccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443862720 VCBVXJJLFLJYDB-UHFFFAOYSA-N 410.348 4.776 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)c1cccc2c(=O)c3ccccc3[nH]c12 445208722 FFUHZYHAMNIUKW-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1S(=O)(=O)Cc1ccc(C2CCC2)cc1 447190876 DRRKPPCCOOUMHO-UHFFFAOYSA-N 410.289 4.599 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 460428266 NVLNVCITWYYUGC-IBGZPJMESA-N 406.486 4.572 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(N2CCCC2=O)ccc1Cl 462432303 MLGGDXDCRKKKQH-HNNXBMFYSA-N 415.877 4.582 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463107385 MHBPDWPADHMNMD-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1c(C)nn(-c2ccc(Cl)cc2)c1C 464722174 BPTPGENEGMBZCU-UHFFFAOYSA-N 412.877 4.794 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 465654275 HFOVQAWRQDPKNE-QGZVFWFLSA-N 404.470 4.950 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3ccco3)cc2F)cc1SC 468396049 TXPSFFJDYHSYBW-UHFFFAOYSA-N 416.430 4.654 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 470230590 NIEULIQPGMTJRA-MRXNPFEDSA-N 409.269 4.514 5 20 HJBD CC[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCOCC1 470675397 ZCNSFAXPIADMAK-SFHVURJKSA-N 418.877 4.976 5 20 HJBD CCOC1CC(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 474026050 ZVYBVVQUZVUFPM-UHFFFAOYSA-N 423.307 4.912 5 20 HJBD COc1cc(C(=O)Nc2ccc(SC)c(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 474767425 IYJIGWNKJLOIOL-UHFFFAOYSA-N 418.805 4.832 5 20 HJBD CCc1ccc([C@H](NCCc2nn(C)c3ccccc23)c2ccc([N+](=O)[O-])cc2)o1 480716729 LJSNZYNEAXIZQY-HSZRJFAPSA-N 404.470 4.559 5 20 HJBD CC(=O)Nc1cccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 481526769 LVDLPUOBDWFWQU-AWEZNQCLSA-N 415.515 4.792 5 20 HJBD CCc1c(NC(=O)c2cccc([N+](=O)[O-])c2C)cnn1-c1cccc(C(F)(F)F)c1 482738282 ZUTAVZKBTPSRCD-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD O=[N+]([O-])c1ccc(NCCc2nnc(-c3ccccc3)o2)nc1-c1ccc(F)cc1 485395649 GLPUHHSNOFFCEQ-UHFFFAOYSA-N 405.389 4.501 5 20 HJBD CSc1cccc(C(=O)NCCOCc2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 485512717 BKKMGWVPZWKWNE-UHFFFAOYSA-N 415.298 4.570 5 20 HJBD Nc1c(C(=O)Nc2ccc(Oc3cccc(C(F)(F)F)n3)cc2)cccc1[N+](=O)[O-] 487046985 QNJLFZOJICBPKZ-UHFFFAOYSA-N 418.331 4.635 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1cc(-c2ccccc2)on1 488997067 QFCJTRJTYFXDRS-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(F)cc1F 491348624 ZFPANRJBZVDNJM-HXUWFJFHSA-N 403.429 4.944 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2c(Cl)cccc2[N+](=O)[O-])c1C 497653528 BDPOHDNXKNOHBG-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 499073084 GYAUSDSIRNNPNH-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD CCCN(C(=O)c1cccc(SC)c1[N+](=O)[O-])[C@H](C)CC(=O)Nc1ccccc1 500665265 MUSPGDLBEWBECI-OAHLLOKOSA-N 415.515 4.586 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 502859837 HZHVDGDGTUEXQY-ZDUSSCGKSA-N 408.376 4.745 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(-c2ccccc2OCc2ccccc2)no1 504862252 RZYMTKUSSFSIPG-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD Cc1noc(COc2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc2)n1 510558422 BOJWQLVQZGPJDQ-UHFFFAOYSA-N 410.433 4.911 5 20 HJBD Cc1ccc(/C=C/c2nc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)n[nH]2)o1 511110801 RXLOEAPCEHNYOK-VQHVLOKHSA-N 400.460 4.864 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H](C(F)(F)F)C2(CCC2)C1 511886170 RQYSGQPECIARAO-AWEZNQCLSA-N 405.804 4.512 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 521787441 QUDUGMQMFVZQFI-LBPRGKRZSA-N 411.380 4.525 5 20 HJBD CN(C)Cc1cccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 521819521 OXOXZHKPKWJFJA-UHFFFAOYSA-N 404.514 4.714 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2c2ccco2)c([N+](=O)[O-])cc1OC(F)F 522959068 OABICLKLAZFFRH-ZDUSSCGKSA-N 410.373 4.555 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCSC[C@@H]1c1ccccc1 523766584 OULYFGAAFGKPII-OAHLLOKOSA-N 409.414 4.518 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCOc2c(-c3ccccc3)cccc21 523904029 MNFMVKXXMKRMTC-QFIPXVFZSA-N 417.465 4.627 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](Cc2ccc(C)cc2)c2ccccn2)c1 524532168 DUHUIELAEFSRTO-FQEVSTJZSA-N 407.495 4.734 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 531094081 ZYOZOSYXSZDNPB-UHFFFAOYSA-N 414.330 4.991 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1csc([C@H]2CCCO2)n1 534473893 DRGPYLOHASUXLT-GOSISDBHSA-N 411.439 4.947 5 20 HJBD CCC(CC)n1nc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1C 538441581 ZIOKFWSUQQBGQH-UHFFFAOYSA-N 413.503 4.861 5 20 HJBD C[C@H](C(=O)Nc1ccnn1[C@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])cc1F 541610870 LTRYRRIFZOODAR-XOBRGWDASA-N 408.433 4.598 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542536920 STQAEPCXFIZMIU-GFCCVEGCSA-N 410.829 4.545 5 20 HJBD CCCNC(=O)c1cccc(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2ccc(CC)o2)c1 544361256 VRTFRINMAKXKSQ-QHCPKHFHSA-N 421.497 4.769 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1c1nc(-c3ccc([N+](=O)[O-])cc3C)no1)O[C@@H](C)C2 544745133 WQNCAGMVVWAOFE-SOVGHPHASA-N 421.453 4.946 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(-c3ccccc3OC(F)F)no2)c1 546322354 FLQPGLVAPSFZMO-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H](CC(N)=O)c3ccccc3)cc2[N+](=O)[O-])cc1 549912718 LFBZFYPTPSGHPF-HXUWFJFHSA-N 421.522 4.761 5 20 HJBD COCCC[C@H](CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 552582092 WTMRGEXAYFPODA-GOEBONIOSA-N 422.884 4.817 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OC(F)F 559538365 LCEQAJMCZHKNQA-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 561960814 STMUFXXMQSDVJY-UONOGXRCSA-N 400.866 4.970 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1csnc1C 576975284 NHOHPZPWYRYMEN-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2cc(Br)cc(F)c2C1 581518700 OOTSMFGWDPCXTK-UHFFFAOYSA-N 422.254 4.649 5 20 HJBD CCCCn1nccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 603623807 YABDXESLWGWABM-UHFFFAOYSA-N 417.516 4.760 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1Cl 604480221 HUMLDENYFWCJFZ-UHFFFAOYSA-N 402.760 4.501 5 20 HJBD O=C(Nc1ccc(-c2cnc[nH]2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 604484751 SVKGEADTDDRTND-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1nc2c(Cl)c(Cl)ccc2s1 608928159 WSBWASZJFVQYQJ-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(N[C@@H]3CC=CCC3)c([N+](=O)[O-])c2)c(C)c1 609894171 KYINBTNDESYCQN-MRXNPFEDSA-N 401.488 4.533 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609978398 LBVVTJKCGMEDFB-UHFFFAOYSA-N 422.388 4.529 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)nn1 610294717 ZZMLSYVXPVTXRS-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)cc(C(F)(F)F)c1 617127143 TXKASIQUBONNTO-UHFFFAOYSA-N 414.405 4.913 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710225 JOIDQXUCSQOSRX-UHFFFAOYSA-N 421.240 4.913 5 20 HJBD CCC(C)(C)c1ccc(OC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 732494320 LLKDXSYQQKZFCU-INIZCTEOSA-N 416.499 4.554 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 735801595 QZRWHHZBLWCAEM-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC([C@@H](O)c3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 736209443 QGDAEYUVHKSHQK-NRFANRHFSA-N 414.477 4.617 5 20 HJBD Cc1nc(-c2cccc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2)cs1 742502785 JSIABLLMQKISMG-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)N[C@H]2CCOc3ccccc32)c2ccccc2)cc1 743061187 FHUJOKJWGJKHNY-NRFANRHFSA-N 419.506 5.000 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN(Cc3ccc(F)cc3F)C3CCCC3)n2)c1 746061870 MBMTZUOUTHTQPL-UHFFFAOYSA-N 413.428 4.534 5 20 HJBD Cn1cc(Br)cc(NC(=O)c2c3ccccc3cc3ccccc23)c1=O 751344366 HGZPCJJEBZGGBC-UHFFFAOYSA-N 407.267 4.707 5 20 HJBD O=C(Cc1ccc(OCc2ccccn2)cc1)OCc1ccc(Cl)c([N+](=O)[O-])c1 752505666 SILNXMCJINQWMX-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC[C@@H](O)c1cccc([N+](=O)[O-])c1 754749639 RUAVJDPWSJWJSN-KZULUSFZSA-N 401.463 4.550 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 755058839 FJCYNDGDOOYFME-NEPJUHHUSA-N 417.368 4.639 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cccc([N+](=O)[O-])c1Br 758418615 AYDUPFCZYJDQLJ-WCQYABFASA-N 407.239 4.515 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(=Cc2cccc(F)c2)CC1 760813723 ZIKHCUMEOLQJFF-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2c(F)cccc2F)cs1)c1cccc([N+](=O)[O-])c1 763252308 VYAJQNHGKIKXKK-LLVKDONJSA-N 404.394 4.843 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764233718 AWZYMASHBHWJQG-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD O=C1CCCC(=O)N1c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 770528534 ZQCZKCGWRLDUEX-UHFFFAOYSA-N 421.478 4.979 5 20 HJBD COc1cc(/C=C(/C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)ccc1O 772263159 JKRWEYMSZGDYFM-WMRWHDLOSA-N 418.449 4.727 5 20 HJBD C[C@@H](CC(=O)c1cccc(F)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133478 LPRIWNZHFVQCQY-OYHNWAKOSA-N 422.412 4.671 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)c1[N+](=O)[O-] 776838683 HRYRALYIVYCNON-UHFFFAOYSA-N 416.846 4.805 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777935378 LYFGMWVRLVBRAE-NSHDSACASA-N 402.319 4.925 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C1CCN(c2nc3ccccc3o2)CC1 778754548 VOJMREWBHGIVGN-HNNXBMFYSA-N 409.442 4.565 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260563 XRKYSLSQTMEZRE-UHFFFAOYSA-N 414.392 4.832 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2c(Br)cccc12)c1ccccc1[N+](=O)[O-] 784036563 CIPFIKIYZIFKHI-SNVBAGLBSA-N 417.215 4.529 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)c1F 788289870 YACMPBMIPVWHTE-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)NCc2cccc([N+](=O)[O-])c2C)n1 791015019 AYNPIGDHRXKOGP-UHFFFAOYSA-N 413.524 4.890 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc2c(C(F)F)nn(C)c2s1 791599918 VDSQAVLEXHUQPC-UHFFFAOYSA-N 400.794 4.695 5 20 HJBD CC(C)(C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 796446367 WHXZJSSYZHAZMT-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])c(OC)cc1Cl 802643080 IMXOZCLFEGFWKW-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(Nc1cc(Cl)c(O)cc1F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 805257676 BVXLRWZSWINFLJ-UHFFFAOYSA-N 415.808 4.957 5 20 HJBD Cc1nccn1-c1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1F 811476246 QUGKZKOSGDSDIT-UHFFFAOYSA-N 409.248 4.825 5 20 HJBD CCN(CC)Cc1ccccc1CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813381375 QPOXQEOSSXDHPE-UHFFFAOYSA-N 410.301 4.673 5 20 HJBD CCCSCC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 917334092 IMRHISVSDZPHOU-GOSISDBHSA-N 422.890 4.614 5 20 HJBD O=C(Nc1cccc(-c2cn3c(n2)CCCC3)c1)c1cc2cc([N+](=O)[O-])ccc2o1 919720420 SAOHPTBCUDNCJO-UHFFFAOYSA-N 402.410 4.793 5 20 HJBD Cc1cc(NS(=O)(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ccc1Oc1cccnc1 919961397 DWULCJNXGZEFLS-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc([N+](=O)[O-])ccc1Cl 12488142 QISKBHGJONADKJ-IBGZPJMESA-N 410.813 4.785 5 20 HJBD CN(Cc1ccc2ccccc2c1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 18123670 JIQRHNPNQAGHJC-UHFFFAOYSA-N 403.482 4.623 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccccc2Cl)cc1[N+](=O)[O-])c1ccccn1 52932922 DJMVSJBQLZLPLB-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD CCOc1cc(C)ccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 55153998 AGGXWBRLLPGIEN-GFCCVEGCSA-N 411.380 4.760 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(COc2cccc(Br)c2)o1 59274530 HCDSYOHYWSUGNU-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD Cc1c(NC(=O)CCNC(C)(C)c2ccc(Br)cc2)cccc1[N+](=O)[O-] 63522084 UQSSVKDXLNJJRJ-UHFFFAOYSA-N 420.307 4.519 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1c(Cl)cc(Cl)cc1Cl 72068156 UEINQSXJICOASW-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD COc1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)ccc1N1CCCC1=O 237316724 DNSOXVWXEMLUIR-UHFFFAOYSA-N 407.426 4.602 5 20 HJBD O=[N+]([O-])c1cnc(NCc2cccnc2Oc2cccc(F)c2)c(Br)c1 301490564 AVMUVHWOAXIOOS-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2cccc(F)c2)c2ccccn2)cc1Cl 301867071 GJBZVOBBGPSFQU-IBGZPJMESA-N 415.808 4.770 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(Cc3nnc4n3CCC4)cc2)nc1-c1ccccc1 302720927 LYJMXUWXUCIQNL-UHFFFAOYSA-N 412.453 4.529 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c(F)c1 426757635 PMUGLKQXGYCJKQ-SFHVURJKSA-N 404.781 4.563 5 20 HJBD Cc1sc(NC(=O)C(=O)c2ccc(Cl)s2)nc1-c1cccc([N+](=O)[O-])c1 428247880 PDOPBKVNGQZAGF-UHFFFAOYSA-N 407.860 4.563 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](c1cc(F)cc(Br)c1)C1CC1 430201055 ISPHEEYWXPVGBK-SFHVURJKSA-N 409.255 4.746 5 20 HJBD C[C@@H](Nc1ccnc2c([N+](=O)[O-])cccc12)[C@@H](OC1CCOCC1)c1ccccc1 432343093 RCJUTPPHMYRPCI-WAIKUNEKSA-N 407.470 4.880 5 20 HJBD Cc1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1ccccc1F 435346791 UTCBUOUEXDAXPQ-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CN(Cc1cccc(Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1)Cc1cscn1 436391270 YHDHPJQDOUZWTQ-UHFFFAOYSA-N 423.420 4.841 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 437425729 YTEAZIFVONNUGT-KESTWPANSA-N 420.440 4.989 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443907866 NDMHRGCCUUJLKV-UHFFFAOYSA-N 411.361 4.793 5 20 HJBD COc1ccc([C@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C(C)C)cc1 445256404 WJGYRMCFKFIXFD-CQSZACIVSA-N 413.293 4.555 5 20 HJBD CCn1c(S[C@@H](C)c2noc(-c3ccccc3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 446053268 KYQPZCOZQOPLOT-ZDUSSCGKSA-N 422.470 4.777 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCCc1cc(-c2ccc(F)cc2)n[nH]1 446487956 HENYARAGQLEDSD-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD CCOc1cc(C(=O)N(CC)[C@H](CC)Cc2ccc(C)cc2)c([N+](=O)[O-])cc1OC 460527340 SWLHHWFGYDMSSA-GOSISDBHSA-N 414.502 4.794 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Nc2ccc(C(F)(F)F)cn2)cc1 461706768 UTGSKVJPBSSPTC-UHFFFAOYSA-N 416.359 4.933 5 20 HJBD C[C@H](Sc1nnc([C@@H](C)N(C)C)n1-c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 463040947 WZCGNCSMLKTODG-KGLIPLIRSA-N 415.494 4.791 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 465149608 UGXZYQYVZCEVTN-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CN(CC[C@@H]1CCCO1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 467019054 SGJOSEKTRRSHRW-INIZCTEOSA-N 404.850 4.682 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1 471392493 ICGUJPJQPKATLV-UHFFFAOYSA-N 422.890 4.721 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cn1 475503887 UMCUCCLARYIRBL-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 475562765 HJXVZQLHJPSGAC-AWEZNQCLSA-N 412.421 4.903 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 476174344 YTIWCIZJUQQKLL-JOCHJYFZSA-N 405.454 4.510 5 20 HJBD COc1cc(C)c(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 478047507 QMPQCCQQBGPQTN-UHFFFAOYSA-N 418.368 4.801 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2ccc(CC(C)C)cc2)CC1 479890574 UDWVKNUOKOFTBO-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC3CCCC3)cc2)cc1SC 482382561 FEZOLVWVRYVAMT-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCCc2csc(-c3ccccc3)n2)cc1[N+](=O)[O-] 482598628 SYKAXXWOFGHSLD-OAHLLOKOSA-N 410.499 4.630 5 20 HJBD COc1cc(F)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 485734794 WBQCWMZBFSGTHE-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nncs2)cc1 487043054 PJCVLFHDJHOEDT-UHFFFAOYSA-N 418.525 4.962 5 20 HJBD C[S@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 487847965 YELUKYKBSNMYJB-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD Cc1coc(-c2cccc(NC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 488913929 RDCYSMDDRQLIRN-UHFFFAOYSA-N 420.347 4.899 5 20 HJBD C[C@H](NC(=O)c1cc2ccccc2c2cccnc12)c1noc(Cc2ccccc2)n1 489898173 HAVSYBXNMWTPSR-INIZCTEOSA-N 408.461 4.853 5 20 HJBD O=C(N=c1cc[nH]cc1F)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 494430591 QVQFJEVBNDHOLT-UHFFFAOYSA-N 403.822 4.608 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(NC(=O)c3ccoc3)c2)cc1[N+](=O)[O-] 499617675 PWKFYUUHEMYDMF-UHFFFAOYSA-N 407.426 4.990 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 499646528 MVEJVEHKZJPVQX-UHFFFAOYSA-N 417.450 4.645 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 502336194 CUKCYEOXUIJPOB-NRFANRHFSA-N 414.820 4.672 5 20 HJBD O=C(c1csc(Cc2cccc(F)c2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 503612570 BTORFZWJECFTGF-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1Br 505264719 FFTPQRIZCXLFBM-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1coc(-c2ccc(Br)cc2)n1 506782201 SXQPEGPVRDSVSE-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 509822108 SUWFWTNOJLHQJY-UHFFFAOYSA-N 418.291 4.827 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1 512748397 HWYCYRXWPUWDQG-CQSZACIVSA-N 404.488 4.653 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 525188159 VGNBCUXAMFYSQI-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1ccc([N+](=O)[O-])cc1Cl 525467754 WQOGXMGRIGCDHP-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD C[C@@H](NC(=O)c1cc(NC(=O)NC(C)(C)C)ccc1Cl)c1cccc([N+](=O)[O-])c1 525577403 HFCHUCFBZMXTSV-GFCCVEGCSA-N 418.881 4.659 5 20 HJBD COc1cccc(NC(=O)CCSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 534058052 LBFBQPUJUWMMRX-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD Cc1ccc(NC(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1F 534058184 VCZLUTWAAZZYGU-UHFFFAOYSA-N 401.419 4.569 5 20 HJBD CN(C)CCCOc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 536100380 UULQGSJEUQNNLY-UHFFFAOYSA-N 412.515 4.661 5 20 HJBD C[C@@H](Nc1ccc(N2CCOCC2)cn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537397207 SPRSZUVRMMZZDQ-OAHLLOKOSA-N 410.499 4.728 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 537695315 JXMPIZYWORAFPS-LBPRGKRZSA-N 419.532 4.547 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccs1 538387724 UHVYZXNDSIUZIF-INIZCTEOSA-N 421.478 4.596 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccccc2Cn2cncn2)ccc1Oc1ccc(F)cc1 538833389 ABYZCWLXLIYACU-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD Cc1ccc([C@@H](C)NCC[S@](=O)c2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 540476531 FSRVCFDUNVMJQK-YDBLSZJJSA-N 401.315 4.668 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](COc2ccccc2Cl)C1 541783247 BEXBIHREBAWLGN-ZDUSSCGKSA-N 407.829 4.710 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(CCc1ccccn1)Cc1ccc(F)cc1 541834686 PIZMWKAYVSWCAD-UHFFFAOYSA-N 412.396 4.545 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)ccc1C(N)=O 542552930 XSSSTBUXAUCYHJ-UHFFFAOYSA-N 413.499 4.537 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)c(C)c2c1 543482015 PRCYBPFSFDKCHL-STQMWFEESA-N 400.406 4.778 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3c[nH]c4ccc(F)cc34)no2)c(Br)c1 545749002 XBXXTFQNGKCABD-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cn1cc(C(C)(C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 545996394 MFLNRTWTAXJNNQ-UHFFFAOYSA-N 400.866 4.799 5 20 HJBD CN1CC[C@@H](c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)c2ccccc21 546343816 HJXWDKMEDMJYLW-OAHLLOKOSA-N 404.348 4.635 5 20 HJBD CC(C)OCCCc1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 546467541 GGWBTSJIXYJCIX-OAHLLOKOSA-N 411.462 4.571 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cnn(C(C)(C)C)c1-c1ccccc1 553084189 WRNMFRUHGIFCAP-UHFFFAOYSA-N 406.486 4.528 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(F)F)c(F)c1 559490648 OYZQJIYIQSFDML-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD COCc1nc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)c(-c2ccccc2)s1 584019719 QXAAXOZJUDYBAM-UHFFFAOYSA-N 422.466 4.814 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 603567572 BQYIGZUMTYBEGJ-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1OCC(C)C 603597556 VGEUMEFYFWVWKH-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD COc1cc2ccccc2cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 604242868 BFKWGNOBLHSQFT-UHFFFAOYSA-N 418.371 4.961 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 604243109 DYJAZNSUWFBHGN-SFHVURJKSA-N 406.486 4.674 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(OCCc3ccccc3)CC2)cc1[N+](=O)[O-] 604471548 MKADUSWLSUAKND-UHFFFAOYSA-N 410.514 4.756 5 20 HJBD COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(Br)c1 608899596 FDALIYWWGGPOHU-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H](Nc2ccccc2)C1 609113452 MCQKZBXKGIPUMY-OAQYLSRUSA-N 416.481 4.534 5 20 HJBD Cc1cc(S(=O)(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)ccc1[N+](=O)[O-] 609674601 FXBLXPUNRPKEBW-INIZCTEOSA-N 416.524 4.917 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nccs1 610049504 WUNRYLXHINDXMQ-LRAJWGHMSA-N 416.547 4.589 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)c1cc(C)ccc1[N+](=O)[O-] 610220920 KGBCYFYWJSIKHV-UHFFFAOYSA-N 414.849 4.659 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC([C@@H]2CCOC2)CC1 612345701 FWAYUCHGAKEVGK-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 677189626 RTWUGBKHMNSVHF-KRWDZBQOSA-N 414.530 4.930 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 727803242 CTLLLHZFCCMFKO-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1cc(/C=C2/N=C(c3ccc([N+](=O)[O-])c(C)c3)OC2=O)ccc1Oc1cccnc1 732726704 PAVRPSNQHLYRBV-XDHOZWIPSA-N 415.405 4.743 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)Cn1c2ccccc2c(=O)c2ccccc21 735644812 SQLXWLAZPUEBKE-UHFFFAOYSA-N 422.824 4.630 5 20 HJBD O=C(CCN1C(=O)CCc2ccccc21)OCc1nc2ccccc2c2ccccc12 738870848 GCWJXPRUCVRMLV-UHFFFAOYSA-N 410.473 4.801 5 20 HJBD CC[C@H](C)n1c(SCC(=O)Oc2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1 749784536 PDRGQDPZVXCRAD-AWEZNQCLSA-N 412.471 4.522 5 20 HJBD Cc1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1NC(=O)OC(C)(C)C 752441664 BNQIWSYHVPFDDI-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 753214956 MWYPEKZSACUANQ-CYBMUJFWSA-N 403.360 4.725 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cccc21 753780822 GUFOQORIAYOEJI-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD Cc1cccnc1[C@H](NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)C(C)C 755030104 LULBETZAMHULQW-OAHLLOKOSA-N 407.268 4.580 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)NCc1cc([N+](=O)[O-])ccc1Cl 756928958 NTKVNFVRXMHHIT-UHFFFAOYSA-N 411.270 4.511 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CCO2)cc1 757524992 JOGCTBVXLIMKLH-BPAFIMBUSA-N 401.484 4.847 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 758860534 CYAWBQOLRSIECP-GFCCVEGCSA-N 409.305 4.658 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(C(=O)N3CCCCC3)ccc2Cl)c1[N+](=O)[O-] 760495014 QTRCXCRKHVNLES-UHFFFAOYSA-N 419.840 4.574 5 20 HJBD Cc1c(Cc2noc([C@@H]3CCN(c4ccc(C(C)C)cc4)C3=O)n2)cccc1[N+](=O)[O-] 762321110 QZNRYUWHEQZKBC-IBGZPJMESA-N 420.469 4.521 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC(c2ccccc2C(F)(F)F)CC1 762365613 MCRAIBWGKVEAEC-UHFFFAOYSA-N 423.347 4.542 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2c(F)cccc2F)n1)c1ccc([N+](=O)[O-])cc1F 762981969 XKZCTWJYDUMZGL-UHFFFAOYSA-N 420.343 4.688 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(OCc2ccccn2)c1 764201657 NWEFHFPFXPLVEB-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)OCc3nnc(C)n3C3CC3)cc2[N+](=O)[O-])cc1 774902526 PUFGNCMAVZNZRV-UHFFFAOYSA-N 424.482 4.646 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1cc(F)c([N+](=O)[O-])cc1F 791018869 YMUAVJOQGGJXJG-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1F 798281365 JTHDJZZRXQFTQT-UHFFFAOYSA-N 408.433 4.893 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c(C)c1 800628898 DMOBTEHSIPGTCY-GFCCVEGCSA-N 419.478 4.819 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 807898395 DYLZZMQHMBAOHV-OAHLLOKOSA-N 407.264 4.589 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)Nc1cccc([N+](=O)[O-])c1Cl 809916865 WHPJRMBHIQMIPD-UHFFFAOYSA-N 402.863 4.736 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cc(Cl)nc(Cl)c1 809932198 PSIOWVASOPYUFR-UHFFFAOYSA-N 416.220 4.780 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)o1 815240615 PCBWUFAGODLUTK-UHFFFAOYSA-N 400.353 4.575 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 915180811 LCFKOSFZJGJSFO-OKILXGFUSA-N 415.877 4.619 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n1 916057666 SCIPDVGSIJVAFV-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD Cc1ccc(C(=O)Oc2cc([N+](=O)[O-])ccc2C)cc1NC(=O)c1ccccc1F 916405885 ZYIDCMNWHKAAKH-UHFFFAOYSA-N 408.385 4.822 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc2ccccc2Cl)cccc1[N+](=O)[O-] 919512438 VQSBFRWUDSMRNF-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H]2c3ccccc3CC23CCOCC3)c(Br)c1 1117625788 GAVWIKQZSKBDGX-LJQANCHMSA-N 417.303 4.541 5 20 HJBD Cc1nc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)sc1Cc1ccccc1 1262023488 YQCVNSOROPOFDO-UHFFFAOYSA-N 408.483 4.541 5 20 HJBD CCOc1ccc(-c2nnc(-c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cc1OC 1322293156 SVLFWUQMGXKILM-UHFFFAOYSA-N 409.320 4.738 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 7835226 HMHFAJUZEDIRID-HNNXBMFYSA-N 410.426 4.626 5 20 HJBD Cc1ccccc1-c1nnc(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)o1 10015696 ABKZVDPJLYBUQH-LLVKDONJSA-N 418.862 4.726 5 20 HJBD CC(=O)c1ccc(NC(=O)CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)cc1 15127885 PQRDWYCUBRWTRJ-UHFFFAOYSA-N 404.426 4.592 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 54430800 CXACSNNQZWZTRV-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD C[C@H](c1ccco1)N(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CC1 65829404 DZWXNFALACBTSR-GFCCVEGCSA-N 411.380 4.761 5 20 HJBD Cc1c(C(=O)OCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)sc2cccc(F)c12 108795942 KABWDDFVIUDDIB-UHFFFAOYSA-N 413.386 4.664 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3nnc(NCc4ccc(F)cc4)s3)sc2c1 111193689 LBUCBIDGYKGRHJ-UHFFFAOYSA-N 419.488 4.958 5 20 HJBD Cc1ccccc1-c1nn(-c2ccccc2)cc1C(=O)NCc1cccc([N+](=O)[O-])c1 195716006 CHMSFPRVAOQRSH-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD O=C(c1ccccc1)c1ccc(Nc2ccc(CN3CCCC3=O)cc2)c([N+](=O)[O-])c1 301109995 RKTCJVDOTMFUKD-UHFFFAOYSA-N 415.449 4.692 5 20 HJBD Cc1cc(OCC(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)ccc1[N+](=O)[O-] 435756680 UALSYKHRRHOOPI-OAQYLSRUSA-N 422.485 4.576 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437122408 GGWQTCNMDIVFNG-ZWKOTPCHSA-N 404.388 4.908 5 20 HJBD CCCN(C(=O)CCc1nnc(-c2ccc(Cl)cc2)o1)c1cccc([N+](=O)[O-])c1 441457797 PBSGPPGAAQRRDM-UHFFFAOYSA-N 414.849 4.674 5 20 HJBD CCOc1cc(C)ccc1CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444229323 WIEXONKZAXUZRK-UHFFFAOYSA-N 422.431 4.597 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 448432411 WNRHKQYYOKIBAT-HNNXBMFYSA-N 422.489 4.720 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1c(Cl)cccc1[N+](=O)[O-] 448451466 YHQMXZVYPNYLKW-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD COc1ccccc1[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1Cl 460220324 GYHHHWUIPGCRII-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1ccccc1-c1ncc(-c2ccccc2)o1 460486376 DGNXEDGHUBDXFN-UHFFFAOYSA-N 413.433 4.889 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Br)cn2)no1 460843556 YAUISISXYSQCLG-SECBINFHSA-N 407.249 4.656 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463671332 VOIDDKPAYSACOR-MGPLVRAMSA-N 416.783 4.773 5 20 HJBD COC[C@H](C)[C@@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283279 DKMNCDVGBLZUSK-LSDHHAIUSA-N 405.520 4.626 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](C)Oc1cccc(Cl)c1 466178392 KAOOGSVUXLMZTC-OAHLLOKOSA-N 405.882 4.636 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ncc(-c3cccc(Br)c3)[nH]2)c(Cl)c1 466472107 VYKQTSNRGSFZRJ-UHFFFAOYSA-N 421.682 4.691 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 470709104 YNOLYYYLAUFPPZ-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD Cc1nc(Sc2nnc([C@@H](C)N3CCCCC3)n2-c2ccccc2)ccc1[N+](=O)[O-] 471630298 XZJMSLCRDIRBPF-MRXNPFEDSA-N 424.530 4.577 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(CC(=O)Nc3ccccc3F)cc2)cc1[N+](=O)[O-] 471879982 JBWUVPKKCBEYIY-UHFFFAOYSA-N 421.428 4.730 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474737806 OJSGBRGESQGYMX-STQMWFEESA-N 406.866 4.530 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 474752310 VAHBGHPLFRPEHN-LSDHHAIUSA-N 412.877 4.698 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)Nc2ccccc2OC)cc1 475162800 KZSCCQSUYXKUPK-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C 475638942 OMKNUYGSFKVJGK-FQEVSTJZSA-N 410.518 4.588 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2nc(C)cc(C)c2[N+](=O)[O-])cs1 479171384 YGNWZKLUQJBJRN-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD CC(C)(CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1 481574379 OJMANOURJUKULF-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD CCC[C@H](NCc1csc(CNC(=O)c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 484288198 DFUCUFHRRXXRQB-FQEVSTJZSA-N 424.526 4.612 5 20 HJBD CCOCc1ccccc1CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486349380 YYGGKUNGHHLVBD-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)c1ccc(F)cc1Cl 486983787 JXQIJUUCPJHHCA-UHFFFAOYSA-N 402.813 4.628 5 20 HJBD C[C@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488829136 OJXKBIYSUWYWQI-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CC[C@@H](C(F)(F)F)c2ccccc21 492144928 SBXPTTMKCSKLPC-MRXNPFEDSA-N 403.360 4.632 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2)cc1[N+](=O)[O-] 493074710 DMMHYNUWSQVLBF-SJORKVTESA-N 424.501 4.801 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1 497603788 HGNZCDZICZYSHY-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(c1cccc(C(F)(F)F)c1F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498150524 XDKBSKRUJXMCRJ-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD COc1cc(CNc2ccc(-c3ncco3)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 500249951 NJPKVUONAHUINR-UHFFFAOYSA-N 423.347 4.812 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2cccc(Cl)c2)cc1[N+](=O)[O-] 503021720 RZENCTCUXZQHHB-QGZVFWFLSA-N 420.918 4.854 5 20 HJBD CN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H]1CCSc2ccc(Br)cc21 504649778 INXQAWLJTGPBAI-MRXNPFEDSA-N 421.316 4.595 5 20 HJBD Cc1ccc(-c2csc(CSc3nc4cc([N+](=O)[O-])ccc4c(=O)n3C)n2)cc1 506378960 HAWQKOFWRUNDFB-UHFFFAOYSA-N 424.507 4.566 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCc3ccccc3N2C(=O)c2cccc([N+](=O)[O-])c2)cc1 508484880 CQDBGTAFMZRXOZ-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD CCOc1cc(C(=O)N2CC=C(c3ccccc3Cl)CC2)c([N+](=O)[O-])cc1OC 509765941 ZJDUZPUQSOIHCS-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 511680398 IHOMJZVOYKQUFN-QMTHXVAHSA-N 402.731 4.692 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3ccc([N+](=O)[O-])s3)c2)c1 517098989 JVHLOAXCXMSYNK-UHFFFAOYSA-N 410.455 4.539 5 20 HJBD CN(C)C1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCCC1 518271116 ATHBYAOZAPOAIY-UHFFFAOYSA-N 422.323 4.581 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc1C 518668287 ZPJSXXAQINCAAS-LJQANCHMSA-N 413.499 4.609 5 20 HJBD CC(C)N(Cc1ccc(-c2cccc(F)c2)s1)C(=O)c1cc([N+](=O)[O-])cn1C 518721506 YOVIBRLJRXFQOC-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD CCNC(=O)Nc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 520860160 RDHNNONDENHQLP-UHFFFAOYSA-N 419.441 4.732 5 20 HJBD CC(C)(C)[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 524041826 WDNPYYVJMAYLHT-SFHVURJKSA-N 410.392 4.896 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCN1C 525616577 SWEQABGRNBETOS-ZFWWWQNUSA-N 419.934 4.612 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1)c1ccccc1 532495113 YLCKVRKRTDAMML-GCJKJVERSA-N 409.530 4.739 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)Nc1cc([N+](=O)[O-])ccc1O 536200311 ZVHZGUFWGRZKJP-UHFFFAOYSA-N 411.361 4.500 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1ccccc1 538568387 ORMNQXYVGJKDHN-HNNXBMFYSA-N 400.500 4.878 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC([C@H](O)C(F)(F)F)CC3)o2)c(Cl)c1 539849509 AYEPKTDCPZMDOT-KRWDZBQOSA-N 418.799 4.643 5 20 HJBD COc1ccc(CN(C)[C@@H](C)c2ccncc2)cc1OCc1ccc([N+](=O)[O-])cc1 541761764 XXPJJXRMCLFBIO-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD CCOc1cc(Cc2noc(-c3ccccc3[N+](=O)[O-])n2)ccc1OCC(F)(F)F 544516544 HTBCOTJYIOJHOP-UHFFFAOYSA-N 423.347 4.575 5 20 HJBD CC(C)(C(=O)Nc1cccc(OCc2ccccn2)c1)c1ccc([N+](=O)[O-])cc1F 545119303 BNRLQVRHPNXUIB-UHFFFAOYSA-N 409.417 4.624 5 20 HJBD COc1cc(Cc2noc(-c3sc(-c4ccc(C)o4)nc3C)n2)ccc1[N+](=O)[O-] 545785224 RVYJQKNCTSXKPH-UHFFFAOYSA-N 412.427 4.578 5 20 HJBD C[C@@H](c1nc(Cc2ccc(Br)cc2)no1)c1ccc([N+](=O)[O-])cc1F 546257646 XCIUXJWGRQGKSA-SNVBAGLBSA-N 406.211 4.622 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(-c3ccccc3)nc2)no1 554805566 CFJQKPWWWBCRCN-INIZCTEOSA-N 401.426 4.838 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 559660010 YHVLOSNWYZXIRX-UHFFFAOYSA-N 413.474 4.766 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)c1cc(C)c(C(F)(F)F)cc1[N+](=O)[O-] 570701015 GVWUYLCOVBGDRO-UHFFFAOYSA-N 406.364 4.597 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 574258300 BYEUPWMDRRVURA-GFCCVEGCSA-N 406.280 4.920 5 20 HJBD O=C(NC/C=C\c1cccnc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 590564652 AMSXKSUPKGQACZ-RQOWECAXSA-N 409.829 4.879 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c2ccccc2)cc1 603832756 NMJRFYCBFFEBDK-OAQYLSRUSA-N 401.422 4.604 5 20 HJBD O=C(NC1CC1)c1ccc(N[C@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])c1 603859468 ADVBDRDYXDHYKI-JOCHJYFZSA-N 405.429 4.828 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H](C)c2ccc(F)c(Br)c2)c([N+](=O)[O-])c1 609384293 FQKAWSQELCNBOR-LLVKDONJSA-N 424.270 4.808 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)n1 609491185 NGSYITAJKYXJEL-UHFFFAOYSA-N 400.485 4.834 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1cccc(F)c1)C1CCCC1 609766198 DHSQAVGOFPJGLS-UHFFFAOYSA-N 409.417 4.573 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@H]1c1c(F)cccc1Cl 610107067 QRXJRLRKVLFXDL-ZJUUUORDSA-N 400.740 4.731 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2C)cc([N+](=O)[O-])c1OC 610176556 UHJRWUQAUDJDBO-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2c3ccccc3CC[C@H]2C(=O)Nc2ccccc2)c1 610227249 GATROXDXWPWMKR-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD Cn1ccc(CNc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)cc1=O 610647979 UYFPAICQSVGRQJ-UHFFFAOYSA-N 418.375 4.668 5 20 HJBD CCOc1cc(NC(=O)[C@@H](OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])cc1OCC 611088616 WJYFVQRDSZHOTI-FQEVSTJZSA-N 416.474 4.887 5 20 HJBD O=C(NCc1ccc(-n2cccn2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611200675 QCUFLHWHZAKWBI-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD O=C(OCc1cnc(-c2ccccc2)s1)c1cc([N+](=O)[O-])ccc1Br 725985060 OPAXCEHIGLWFOE-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 727682300 UZXKNCXALXVOGG-AWEZNQCLSA-N 419.478 4.845 5 20 HJBD COc1ccc(OCCCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728166827 NEDXOVPNHXUBKS-UHFFFAOYSA-N 418.877 4.859 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C[C@H](c1ccccc1)C(F)(F)F 728515101 ZLVOEMAZJSDKFU-OAHLLOKOSA-N 400.784 4.943 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])s1 730201869 HFDGOJSYAYIXCI-CYBMUJFWSA-N 402.863 4.894 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(C)cc([N+](=O)[O-])c3N)s2)cc1 730843249 RKRVOQUXBBPYOY-ZDUSSCGKSA-N 410.499 4.717 5 20 HJBD Cc1ccc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)cc1NC(=O)c1ccco1 735800588 LNXQYCRPOBUPTE-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2sc(Cc3ccccc3)nc2C)c1 739589720 OSHWDROXTSILHY-AWEZNQCLSA-N 412.467 4.877 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1 745333207 NDHJIFUKFWHFNV-DEOSSOPVSA-N 414.417 4.786 5 20 HJBD Cc1cccc(CN(C)[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)n1 746465511 VLKSNXBRVYBBIE-NRFANRHFSA-N 424.888 4.763 5 20 HJBD Cn1c(SC[C@H](O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(C(C)(C)C)cc1 749639058 IQGHFZMZRMFIHI-SFHVURJKSA-N 412.515 4.514 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1ncccc1OCC(F)(F)F 752180937 IUGUSRUDBSZUSS-UHFFFAOYSA-N 418.327 4.817 5 20 HJBD C[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1noc(-c2ccc(Cl)cc2)n1 752621008 XWIXGUHCYNHCEQ-VIFPVBQESA-N 422.228 4.834 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCC1)c1cc(F)cc([N+](=O)[O-])c1Br 754995908 FHYVZIDSLHVLAI-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD O=C(C=C1CCC1)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 756722249 CNARTLKQVMUIJZ-LJQANCHMSA-N 400.818 4.582 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3ccccc3)C[C@@H](O)c3ccsc3)nc2c1 756904192 BSLPMEIBINLJSZ-LJQANCHMSA-N 409.467 4.533 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758737396 RBKQJRCBXOGNHM-LSDHHAIUSA-N 423.469 4.703 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 759099710 STPFSCWMPBYQGO-CQSZACIVSA-N 412.446 4.751 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 759909796 IPUIWSNVRGISCB-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H](F)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 769159214 BEVXAYKMTHVVTF-RTWAWAEBSA-N 422.412 4.837 5 20 HJBD Cc1ccc(-c2cc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])on2)cc1 776130725 BWRUIQSFQPMLEI-JOCHJYFZSA-N 415.405 4.900 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137412 ZWXAIIYJEBVAAN-VBKZILBWSA-N 412.829 4.743 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1nc(C(C)C)n(-c2ccccc2F)n1 778813007 YJYSIFZRAPMJNS-AWEZNQCLSA-N 412.421 4.664 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F 787940392 SKDNRRSGEUXLBE-OCCSQVGLSA-N 402.422 4.740 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])o2)c1 790742522 STWDZFKPZOVOSA-LLVKDONJSA-N 419.796 4.593 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 800131232 UMPPFKFEEVFZJE-UHFFFAOYSA-N 410.682 4.720 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c2C)cc1 800143430 CMDJWRUGUQMLJU-UHFFFAOYSA-N 424.476 4.784 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 800144253 VRLFGLHZKRGCFN-UHFFFAOYSA-N 407.445 4.927 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CCCSC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 810533294 LHWXFRGJCHTXDL-LBPRGKRZSA-N 420.874 4.549 5 20 HJBD CC(C)(CCc1noc([C@H]2C[C@H]2c2ccc(OCc3ccccc3)cc2)n1)[N+](=O)[O-] 812816041 JNTRTEHCMNWEDP-PMACEKPBSA-N 407.470 4.908 5 20 HJBD CCOc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cs2)cc1 914777127 FWJZVUNSDLJLEH-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1117190919 CBMYMZNJAMLBSV-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD COc1cc(F)cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 1319111424 WXZCVIJGVCQWFO-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD O=C(c1ccc(Br)cc1C(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1320659636 IMKFTCFFROYHEL-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)c(F)c1 1341442147 WHYHXBWFYCEKKE-CQSZACIVSA-N 408.860 4.825 5 20 HJBD CCCCOc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6842366 BKWFUSLDGKPMRZ-CQSZACIVSA-N 411.414 4.742 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 15855754 AOJLDOFYUHDLLY-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)c1 18228311 JBAYABJJOWQYAL-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CCCCOc1ccc(OC)cc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 30522561 LPEANWAKSHNAAF-CYBMUJFWSA-N 421.881 4.875 5 20 HJBD CSc1ccc([C@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 49701217 JEZZRWKHDZWVFG-NSHDSACASA-N 414.405 4.749 5 20 HJBD COc1cc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OCCC(C)C 58520765 OFVCGVINVVITJP-UHFFFAOYSA-N 401.463 4.658 5 20 HJBD COc1cccc(N2C(=O)c3ccccc3N[C@H]2/C=C/c2ccccc2[N+](=O)[O-])c1 69270218 PVAVNVFLMMUKOD-DGXIYAMASA-N 401.422 4.715 5 20 HJBD CCn1c(Sc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)nnc1-c1ccccc1 301130646 XZSBDWXUDSBMGG-UHFFFAOYSA-N 418.482 4.790 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1c(Cl)cccc1[N+](=O)[O-] 303453382 KAPQAZUOGVLGNZ-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CC=C(c2ccccc2C(F)(F)F)CC1 427376478 RZJUOFUAXNCLDK-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 436354212 BFVHGFUNZVGPFF-AWEZNQCLSA-N 406.432 4.835 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])ccn2)c1C 437131561 DBFZDFDPISFBIO-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437260873 FOPDOODGRINSOP-UHFFFAOYSA-N 401.344 4.606 5 20 HJBD Cc1ccc2cccc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2n1 438020228 QJBAWRPDXYDPFM-UHFFFAOYSA-N 406.442 4.527 5 20 HJBD Cc1ccc(C(=O)NCC2CC2)cc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 438909203 XRBDCKSZIHHQJM-UHFFFAOYSA-N 422.510 4.779 5 20 HJBD Cc1cc(O)c(C(C)C)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 439238165 WDDXTYRKPISZAG-UHFFFAOYSA-N 407.264 4.676 5 20 HJBD Cc1ccc(C)c([C@@H]2CN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)[C@H](C)CO2)c1 444195707 OKZZZYXBBBNPSQ-JPYJTQIMSA-N 421.497 4.871 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(C2(c3cccc(C(F)(F)F)c3)CCCCC2)n1 445574097 KCTCCOLCFOTZHC-UHFFFAOYSA-N 421.379 4.647 5 20 HJBD CCC[C@H](C)N(C(=O)c1cc(C)ccc1[N+](=O)[O-])c1nc(C)c(C(=O)OCC)s1 460123091 AYLZNAUWQQFYMC-ZDUSSCGKSA-N 419.503 4.680 5 20 HJBD Cc1c(C(F)(F)F)nn(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 461225996 LHGLHVFQSSRDFH-UHFFFAOYSA-N 420.347 4.700 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463505677 OPQTVJLBIFCNKD-MRXNPFEDSA-N 420.347 4.594 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(Cl)cccc2n1C 464452967 NDOUZUTWAJZGQQ-UHFFFAOYSA-N 404.879 4.888 5 20 HJBD CC(C)c1c(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(F)cc1 466296307 DRHJCKYPSCXNLS-UHFFFAOYSA-N 416.840 4.626 5 20 HJBD Cc1ccc(F)cc1-c1nnc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)s1 469893342 NOQAQNONXPHAHU-UHFFFAOYSA-N 418.425 4.616 5 20 HJBD COc1cc(C(=O)N2CCC(c3nc4ccccc4s3)CC2)cc([N+](=O)[O-])c1C 478266573 KTYYWKVXOHCADO-UHFFFAOYSA-N 411.483 4.541 5 20 HJBD CC(C)[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc2N1 478296055 BWNSUVARTGJGDS-OAQYLSRUSA-N 411.505 4.638 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)n1 479309226 BWBXCGNPUKWREM-INIZCTEOSA-N 419.503 4.747 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(N3CCC(C)CC3)n2C2CC2)c([N+](=O)[O-])c1 479924174 BHBBSMIMBOGHGP-UHFFFAOYSA-N 415.519 4.501 5 20 HJBD Cc1c(CNC(=O)[C@H](C)Sc2ccnc3cc(Cl)ccc23)cccc1[N+](=O)[O-] 481461286 BCXUJARMMNRHFB-ZDUSSCGKSA-N 415.902 4.902 5 20 HJBD O=C(Nc1ccn(CC(F)F)n1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 482577384 IBMKTTIODYXRJK-UHFFFAOYSA-N 422.775 4.754 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2)c1[N+](=O)[O-] 485765695 GUSVVZAFKKKAHW-AWEZNQCLSA-N 413.499 4.584 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 486244442 LUFUWQLERVPGSR-UHFFFAOYSA-N 411.439 4.804 5 20 HJBD O=C1C[C@@H]2CC[C@H](C1)N2C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 487469825 ZHDIQIBEGQXUNX-OKILXGFUSA-N 416.886 4.736 5 20 HJBD Cc1nc(C)c(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 488115988 FYFXVEJIBLACEP-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 489001240 UYESWTUDWWXTLX-UHFFFAOYSA-N 411.408 4.757 5 20 HJBD Cc1cc(Sc2nnc3sc4ccccc4n23)nc(-c2ccc([N+](=O)[O-])cc2)n1 489276657 KSAHSCPHRDERQW-UHFFFAOYSA-N 420.479 4.769 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccc(Cl)s2)nn1C)c1ccc([N+](=O)[O-])cc1F 489311005 FRKONGIOULXGSH-VIFPVBQESA-N 408.842 4.592 5 20 HJBD CN(C)CCCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccc([N+](=O)[O-])s1 501800705 AUIGSXLOQOJYDS-UHFFFAOYSA-N 416.330 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1sc(-c2ccc(C)cc2)nc1C 502530058 OXUYYHCEVNELAC-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CC3CCOCC3)cc2[N+](=O)[O-])cc1 502904637 NRCGLASCICKRPM-UHFFFAOYSA-N 400.500 4.553 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 511444781 NSWXWKNTMVQXHG-UHFFFAOYSA-N 400.438 4.596 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)C(F)(F)F)c1 511828073 VKCSAZKMIIDPCK-CQSZACIVSA-N 417.181 4.699 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c12 513840943 KBSDBANLBXHOMS-UHFFFAOYSA-N 406.442 4.554 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3ccc(C)c([N+](=O)[O-])c3)c2)c1 516400756 XOCOWDTZJGOWJX-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)CCn2cc([N+](=O)[O-])cn2)cc1Cl)c1ccccc1 522070024 KBURPGSNJPYTKB-CQSZACIVSA-N 413.865 4.647 5 20 HJBD COc1cc(/C=C\c2ncc(C(=O)O)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 522482364 HIQQUAYQUPGQEL-UITAMQMPSA-N 412.423 4.508 5 20 HJBD CCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(Br)cn1 522581706 AVEFZEVYDJPBRY-UHFFFAOYSA-N 406.261 4.634 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(C1CC1)[C@@H]1CCc2ccccc21 522640018 PEGCNWSKGBZMKE-GOSISDBHSA-N 400.862 4.696 5 20 HJBD Cc1cc(C)c(NC(=O)COc2c(C)cc(Br)cc2[N+](=O)[O-])c(C)c1 531596347 CPRUXBZATLBVCQ-UHFFFAOYSA-N 407.264 4.608 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c12 533147743 STYLMMCXVMRUAN-UHFFFAOYSA-N 406.398 4.791 5 20 HJBD Cc1ccc(Br)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 535608757 DRQINJQNERKTPL-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cnc(-c4ccsc4)s3)CC2)cc1 538735374 SWUZVKXHBFRUEG-UHFFFAOYSA-N 401.513 4.823 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](CC(F)(F)F)c3ccc(F)cc3)n2)cc1 540378530 CXTXJIXVCOJCND-HNNXBMFYSA-N 410.327 4.567 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 542545443 QVKLAUVRMVTFON-ZIAGYGMSSA-N 404.438 4.512 5 20 HJBD Cc1ccccc1C1CCN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC1 542590712 QTHJCPREHPNPAF-UHFFFAOYSA-N 410.517 4.869 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(N3CCCC3=O)c2)no1 546991363 DJSUGRQSFGAXHM-CYBMUJFWSA-N 410.455 4.625 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 552296467 VVUKFBXEGGWOSV-IAGOWNOFSA-N 422.403 4.617 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1Br 554364675 NAIAPEFHKDSDJL-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 557753340 ZOJSDYNUHYLMHR-RZFNWQHOSA-N 423.513 4.594 5 20 HJBD C[C@H](C(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 558690512 SUEWQGQCIFGMAC-KPZWWZAWSA-N 409.269 4.605 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2cccc(OC)c2)nc1 564443097 IXKOUMDBHMARQD-UHFFFAOYSA-N 422.441 4.675 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)n1 567479264 SEBIQSHXTOULBS-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 568422284 CFWLRVCFSJETPL-GOSISDBHSA-N 423.391 4.903 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CCOCc1ccccc1 570358645 LSDJRBVBXAFZAN-MRXNPFEDSA-N 402.516 4.821 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 571890845 GKWZRRUNJHFESK-UHFFFAOYSA-N 422.485 4.752 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 577665673 CATBFQYOPKRYCP-SFTDATJTSA-N 423.469 4.953 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 584556212 SIAQCPXTOMPVTQ-GOSISDBHSA-N 406.398 4.518 5 20 HJBD Cc1c(C(=O)Nc2ccc3oc4ccccc4c3c2)nnn1-c1cccc([N+](=O)[O-])c1 591710365 KNQZTPJGALTRKE-UHFFFAOYSA-N 413.393 4.636 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(-c4nc5ccccc5s4)o3)cc2N1 603845134 RGIJINVZGFRUJA-UHFFFAOYSA-N 420.450 4.961 5 20 HJBD O=C(Nc1nnc(-c2cccc(Cl)c2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 609021709 JGULTGXZLZAIKO-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 609063064 UROLYYZOPMEZSG-UHFFFAOYSA-N 405.454 4.744 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 609192373 VEHSXQWSKSGFJE-RTHLEPHNSA-N 413.318 4.632 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCSc2c(F)cccc21 609722490 YHIGMTLVWFRYRP-AWEZNQCLSA-N 410.854 4.510 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc([N+](=O)[O-])cc1 610041712 RWKAKEIERLGCHY-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD CCOc1cc(CNc2cc(C)ccc2C)ccc1OCCn1c([N+](=O)[O-])cnc1C 610909826 XIEUOWCUPDPQKH-UHFFFAOYSA-N 424.501 4.806 5 20 HJBD CC(C)n1ncc2cc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])ccc21 612045084 ZVIXMMKMINNRSW-UHFFFAOYSA-N 404.879 4.910 5 20 HJBD C[C@H](OC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1)c1cccc([N+](=O)[O-])c1 726026457 WJWQCJQKKVUHJY-VQSBYGRUSA-N 416.518 4.844 5 20 HJBD CCCCN(C)c1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 730575594 RWSBKAVOUUVMKN-CQSZACIVSA-N 404.898 4.924 5 20 HJBD Cc1nc2c(s1)[C@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 733070741 YBMUOELRSJFJKI-CQSZACIVSA-N 416.377 4.535 5 20 HJBD Cc1noc(C)c1COc1ccccc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 734881607 NQOKYVXELPZIOD-UHFFFAOYSA-N 416.817 4.789 5 20 HJBD COC(=O)Nc1ccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 738435847 NKKBGELFXFZUQP-UHFFFAOYSA-N 411.483 4.834 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3cnc(-c4cccs4)s3)[n+]2[O-])c1 751515406 YUWXGPATNWLPQI-SREVYHEPSA-N 408.464 4.646 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccc1SCc1ccco1 751641544 NAMJHCMRWALKPH-UHFFFAOYSA-N 410.407 4.978 5 20 HJBD CCc1cc(=O)oc2cc(Oc3ncc([N+](=O)[O-])c(C)c3Br)ccc12 753996329 VMNASNWYKCIBMI-UHFFFAOYSA-N 405.204 4.522 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)c2)n1 758672264 NDKNXKOKHDXLNP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1 761818733 YYPDKSOGOVGEOG-UHFFFAOYSA-N 418.291 4.908 5 20 HJBD Cc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)ccc1N1CCSCC1 762443212 IBEMHRVWVSHFHU-UHFFFAOYSA-N 417.506 4.512 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(-n3cnc4ccccc43)nc2)no1 762566391 PRZYHFBHVBYMDY-AWEZNQCLSA-N 412.409 4.531 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2noc(C)n2)cc1 777686045 JUHLCBDIAHCYGB-OAHLLOKOSA-N 414.487 4.848 5 20 HJBD O=C(OCc1ccc2ncccc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781257065 IZJDKDGUEBSVEZ-UHFFFAOYSA-N 416.383 4.865 5 20 HJBD Cc1nc2ccccc2n1CCCOC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781461719 JPJHFXCSSIMYOU-UHFFFAOYSA-N 411.461 4.587 5 20 HJBD CC(C)N(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(F)cc1Cl 781637431 ASVLJLCTBSICRS-UHFFFAOYSA-N 409.801 4.670 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1c1ccccc1C(F)(F)F 782074314 LIOWGDMEVDYCIY-INIZCTEOSA-N 409.364 4.991 5 20 HJBD CC(C)CCc1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n[nH]1 788011655 CPRXEBXXDLHKDX-UHFFFAOYSA-N 415.675 4.575 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 789610891 MYSGCVREEKUVFV-ZDUSSCGKSA-N 417.418 4.668 5 20 HJBD CCCCCCn1c(Sc2c([N+](=O)[O-])ncn2C)nnc1-c1ccc(Cl)cc1 800277125 SWAANHJWIZUJBP-UHFFFAOYSA-N 420.926 4.972 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(-c2ccncc2)nc2ccccc12 801091428 VJIBAINMUSRSTQ-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD C[C@@H](OC(=O)c1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccccc1[N+](=O)[O-] 805633570 MJALFRDFXXWWJM-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD COCCOc1cc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1OC 811469330 XSPFXOCAAGVLGB-UHFFFAOYSA-N 401.246 4.548 5 20 HJBD C[C@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(N2CCCNC2=O)cc1 812741247 MFSGNJDDLIBKHN-YCRPNKLZSA-N 408.502 4.588 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813515131 TUZXUIBOSWPVTD-UHFFFAOYSA-N 416.349 4.876 5 20 HJBD C=C1c2ccccc2C(=O)N1[C@@H](C)C(=O)OCc1nc2ccccc2c2ccccc12 1115822641 IJLBNEMLEJHDNG-KRWDZBQOSA-N 408.457 4.946 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(Cc3ccccc3C(F)(F)F)C2)c1 1116241604 CVCHCZMBAYBDRY-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(SC(F)(F)F)cc1 1317976400 ZHDPFXSAECWKGP-UHFFFAOYSA-N 412.798 4.661 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2cccc(F)c2)CC1 1319931300 FXNSODXXKZQEGM-UHFFFAOYSA-N 417.506 4.635 5 20 HJBD COc1cc(-c2nnc(-c3ccc(Cl)cc3F)o2)c([N+](=O)[O-])cc1OC(F)F 1322641569 JGCZXKCENMIGLH-UHFFFAOYSA-N 415.711 4.714 5 20 HJBD COc1ccc(OC(=O)c2ccc(Oc3ccc(Cl)cn3)cc2)c([N+](=O)[O-])c1 1515066179 GOOVPCRKQGUKTI-UHFFFAOYSA-N 400.774 4.663 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 10789755 GDZUFSCVGNSAMX-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 22444396 JLNFWBLEVUQFEX-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD Cc1ccoc1C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 25319422 ZUDFXYVSKOQJDQ-UHFFFAOYSA-N 400.774 4.621 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 58428463 RQIJHBOAFFECSM-UHFFFAOYSA-N 403.442 4.697 5 20 HJBD O=C(CCCOc1ccccc1Cl)Nc1cc([N+](=O)[O-])ccc1OC(F)F 64916870 YBSJSESDHRMEBD-UHFFFAOYSA-N 400.765 4.647 5 20 HJBD CSc1ccc(C(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 195578438 XBSFWVBWUWGKDI-UHFFFAOYSA-N 418.902 4.705 5 20 HJBD CC(C)[C@@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc(-c2ccncc2)no1 301813017 FLUPFLNOZJSWSN-OAHLLOKOSA-N 407.352 4.868 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)c1sc(Br)cc1[N+](=O)[O-] 431158628 CSJVUIBJEYQCBJ-UONOGXRCSA-N 417.284 4.858 5 20 HJBD CC(C)(C(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 431833563 TWCLMGCNVSFJQX-UHFFFAOYSA-N 403.438 4.763 5 20 HJBD COc1ccc(Oc2cc(CNc3ccnc4c([N+](=O)[O-])cccc34)ccn2)cc1 432325579 LNGDVZPVDLSKPC-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD COc1cc(C(=O)N[C@@H](c2cccc(Cl)c2)C2CCCC2)c([N+](=O)[O-])cc1OC 434335323 MTUFQPJQLDDFSH-HXUWFJFHSA-N 418.877 4.927 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@@]2(CCCN(Cc3ccccc3)C2)C1 443954106 AFHHMKTWMUXBFR-XMMPIXPASA-N 422.529 4.732 5 20 HJBD Cc1c([C@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])oc2ccccc12 445198396 VGUWUXJNCMRBEQ-VIFPVBQESA-N 409.261 4.964 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1cccc2c(=O)c3ccccc3[nH]c12 445211261 JUOAJCFWMFSMHT-UHFFFAOYSA-N 406.432 4.712 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccc(NC(=O)c2ccc(F)cc2)cc1 446483836 FDPKHXYXSDFXOA-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD COCc1cccc2sc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])nc12 463876302 IACMLVBKWOXTKR-UHFFFAOYSA-N 411.361 4.622 5 20 HJBD O=C(NC[C@H]1CCSC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468470894 DHKYLJRMTQRFTI-GFCCVEGCSA-N 408.932 4.882 5 20 HJBD CCc1ccc(C(=O)Nc2cc(Cl)ccc2C(=O)N2CCCCC2)cc1[N+](=O)[O-] 471337314 RYXDBILIVVBZPM-UHFFFAOYSA-N 415.877 4.689 5 20 HJBD Cc1cnc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C2CC2)s1 475223268 GTRXASBNYLMGFF-CYBMUJFWSA-N 400.382 4.651 5 20 HJBD COc1cc(OCc2coc(-c3ccc(Br)cc3)n2)ccc1[N+](=O)[O-] 475869230 FMBVHCGBRMZANN-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 478019065 RKYGNFJTCNBARD-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@H]2[C@@H]2CCCC2=O)cc1[N+](=O)[O-] 478384508 LTCDQHLINGURQD-IRXDYDNUSA-N 404.532 4.707 5 20 HJBD O=[N+]([O-])c1ccn(CCSc2nnc(-c3ccccc3Cl)n2C2CCCC2)n1 481236021 VOYNAPWLBFHPOR-UHFFFAOYSA-N 418.910 4.611 5 20 HJBD C[C@H](Nc1ccc(N2CCCCC2)c2ncccc12)C(=O)Nc1ccccc1[N+](=O)[O-] 481601402 QZEVAIBHMYWQSN-INIZCTEOSA-N 419.485 4.572 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2)nc1 481906394 IYMZFDOUJDUQQW-UHFFFAOYSA-N 400.504 4.590 5 20 HJBD CCn1ccnc1[C@H]1CCCCN1c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 485424263 ZWLSKQDXAXQYFC-HXUWFJFHSA-N 404.470 4.774 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(Cl)c1Br 486827439 RBUXSHMOYNTXJT-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD CC(C)c1ccc(-c2ncc(CN3CCC(n4ccc([N+](=O)[O-])n4)CC3)s2)cc1 488752504 YDLSJIGBZZMPGI-UHFFFAOYSA-N 411.531 4.875 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccccc2C)C[C@H]1C 489596199 LBWRKVGCXJQQCP-SJORKVTESA-N 414.527 4.660 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1C(C)C 490165054 OGARTAIIHRGPJV-UHFFFAOYSA-N 423.311 4.976 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)oc12 492335735 IHLWTRQSFXABJT-OAHLLOKOSA-N 423.469 4.831 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ccco1 494448492 WMBRMAUCLODINS-YCRPNKLZSA-N 409.442 4.655 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 504755549 QHCABBUKVSYVPQ-LBPRGKRZSA-N 409.305 4.658 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(CCc2cccc([N+](=O)[O-])c2)n1 508661942 AYSBXHWPXDOYQQ-LJQANCHMSA-N 415.877 4.975 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ncccc2c1 514575396 SMJYQWPJULHUSD-UHFFFAOYSA-N 404.348 4.603 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1ncnc2scc(-c3ccccc3)c12 514586505 RQTLSIBQYIAKHU-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)C(CC)CC)cc1OC 515158078 AYZFZDPTTMWECT-NRFANRHFSA-N 400.475 4.909 5 20 HJBD CC[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCc1ccccc1 516507772 FXRANOCANLIWNW-IBGZPJMESA-N 411.483 4.578 5 20 HJBD Cc1ccc([C@H](c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)C(C)C)cc1 518258190 ZJNMUJKBTLZPLD-HXUWFJFHSA-N 404.426 4.995 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4ccc(Cl)cc4[N+](=O)[O-])n3)c2)n1 518342994 SUOWTHZMLYIPTB-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2cccc(OCc3cccnc3)c2)no1 521909422 UFFKIBRABJJRTA-ZHACJKMWSA-N 400.394 4.789 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)[C@H]3CCc4c(Cl)cccc43)co2)cc1 534797217 WYSQNIHYBJRROC-SFHVURJKSA-N 418.858 4.506 5 20 HJBD CCOc1cc(N(CC)CC(=O)Nc2c(Cl)cccc2Cl)ccc1[N+](=O)[O-] 538931796 MKWLOAXONGWGGU-UHFFFAOYSA-N 412.273 4.765 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 539152934 BRXCRKQTERICBI-QAPCUYQASA-N 420.849 4.862 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)nc1C(C)C 539927606 SNXXMLSIKSESIW-UHFFFAOYSA-N 405.476 4.529 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 540319732 ATTPALDAUWVCTG-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(OCc2ccccn2)c1 541786208 FDJVOWQJVLLINH-CQSZACIVSA-N 410.405 4.591 5 20 HJBD CN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 543107502 MSYSHQXTZBVKJF-MRXNPFEDSA-N 420.309 4.918 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Sc3nnc(-c4ccc5ccccc5c4)o3)c12 544410059 SSHWCGWQOOCPJQ-UHFFFAOYSA-N 401.407 4.892 5 20 HJBD C[C@H](c1nc(Cc2ccc(F)c(Br)c2)no1)c1ccc([N+](=O)[O-])cc1F 544539246 HHDDQUCNXWSVLD-VIFPVBQESA-N 424.201 4.761 5 20 HJBD Cc1c(Cc2noc(Cc3csc(-c4cccc(F)c4)n3)n2)cccc1[N+](=O)[O-] 545781521 PXCNJVSKCASMCK-UHFFFAOYSA-N 410.430 4.730 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CN(C(=O)c2ccccc2)C2CC2)no1 546437383 FHPHEVYATVFZQU-AWEZNQCLSA-N 424.482 4.636 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549632854 WJYRERPBUHPPSB-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CNC(=O)c1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1Cl 551091365 RHYITNRLZUPEQX-UHFFFAOYSA-N 401.875 4.948 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2F)co1 551630703 XXRMFNIOXZJIAZ-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 552609384 DIVCHCYVBCVVCZ-UHFFFAOYSA-N 415.902 4.995 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCC[C@@H]3c3cc4ccccc4[nH]3)n2)c1 553355462 DJTXTHYWRZWBKE-HXUWFJFHSA-N 403.442 4.853 5 20 HJBD O=C1CC[C@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n2cccc21 564267604 BRUBAGPPAILISX-LJQANCHMSA-N 416.393 4.805 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H]1CCCCN(Cc2ccccc2)C1 572414153 JTLUAZONJNHYRU-FQEVSTJZSA-N 410.518 4.635 5 20 HJBD CC[C@@]1(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)OCCc2ccccc21 582032163 UXEAIGXBWRQLNH-QFIPXVFZSA-N 409.511 4.686 5 20 HJBD C[C@H](C(=O)NCc1cccc(NC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 583670730 LBGYICDMABSCPW-INIZCTEOSA-N 418.453 4.659 5 20 HJBD CC(C)c1ccc(C(=O)NC[C@@H](NCc2ccccc2)c2ccco2)cc1[N+](=O)[O-] 586875411 MGEOVQHCPMXCOB-HXUWFJFHSA-N 407.470 4.572 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(OCc3ccc(F)cc3)CC2)c1 590168669 PDFLDGIRPQGQCR-UHFFFAOYSA-N 412.383 4.934 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccc(C)o1 603462063 ZVGZQPBVZFSLQD-UHFFFAOYSA-N 400.412 4.654 5 20 HJBD Cc1ccc(CCCNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 603684318 BSARUMOPKOAGJM-UHFFFAOYSA-N 410.392 4.515 5 20 HJBD COc1ccc(-c2csc3nc(Cc4ccc([N+](=O)[O-])cc4)nc(O)c23)cc1OC 603824180 RWMWFOFQTQXESH-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)cc1 608978784 JLFUNXQRJDHQJG-QGZVFWFLSA-N 414.405 4.530 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)c2cn(-c3cccc([N+](=O)[O-])c3)nn2)c1 609443517 TYMPSIKOEIIKQW-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H]1c2ccsc2CCN1C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609545039 OAFQMCYUVCJEHZ-LLVKDONJSA-N 413.421 4.623 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610045912 CJFJJWRELJJPMG-WCQYABFASA-N 407.239 4.515 5 20 HJBD C[C@H](N[C@@H](Cc1ccccc1)c1ccccc1F)C(=O)Nc1ccccc1[N+](=O)[O-] 610139740 BTKHJEIPNLSRII-KKSFZXQISA-N 407.445 4.635 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1ccccc1Br 610673458 QRZADZCIRPGPKI-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD COc1ccc(CNCCSc2ncc(C(F)(F)F)cc2Cl)cc1[N+](=O)[O-] 730106889 OYSRZDIKHNXHMP-UHFFFAOYSA-N 421.828 4.553 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)CC1CCC(c2ccccc2)CC1 731097359 AHEHPUKWXGDNDA-UHFFFAOYSA-N 410.470 4.749 5 20 HJBD C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 731209968 HERRMYSBHLJKMU-QWHCGFSZSA-N 406.508 4.652 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cnc3ccsc3c2)cc1)c1cccc([N+](=O)[O-])c1 741885917 TWQJJPSHAOVAHD-UHFFFAOYSA-N 419.418 4.676 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1cccc(Br)c1 741935410 ZBYWBKMCZOIIPT-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 749434997 DLAJLFFYVQRWPV-MNOVXSKESA-N 421.744 4.757 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 750178657 IRTNGXNCDSOFMZ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccnc2Cl)cc1 750634103 QJXUKVNTWRNSSC-UHFFFAOYSA-N 414.801 4.801 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1ccc(Br)cc1[N+](=O)[O-] 750819770 VCFDJZIRSDEIOS-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD CCN1CCc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)cc21 750863997 JHTMDKSLYIBDKC-UHFFFAOYSA-N 424.682 4.645 5 20 HJBD CC(C)CCn1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n1 750914790 OPBPYWLVJLSQJE-UHFFFAOYSA-N 415.675 4.506 5 20 HJBD O=C(Cc1coc2ccc3ccccc3c12)OCc1nc2cc([N+](=O)[O-])ccc2o1 751631530 PXOOKZPQSLXIAB-UHFFFAOYSA-N 402.362 4.921 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc3c(c2)oc2ccccc23)cc1[N+](=O)[O-] 752137351 PTDOILNFWGBZDR-AWEZNQCLSA-N 417.421 4.619 5 20 HJBD O=C(NCc1ccc(Cl)nc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754142679 SHNDOMNUBYAWTG-UHFFFAOYSA-N 405.907 4.856 5 20 HJBD CC[C@@](C)(NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)c1nc(C)cs1 755030339 OTMMJHOANYFVKC-OAHLLOKOSA-N 413.297 4.569 5 20 HJBD Cc1cc(O)c(C(C)C)cc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 755874011 XOFQKFVLQXABFO-UHFFFAOYSA-N 422.485 4.846 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cn2)CC1)c1cccc([N+](=O)[O-])c1 756811791 ZPCQUSUSOFKABM-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD CCCC1(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)CC1 760279227 IUNLESYLSMBRIG-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 761500269 UUORTBRAKZIFPV-UHFFFAOYSA-N 415.409 4.604 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 762996493 DTDFVQBGTKHQEG-JOCHJYFZSA-N 420.465 4.669 5 20 HJBD CC(C)[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1ccccc1Cl 763715086 GXVWEGWYHRVSEY-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD O=C(Nc1c(Cl)ccc2nsnc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 776961741 YZKAWBZPCRYTBV-UHFFFAOYSA-N 402.741 4.524 5 20 HJBD CC(=O)Nc1ccc(C)c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 782377368 ZRGWWKNCGIQYNF-UHFFFAOYSA-N 401.850 4.572 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCCC[C@H]2C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 784440476 QLSTTYJZZZRABM-PXNSSMCTSA-N 400.475 4.820 5 20 HJBD Cc1cc(-n2cccn2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 789693355 BEXWRJFKEXGMFT-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD CC1=C(Br)CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 797643593 WQKRPZTXZHUWLN-UHFFFAOYSA-N 408.174 4.520 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 809280110 ICECMGZPHMQDJB-INIZCTEOSA-N 407.264 4.589 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)Nc1ccc(N)c([N+](=O)[O-])c1 819893536 ULKUBNFBRLTFQE-UHFFFAOYSA-N 407.213 4.700 5 20 HJBD CCc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2nc(C)cs2)cs1 854924421 JDHIFISXIJVPQX-UHFFFAOYSA-N 421.525 4.887 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)nc(Cl)c2)nc1-c1cccc([N+](=O)[O-])c1 917790164 ALSMUEAVLLIARH-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD C[C@@H](OC(=O)CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)c1ccc2ccccc2c1 917963741 AINUJSYOIGGTGO-OAHLLOKOSA-N 420.421 4.741 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1F 919039855 ZRNKTYJUEPBUMF-JTQLQIEISA-N 405.769 4.664 5 20 HJBD COc1cc(C(=O)OC2CCCCCC2)c([N+](=O)[O-])cc1OCc1cscn1 919975721 LOMWCOZWPCTAKD-UHFFFAOYSA-N 406.460 4.519 5 20 HJBD O=C(CCc1ccc2c(c1)CCO2)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 1323706942 KOYQQBWIPGCNCN-UHFFFAOYSA-N 400.862 4.559 5 20 HJBD Cc1c(-c2nnc(-c3ccc(OCCC(C)C)cc3)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1331962359 FEXHCPKFTDIWEJ-UHFFFAOYSA-N 412.402 4.953 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCO[C@@H](c2ccc(Cl)s2)C1 1332553773 GYQYUNLDGZTQFV-CYBMUJFWSA-N 417.712 4.646 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 7505750 TWUPRJPWTJGAJO-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1 7803911 FKGISEPSQBSEMK-UHFFFAOYSA-N 410.451 4.736 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 10926182 BTAMEOJRGVASSE-GFCCVEGCSA-N 422.372 4.559 5 20 HJBD COc1cccc(-n2ccnc2S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 16660980 CTCSTHYNXILEEG-ZDUSSCGKSA-N 423.454 4.692 5 20 HJBD O=C(Nc1cccnc1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 24902036 FOQRCFMAOYPUAB-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1C 26580822 YUFWHDOFKYYWJU-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3ccc([N+](=O)[O-])cc3Cl)s2)c1 43727591 BHDKMLNSITXOJY-UHFFFAOYSA-N 421.891 4.953 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCc3cc(OC(F)F)ccc32)c1 58771059 GXRWLFARAFZGQQ-MRXNPFEDSA-N 408.426 4.726 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccnc(Oc3ccc(F)cc3)c2)c([N+](=O)[O-])c1 60333586 UUGXOJVZNCYIPS-UHFFFAOYSA-N 424.432 4.672 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CC(=O)Nc1ccccc1Sc1ccc(Cl)cc1 64331933 PGRLQQXALOAFDL-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)s1 65745754 RUZMRWJUVNMIKQ-UHFFFAOYSA-N 409.467 4.741 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(OCc3ccccc3)cc2)c1 105873278 YMDQQZYNWOSYEZ-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 108819042 HYTPWAURFYJFAM-NSHDSACASA-N 411.683 4.762 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCSC[C@H]3c3ccc(Cl)cc3)n2)c1 303642032 IFYDHJYWUKLXOH-KRWDZBQOSA-N 416.890 4.588 5 20 HJBD Cc1cccc2c1CN(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 428442679 VDQQZARVATUHMM-QGZVFWFLSA-N 416.481 4.670 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 430527121 UCGYOOOQZLAMBG-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc([N+](=O)[O-])cc1 431796507 ULJVXDFDSNXCFO-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 435821489 FFLFTACROSXNSX-UHFFFAOYSA-N 422.431 4.630 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1O)[C@H](c1ccc(Cl)cc1)c1ccccn1 436965097 KCGNXOJWQXZYQA-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816289 BNPYFKAELKCLTM-MEDUHNTESA-N 409.364 4.950 5 20 HJBD CCOc1cccc2[nH]cc(CC(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c12 437845946 KUEQNWFYFVZWCH-UHFFFAOYSA-N 422.466 4.780 5 20 HJBD CC1(C)[C@H](NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@@H]2CCCO[C@H]21 438480403 FIGVFROMNLMYFF-XUVXKRRUSA-N 411.458 4.712 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC([C@H]3CCCO3)CC2)cc1[N+](=O)[O-] 439910107 QLRNOMTVYBJGRT-LJQANCHMSA-N 406.548 4.764 5 20 HJBD Cc1nc(-c2ccc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)cc2)cs1 440628913 YZJIUBBRBXSFBW-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCOc2c(Br)cccc21 441525971 SEAGNQTYUUKFOT-UHFFFAOYSA-N 419.256 4.611 5 20 HJBD Cc1nc(Cc2nnc(SCc3ccc([N+](=O)[O-])cc3C(F)(F)F)o2)cs1 448096151 FNFMIMVJEBQISU-UHFFFAOYSA-N 416.406 4.645 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cccc(NC(=O)c2cccs2)c1 463131699 LGQGIAILVGOZEB-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 464284176 AAWRINFWYJIOKT-CXAGYDPISA-N 406.841 4.766 5 20 HJBD O=C(CCc1ccc(Cl)cc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464644267 RKQBULLCSDZZGY-UHFFFAOYSA-N 423.296 4.904 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)c(Br)c1 466822942 NYIOGVQGVOLDBG-CQSZACIVSA-N 422.670 4.624 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCCc1ccccc1[N+](=O)[O-] 467990598 NTYSZJGRZTXVSS-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](c1ccc(Cl)cc1)c1ccn(C)n1 475566506 HXGZUHLIDYAMSK-LJQANCHMSA-N 413.865 4.510 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1ccccn1 475571946 WKPNDHMMCPWVEX-OAQYLSRUSA-N 406.442 4.526 5 20 HJBD O=C(Nc1ccc(-c2csc(C(F)(F)F)n2)cc1)c1cc(O)cc([N+](=O)[O-])c1 477667039 NQWIIVZAFPCGBC-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD Cc1ccccc1-c1nc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)no1 483304599 CMYCTKQSHRZXPB-UHFFFAOYSA-N 406.467 4.972 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])cc1 485262404 BWRRXXCVSPEHIS-NSHDSACASA-N 423.288 4.679 5 20 HJBD O=C(NCCc1cc2ccccc2[nH]1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486091776 QEIHULOQEBHJBI-UHFFFAOYSA-N 415.449 4.628 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21 486146950 TXFGNABOTGYXFY-HNNXBMFYSA-N 411.458 4.973 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486434785 IZSRLEYHJSOVCP-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(NC(=O)C(C)C)ccc2Cl)cc1[N+](=O)[O-] 487984831 ZOMUEWYXIKYZNI-ZDUSSCGKSA-N 403.866 4.642 5 20 HJBD COc1ccc(-c2noc([C@H](C)SCc3cc([N+](=O)[O-])ccc3OC)n2)cc1 489608469 HQLUYDRMTDHYSC-LBPRGKRZSA-N 401.444 4.656 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 489768465 RBFCVKTXNPIEJO-CQSZACIVSA-N 423.473 4.503 5 20 HJBD COc1cc(CNc2cnn([C@@H](C)C3CC3)c2)c([N+](=O)[O-])cc1OCc1ccccc1 494391825 IVOHSRXVLKQQPK-INIZCTEOSA-N 422.485 4.962 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(COCC(F)(F)C(F)F)c1 498601004 LOXNMZZBHODFAL-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD Cn1c(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)nc2cc(C(F)(F)F)ccc21 507155784 QEEPNUVQFDKWCO-UHFFFAOYSA-N 404.392 4.665 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(N(C)Cc2ccccc2[N+](=O)[O-])n1C 507922083 KBKICBWXXFISPI-MRXNPFEDSA-N 417.469 4.653 5 20 HJBD COc1ccc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)cc1 511059117 KESYLXQUOWISJH-UHFFFAOYSA-N 401.444 4.733 5 20 HJBD COc1ccc(Cc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc1[N+](=O)[O-] 518872038 DAKLRMFLQRUSCZ-UHFFFAOYSA-N 422.372 4.571 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(OCc2cccc(C)c2)c1 521146079 VEXSQRNIWZHKMK-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccccc3OCCc3ccccc3)n2)cc1 521536332 YJJKZDGTZAVRGU-UHFFFAOYSA-N 416.437 4.878 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(N[C@@H](C)c3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 524141291 JSXCSPPIMSNKEP-LBPRGKRZSA-N 413.865 4.716 5 20 HJBD CCCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 524834797 CLSWINCEIBNETG-IBGZPJMESA-N 417.437 4.971 5 20 HJBD COc1cc(C(=O)NCc2ccccc2Sc2ccccc2)cc([N+](=O)[O-])c1OC 525048907 DIZZDGQMMHOQMC-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD COc1ccc(CNCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c(C)c1 531701910 NFGYMXCHKSAMOS-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 532825579 GDAGGIIYEWSKAN-MRXNPFEDSA-N 411.527 4.586 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(CCN3CCC(C)CC3)c2)cccc1[N+](=O)[O-] 537652853 FJSWUTHFSZMMLU-LJQANCHMSA-N 424.545 4.617 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(-n3cncn3)c(F)c2)ccc1Oc1ccc(F)cc1 537729047 BYYXOYCXFLRMFA-UHFFFAOYSA-N 423.379 4.858 5 20 HJBD O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 538595774 XPXSLSKCLLVAFB-UHFFFAOYSA-N 415.409 4.625 5 20 HJBD CCc1ccc([C@H](NC[C@H](C[C@H](C)O)c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 541545639 NSPCFRCWABAPQO-GERBCZOESA-N 408.498 4.984 5 20 HJBD CC(=O)Nc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 542194572 WIMRDULPPONTDE-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(CN(C)Cc2ccccc2)CC1 555013720 NXSWGNIVUDGHRP-UHFFFAOYSA-N 410.518 4.533 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OC 555286504 RDDNUSRUPFPLRK-LLVKDONJSA-N 412.364 4.512 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1C(=O)CCc1cccc([N+](=O)[O-])c1 557439829 ZESRISLVYKFQMH-KUHUBIRLSA-N 422.403 4.535 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Br)cc1F 565709544 ZTTAJXLNCJCBTG-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD C[C@H](C(=O)Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cccc([N+](=O)[O-])c1 565808962 XFVVJBPUUAKFNG-INIZCTEOSA-N 415.449 4.540 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 566878780 TZVOMIKNGRCHRK-IAGOWNOFSA-N 417.387 4.557 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cc2cc([N+](=O)[O-])ccc2o1 603759660 RFYFHJDFRIOPIU-UHFFFAOYSA-N 412.405 4.991 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)oc2c(F)cccc12 604242874 DHVXSWJVJHTQNI-UHFFFAOYSA-N 410.323 4.993 5 20 HJBD COc1cccc([C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N2CCCC2)c1 608835419 BMJFHDPVAQROLO-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)cc1[N+](=O)[O-] 609010298 WSTYVYWZDVFISM-DEOSSOPVSA-N 416.433 4.798 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H](c1ccccc1)n1cccn1 609762986 VPPOCZNMIIZWEI-QFIPXVFZSA-N 414.421 4.812 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccc(F)c(F)c1 609816596 ANSDVOXDQWZICD-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD CCc1ccccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609853234 FRPDWAORRNQKDB-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD COc1cc(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(C)cc2)ccc1[N+](=O)[O-] 609977818 SOJOYZZKUGBWIV-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2cccc(C)c2C)cc1[N+](=O)[O-] 610199348 TURMPEKECGETAP-LJQANCHMSA-N 414.527 4.817 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610960193 BNGZEXSWWSYENY-HNAYVOBHSA-N 422.403 4.854 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)N1CC=CCC1 611517942 YYBNVUICGLQWLC-VKAVYKQESA-N 405.479 4.924 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccnn1C 616014399 CUECNBOLYNSEBP-SFHVURJKSA-N 416.547 4.533 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 617697340 DQZQXMUWAASSCM-LBPRGKRZSA-N 409.255 4.649 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCCCC3)cc2)cc1SC 728513699 SWCBBYOCRBTJBH-UHFFFAOYSA-N 415.515 4.958 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731838996 AAXIWKCENFALQY-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD Cc1nc(COc2ccccc2C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cs1 734780475 URBJBLVENYWURK-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD CS[C@@H]1CC[C@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 742739836 DYHZLWOSFGLHSG-QWHCGFSZSA-N 409.558 4.525 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1 744379510 ORQBPPRFQCYPMA-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567636 OIHNQXGUAKPYDN-LBPRGKRZSA-N 424.375 4.921 5 20 HJBD C[C@H](CCc1ccccc1F)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745574768 AMABIWAFKRJFJD-CHWSQXEVSA-N 422.840 4.526 5 20 HJBD COc1ccc2oc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c(C)c2c1 745970531 QTKWSHZBGBVJDC-GFCCVEGCSA-N 423.381 4.626 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749973018 WFIDDPAHUIXHJS-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1cccs1 750186609 QVKKMRAEWFZNDA-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(Nc1ccccc1)c1nnc(/C(Cl)=C/C=C/c2ccccc2[N+](=O)[O-])s1 750906975 TVKJIDZLKCJLPN-XWDZFIQBSA-N 412.858 4.992 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 762047909 NDQPUABOJYHTHR-RDTXWAMCSA-N 418.925 4.876 5 20 HJBD COc1cccc([C@@H](OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)c1 782456413 NOBKSZOYWZAOSN-VFNWGFHPSA-N 424.478 4.812 5 20 HJBD Cc1cnc(Cl)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1 787980770 OWXQVEPDCHAFJR-KBPBESRZSA-N 402.882 4.686 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC4(CCCC4)C3)n2Cc2cccs2)c1 802698483 NIVDIEJCVIUARV-UHFFFAOYSA-N 409.515 4.734 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@H](c1cccc(F)c1)c1ccccn1 809917874 NQCPJSJUFOUNAJ-GOSISDBHSA-N 400.797 4.693 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918977 CURWBLADSWMBCN-AWEZNQCLSA-N 413.865 4.603 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 811529691 YEISMXLDSVVRKL-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)c12 812814056 VAMPCZNEKGVLBC-UHFFFAOYSA-N 414.510 4.656 5 20 HJBD CC(C)(C)c1nc(CC(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cs1 815205400 JENPSDBMEYHZHW-UHFFFAOYSA-N 402.394 4.654 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NOCC1CC1 817334719 OXMQOTUYJCIDCE-WJDWOHSUSA-N 409.467 4.593 5 20 HJBD CN1CCC(Oc2ccc(Cl)cc2NCc2ccc(Cl)cc2[N+](=O)[O-])CC1 864031159 WYGBAJHJUBBJJV-UHFFFAOYSA-N 410.301 4.987 5 20 HJBD O=C(Oc1cccc(F)c1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 877007855 HATLLJKXIJEBST-UHFFFAOYSA-N 412.339 4.575 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCc1ccc(N2CCCC2)nc1 913410901 ORWJWVVRZFWPIK-UHFFFAOYSA-N 400.788 4.874 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 914630643 KTCBZZSDQICWBZ-AWEZNQCLSA-N 412.467 4.964 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cc([N+](=O)[O-])ccc1Cl 915870743 NVEGAWHGOLEIAJ-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])c12 921136414 GSYYXMJRVLNHJA-UHFFFAOYSA-N 422.828 4.725 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1)c1ccccc1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921141454 XZLHIEGPBVWINW-SFHVURJKSA-N 424.840 4.715 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H]2CCCc3c2cnn3Cc2ccccc2)cc1[N+](=O)[O-] 1116436072 YELNARMRJQFSBT-DYESRHJHSA-N 420.513 4.967 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2cccc(F)c2)nc1 1322778502 DGHDNURCXFAUPY-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2ccc(Br)cc2[N+](=O)[O-])o1 1324069391 ODCCSLFEOYTEGM-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1ccc(F)cc1F 1325492102 WNVRJGOFEUMKOY-SECBINFHSA-N 424.201 4.761 5 20 HJBD Cc1ccc([C@H](C)NCc2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 1337157474 NMLDLIPBMINEEZ-NSHDSACASA-N 402.344 4.957 5 20 HJBD CC(C)CC(=O)NC1(c2ccc(NC(=O)c3cccc(F)c3[N+](=O)[O-])cc2)CCC1 1790698502 WVQKFWHWEFRRBT-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 14729725 OSKLSQBASLEWMD-UHFFFAOYSA-N 416.477 4.591 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cccc([N+](=O)[O-])c3C)cc2)cc1 15737315 SBUWVQOAMDOQLX-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD C[C@H](OC(=O)Cc1ccc(Cl)c(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528610 NSVKUYQRDZWWFS-JTQLQIEISA-N 422.224 4.799 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)c1ccccc1 25873407 MUTGLBPMTUXMHJ-UHFFFAOYSA-N 418.453 4.678 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(NC4CCCCC4)s3)o2)cc1 29867043 XOWMPNLFDOVWPC-UHFFFAOYSA-N 418.504 4.533 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1 60989676 GETJTXKDWPRJOQ-FQEVSTJZSA-N 411.408 4.656 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)c([N+](=O)[O-])cc1OC 65695782 CFWJIXBLTXGWSB-UHFFFAOYSA-N 420.849 4.839 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1Sc1nnc(-c2cccnc2)n1C1CC1 71814405 FXHADWNPAKJECS-UHFFFAOYSA-N 407.377 4.753 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NC[C@H](OC)c2ccccc2)cc1 117608877 BYWFBJXZNXSUAA-QFIPXVFZSA-N 422.437 4.513 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc(Br)cs3)o2)c([N+](=O)[O-])c1 237431199 IZRDQLOZVKLNFO-UHFFFAOYSA-N 423.288 4.977 5 20 HJBD Cc1cc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)c2cccc([N+](=O)[O-])c2n1 302070501 UHAYBDFJASARMT-UHFFFAOYSA-N 405.380 4.508 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2s1 303447831 HNFGYNZGOCPWBR-UHFFFAOYSA-N 408.439 4.834 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 430538669 CLFUGXCHUVRDBS-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C/c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)nc1O 432707641 NUPKAWXKZYYCSY-SDNWHVSQSA-N 421.409 4.620 5 20 HJBD CC[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1nc(C)cs1 436422844 XNMPZDODNHULES-MRXNPFEDSA-N 413.449 4.606 5 20 HJBD CN(C)c1ccc(-c2cncc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)cc1 437469143 GMGBUBAURQJAHL-UHFFFAOYSA-N 416.481 4.528 5 20 HJBD COc1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c(OCc2ccccc2)c1 439871711 PNGZTGIURKPSBW-UHFFFAOYSA-N 417.421 4.916 5 20 HJBD COC(=O)c1ccc(-c2nc(CN(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)cc1 441227944 IPTRURPSKJZLJV-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2O1 443563115 WODHXBOBVOFJCB-KRWDZBQOSA-N 407.220 4.507 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(CC(F)(F)F)c1ccc(Br)cc1 443894350 DADQASGSGALZHR-UHFFFAOYSA-N 409.183 4.628 5 20 HJBD O=C1CC[C@H]2CN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c3cccc1c32 444308991 DCNCVWQNECSMTM-ZDUSSCGKSA-N 412.420 4.541 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)c([N+](=O)[O-])cc1OCC 447200916 RAPYRUSFEPYARP-GHMZBOCLSA-N 410.879 4.849 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1ccc(Br)cc1[N+](=O)[O-] 447686497 FUHGRDFHKWINOD-JSGCOSHPSA-N 416.275 4.598 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(C)cc(Br)cc1OC 462435321 FHBYUSGNSDZKRM-AWEZNQCLSA-N 421.291 4.882 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCO[C@H]2c2ccc(C)cc2)c1 464696289 MKKNZPMHYBGURV-UZLBHIALSA-N 400.500 4.523 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474735392 LTLABSYRCJQVFG-KBPBESRZSA-N 420.893 4.920 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1oc2ccccc2c1OC 475867946 UGBMWMDIWHMZKJ-UHFFFAOYSA-N 400.456 4.782 5 20 HJBD Cc1c(CNC(=O)[C@@H]2CC=CC[C@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 481492294 MNHRDFDBYYQLDX-IAGOWNOFSA-N 407.495 4.879 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cc1SC 483023620 XKWGPXHSNUXLJX-WFASDCNBSA-N 416.499 4.847 5 20 HJBD Cc1sc(NC(=O)c2cc(F)ccc2-n2cccn2)nc1-c1cccc([N+](=O)[O-])c1 483335297 BXEDITJUJXVOPD-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3ccc(F)cc3)CC2)cc1OC 484337988 ISVQSDYHWUEHKS-OAHLLOKOSA-N 416.449 4.551 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1F 485287820 IJHDUWZROAJEIH-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(C)(C)c1ccccc1 485964525 DWLRTRPAJPTCKW-MRXNPFEDSA-N 416.543 4.820 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)NCc3ccccc3F)cc2)ccc1[N+](=O)[O-] 492535847 MSZKZMAVKSDIAD-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD O=C(Nc1cccc(-n2ccnc2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 494330415 MNSJCIQYBVURAX-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(Nc1ccc(Oc2ccc(C(F)(F)F)cn2)c(F)c1)c1ccc([N+](=O)[O-])o1 499250118 LPMJZMRGJRUPMB-UHFFFAOYSA-N 411.267 4.785 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 500852222 RJBBEIJILAKKFF-CQSZACIVSA-N 404.426 4.623 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 504925908 SPBGXQSOZPRTID-QGZVFWFLSA-N 419.275 4.652 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 504928037 YGDOKSJOFGSDOW-HNNXBMFYSA-N 409.442 4.690 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(F)c(Br)c2)c1 507655443 MHODOWHAWGYJNK-SECBINFHSA-N 413.268 4.709 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(OCC3CC3)nc2)ccc1Oc1ccc(F)cc1 507898604 YSQVSQCDUFXRRM-UHFFFAOYSA-N 423.444 5.000 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 509867990 UDHIPDBASDZBKO-CQSZACIVSA-N 400.438 4.990 5 20 HJBD Cn1nccc1[C@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 510647845 KNLXHJVVGSJLJE-KRWDZBQOSA-N 410.449 4.639 5 20 HJBD CCOc1ccc(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 514969974 QCPIKMYRBLTWRY-UHFFFAOYSA-N 402.756 4.942 5 20 HJBD CCO[C@@H]1C[C@@H](N(C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C12CCCCC2 517208113 UQLSOZJLPADHNF-RTBURBONSA-N 422.547 4.525 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 517227299 HJIKOUFQORACKI-UHFFFAOYSA-N 419.359 4.583 5 20 HJBD COc1cc(OCc2nc(-c3ccc(-c4ccccc4)cc3)no2)ccc1[N+](=O)[O-] 517620462 DHJNMZQKYYFTIJ-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=C(Nc1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1cccs1 523295895 LSVPZCFVOGNONB-UHFFFAOYSA-N 421.438 4.571 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(OCc2cccnc2)c1 523558162 SXFUJLSNSOPWFO-UHFFFAOYSA-N 420.469 4.713 5 20 HJBD CN1CCC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 525105208 QHVPYDITABHJEN-INIZCTEOSA-N 419.934 4.566 5 20 HJBD CC1(C)Cc2cccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])c2O1 530501206 XTXWNDYEZGSKIV-UHFFFAOYSA-N 410.348 4.502 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1ccc(Br)cc1 530979253 XDGKPHIGUUQLRQ-INIZCTEOSA-N 420.263 4.735 5 20 HJBD C[C@H](N[C@@H]1CCN(c2ncccc2F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531992139 HROFSKKSRDPDHC-LSDHHAIUSA-N 412.490 4.787 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)Cc1csc([N+](=O)[O-])c1 532760674 AHUQDUVVTGEHRB-UHFFFAOYSA-N 402.303 4.814 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2)n[nH]1 537287345 UZHNCDSIBFCARC-UHFFFAOYSA-N 415.409 4.733 5 20 HJBD Cc1sc(NC(=O)c2cc(C)n([C@@H](C)C3CC3)n2)nc1-c1cccc([N+](=O)[O-])c1 537428751 JDXJLXONFYQYJL-LBPRGKRZSA-N 411.487 4.755 5 20 HJBD C[C@H](Nc1cc(NC(=O)CN2CCCC[C@@H]2C)ccc1F)c1ccc([N+](=O)[O-])cc1 539647316 FHGYYDNIJHSQRI-HOTGVXAUSA-N 414.481 4.720 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C(C)C)cc1NC(=O)c1c[nH]nc1[N+](=O)[O-] 554860191 LGWLYJOBQZDNEO-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 561132681 RNQIDBVHWNRJKT-UHFFFAOYSA-N 419.485 4.722 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 564281541 INKAMMMNXUDCRR-SUMWQHHRSA-N 412.471 4.712 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])c2C(C)C)cc1 581029388 VVTQPYVBRQYXOS-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD O=C(NC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 604433825 JLQIDZOPBIFEMN-OAHLLOKOSA-N 406.891 4.698 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1(c2c(F)cccc2F)CCCC1 604535185 ZFHTYXBZTAIVQI-UHFFFAOYSA-N 403.429 4.526 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)NCc3cccc([N+](=O)[O-])c3)s2)cc1 609356303 RDKBHDVQZLCFNT-HNNXBMFYSA-N 410.499 4.896 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cn1c(Cc2ccccc2)nc2ccccc21 611384353 KRICECJUKKXXED-UHFFFAOYSA-N 414.465 4.791 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)ccc1[N+](=O)[O-] 726336716 UAPQRPAGVTXXFP-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3c3cc(C)on3)c([N+](=O)[O-])c2)cc1 727431568 SDTZALGVJXWQLW-LJQANCHMSA-N 406.442 4.793 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1cc2ccccc2c(Cl)n1 729117809 MFSIJILOPIOCHC-UHFFFAOYSA-N 400.818 4.941 5 20 HJBD Cc1ccc(NC(=O)CC[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 729295765 GTNSBWUVGXWNLM-GOSISDBHSA-N 413.474 4.888 5 20 HJBD COc1cc(N2CCC(OC(=O)C[C@@H](C)c3ccccc3C)CC2)ccc1[N+](=O)[O-] 739383868 DITMBEOQLWDCSQ-QGZVFWFLSA-N 412.486 4.618 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1 740387057 GJXYYXWPVWWMSM-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD COc1ccc(OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc12 744321306 WMBKSUFHSVYLCJ-UHFFFAOYSA-N 409.441 4.922 5 20 HJBD Cc1ccc(-c2nc(CC(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 746067441 RIIVOSQDJHZIBW-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751663662 GZUUXHXTUUUSDC-LLVKDONJSA-N 408.332 4.677 5 20 HJBD CCC(=O)c1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)ccc1F 757929595 XQWMUCCGUPOKHI-UHFFFAOYSA-N 420.396 4.810 5 20 HJBD CC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)s1 758361981 MUWBQRVLWZWSLV-VIFPVBQESA-N 403.685 4.953 5 20 HJBD C[C@@H](OC(=O)c1scnc1C1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 759752842 AQRVKTRGJQSLLN-SNVBAGLBSA-N 423.878 4.546 5 20 HJBD O=C(CCCOc1ccccc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760507648 BXSQXEVWUGWNOH-UHFFFAOYSA-N 416.817 4.806 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 768541575 IKZDGOAXJFQKNC-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 770008993 OABCSSWVSJWQSK-CRAIPNDOSA-N 407.829 4.507 5 20 HJBD Cc1cccc(-c2nc(C)c(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)[nH]2)c1 772103683 QZKONURUFLTHRS-UHFFFAOYSA-N 418.409 4.614 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCc1cnccn1 775584784 KGGCUGWSLYRHNI-UHFFFAOYSA-N 407.473 4.696 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 787906357 ZNGFEJGMVBNLDY-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(OCCCCCF)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 791594202 YYHHPKKKVLIZTG-UHFFFAOYSA-N 406.376 4.513 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCSc1ccc(Br)cc1 800146051 MAPXVSYCNUWVOD-UHFFFAOYSA-N 413.268 4.926 5 20 HJBD O=C(NC[C@H]1CCN(c2ccc(Cl)cc2)C1)Nc1cccc([N+](=O)[O-])c1Cl 809918485 INGIHGXBZALZBL-GFCCVEGCSA-N 409.273 4.550 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 809971843 MDCAPZPAADPQCB-LBPRGKRZSA-N 408.285 4.879 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2cccc(Cl)c2)on1 914865525 DGEFKXDLBKXJEP-UHFFFAOYSA-N 406.225 4.829 5 20 HJBD O=C(c1ccccc1OCc1ccc([N+](=O)[O-])cc1Br)N1CCCCC1 1116672164 OHVSEIOXRJWHJT-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD CCOC(=O)c1cc(-c2nnc(-c3ccc(Cl)cc3Cl)o2)cc([N+](=O)[O-])c1 1257827746 YEXIDLYOTSLCPB-UHFFFAOYSA-N 408.197 4.795 5 20 HJBD O=C(OCCOc1cccc([N+](=O)[O-])c1)c1csc(Cc2ccc(Cl)cc2)n1 1317887465 MGBWYAVCPVUMGR-UHFFFAOYSA-N 418.858 4.531 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H](C)c2cc(C)oc2C)c([N+](=O)[O-])c1Br 1318123713 TVJXPJNHKBIYQW-MRVPVSSYSA-N 414.212 4.657 5 20 HJBD Cc1nc(-c2ccccc2)ccc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 1356031106 WYCPTGBKCSPPIX-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 14474783 YLOOLRNGADOPQE-UHFFFAOYSA-N 407.451 4.957 5 20 HJBD Cn1c(Cl)cnc1COC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 16251044 JDNZLRFFNHIDFK-UHFFFAOYSA-N 422.224 4.784 5 20 HJBD CC(C)N(C(=O)COc1cccnc1[N+](=O)[O-])c1ccc(Nc2ccccc2)cc1 21178021 OFGJKUUSSMDMEC-UHFFFAOYSA-N 406.442 4.554 5 20 HJBD COc1cc(C(=O)Nc2cccc(OC3CCCC3)c2)c([N+](=O)[O-])cc1OC(F)F 31206865 TXFNGLSCTREHHV-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52956800 MCQJFJYMHXZDFG-QGZVFWFLSA-N 404.470 4.744 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1csc(-c2cccs2)n1 56288683 XCTDSKFAOKMYOK-UHFFFAOYSA-N 401.513 4.760 5 20 HJBD O=C(c1cc(NCCc2ccccc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 64915715 YNICTURLQPMLKP-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(NCc3cccs3)c([N+](=O)[O-])c2)c(C)c1 247937914 SZOQQBRLFSDVCB-UHFFFAOYSA-N 417.512 4.686 5 20 HJBD Cc1cn2c(-c3noc(-c4ccc5[nH]c6ccccc6c(=O)c5c4)n3)c(C)nc2s1 426583076 BQEANSCWBPAISD-UHFFFAOYSA-N 413.462 4.724 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CN[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 433174302 MUQYRLJLPWKVBU-HSZRJFAPSA-N 404.514 4.969 5 20 HJBD COCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)cs1 435443003 LNBXMESVUXIDPZ-UHFFFAOYSA-N 407.498 4.663 5 20 HJBD CCCCn1cc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c(C)n1 441290156 XJXIEVIFTOPJKG-UHFFFAOYSA-N 424.526 4.981 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444101691 QILNFDZFCOLWLM-MAUKXSAKSA-N 400.453 4.839 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccccc2)c2ccc3ccccc3c2)c1[N+](=O)[O-] 444271053 SPUZBDMEPXMVEF-FQEVSTJZSA-N 400.438 4.553 5 20 HJBD CCCCOc1cccc(CNC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 444862199 VPNYZUORLUUZFR-UHFFFAOYSA-N 413.293 4.528 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2F)C2CCCC2)cc1OC 446427969 MRTZUZJFUSJVDR-NRFANRHFSA-N 416.449 4.803 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1cccs1 448095772 BWAGCVPLINSIQX-UHFFFAOYSA-N 400.407 4.763 5 20 HJBD O=C(CCCc1nc2ccccc2s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460357028 QRPPEKZGRSJNRA-HXUWFJFHSA-N 413.524 4.801 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)CC[C@@H]2CCCCN2C)cc1[N+](=O)[O-] 461269396 WYWNDWWARSKPQN-SFHVURJKSA-N 407.580 4.679 5 20 HJBD O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 462191664 VWSDNJNKTLMHJR-VQIMIIECSA-N 404.532 4.565 5 20 HJBD CCN(CC(C)(C)C)C(=O)c1ccc(C(=O)N(CC)CC(C)(C)C)c([N+](=O)[O-])c1 462994065 SPEXWJJEYJTYMH-UHFFFAOYSA-N 405.539 4.611 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 463545490 URKUORRVRNHGCU-UHFFFAOYSA-N 401.513 4.875 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12)c1cccc(Br)c1 464385795 CNMXWDSCEKCBAE-NSHDSACASA-N 400.232 4.648 5 20 HJBD O=C(c1cccc(OC2CCCCC2)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464660143 MBECKNKKFOKEMI-UHFFFAOYSA-N 424.497 4.990 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 465579070 UVCHTASLYDDSJS-INIZCTEOSA-N 405.454 4.831 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2c3ccccc3OC[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 467305938 VMCLMUHYHIGKKP-CVEARBPZSA-N 418.453 4.591 5 20 HJBD O=C(Nc1cc([C@@H]2CCCOC2)[nH]n1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 473420405 IQFKTDHQNGAITL-OAHLLOKOSA-N 424.482 4.615 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(-c2ccc(F)cc2)on1 474710288 KGVCSZSRXVKEDG-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)C(=O)c1cc2ccccc2c2cccnc12 476507232 CBPVQYOOGKNEJJ-UHFFFAOYSA-N 411.505 4.752 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](Cc3ccccn3)c3ccccc3)o2)c1 481832216 OLZBSVUQMFSJNZ-JOCHJYFZSA-N 414.465 4.761 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc(F)cc1 485410985 URNVXVLBLSVXTD-OAQYLSRUSA-N 421.407 4.870 5 20 HJBD COc1ccc(C(=O)N[C@@H](C)c2ccc(OCc3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 485565612 FTOWOPZNTFMNMR-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD COc1ccc(CN[C@@H](c2ccccc2OC(F)F)C(F)(F)F)cc1[N+](=O)[O-] 491270148 GMNWUUPHRIOXPO-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD C[C@@H](NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 494544012 CRUJVOFADYXKGI-CQSZACIVSA-N 404.392 4.709 5 20 HJBD CCOC(=O)c1cc(C)sc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 496247295 SEXKMHBKUGWVAB-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD Cc1cn(-c2ccccc2Cl)nc1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 499402495 HNGJIPWPWCVZCF-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC(c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 500943146 IWTDEBGWGBFHRZ-PBPGXSGUSA-N 416.481 4.839 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)[C@H]3CCCOC3)cc2[N+](=O)[O-])cc1 503204633 XBTMYIDWDMRUSI-WBVHZDCISA-N 400.500 4.599 5 20 HJBD C[C@H](NC(=O)NC1(c2cccc(Br)c2)CCC1)c1cccc([N+](=O)[O-])c1 503384967 ANAUAYVNVIVLCK-ZDUSSCGKSA-N 418.291 4.797 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NCc2ccccc2[N+](=O)[O-])cc1OC 507912905 ILCGVUCINSMTJU-NRFANRHFSA-N 406.482 4.994 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(CCc2cccc([N+](=O)[O-])c2)n1 508661940 AYSBXHWPXDOYQQ-IBGZPJMESA-N 415.877 4.975 5 20 HJBD COc1cc(C(=O)N2CCc3sccc3[C@H]2c2cccs2)ccc1[N+](=O)[O-] 508794917 KLBRRBVADCSGEF-SFHVURJKSA-N 400.481 4.514 5 20 HJBD O=C(NCCc1nc2ccccc2n1C(F)F)c1cc2cc([N+](=O)[O-])ccc2s1 511374400 AEAUROQTJPYSBH-UHFFFAOYSA-N 416.409 4.527 5 20 HJBD O=C(Nc1ccc(Cn2cccn2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 518281255 ZSMZWSAMZRNNBO-UHFFFAOYSA-N 413.437 4.836 5 20 HJBD CC[C@@H](C)NS(=O)(=O)Cc1cccc(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)c1 534094347 UUNCKNNEGWCSAK-MRXNPFEDSA-N 419.547 4.548 5 20 HJBD COCCn1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 534797058 OVMNWSNORZRYNF-UHFFFAOYSA-N 410.455 4.538 5 20 HJBD COc1cccc(-c2nc(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)cs2)c1OC 538656378 DKEWJRHXMGUEDH-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](CC)[C@@H](c2ccc(C)cc2)n2cncn2)cc1[N+](=O)[O-] 539039277 BSAOYKOHBHMEGC-XTQVGHSUSA-N 423.517 4.612 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 539171541 NOVOPRWZCUYBBM-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2ccccc2)CC1 539349006 TXDTWLJWFXAFFR-UHFFFAOYSA-N 412.511 4.830 5 20 HJBD CCc1noc(C)c1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352867 NYPOETFCLBELAH-UHFFFAOYSA-N 414.487 4.880 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCC(COc2ccccc2)CC1 540547816 FOZZJDMCOLJEPO-UHFFFAOYSA-N 417.465 4.588 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 543471234 DXGGTUPPMJXONH-JKSUJKDBSA-N 402.466 4.762 5 20 HJBD C[C@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1nccs1 544614004 BIZGSWURUJOQCR-MRXNPFEDSA-N 419.510 4.797 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4cccc(-c5cscn5)c4)no3)c2c1 546492863 DHVZZZBDRNIXMB-UHFFFAOYSA-N 403.423 4.840 5 20 HJBD CN1CC[C@@H](c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c2ccccc21 547058846 GPICVQYSRDXMIG-MRXNPFEDSA-N 419.466 4.740 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCn2c(cc3ccc(Cl)cc32)C1 549050658 YEUCBLJWVLCQED-UHFFFAOYSA-N 422.876 4.502 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 557094101 AFKNBCCTXGUJCC-UHFFFAOYSA-N 419.485 4.722 5 20 HJBD CC(C)OCCCN(C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 573410813 IFYYLMCBAXFUPQ-UHFFFAOYSA-N 406.866 4.928 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCC[C@@H]2c2nc3ccccc3o2)c1Br 582573455 NKSSULOZSVPBPQ-CYBMUJFWSA-N 417.263 4.934 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccccc2F)CC(C)C)cc1[N+](=O)[O-] 603569296 GXVQYQJRRHLMAZ-UHFFFAOYSA-N 420.506 4.661 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 604016350 UJZKDFFKTMSBLY-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 604098012 MJWHMCKHTFIHIX-UHFFFAOYSA-N 401.378 4.846 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)ccc21 604530817 QHHRBVLNHMUIKR-UHFFFAOYSA-N 417.469 4.858 5 20 HJBD CC(C)(CCc1ccccc1)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 608953079 VLQXQFSBOHRADH-UHFFFAOYSA-N 410.392 4.677 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3sccc3C2)cc1[N+](=O)[O-])c1ccccn1 609332070 FHDKNOYXCFDKMR-HNNXBMFYSA-N 422.510 4.813 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609490332 LOKIYJMCWOQDIU-SNVBAGLBSA-N 420.252 4.989 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccc(Br)cc3)cs2)c1 609770428 RZVXJXJSHXVNIL-UHFFFAOYSA-N 418.316 4.813 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 609855260 USFGIGJSSPIBIL-KBPBESRZSA-N 415.877 4.619 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1Cl)N1CCc2c1cccc2[N+](=O)[O-] 609972478 GHJVBJIKGAZTPV-UHFFFAOYSA-N 401.633 4.523 5 20 HJBD NC(=O)c1ccc(CNc2cc(Cl)ccc2SCC(F)(F)F)c([N+](=O)[O-])c1 610132110 DSBYUTPAYALKBU-UHFFFAOYSA-N 419.812 4.614 5 20 HJBD O=C(Cc1c[nH]c2ccc(F)cc12)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 610365343 YBRNLYMHRPTKMY-UHFFFAOYSA-N 403.413 4.991 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc2c(c1)OCO2)C1CCCC1)c1cccc([N+](=O)[O-])c1 610400889 OEAFEOJAYKHRLX-HNNXBMFYSA-N 411.458 4.539 5 20 HJBD O=[N+]([O-])c1ccc2oc(CNC3(c4ccc(Br)cc4)CCC3)nc2c1 610580945 KCHGCLPJVRDQNB-UHFFFAOYSA-N 402.248 4.668 5 20 HJBD CO[C@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 611947783 MSEJGNDOOQIGFJ-KRWDZBQOSA-N 400.500 4.696 5 20 HJBD CC(C)(C(=O)NCc1ccnc(Oc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 612994323 YMAVCMMMGANNPY-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD Cc1cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc(C(F)(F)F)c1 618398084 HNCZPCDGSPMCGO-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD CN(CCO)Cc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1Cl 670383160 YCDVZMFBEMKQHY-UHFFFAOYSA-N 419.912 4.718 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2SC(F)F)cc1SC 728459667 PJWOPHYISDQHTE-UHFFFAOYSA-N 400.428 4.892 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CCOCC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 730063840 GSAYLRDUQRTFJW-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CCCN(C(=O)c2ccccc2)C1 731269618 IHSPDKFDDLAHNP-HXUWFJFHSA-N 424.500 4.984 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 732002982 GUEMJXMTAVGDHH-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cc(Br)cs2)cc1SC 733221231 KHWNAGIUVVFPFZ-UHFFFAOYSA-N 418.290 4.506 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c2)n1 735463890 SAOWJHZKXNZALM-UHFFFAOYSA-N 402.863 4.938 5 20 HJBD CC(C)(C)n1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2Br)cc1C1CC1 739580438 VOOICJLUURIRSP-UHFFFAOYSA-N 422.279 4.543 5 20 HJBD Cc1c(C(=O)O[C@@H](C)c2ncc(-c3ccccc3)o2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 741318781 FGSLABVQTXZNAM-ZDUSSCGKSA-N 411.370 4.693 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)O[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746436011 BUUCYJRROVHLBZ-HNNXBMFYSA-N 423.265 4.839 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cccnc2Cl)cc1[N+](=O)[O-])c1ccccn1 750641921 UAWDLWNAOCJRBG-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3ccccc3)C[C@@H](O)c3cccs3)nc2c1 756054746 HOTHNMHEYYIUFJ-GOSISDBHSA-N 409.467 4.533 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1c(F)cccc1Cl 758559873 GSVWDKAUYHVKQJ-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])o2)cc1C 758957761 SSLZPHHZMMYGSV-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD Cc1ccc(-c2noc(Cc3noc([C@@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)n2)cc1 761391777 ZMDIIGCRPJBOFL-CYBMUJFWSA-N 423.454 4.780 5 20 HJBD Cc1ccc(-c2noc(Cc3cccc(NC(=O)OC(C)(C)C)c3)n2)cc1[N+](=O)[O-] 761634888 AZFLIXWBMPIGEQ-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3ccc(Cl)nc3)n2)c(Br)c1 762263573 WWBQQKLKJQLCES-KXFIGUGUSA-N 421.638 4.550 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 762966966 IJWIZILTAGFEOY-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD O=C(NC[C@H]1CCC=CO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 781498085 WCCYHGGKKYIXIN-OAHLLOKOSA-N 404.875 4.822 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)c1F 790233416 DSEOINRZJFBQQI-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccnc(Sc2ccccn2)c1 790627746 YAPGSLLGZSVXIA-UHFFFAOYSA-N 418.837 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)c1F 790969808 SLRKZOCCEAWPCV-UHFFFAOYSA-N 410.789 4.606 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 791142853 VACBCFICHWNTCI-CQSZACIVSA-N 422.441 4.520 5 20 HJBD COc1cc(COC(=O)CCc2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 792759812 FBPJWUQBCRXIDI-UHFFFAOYSA-N 415.776 4.534 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H](C)c3cc(C)on3)cc2[N+](=O)[O-])n1 810796605 QRFZFZSAFVASFV-NSHDSACASA-N 405.457 4.725 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 815636174 QLOQDMGVCSWKQW-HZPDHXFCSA-N 413.474 4.752 5 20 HJBD Cc1ccc(OC(=O)C2CCN(c3noc4ccc(Cl)cc34)CC2)cc1[N+](=O)[O-] 815994494 ABYMCNDUUDQPAC-UHFFFAOYSA-N 415.833 4.520 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)Nc3ccc(N)c([N+](=O)[O-])c3)cccc2c1=O 819910276 QYQZYAFTVCAAQT-UHFFFAOYSA-N 415.405 4.511 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)Nc2ccccc2)cc1 849200327 DZTFHOHWCXRIIS-NVNXTCNLSA-N 402.410 4.891 5 20 HJBD O=C(Nc1nc(Cc2ccc(Br)cc2)cs1)c1ccc([N+](=O)[O-])cc1 864282194 CYVRBVAMLAZYQN-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OC[C@H]2CC2(Cl)Cl)cc1[N+](=O)[O-])c1ccccn1 920547446 OEHAQDMDCYKRHS-DGCLKSJQSA-N 410.257 4.514 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2SCc2ccccc2)ccc1[N+](=O)[O-] 921002023 IKLRUBWTQNMIRH-UHFFFAOYSA-N 424.478 4.913 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(CNC(=O)C3CCCC3)cc2)n1 1116613131 XWUCPJJOMASMQJ-UHFFFAOYSA-N 420.469 4.735 5 20 HJBD Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)c2ccccc2)cn1 1254918507 DJSQSBCPPAKHRN-QFIPXVFZSA-N 415.453 4.504 5 20 HJBD COc1ccccc1SCc1nnc(-c2cc([N+](=O)[O-])ccc2OC(F)F)o1 1261571367 XRRWONVXDMYTNZ-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD CC(C)CN(C(=O)/C=C\c1nccs1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1262730124 KDPAQDDQYJDRIP-FPLPWBNLSA-N 414.512 4.877 5 20 HJBD O=C(c1nc(-c2ccc(F)cc2)n2c1CCCCC2)N1CCc2cc([N+](=O)[O-])ccc21 1318460750 DXZIVSLLTZCQHW-UHFFFAOYSA-N 420.444 4.527 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1nc(C2CCCCC2)no1 1320409563 VSRYMMADDYUFKA-NSHDSACASA-N 409.284 4.639 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1 8009841 DGQXQQDSXJJNFD-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 8176355 UPYSJQQGBNHOLE-INIZCTEOSA-N 417.534 4.823 5 20 HJBD COc1ccc(Cl)cc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 22465838 SHZFHKASUGZHPO-SECBINFHSA-N 417.771 4.715 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 54410712 LKJPYHDAZDFKPZ-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Oc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 63829688 ZNMRFPPHVOLKSN-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD C[C@@H](Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1)c1ccccc1 68924064 YHFKWGWCASRQGP-ZDUSSCGKSA-N 423.454 4.666 5 20 HJBD COc1ccc(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 105911288 IQLZAEJRJSLRRA-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cc(-c3ccco3)nc21 116094597 MAUSIZWPEUWWHY-UHFFFAOYSA-N 409.377 4.572 5 20 HJBD Cc1sc(NC(=O)c2cc(F)c(F)c(F)c2F)nc1-c1cccc([N+](=O)[O-])c1 195703912 JKKXEGGZYNXNDP-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD CC[C@@H](C(=O)N1CCC(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1)c1ccccc1 236959251 ODONJSBWRHFPFF-JOCHJYFZSA-N 423.557 4.993 5 20 HJBD CC(C)(C)C[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(F)cc1 301920633 CZHCBLWHTVEJQW-ZDUSSCGKSA-N 400.497 4.788 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2)n1 426106841 RYQLKTHWQWNYGL-UHFFFAOYSA-N 421.457 4.965 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@H]1CCC(F)(F)C1)c1ccccc1 429783526 LIBWOWWYKHLNNM-UGSOOPFHSA-N 423.847 4.953 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 430661178 AAAAQXNAZKSCRC-LMKMVOKYSA-N 414.480 4.734 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)nc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436084151 QQPRVAOTOLGSPU-UHFFFAOYSA-N 410.408 4.530 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437786938 PMSBXNIPZIUCGZ-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD COc1cccc([C@H](C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 439818842 YIEKSSLBTZTPGW-CQSZACIVSA-N 410.392 4.688 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)s1 444093018 HCCNVBOAFGYQRO-NSHDSACASA-N 412.461 4.690 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CNc1ccc([N+](=O)[O-])c2cnccc12 462873345 XUKSBUJQMQUQJD-UHFFFAOYSA-N 402.454 4.980 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(C)cc2)c1C(F)(F)F 464718011 BIFNSDLMTMAGLG-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COc1cc(CN[C@@H]2COc3ccc(F)cc32)ccc1OCc1ccc([N+](=O)[O-])cc1 466460606 NJWZQABRZYIOPQ-HXUWFJFHSA-N 424.428 4.545 5 20 HJBD CC[C@@H](O)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 473529809 HSPMOXDDMPUPNC-OAHLLOKOSA-N 408.907 4.680 5 20 HJBD Cc1ccc(I)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 477949283 XHRTWPXMXNZPGI-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@@H](n3ncc4ccccc43)C2)nc1-c1ccc(F)cc1 485411604 RVTVGMWLWYDEQQ-GOSISDBHSA-N 403.417 4.597 5 20 HJBD CSc1cccc(C(=O)NCCOc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 486234634 PSNLSSOYEWWGMK-UHFFFAOYSA-N 408.479 4.793 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2ccc(NC(=O)CC(C)(C)C)cc2)cc1[N+](=O)[O-] 487980088 KEIVATORJCRANT-INIZCTEOSA-N 411.502 4.698 5 20 HJBD O=C(NC1CCC2(CCOCC2)CC1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 490270081 GKQWEGKKMGFLJV-UHFFFAOYSA-N 423.513 4.676 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccccc2OC(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 493478464 ITBJTLLJOWVOON-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD CCc1ccc([C@H](Nc2ccc(C)c(N3CCNC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 494473819 KWHXZSNVNWPFMX-JOCHJYFZSA-N 420.469 4.790 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(F)cc2OC(C)(C)C)cc1[N+](=O)[O-] 498866366 RBCGJZPBFAITGE-UHFFFAOYSA-N 422.478 4.792 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 500574051 MWYKLVFXEMMTDW-UHFFFAOYSA-N 415.453 4.893 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](COc2ccccc2)c2ccccc2)c1 502345521 ZMMXMQOQMDZNCL-HXUWFJFHSA-N 408.479 4.867 5 20 HJBD CCNc1ccc(C(=O)N2CCCc3cc(Br)cc(F)c32)cc1[N+](=O)[O-] 502757549 ZZZPAHPUBYCBOZ-UHFFFAOYSA-N 422.254 4.521 5 20 HJBD COCC[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccco1 503958521 DMIQEICAUKFONS-GOSISDBHSA-N 400.406 4.987 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1coc(-c2ccc(C(F)(F)F)cc2)n1 511134507 AHSRXFXVAHCPIM-UHFFFAOYSA-N 405.376 4.943 5 20 HJBD COc1cc(C(=O)N2C3CCC2CC3)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 514961999 GENXGXIZBJCRHO-UHFFFAOYSA-N 402.834 4.816 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1cccc(F)c1)C1CCCC1 519140694 FARPSNMMRIQPQC-UHFFFAOYSA-N 408.433 4.505 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C1CC1)[C@@H]1CCc2ccccc21 520410230 WHPTZHUYZZHCFV-SCLBCKFNSA-N 400.862 4.694 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 521153495 BVZADIWSMOHRAH-HNNXBMFYSA-N 402.497 4.608 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2Cc2ccc(OC)c([N+](=O)[O-])c2)cc1OC 523196334 YJLDFBYUESGTJK-IBGZPJMESA-N 414.502 4.608 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1N(C)Cc1ccccc1 524374266 YLLQVQARMZZYQV-UHFFFAOYSA-N 404.470 4.895 5 20 HJBD CC(=O)N(c1nc(COc2cccc(C)c2[N+](=O)[O-])cs1)c1ccccc1F 534399347 IQJGYWJEKFMMJI-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(Cl)ccc1F 536301724 FHHBYNTYYJOVET-UHFFFAOYSA-N 421.837 4.914 5 20 HJBD C[C@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1ccc([N+](=O)[O-])cc1 536878347 RTUJMLWHJTXGTJ-NWDGAFQWSA-N 407.264 4.540 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3n[nH]c(C4CCCCC4)n3)C2)c2ccncc12 538664419 IDOVHVYLOJBKAA-MRXNPFEDSA-N 406.490 4.693 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 540476059 HXLKHFGYWDTWED-MRXNPFEDSA-N 424.526 4.700 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 540587199 DNMLFDIAEMEMGY-LJQANCHMSA-N 415.449 4.534 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 541589726 IYZDWDTUWVBNEL-NRFANRHFSA-N 420.444 4.623 5 20 HJBD CN(CCc1ccccc1O)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 543360208 IMVZMSAVIIHHOT-UHFFFAOYSA-N 408.479 4.766 5 20 HJBD CCc1ccc([C@H](NCc2cccc(Cn3ccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 544364623 HIBITMGFZKYAAV-XMMPIXPASA-N 416.481 4.874 5 20 HJBD CSCc1ccccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 546061170 QSAZXTYMTDQYRZ-UHFFFAOYSA-N 400.378 4.651 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cc(-c5ccc(F)cc5)on4)n3)c2c1 547196414 WMYCRFLTGIVTIV-UHFFFAOYSA-N 405.345 4.511 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3cc[nH]c23)cc1[N+](=O)[O-])c1ccccn1 547819626 KWMMSCOZZQIEAX-AWEZNQCLSA-N 401.426 4.897 5 20 HJBD C[C@H]1CC[C@@H](c2ccccc2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 553506426 IRFRXSUUCNBYCF-RXVVDRJESA-N 407.514 4.811 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC(c2ccc(F)cc2)c2ccc(F)cc2)c1 554872509 UOOYHBCBXKHFJH-UHFFFAOYSA-N 412.392 4.791 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(OCC(F)(F)F)cc2)c1 557080828 SSXMDJDCGYMKMB-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(NC(=O)NC)c1 557555184 KYUJWSUZCNCYIP-UHFFFAOYSA-N 420.466 4.630 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)[C@@H](C)C2CC2)c(OC)c1 558708832 IMSPCVNVLRLEHZ-HOTGVXAUSA-N 412.486 4.543 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1c(F)cncc1F 561338864 LGLIIECGVLYFJZ-GFCCVEGCSA-N 415.421 4.910 5 20 HJBD Cc1cccc(-c2cnc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)s2)c1 561741628 ICFRZMLCEMACEB-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cs1 567427357 JSEQGHWIWAILRQ-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@@H](c2ccncc2)C1 574387059 OAMQDYXGUABNFB-GOSISDBHSA-N 403.438 4.802 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3ccccc3F)C(C)C)cc2)c(C)c1[N+](=O)[O-] 603525409 CMKTYFRNSJVPOM-UHFFFAOYSA-N 424.476 4.646 5 20 HJBD CCC[C@@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744401 JZIDAFHYBRGZBO-FZKQIMNGSA-N 420.307 4.815 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)SCc2ccccc2)c([N+](=O)[O-])cc1OCC 603753557 JSMZOQPJQDGVRS-CQSZACIVSA-N 404.488 4.653 5 20 HJBD CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 603956078 AEWQGJRNOMEXOT-CQSZACIVSA-N 410.392 4.992 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C2CC2)o1 604309574 KMTCHXZQEDIXBK-INIZCTEOSA-N 420.469 4.869 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@H](c2cccc(F)c2)C1 608962216 ATARHURVNHVNBI-QFIPXVFZSA-N 421.428 4.691 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCCC(=O)Nc2ccc([N+](=O)[O-])cc2)o1 609018704 LYMWPZYDLMEQBV-KRWDZBQOSA-N 412.471 4.641 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609761936 PNKJRNLLKBAPIN-UHFFFAOYSA-N 401.806 4.543 5 20 HJBD CC(C)C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 609783564 QPKUOLRSGFCHTE-GOSISDBHSA-N 400.866 4.571 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(CN4CCOCC4)c3)s2)cc1 610910471 PTNLHQHEWUDRLK-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2OC)C(C)C)cc1OCC 611994439 ZZZRZKLROFPRAC-NRFANRHFSA-N 416.474 4.528 5 20 HJBD Cc1cc(C)c(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c(Cl)c1 613112084 LEZIYEXEOGZERX-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cs2)cc1OC 727710745 DAXQRTXZWDYXFT-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(F)ccc3[N+](=O)[O-])s2)cc1 733772543 SCIDVOUCRNCNGO-NSHDSACASA-N 415.446 4.665 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 735442787 IGYLKRUPXNUEIF-UHFFFAOYSA-N 410.354 4.578 5 20 HJBD Cc1nc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)nn1-c1ccccc1C(C)C 735905146 SIEJDXVMQSITIR-UHFFFAOYSA-N 414.849 4.618 5 20 HJBD CCOc1cc(Br)c(NCc2cc(F)ccc2[N+](=O)[O-])cc1OCC 742259156 NUGPROJWBRNNNS-UHFFFAOYSA-N 413.243 4.906 5 20 HJBD C[C@@H](OC(=O)C1(c2ccc(F)cc2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744538404 VFJFWCAOSPPEDZ-CYBMUJFWSA-N 411.389 4.510 5 20 HJBD Cn1c([C@@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2ccccc2)nc2ccccc21 750181848 UUNSCIRQWCPBDX-IBGZPJMESA-N 420.856 4.654 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1N1CCSCC1 752663301 WGBYXJMPXXADCZ-UHFFFAOYSA-N 414.531 4.513 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 758359443 ANFJRYRFQJDATK-OXQOHEQNSA-N 401.438 4.634 5 20 HJBD C[C@@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 760415792 DMHUHLYZBSDQLV-SECBINFHSA-N 408.197 4.904 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 761526377 AALLUNIPJDUOGQ-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD Cc1c(Cc2noc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)n2)cccc1[N+](=O)[O-] 762321003 QBBAMBIODWHGHU-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD C[C@@H](C(=O)Nc1nc2ccc(Br)cc2s1)c1cccc([N+](=O)[O-])c1 762841997 IMNGECAEWDUXJL-SECBINFHSA-N 406.261 4.709 5 20 HJBD Cc1sc(CCNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)nc1-c1ccccc1 765488868 DTLIARMBRBNXJE-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD C[C@@H](OC(=O)c1cccc2ccsc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 767627837 FIIYRHWUZORWFH-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(CCOCc2ccccc2)CC1 769844232 ZJZXWSMOKXUVCQ-UHFFFAOYSA-N 402.878 4.594 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1)C(C)C 770010676 USSJSXHVKDLGDX-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD COc1cc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)ccc1[N+](=O)[O-] 770080417 QYCFKGSAMMGFMV-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD O=C(OC[C@H]1CCC2(CCCC2)O1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 784836949 DLMWQHPZCHDXGJ-OAHLLOKOSA-N 413.420 4.608 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1ccc(N)c([N+](=O)[O-])c1 788700738 CGTDYPYDKGCOMB-LLVKDONJSA-N 400.822 4.849 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1C(F)(F)F 789002043 RNQBLPFWWFTDRR-VIFPVBQESA-N 401.724 4.695 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 790545999 ISXGRZQFABTBFD-XHDPSFHLSA-N 416.758 4.621 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(O[C@@H]3CCCC[C@H]3O)cc2)cc1[N+](=O)[O-] 799727671 UGRDYDNNFCZFQM-RBQRDFNRSA-N 400.475 4.849 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809916410 JHVUNNSTVNHJHM-HNNXBMFYSA-N 408.930 4.757 5 20 HJBD O=C(NC[C@H]1CCCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1)c1ccccc1 809951794 IWULGFKTLVTMTK-CQSZACIVSA-N 422.312 4.544 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nnc3n2CCCCCC3)cc1 812310710 NXDVLXQTVXJLSC-UHFFFAOYSA-N 405.458 4.531 5 20 HJBD O=C(CSCc1ccc(Br)cc1)Nc1cccc([N+](=O)[O-])c1Cl 813242960 YVKRFMKJSHHBMH-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)OCc3cccc([N+](=O)[O-])c3)c12 813331008 BNWTUOXQBGLKGJ-UHFFFAOYSA-N 407.357 4.603 5 20 HJBD COc1cc(C(=O)OCC[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OC 816473312 HGLFDJZSVCEIRL-CQSZACIVSA-N 413.348 4.505 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 918195485 VYOGVURNZQAGTL-UHFFFAOYSA-N 421.277 4.640 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)C1 918604382 JZIUORVWDCXVGR-SFHVURJKSA-N 422.529 4.679 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1=O 1257652280 KKWIDYWWAMAEIQ-HOCLYGCPSA-N 406.442 4.679 5 20 HJBD CC[C@@H](C)n1ncc2c(-c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)cc(C)nc21 1320208239 VJNAUQFQAVUHEJ-CQSZACIVSA-N 406.446 4.953 5 20 HJBD COc1cccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1OC 1322602887 ZRRMEKIVPCYRAZ-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H](C)c1nc2ccccc2s1 8347393 JYTLPFGARFQAAQ-STQMWFEESA-N 401.513 4.905 5 20 HJBD COc1cc(/C=C2\N=C(C(C)(C)C)OC2=O)ccc1OCc1ccc([N+](=O)[O-])cc1 14177560 KOFOLXVDDPCLMT-BOPFTXTBSA-N 410.426 4.525 5 20 HJBD COc1ccc(-c2nc(C(=O)Oc3c(C)cc(C)cc3[N+](=O)[O-])cs2)cc1OC 18947119 GOCYNFJLHCAXHZ-UHFFFAOYSA-N 414.439 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(OCc2ccc(F)cc2)c1 26787627 SDJILUQDZXWTIZ-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)cc1[N+](=O)[O-] 32561496 NZZWBWQUULXWSV-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])CC1 48205324 YSPIVGDZHYANNO-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(CSc2ncccc2[N+](=O)[O-])cs1 65692377 NPFARPYCEFSXIC-UHFFFAOYSA-N 404.448 4.562 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCCc2cc(Cl)cc(F)c21 97554868 GPWFBAMPEOGSBT-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCCCOc3ccc(F)cc3)cs2)c1 237864865 DJNKXROPQFJVFA-UHFFFAOYSA-N 401.463 4.806 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc([N+](=O)[O-])cc1 410409671 FHHQPSHVIILRFO-UHFFFAOYSA-N 400.328 4.591 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426026317 YRHVWPOVVCTAHD-SFHVURJKSA-N 401.463 4.645 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H]3CCO[C@H]3c3ccccc3)o2)c([N+](=O)[O-])c1 428682858 KIGNCNRHZYTNJX-SBUREZEXSA-N 408.454 4.731 5 20 HJBD O=C(NCCc1cccc2ccccc12)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436111334 YLGPAFYQAZRNKU-UHFFFAOYSA-N 410.448 4.946 5 20 HJBD COc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1F 440748103 NEADJVGCWIXJBY-UHFFFAOYSA-N 412.442 4.824 5 20 HJBD CN(CCc1cccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1)C1CC1 444292151 RJMDTXODGQWSGE-UHFFFAOYSA-N 411.505 4.570 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCc2ccc(COCc3ccccc3)cc2)n1 447549955 LYBDIPYIGMOGRM-DHIUTWEWSA-N 420.513 4.782 5 20 HJBD Cc1ccc([C@@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C[C@H]2CCCO2)cc1 461057307 MTQMAZAAHXTNCK-DYESRHJHSA-N 421.501 4.538 5 20 HJBD Cc1nc(C(F)(F)F)sc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 463050657 FVUSFPHRODDOJE-UHFFFAOYSA-N 409.349 4.501 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccc(Cl)cc2)c1C 464720165 OMBLVMFYMRJVQJ-UHFFFAOYSA-N 412.877 4.925 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccccc1CCC(F)(F)F 464828606 GYEUDTLTIZKGTG-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD CC[C@@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465644312 YVZGSZBFBXNSDX-JOYOIKCWSA-N 402.398 4.582 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H]2CC[C@@H](SCC)C2)c([N+](=O)[O-])cc1OCC 467196156 OMBXMNWQWZVMQQ-ZIAGYGMSSA-N 411.524 4.530 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467232843 YVHJJLULLHSXGD-BFUOFWGJSA-N 403.866 4.550 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 468166377 WJPHLSXYOGPJGZ-KRWDZBQOSA-N 419.485 4.720 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 468251379 IRYAHTLGVULKNK-UHFFFAOYSA-N 421.400 4.913 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccc(OC)c(Cl)c3)cn2)c1 469871160 FWRCYFRVBKSARQ-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 470284213 GTHIJQJGACUMHE-KRWDZBQOSA-N 405.376 4.668 5 20 HJBD O=C(Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc([N+](=O)[O-])c2cccnc12 473257617 YAEDQZNBAFLKQB-UHFFFAOYSA-N 408.311 4.509 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccc(Oc2ccccc2)nc1 474782824 HJJUMZFXXXOIOF-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)c2nc3ccccc3s2)cc1SC 481898808 HPTUBQUKSOFXSE-NSHDSACASA-N 417.512 4.768 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3cc(Cl)ccc3s2)cc1SC 482520027 RNNVAHPSBQANBG-UHFFFAOYSA-N 409.876 4.841 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC3(CCC3)c3c(F)cccc32)cc1SC 483633919 KNARMFQNIUYXPD-UHFFFAOYSA-N 402.447 4.547 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c1 490148682 NBWBEDMDHVZFCR-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](COc2ccccc2)c2ccccc2)c1 502345520 ZMMXMQOQMDZNCL-FQEVSTJZSA-N 408.479 4.867 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)nc1 502590144 XSLUCUXMJITXJM-UHFFFAOYSA-N 400.504 4.735 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1cccc2ccccc12 503125644 XYGKVOWUVWKUEI-ZWKOTPCHSA-N 404.422 4.652 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)n1 506057675 DLLIEZXVTWHENJ-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)C(c1ccccc1)c1ccccc1 509585204 UXMJOYFMUHWCRE-QGZVFWFLSA-N 404.466 4.772 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2c(F)cccc2F)cc1[N+](=O)[O-])c1ccccn1 511394531 OPBATFQDBUSCJH-CYBMUJFWSA-N 412.396 4.718 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc(C(C)C)n2C)cc1OC 513634529 CDMXAWWZXKTBRG-CYBMUJFWSA-N 408.524 4.573 5 20 HJBD CN(Cc1ccccc1)Cc1cccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])c1 513843920 KRUGZXNXYUCIHM-UHFFFAOYSA-N 415.493 4.820 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])ccc3C)c2C(F)(F)F)cc1 515164510 ATNRNWNOTAGMSC-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(Nc2ccc([N+](=O)[O-])cc2F)c1 515502826 PJZRUVIDPWAMQI-UHFFFAOYSA-N 411.389 4.504 5 20 HJBD CCc1oc2ccccc2c1CN(C)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 515607342 LTOMWMCHUUMFEF-UHFFFAOYSA-N 407.470 4.776 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 516944622 VNVJBBODBKLSOT-FZKQIMNGSA-N 403.276 4.825 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](CCC(C)C)c2ccc(Cl)cc2)cccc1[N+](=O)[O-] 518138787 SQHIEHKUUFIMQU-SFHVURJKSA-N 417.893 4.789 5 20 HJBD Cc1c(C(=O)NC[C@@H](C)Sc2ccc(Br)cc2)cccc1[N+](=O)[O-] 518818599 IZMRHDNNFVPKHS-LLVKDONJSA-N 409.305 4.576 5 20 HJBD COc1cc(F)cc2sc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)nc12 519052629 NPRVZEPBSLSADP-UHFFFAOYSA-N 403.416 4.819 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 519883672 ANMKASNTGSBOHI-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD CC(C)(NC(=O)c1cccc(Cl)c1)c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 523107087 HBTAXVUBFPYLMG-UHFFFAOYSA-N 421.240 4.617 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)o1 523496304 PSPXJRCGXNWQMZ-IBGZPJMESA-N 408.458 4.693 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 534440972 NLYCZSRAOSJHBB-AWEZNQCLSA-N 413.503 4.502 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534793995 HAHLXDMMKOZJGS-CYBMUJFWSA-N 415.446 4.958 5 20 HJBD CCc1nn(C)cc1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 536915079 MEIBOBGRLWITIU-UHFFFAOYSA-N 400.822 4.589 5 20 HJBD COc1ccc(CN[C@H]2CCc3c(Br)cc(Cl)cc32)cc1[N+](=O)[O-] 537972841 FCOWFMMZRGWGJY-HNNXBMFYSA-N 411.683 4.796 5 20 HJBD CC[C@@H](C)Oc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccn1 538600050 UDMUCZWLEGHICT-CQSZACIVSA-N 403.504 4.598 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccco1)N1CCCC1 539592728 ROGSYGQCQKAGMB-SFHVURJKSA-N 417.531 4.647 5 20 HJBD COc1cc(C)c([C@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 543143728 UBOYVQTWSCEOJS-LBPRGKRZSA-N 424.906 4.543 5 20 HJBD C[C@H](C(=O)Nc1cc(F)ccc1SCC(F)F)c1ccc([N+](=O)[O-])cc1F 544397884 UQDNTGHPRGDWBR-VIFPVBQESA-N 402.369 4.972 5 20 HJBD C[C@@H](Oc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cn1)c1ccccc1 544911582 DLHKJKSTMVNSPU-OAHLLOKOSA-N 402.410 4.771 5 20 HJBD O=C(Nc1cccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1)c1cccs1 544911801 HPIUCBCCSDPXSA-UHFFFAOYSA-N 406.423 4.549 5 20 HJBD COc1cc(OC)cc(C2(F)CCN(c3ccc([N+](=O)[O-])c4cnccc34)CC2)c1 548987714 RPQNJZPWWHCLFZ-UHFFFAOYSA-N 411.433 4.625 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC[C@H](C)Oc2ccc(C(C)C)cc2)cc1SC 553676843 IFSKZGMGKPUETN-AWEZNQCLSA-N 418.515 4.646 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1OCCc2sccc21 554204786 KPQUYESZDAWYDL-ORAYPTAESA-N 420.556 4.981 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555679849 XJULTECVMGSQCL-UHFFFAOYSA-N 423.391 4.650 5 20 HJBD CN(C(=O)c1ccc(-c2ccc(OC(F)F)cc2)s1)c1ccc([N+](=O)[O-])nc1 561797842 TZBJEACADQQFGT-UHFFFAOYSA-N 405.382 4.596 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(Br)c(Cl)c2)cccc1[N+](=O)[O-] 571287915 KXNMZZKEDXDRFP-JTQLQIEISA-N 413.655 4.725 5 20 HJBD COc1cc(C(=O)Nc2csc(-c3ccccc3)n2)c([N+](=O)[O-])cc1OC(F)F 588079587 HTXZZZGRWJAQON-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CSc2nnc(Cc3cccs3)o2)c1 590128205 BZEUSFZUZNRTFK-UHFFFAOYSA-N 401.391 4.941 5 20 HJBD CC(C)Oc1ncccc1CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 603681192 PKPCKWZMMBIFBH-UHFFFAOYSA-N 411.433 4.998 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 604244586 VWVUCUBGVYPOKR-IBGZPJMESA-N 418.497 4.696 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3cccc(F)c3)n2)nc1-c1cccc([N+](=O)[O-])c1 604430693 DUIFOODGXBWOLG-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD COc1ccc(-c2ccc(CNCCCn3c(C)nc4ccccc43)o2)c([N+](=O)[O-])c1 608835409 APBGKJLTEXJTHF-UHFFFAOYSA-N 420.469 4.701 5 20 HJBD Cn1ccc(NC(=O)c2ccccc2Sc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 609345589 DHCVWADPUHAGEW-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD O=C(Nc1nc(-c2ccccc2OC(F)F)cs1)c1cc(F)cc([N+](=O)[O-])c1 609444356 QHHFSZGTNYJIFN-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1OC 609666741 SRSMMPCUZGFZJI-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(-n2ccnc2)c1 609787517 DBUZQSZSISGNCJ-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(CCc1ccsc1)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 609817145 OUHZURKHISQWNE-UHFFFAOYSA-N 408.439 4.673 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCOC2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 610051790 LMIHDMBAHPPQNC-HXUWFJFHSA-N 419.437 4.758 5 20 HJBD Cc1csc([C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C2CC2)n1 610241428 QZMSMFYEDWHHSQ-FQEVSTJZSA-N 422.510 4.853 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 610964618 KCQBIBIKUYUXOS-INIZCTEOSA-N 405.458 4.597 5 20 HJBD CNC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611205027 OTSRJLILRISEHF-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2cc(OC)ccc21 611471509 HASNWKVBTUIVJQ-GOSISDBHSA-N 416.499 4.749 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cc4ccsc4[nH]3)n2)c(Br)c1 612955937 BNJMENKEZNCYQV-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD COc1cccc(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)c1[N+](=O)[O-] 618210182 UAVGXWQXMAKKOJ-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 619578949 WDVMHZJMBHTQOR-ZSGPHXLJSA-N 402.516 4.601 5 20 HJBD Cc1ccc(CN(C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c2ccc(F)cc2)o1 731181597 RPKHXDZPQWTZOE-UHFFFAOYSA-N 413.361 4.699 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C 735250301 CDJCRKLYAPLJCU-UHFFFAOYSA-N 405.454 4.801 5 20 HJBD Cn1c(SCc2cc([N+](=O)[O-])ccc2Cl)nc2cc3ccccc3cc2c1=O 735415446 LVMQENZQPAFLRO-UHFFFAOYSA-N 411.870 4.941 5 20 HJBD Cc1cc(O[C@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1Cl 735442103 AJFIGEYPWSPADM-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)NCc1ccc([N+](=O)[O-])cc1 736005381 ICEPFJHVUBFZFB-UHFFFAOYSA-N 409.305 4.544 5 20 HJBD CC(C)OC(=O)CS[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 741476879 VHRIFMHRBCBMGK-GOSISDBHSA-N 422.890 4.613 5 20 HJBD CN(C)c1ccc(N2CCC(NC(=O)c3cc4ccccc4c4cccnc34)CC2)cc1 741983121 BRRYFTRQZBMVMH-UHFFFAOYSA-N 424.548 4.853 5 20 HJBD O=C(Nc1ccc(OC[C@@H](O)c2cccc(Cl)c2)cc1)c1cccc([N+](=O)[O-])c1 743262075 PALYHAUXBAGGQX-HXUWFJFHSA-N 412.829 4.613 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 745565476 OMTKJHAFPJHRSN-NSHDSACASA-N 421.331 4.505 5 20 HJBD COc1cccc(CN(Cc2cc(Cl)ccc2[N+](=O)[O-])C2CCC(O)CC2)c1 746665446 AZQVQBFFZWMDTF-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1cccc(Cl)c1[N+](=O)[O-] 750081883 WYJYHWURNQEACD-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cccc(Cl)c1[N+](=O)[O-] 750176887 BPLWVRROKKCFNZ-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750178171 AUMYLHQTMSNSRD-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD C[C@@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccc1C(F)(F)F 751666390 JQMISHPQCZDXLE-NSHDSACASA-N 408.332 4.992 5 20 HJBD O=C(OCc1ccc(Br)cc1Cl)c1c(F)ccc([N+](=O)[O-])c1F 752567806 WKXCBCNCEDPLNF-UHFFFAOYSA-N 406.566 4.646 5 20 HJBD CCc1ccc([C@H](NCc2ccc(-n3ccnc3)cc2)c2ccc([N+](=O)[O-])cc2)o1 753637374 UEPNCDPOSGAAPY-HSZRJFAPSA-N 402.454 4.815 5 20 HJBD CCc1ccc([C@@H](NC[C@H](O)c2ccccc2Cl)c2ccc([N+](=O)[O-])cc2)o1 753680461 UYCHTERVWJHLKF-FPOVZHCZSA-N 400.862 4.816 5 20 HJBD Cc1csc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1Br 754574636 ZKKOOUXNPCPFAL-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 755029475 FKFGZVQKGKVPEL-UHFFFAOYSA-N 423.223 4.570 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1NC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] 756530136 SLTPCKGPKKGWOF-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc(C2CC2)no1 761180540 TYOZEDRPVJGFFA-NSHDSACASA-N 403.460 4.808 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@@H](O)c1ccco1 770016876 RDXFHQCOOUOYFI-GOSISDBHSA-N 415.833 4.609 5 20 HJBD C[C@@H](CC(=O)Nc1ccccc1C(F)(F)F)NCc1cc([N+](=O)[O-])ccc1Cl 773041961 URRCLHZJCCRSIB-NSHDSACASA-N 415.799 4.774 5 20 HJBD C[C@H](N[C@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774939896 PRNAABJLWCKQOP-MNOVXSKESA-N 413.808 4.527 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@@]12CCc1ccccc12 776134285 PCQSHIIBDUOFEE-BGWNEDDSSA-N 400.434 4.527 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(COc2ccccc2)cc1 781715159 FPABMXTUQRTQKQ-UHFFFAOYSA-N 421.409 4.653 5 20 HJBD Cn1cc(Br)c(=O)c(NC(=O)c2c3ccccc3cc3ccccc23)c1 781839568 WDPVAEUHKIOCMP-UHFFFAOYSA-N 407.267 4.707 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 788153012 KMIYFBMRLUHFQG-MRXNPFEDSA-N 424.526 4.706 5 20 HJBD CCOC(=O)/C(=C\c1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cn1 789149073 UULDUYXRDSMTKO-SXGWCWSVSA-N 415.858 4.870 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(OCC(F)(F)F)c(Cl)c2)cc1 799901094 UAJNOZQBFNQTRQ-UHFFFAOYSA-N 405.785 4.998 5 20 HJBD COc1ccc(-c2noc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)n2)cc1 815406682 QYSUTTSAAPZMBT-LLVKDONJSA-N 417.805 4.533 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CC[C@H](C(F)(F)F)c2ccccc21 817484024 YKQTZYDSCOJFSM-HNNXBMFYSA-N 423.347 4.518 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1sccc1-c1ccccc1 914796147 FCIIIQOMRUEWED-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cs1 1117734233 YLEWKMOKVOECEB-UHFFFAOYSA-N 407.495 4.894 5 20 HJBD COc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)ccc1OC1CCCC1 1326149594 GDATYWIDNDSGLD-UHFFFAOYSA-N 411.414 4.554 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)o1 1328489031 DHWIEMUONUVNBD-UHFFFAOYSA-N 414.849 4.964 5 20 HJBD COc1ccc([C@@H](Nc2cc(C)c([N+](=O)[O-])cn2)c2ccc(OC)cc2OC)cc1 1330377666 JWCPQKPXIOWNGE-JOCHJYFZSA-N 409.442 4.526 5 20 HJBD COc1ccc(NC(=O)N(CCc2ccc(C)cc2)Cc2ccco2)c([N+](=O)[O-])c1 1520129331 GAHIOFLPGBFYGI-UHFFFAOYSA-N 409.442 4.782 5 20 HJBD CC(C)=CCCC(=O)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])o3)CCC2)cc1 1790447914 JRRILLSHVMRPTK-UHFFFAOYSA-N 411.458 4.682 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)Cc2ccccc2)cc1[N+](=O)[O-] 24354634 BVAFZAHXJXWYCX-UHFFFAOYSA-N 420.490 4.820 5 20 HJBD O=C(CCCc1cccs1)Nc1cccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 58062150 ZJKDEVTYQVSVNU-UHFFFAOYSA-N 409.467 4.870 5 20 HJBD Cc1cccc(C(=O)Nc2ccc3nc(-c4cc(F)ccc4F)[nH]c3c2)c1[N+](=O)[O-] 59332418 BWJGPIQWXAPGNI-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD COc1ccc(Cn2c(COc3ccc(C)cc3)nc3ccccc32)cc1[N+](=O)[O-] 60817269 FZHIPARAOXFIGK-UHFFFAOYSA-N 403.438 4.889 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(-n2cnc3ccccc32)cc1 61733446 TWNACZOJGZYNPA-UHFFFAOYSA-N 401.426 4.564 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccccc2CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 71961320 QYAODIIWAUTXCE-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD COc1ccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 108945068 GLEPTLNQILQPIK-SFHVURJKSA-N 402.859 4.838 5 20 HJBD COc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1F 237481623 ZWKHEYAEVHKNPU-UHFFFAOYSA-N 400.381 4.964 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc2ccccc2n1C 303750920 HUUUEXNNGUOPOE-OAHLLOKOSA-N 416.437 4.765 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c(F)c1 426757634 PMUGLKQXGYCJKQ-GOSISDBHSA-N 404.781 4.563 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 427492314 HQSOEYZVDSYUTQ-UHFFFAOYSA-N 422.510 4.875 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1c(F)cccc1Cl 430641249 LRKNOIYTLSIMOP-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1NC(=O)c1ccco1 437126735 JEGICXFCZFVIDJ-UHFFFAOYSA-N 419.437 4.553 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCS[C@H](C(C)C)C3)cc2[N+](=O)[O-])n1 437204774 ZMGGMXBAXWHRJA-INIZCTEOSA-N 423.585 4.725 5 20 HJBD O=C(c1[nH]c2ccccc2c1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438135171 VQWSMJICDJPRHV-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccc(COCC(F)(F)F)c1 442212065 CQMZMYOAKGWLCE-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD COc1ccccc1C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(C)C 444098458 AFQMICBSEVEVCX-QHCPKHFHSA-N 414.505 4.687 5 20 HJBD C[C@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 444721667 WUTFIEFCHPUWSV-XOBRGWDASA-N 413.861 4.865 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccn1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444772671 XGWCRCHNJRZUMQ-UHFFFAOYSA-N 419.309 4.626 5 20 HJBD COc1ccc(C(C)(C)c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc1OC 445688580 DVPZAYANLOTDLY-UHFFFAOYSA-N 417.849 4.565 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc(OCc2ccccc2)cc1 448108335 PIHSUEVDYKGHBC-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccnc1)c1ccc(F)cc1 462438057 UTFXYZQCOJMTMZ-LJQANCHMSA-N 407.445 4.931 5 20 HJBD C[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1ccccc1Cl 462736644 OFWPJHZHLYLGRT-CYBMUJFWSA-N 403.891 4.929 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc([N+](=O)[O-])c2cccnc12 470381578 DWZWBZNKZLJINO-UHFFFAOYSA-N 417.406 4.660 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)n2)cc1 472448761 PZMIYUYNRVNEBN-HUUCEWRRSA-N 423.473 4.637 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472943259 MOZIIWWRZVTEPF-UHFFFAOYSA-N 409.269 4.723 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H]1CCO[C@H](c2ccccc2)C1 475208626 ZDNQKCJEEZQLBY-YOEHRIQHSA-N 409.364 4.655 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CC[C@@H](c4ccccc4)C3)cc2[N+](=O)[O-])C1 476157225 OBVMTMKSMFCBHW-LVCYWYKZSA-N 407.514 4.707 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cc(Cl)ccc2-c2ccc(C)o2)cc([N+](=O)[O-])c1 477899734 FMXBUKKSADTNRO-UHFFFAOYSA-N 414.801 4.856 5 20 HJBD CCc1[nH]nc(C(=O)N2CCC(=Cc3cc(Cl)ccc3Cl)CC2)c1[N+](=O)[O-] 479480471 SACXCNINFLQSKJ-UHFFFAOYSA-N 409.273 4.507 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 479481470 HJIAOOFJXOHYAN-QHCPKHFHSA-N 419.481 4.690 5 20 HJBD CCc1ccc([C@@H](NCCc2nn(C)c3ccccc23)c2ccc([N+](=O)[O-])cc2)o1 480716730 LJSNZYNEAXIZQY-QHCPKHFHSA-N 404.470 4.559 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1ccc([N+](=O)[O-])cc1Br 482519791 SBUJZSQFSCRSMZ-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)NCc1nc(-c2cc3ccccc3o2)cs1 482864860 BUUDYWJUHLEQGY-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nnc(CN2CCCC2)n1-c1ccccc1 484644522 QDAAWRIENOBPFF-QGZVFWFLSA-N 423.542 4.933 5 20 HJBD CCc1ccc([C@H](NCc2cc(COC(C)(C)C)on2)c2ccc([N+](=O)[O-])cc2)o1 486085590 KJNNICUHOHYKLN-OAQYLSRUSA-N 413.474 4.933 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1sc(Br)cc1[N+](=O)[O-] 486205972 UQEDCFBGSYNJHF-SFYZADRCSA-N 415.231 4.517 5 20 HJBD Cc1ccnc(-c2n[nH]c([C@H](C)NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)c1 486812331 ZUQQQELZCUDKOA-AWEZNQCLSA-N 420.498 4.663 5 20 HJBD C[C@@H](c1ccc(C(C)(C)C)cc1)[S@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 488792308 ANLJGWYNYAEYCN-HJFSHJIFSA-N 403.500 4.769 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccc(OC(F)F)cc3)o2)ccc1[N+](=O)[O-] 491961845 VZIMFXTYVFOLPD-UHFFFAOYSA-N 423.397 4.937 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)COc2ccccc2[N+](=O)[O-])cc1 496501261 ZCCFCQLNABJYDK-XMMPIXPASA-N 419.481 4.569 5 20 HJBD CC[C@@H](C)Sc1ccc(NS(=O)(=O)c2ccc(OC)cc2[N+](=O)[O-])c(C)c1 498397107 OYIKPJVZOACECS-CYBMUJFWSA-N 410.517 4.603 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C(F)(F)F)c1 503053705 ALDQVYIYLXKLFO-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)c2ccccc2Cl)c([N+](=O)[O-])cc1OCC 504359376 MVHJDSXPXWAMMY-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD COc1cc(OCC(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)ccc1[N+](=O)[O-] 506265446 XYNRWBQDSXNXQZ-INIZCTEOSA-N 422.437 4.652 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)[S@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 507759083 GMKJBFQPIDXYCQ-RPHGYIDUSA-N 415.298 4.740 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)cc1[N+](=O)[O-] 508101290 ZJAZNMADUCXOTD-AWEZNQCLSA-N 403.504 4.664 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)[C@H](C)Sc4ccc([N+](=O)[O-])cc4)C3)[nH]c2c1 508236328 LHWOTICOKRJQAE-HOTGVXAUSA-N 424.526 4.666 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1OCCc1ccccc1 512509504 OOSRXNORHIBLDC-UHFFFAOYSA-N 408.479 4.947 5 20 HJBD Cc1noc(C)c1[C@@H](C)CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 513952241 VKGNLQSVXGDRKR-JTQLQIEISA-N 400.460 4.764 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(C)[C@H](C)c1ccc(Cl)c(Cl)c1 519891904 ZPKIZVKBOWYOIP-LLVKDONJSA-N 403.287 4.592 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1 520713788 GYBOHKJSKZCZKG-JOCHJYFZSA-N 420.469 4.547 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 523273741 YRHIHHQJLJCSGG-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(CC(F)(F)F)c1ccccc1 523500686 NZJOVIWPOCXXEJ-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c(C)c1 531441733 BDIFZKGUFQBNSO-GOSISDBHSA-N 423.513 4.886 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)CCCc3ccc(Cl)cc3)co2)cc1 534795570 GNQSIDQZHNMHHJ-HHHXNRCGSA-N 404.875 4.785 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2c[nH]c4cc([N+](=O)[O-])ccc24)C3)cs1 536822465 RIVLUXXFJGPHJN-UHFFFAOYSA-N 424.507 4.768 5 20 HJBD CC[C@@H](NCc1nnc2c(Cl)cc(C(F)(F)F)cn12)c1cccc([N+](=O)[O-])c1 536850968 HEAATIZJTAMRJQ-CQSZACIVSA-N 413.787 4.551 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2cnccn2)c1 537094282 XRZPKDRYVJSGPG-UHFFFAOYSA-N 410.455 4.930 5 20 HJBD C[C@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1 537527003 BIVWNCRZJLFPKM-KRWDZBQOSA-N 401.466 4.900 5 20 HJBD C[C@H]1Cc2ccc(Br)cc2CN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 544410880 DSOZTQODDOYVFC-NWDGAFQWSA-N 421.266 4.573 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F 544444881 XVLQWSUJUKHFTH-LSDHHAIUSA-N 411.433 4.771 5 20 HJBD Cc1cccc(O[C@@H](C)c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)c1 546445136 HGHKONZGPVIWAN-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cnn(-c2ccccc2)c1C(F)(F)F 547239457 IOSSGQWPNCZTRA-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc2nc(C(F)F)sc2c1 552385184 XXFKZDNSXSZVJH-UHFFFAOYSA-N 402.382 4.736 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](OCC2CC2)c2ccc(Cl)cc2)c1 552680916 JVPOVDCXTYFXTE-FQEVSTJZSA-N 418.877 4.545 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 553119336 HWSRSLKVKCLOCY-KRWDZBQOSA-N 402.332 4.591 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2cccc([N+](=O)[O-])c2C)c1 558296770 LDWVJHZCXRFQME-DOTOQJQBSA-N 419.547 4.684 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(Oc2cccnc2)cc1 564606860 TYTJIBLGRZZVIJ-CQSZACIVSA-N 402.410 4.754 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1NCc1nnc2ccc(C(F)(F)F)cn12 564744375 BJBMJLZYFHGGOT-UHFFFAOYSA-N 406.151 4.575 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1cccc(Br)c1 572940513 QFNNHZULPBXOHJ-ZTFRIQLXSA-N 421.316 4.510 5 20 HJBD O=[N+]([O-])c1cc2c(Oc3cc(F)c(Cl)cc3Br)ncnc2s1 588038716 HNHUCWDLGNQFNV-UHFFFAOYSA-N 404.604 4.947 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNc2ccc(N=S3(=O)CCCC3)cc2)c1 600852634 WWFXNZNZIOFBPS-UHFFFAOYSA-N 411.430 4.702 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)NCc1ccc([N+](=O)[O-])cc1 603999550 CQKXCWIARWDEMD-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCCN(Cc4ccccc4)C3)o2)cc1 608837175 WIFSWPDGFRZSCK-HXUWFJFHSA-N 405.498 4.857 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)Nc1ccccc1COCc1ccccc1 609468998 FPVUCELNEPHNKT-UHFFFAOYSA-N 421.453 4.512 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(COC3CCCC3)cc2)c([N+](=O)[O-])cc1OC 610054539 RARRMZWSOXCWKO-UHFFFAOYSA-N 414.458 4.714 5 20 HJBD O=C(CSc1ccc(Cl)c(C(F)(F)F)c1)N1CCc2cc([N+](=O)[O-])ccc21 612343154 SYMVEZIFIWPYDJ-UHFFFAOYSA-N 416.808 4.948 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3ccccc3)cn2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 728554139 SAUVLTVGVDAJSV-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3cccc([N+](=O)[O-])c3)sc2c1 729179972 GLEBPFGNJMPAEA-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1csc(-c2ccoc2)n1 731070119 GUVFNOXEJSXKSU-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735802683 CQYGCIVZJDIYIX-ZDUSSCGKSA-N 421.906 4.564 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c1 736501001 NJWWYCXLXVETMI-UHFFFAOYSA-N 418.368 4.714 5 20 HJBD CC(C)CO[C@H]1C[C@@H](OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1(C)C 739287803 HMKOMMTYNOCTPI-DLBZAZTESA-N 404.488 4.715 5 20 HJBD C/C=C/c1ccc(OS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(OC)c1 742269139 NRWDMUODIXAFAS-ONEGZZNKSA-N 418.254 4.711 5 20 HJBD COc1ccccc1[C@@H](CN[C@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)OC(C)(C)C 746049905 WKVNJUHNLBNBIF-DNVCBOLYSA-N 415.490 4.520 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(-n2nccc2-c2ccccc2)c1 746770056 JPMSRGXMJVWFBB-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)OCc1ccc([N+](=O)[O-])cc1F 746937146 JLXRSMKVADCRMC-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@H](C)c1cccc(OC)c1 751078285 ZKHYOOQLDNCLHR-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1F 754011932 NJZPUUMSDADQRP-GFCCVEGCSA-N 414.414 4.757 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])ccc1F 754404909 DAOOXVZWYWNVGJ-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD CCc1ccc(C(=O)N(Cc2ccccc2)C[C@@H](O)c2cccs2)cc1[N+](=O)[O-] 755766145 ZPKJCSYYOVKRLD-HXUWFJFHSA-N 410.495 4.595 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCc1coc(-c2ccc(C(F)(F)F)cc2)n1 756160193 LIUQMVWZOIZGHR-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1ccc(Br)cc1[N+](=O)[O-] 756776167 XTEDSNKICTVAAJ-LRDDRELGSA-N 416.275 4.598 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1Br 760480845 GRDRWJTWNONPCH-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])c(Cl)c1 764288207 NUUAGRCRDSTKLR-SFHVURJKSA-N 402.237 4.816 5 20 HJBD C[C@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 765848593 IACWHSRBPKVLPL-QMHKHESXSA-N 410.445 4.697 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778015407 VQIWGDKQVGGODH-UHFFFAOYSA-N 411.408 4.797 5 20 HJBD C[C@@](O)(CCNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 779347402 QSDKSKZXBBKLAI-JOCHJYFZSA-N 414.527 4.657 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1cccc([N+](=O)[O-])c1Br 782118538 YDAPEZFNXFESSV-UHFFFAOYSA-N 419.231 4.651 5 20 HJBD C[C@@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2n1C 784053061 ICPGXVOBVPUFSP-LLVKDONJSA-N 405.863 4.531 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 784444458 GXZJTEVYJJVLJB-NQIIRXRSSA-N 400.450 4.586 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1OCCC(C)C 784979867 PKBUGBRQGHVAGU-UHFFFAOYSA-N 419.865 4.559 5 20 HJBD C[C@@H](OC(=O)c1csc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 786350378 SWKAOCQKWCWQOZ-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 788073181 UJXIXNSRPXEJDQ-OAHLLOKOSA-N 405.288 4.678 5 20 HJBD CCN(C(=O)Cc1ccc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1)c1ccccc1 788728546 OEZNVPOWAMVIQS-QGZVFWFLSA-N 418.497 4.946 5 20 HJBD CC(C)C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790484860 UDBFSSRBXLZHFO-QGZVFWFLSA-N 418.856 4.710 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)c2C(C)C)cc1 791189772 DTOFNRHHWRCJTP-UHFFFAOYSA-N 423.429 4.681 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1cccc([N+](=O)[O-])c1Br 795580944 BRAYEKORDXHUHR-UHFFFAOYSA-N 420.240 4.612 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1 800151156 GPRXLGZUBLZWGT-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1Cl 805440620 FWXDYSPCPRARLK-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD COc1cc(Cl)ccc1-c1noc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)n1 809485086 MDHJJUUNRUULPO-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Cl)ccc1OCC(C)C 816863135 YYSUKCXUTNHQMI-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 913286483 WGIFFEXGSZVWTE-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 921132623 CIWROCNNJZETMU-IBGZPJMESA-N 423.808 4.922 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(-n3cncn3)cc2)cc1[N+](=O)[O-] 1115833448 JOEXVSFTNAEHMI-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nnc(-c3ccc(COc4ccccc4)o3)o2)c1 1117245710 KYSOKHWMRQCJCH-UHFFFAOYSA-N 416.393 4.820 5 20 HJBD COc1cc(COc2ccc(C)c3c2C(=O)C[C@@H]3C)c([N+](=O)[O-])cc1OC(F)F 1317890202 ARHWBWBCITXKNH-NSHDSACASA-N 407.369 4.782 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)ccc1F 1323900318 ORXNFGIEULDIQP-UHFFFAOYSA-N 400.366 4.798 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCc1ccc(F)cc1)c1ccccc1 21819990 QXOZXFUREFPBJR-FQEVSTJZSA-N 413.836 4.857 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)ccc21 27807726 KALLKPXTFKYFKZ-UHFFFAOYSA-N 403.394 4.704 5 20 HJBD C[C@H](N[C@H](C)c1ccc(F)cc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 28895628 VBFYPCZWHLFBCF-ZJUUUORDSA-N 417.334 4.570 5 20 HJBD O=C(NCc1csc2ccccc12)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 57839236 PFQFXHHUOGCIHU-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD COc1ccc(CCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 61159642 IKHGXMVAQNAHCX-UHFFFAOYSA-N 410.392 4.517 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(-c2ccoc2)nn1-c1ccccc1 65065547 CURGSZLGKAHIGP-UHFFFAOYSA-N 420.450 4.771 5 20 HJBD Cc1noc(C)c1COc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 65864700 MUGAUFMHZIXSPF-UHFFFAOYSA-N 423.469 4.829 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(NCc2ccccc2)c(Cl)c1 78452028 TUHCPTACXSZRFC-UHFFFAOYSA-N 410.861 4.911 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)CSc1ccc([N+](=O)[O-])cc1 109856189 DWKSYOZJDXBLTB-FQEVSTJZSA-N 422.510 4.756 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 116160473 DRCQXZWRXYLSBI-RTWAWAEBSA-N 407.514 4.993 5 20 HJBD O=C1CCCN1Cc1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 238063804 DFQBSMZOAAUAPK-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD CC(C)[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 302213867 ZJJVRZKPVHVNDC-CQSZACIVSA-N 422.450 4.888 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 303018823 OGURFRNWNIOYMX-DZGCQCFKSA-N 410.499 4.705 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 436345158 LXXPIVJXYGOKGO-CQSZACIVSA-N 421.297 4.605 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 437484160 NUMKUTFWNRILQW-MQMHXKEQSA-N 403.360 4.771 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCC[C@@H](C(F)(F)F)C1 438517577 MKBVQQFUVIMVDG-NWDGAFQWSA-N 408.804 4.502 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccncc3OCC(F)F)o2)c(Cl)c1 441350827 RJMQDNWBDLWJPI-UHFFFAOYSA-N 423.803 4.837 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1[nH]ccc1-c1c(Cl)cccc1Cl 442300994 UDZVVMACKDSNDG-UHFFFAOYSA-N 404.253 4.869 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)CCc2cccc(F)c2)c([N+](=O)[O-])cc1OCC 443842980 NKDPMIVAUKZKFB-AWEZNQCLSA-N 404.438 4.739 5 20 HJBD COc1ccc2cc(CNC(=O)c3cccc4c(=O)c5ccccc5[nH]c34)ccc2c1 444828940 PGSUHCOKOUVJGV-UHFFFAOYSA-N 408.457 4.773 5 20 HJBD Cc1nc(-c2cccs2)ccc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460359724 PAIVLNPIXYEMHL-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD C[C@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1ccccc1C(F)(F)F 461406503 KIAPHWOSQHPUIO-CYBMUJFWSA-N 408.376 4.528 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 462703366 JYAATGVXPSITHE-DOTOQJQBSA-N 408.907 4.513 5 20 HJBD CCOc1cc(NC(=O)c2nc3ccccc3cc2Cl)c([N+](=O)[O-])cc1OCC 463297851 RSJBTJDDYUJYIS-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 463968785 IKQIZFQIWCGAIK-PXNSSMCTSA-N 401.438 4.735 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 464654768 JYAMTFDLTFEXOA-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CC(C)(C)c1csc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)n1 468633681 ZMIBZOIJKCQOSV-UHFFFAOYSA-N 413.449 4.966 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H](c1cccc(Cl)c1)c1ccccn1 472273231 FEARIRYCIRKMIW-JOCHJYFZSA-N 420.856 4.504 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 482512517 YTJSLYXKLUDNMR-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 483239772 ADEMHKTUGGHHBD-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(n3cc(Br)cn3)CC2)ccc1[N+](=O)[O-] 485142411 FACOJFNTUNQLJW-UHFFFAOYSA-N 423.311 4.573 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 485800685 MRXFDHCXUSCYLL-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C1CCc2cc(NC(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)ccc2N1 486928971 VYBVBVNXTSVNGF-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD CCn1c(S[C@H](C(=O)c2ccccc2)C(C)C)nnc1-c1ccc([N+](=O)[O-])cc1 489436284 FFGULGGPEXNIQX-IBGZPJMESA-N 410.499 4.873 5 20 HJBD COc1cc(C(=O)N[C@H](C)[C@H](C)C2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 490858692 MZMKIHXOWIQOKF-NWDGAFQWSA-N 400.422 4.540 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1nc2c(ccc3ccccc32)s1 491062887 XTAZLIWTXXKFRB-UHFFFAOYSA-N 419.871 4.812 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@H](c1cccnc1)c1ccc(F)cc1F 496490992 HFZYBZGAHHNVCZ-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@@H]1CCCOC1 503926160 ITEONZFXXUWDAT-QWHCGFSZSA-N 409.280 4.522 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ncccc2-c2ccccc2)cc1[N+](=O)[O-] 504563083 JBPNOZDZZUTRCB-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CSc1ccc(C(=O)NCCCO[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 505538481 OQPYJQXXXBOTQU-IBGZPJMESA-N 400.500 4.531 5 20 HJBD Cc1cn2c(n1)[C@H](CNC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1)CCC2 505904509 RKYVVXMHNXVRQW-KRWDZBQOSA-N 416.547 4.545 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1OCC(F)(F)F 506903690 ZSZTYJUOBCEMIV-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1cccc(OC(F)F)c1 509327959 OATBLORODRJKKT-AWEZNQCLSA-N 407.417 4.877 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H]1CCSc2ccc(F)cc21 510832509 ISDKNJAOJPQJIE-GFCCVEGCSA-N 413.377 4.694 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2c(F)cccc2F)cc1[N+](=O)[O-])c1ccccn1 511394532 OPBATFQDBUSCJH-ZDUSSCGKSA-N 412.396 4.718 5 20 HJBD CNC(=O)c1ccc(Nc2ccc(Oc3ccccc3OC)c(F)c2)c([N+](=O)[O-])c1 511737209 ZQTGDKWMJCGVDR-UHFFFAOYSA-N 411.389 4.638 5 20 HJBD O=[N+]([O-])c1cccc(CSCc2nc(-c3ccc(Br)s3)no2)c1 512244521 SDBDKLRBAGSXTO-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(c2ccc(Cl)cc2)CCOCC1 521520912 LAHGDYXGIHJEBL-UHFFFAOYSA-N 417.893 4.681 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)Nc1ccccn1 522755739 YKRJXNIJWMKRDR-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=C(NCc1ccoc1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522767614 ZHBWJNVIIOFECG-UHFFFAOYSA-N 419.796 4.772 5 20 HJBD CCCCOCCN(C(=O)c1cccc([N+](=O)[O-])c1)c1cccc(Br)c1 522860940 JPAJFKKPMWKJCL-UHFFFAOYSA-N 421.291 4.821 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4cc(Cl)cc([N+](=O)[O-])c4)n3)c2)n1 523107367 WQRQRUIYDFSTNK-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD COc1cccc(CCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 524223447 RZGBXUTWGNADKU-UHFFFAOYSA-N 407.426 4.760 5 20 HJBD Cc1nc2cc(NC(=O)c3ccc(N4C[C@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)ccc2n1C 525217418 MPNZORVVRVORHJ-HUUCEWRRSA-N 421.501 4.525 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nnc(SCc2ccc(Cl)cc2)s1 525631739 ASWZNGIEEDGZDY-UHFFFAOYSA-N 420.903 4.573 5 20 HJBD COc1cc([C@@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 525761136 VEAWGLDZSNDHME-IAGOWNOFSA-N 407.470 4.989 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc4sc5ccccc5n34)co2)cc1 534791230 QLJXWIYWMLRQCO-UHFFFAOYSA-N 409.452 4.800 5 20 HJBD COc1cccc([C@@H](C)CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 537146031 LYPKEYYHRQAIJV-AWEZNQCLSA-N 411.483 4.884 5 20 HJBD CC(NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)(c1ccccc1)c1ccccc1 540405596 BQHKHOKXMVYSKA-UHFFFAOYSA-N 400.438 4.698 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCC[C@H](C(F)(F)F)C1 542232603 UNKLFIRGQAJOPD-MNOVXSKESA-N 410.845 4.825 5 20 HJBD CCCCN(C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC(C)(C)NC(C)(C)C1 542596636 YGMXTUWUCJJYRJ-OAHLLOKOSA-N 407.530 4.775 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccc(Cl)s3)n2)cc1[N+](=O)[O-] 542932599 FJPOPYONGFGTMK-UHFFFAOYSA-N 409.876 4.623 5 20 HJBD C[C@H](C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccc([N+](=O)[O-])cc1F 543583228 RKRXFNJTKKYPDC-AWEZNQCLSA-N 410.401 4.761 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544427646 QVPQQWZOZAWAHH-GFCCVEGCSA-N 413.430 4.790 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544530479 RTLYOANLSDYQOR-NHCUHLMSSA-N 411.433 4.741 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCc2c1cccc2C(F)(F)F 545361947 PKNMTFWVPAIYPS-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1cccc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n1 552071503 KLZSLPQLELXOOB-UHFFFAOYSA-N 416.275 4.953 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCO[C@@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 553634600 AHVBKXDKMMQSKM-LSDHHAIUSA-N 403.504 4.522 5 20 HJBD O=C(NC1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1)C1CCCCC1 557557507 YNFCLFHCHVAGGH-UHFFFAOYSA-N 400.306 4.567 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 557753337 ZOJSDYNUHYLMHR-AVCGJXAMSA-N 423.513 4.594 5 20 HJBD COc1ccc(Cc2nc([C@@H](F)c3ccc(C(F)(F)F)cc3)no2)cc1[N+](=O)[O-] 558704439 BQVLNFWNHINXMT-INIZCTEOSA-N 411.311 4.655 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 565498595 LJMPJGGTZROUDN-UHFFFAOYSA-N 401.511 4.550 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(C(F)(F)F)cc1Cl 576768770 PQNCCMSHDULPQN-UHFFFAOYSA-N 401.772 4.973 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c(C(F)(F)F)c1 578200987 RKOCNTXHOLTGTH-UHFFFAOYSA-N 408.336 4.529 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 581161816 PVTRCQHVTDSLHG-MRXNPFEDSA-N 412.273 4.709 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2ncco2)c1 581477190 BNOLXMRXJRSGCG-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C(C)C)cc1 603705788 WWOILGFZVVMABV-QVKFZJNVSA-N 411.502 4.869 5 20 HJBD C[C@@H](NC(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc(Cl)c(Cl)c1 609150774 QKYSQBRVYDMFSE-GFCCVEGCSA-N 419.268 4.643 5 20 HJBD Cc1nc2ccccc2n1Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)ccc1Cl 609507516 RMQGYEYSGQIKRR-UHFFFAOYSA-N 410.817 4.800 5 20 HJBD CCCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C1CC(C)(C)NC(C)(C)C1 609793208 WJRZCGBCTBIGLK-UHFFFAOYSA-N 409.958 4.729 5 20 HJBD Cc1ccc([C@H](Nc2ccc([N+](=O)[O-])s2)c2cccc(S(C)(=O)=O)c2)cc1 610282193 QHOVYCQEIXSIEP-IBGZPJMESA-N 402.497 4.570 5 20 HJBD O=C(c1cnc(-c2ccc(C(F)(F)F)cc2)s1)N1CCc2c1cccc2[N+](=O)[O-] 610434477 GVMFTNHEGDPOQT-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD O=C(N[C@H]1CC[C@@H](CO)CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613515175 FVAJBVKNNWTWKO-OTVXOJSOSA-N 420.918 4.680 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCc1c(F)cccc1[N+](=O)[O-] 728987758 CIZYKGZAVXQAQX-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD C[C@H](OC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 729788940 VTKJSBYBMWFYKU-NYHFZMIOSA-N 417.417 4.967 5 20 HJBD CCCCC[C@H](NC(=O)c1ccc(Sc2nncn2C)c([N+](=O)[O-])c1)C(C)(C)C 734346630 VFMSMJSQYIHYAJ-KRWDZBQOSA-N 419.551 4.599 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)c2ccccc2)c1 734407072 YZNHPKMCBZOMLM-DAKWHLKCSA-N 406.463 4.555 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 735245222 HPZIPSPLNKBKTL-NSHDSACASA-N 413.218 4.843 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccccc1Br 736159938 IFABLFRCVNAWET-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD CC(C)(C(=O)Oc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 739515957 VNEXVWVKUCIERH-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1ccc([N+](=O)[O-])cc1Br 740410721 SMTSVQHZKHODSH-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccccc1OCC(F)(F)F 744497667 OPCNVRFHHGLFOR-LBPRGKRZSA-N 415.389 4.760 5 20 HJBD Cc1nc(COc2ccccc2C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cs1 746062545 BXNRRYZKMYUDFE-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD COc1cc(C(=O)Nc2nc3ccc(SC(F)F)cc3s2)ccc1[N+](=O)[O-] 751043659 OPTDNQAYVGYHNK-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)c1ncc(C(C)(C)C)o1 751690206 HATDBNCPDNSDDO-QMMMGPOBSA-N 415.215 4.700 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc(C(F)(F)F)c1 759341291 JTLMEIBZVFTYFR-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139495 WHNMPYTTXNGHSR-IBGZPJMESA-N 421.434 4.961 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 776570568 CMWROCDVADVURH-OAHLLOKOSA-N 412.877 4.638 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1ccccc1Cl 777414003 FSNQPYHYYOCOHN-KRWDZBQOSA-N 408.907 4.604 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H](c2ccccc2OC(F)F)C(F)(F)F)cc1 778532101 LCIFUPKGKVBVSS-ZDUSSCGKSA-N 421.347 4.786 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@@H]1CCn2ccnc2C1 779214725 UDKABUIPEYPLSV-HXUWFJFHSA-N 421.500 4.875 5 20 HJBD CC(NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])(c1ccccc1)c1ccccc1 781646723 RKYWGPIYNHHLDR-UHFFFAOYSA-N 419.437 4.516 5 20 HJBD CSc1ccc(C(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 784534447 AGNIHNARADNMFY-AWEZNQCLSA-N 410.417 4.965 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)N(CCCCCF)c2ccccc2)ccc1Cl 789570826 LWOJRFLZSQATDL-UHFFFAOYSA-N 400.859 4.583 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c([N+](=O)[O-])c1 792881333 LPCDHCODBVOXEZ-CQSZACIVSA-N 407.707 4.689 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2cc3ccccc3[nH]2)CC1 799236516 RDFKNADIWBWAFY-UHFFFAOYSA-N 408.458 4.886 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 800225091 LISBPDVUMGXWPK-HZPDHXFCSA-N 413.271 4.818 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 806342128 FZYQEJLVUFCUMQ-QFIPXVFZSA-N 419.481 4.979 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1cccc([N+](=O)[O-])c1 806454777 NUKJSNCIQNCQBM-FDDCHVKYSA-N 419.481 4.601 5 20 HJBD Cn1ccnc1[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(Cl)cc1 809920149 SVZAKCGTVVEVJQ-MRXNPFEDSA-N 420.256 4.546 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])c(OC(F)F)c3)CC2)cc1 812481571 AOBSWZZCUAHZJS-HXUWFJFHSA-N 422.428 4.545 5 20 HJBD COc1ccc([C@H](O)C2CCN(Cc3ccc(-c4ccccc4[N+](=O)[O-])o3)CC2)cc1 812774296 KAYODFQSRAYCML-DEOSSOPVSA-N 422.481 4.809 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)n1 813242378 RBCCLYAPHKQLIE-UHFFFAOYSA-N 400.822 4.848 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 825487349 AKJOYGFOAPUVTD-HXUWFJFHSA-N 424.359 4.580 5 20 HJBD O=C(OCc1ccccc1C(F)(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 844245818 SQKUIEUPNKWGRS-UHFFFAOYSA-N 408.376 4.573 5 20 HJBD CN(Cc1cccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1)C(=O)OC(C)(C)C 918109134 VYAIPPBLMFGLCG-JLHYYAGUSA-N 411.458 4.614 5 20 HJBD COc1cc(OCC(=O)N(Cc2cccs2)c2ccc(C)cc2)ccc1[N+](=O)[O-] 921003395 SOFURTMGFDUICD-UHFFFAOYSA-N 412.467 4.586 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1 921010913 LMKGAPVUVTWBEL-OAQYLSRUSA-N 412.392 4.595 5 20 HJBD C[S@](=O)Cc1ccccc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1321131108 LXMALNKSJIYWAI-MHZLTWQESA-N 404.875 4.999 5 20 HJBD CC(C)N(CCc1ccccc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 1321693348 JLKUOTDTAPAICS-UHFFFAOYSA-N 410.392 4.629 5 20 HJBD CC(C)(NC(=O)CSc1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 1324359573 LLZYGFHCPJDMSU-UHFFFAOYSA-N 409.305 4.501 5 20 HJBD CC(C)OCc1c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)oc2ccccc12 1327807361 VPNQSOZFCQBIBL-UHFFFAOYSA-N 409.398 4.895 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 7108209 OLCRZAKLFMUWLN-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c(O)c1 17409870 PVDKDGVTDQHYTE-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c([N+](=O)[O-])cc1OCCC 17741923 VSHWJZSPHPJTAV-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD CCOc1cc(C(=O)N[C@@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 26905902 HJUWRMYJFNXZKW-FQEVSTJZSA-N 420.465 4.716 5 20 HJBD CC(C)c1ccc(CN(C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)C2CC2)cc1 32046375 BZIVJEIKHKCBOQ-UHFFFAOYSA-N 404.470 4.709 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1Cl 50346768 ALISAZOQHZUAGD-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CCc1cc(Oc2ccc3c(C)cc(=O)oc3c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 59577538 CSSWLMUBRCWKGS-UHFFFAOYSA-N 403.394 4.821 5 20 HJBD COc1ccc(Cl)c(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 64830413 LQEKEEJVRFBKPE-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc(C3OCCO3)cc2)o1 79309201 ZQPUYZJKPUKKTB-UHFFFAOYSA-N 414.845 4.843 5 20 HJBD Cc1cc(NC(=O)c2ccc(Oc3cccnc3)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 109070206 GQIILYAFFVRYCD-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H](Nc2ccccc2)C1 110550105 STRWHCDUQRPVQI-HXUWFJFHSA-N 402.454 4.665 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(F)ccc1Br 217718966 PHSLMKIHRADRLT-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 237431505 BYHWRHPJRMLWTH-OAQYLSRUSA-N 406.482 4.994 5 20 HJBD Cc1ccc(CN[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 237883278 MJRLAQAWIWRIGX-NRFANRHFSA-N 404.445 4.923 5 20 HJBD O=c1c(Cl)c(NCc2ccc3ccccc3c2)cnn1-c1ccc([N+](=O)[O-])cc1 301150256 CSIYJUQGDPLJOB-UHFFFAOYSA-N 406.829 4.559 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 303278466 HWJZJDKAYMAMCS-GOSISDBHSA-N 415.248 4.623 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 303416152 SLMTWPOMTSBUNJ-OAQYLSRUSA-N 415.449 4.824 5 20 HJBD CC(C)Oc1ccc(N(C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 428369940 MGIAJISFCUHHTE-UHFFFAOYSA-N 419.286 4.514 5 20 HJBD COc1cc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])cc1OC 435744824 ZJVWXZTZZAUBPR-SAZUREKKSA-N 410.392 4.667 5 20 HJBD O=C(Nc1ccc2ncccc2c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436076707 KSZXRJJBKXJLDH-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD COCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@@H](C)c1ccccc1 436255715 WXNIBNYODQXZFN-KRWDZBQOSA-N 400.478 4.531 5 20 HJBD COc1ccc(Br)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443878813 OMKHIBJKTLPPJJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H]1c1ccccc1 443901475 QEUMRPISZPMUOZ-SJKOYZFVSA-N 410.417 4.932 5 20 HJBD Cc1ccc([C@H](CC(=O)OC(C)C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443950504 VGFLCTXRJVUFDU-SFHVURJKSA-N 413.474 4.725 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 445173913 QJNXGGUTRMYQEG-ZETCQYMHSA-N 418.338 4.664 5 20 HJBD COc1cnccc1-c1noc(/C=C/c2ccc(-c3ccccc3[N+](=O)[O-])s2)n1 445623130 DXUJZEJIQLGDRE-VQHVLOKHSA-N 406.423 4.947 5 20 HJBD CC(C)C(C)(C)CNC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 446189024 ZAZZUKIYVANDGR-UHFFFAOYSA-N 421.544 4.544 5 20 HJBD CC(=O)c1cc(F)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(F)c1F 461104823 VUACTVLQGRGIFJ-UHFFFAOYSA-N 418.780 4.599 5 20 HJBD O=C(NCCC1CC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 465976854 YOACGDBNNOVEND-UHFFFAOYSA-N 407.829 4.779 5 20 HJBD CC(=O)Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1C 468019080 DVULPJOVXXWRFL-UHFFFAOYSA-N 403.438 4.709 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCOc2c(-c3ccccc3)cccc21 470903721 LPZHZFVLCUWQPM-IBGZPJMESA-N 418.449 4.914 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(-c2nc(C3CC3)no2)c1 471658075 JZMLPJGYPCUBAK-ZDUSSCGKSA-N 424.482 4.950 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 472002416 LWLFYLYNZWVBGU-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccccc3OCC(F)F)cc2[N+](=O)[O-])CC1 475412426 OPKWQBHGJVEMHU-UHFFFAOYSA-N 419.428 4.727 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CC=C(c2ccccc2C(F)(F)F)CC1 477829320 YINLQHMLBQKFSO-UHFFFAOYSA-N 405.376 4.613 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1c(Cl)cccc1[N+](=O)[O-] 479352240 XUGHEUINTFYOPG-SNVBAGLBSA-N 414.676 4.564 5 20 HJBD COC(=O)c1c(C2CC2)csc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480730074 XDADMVGGWVULFD-UHFFFAOYSA-N 415.471 4.517 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 482781631 MPVBGFURHOBORY-CABCVRRESA-N 422.489 4.891 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@@H](n3cnc4ccccc43)C2)nc1-c1ccc(F)cc1 485420390 WECMRTWOHNNRCC-QGZVFWFLSA-N 403.417 4.597 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 486218833 SKZFWYZXDCLKTH-UHFFFAOYSA-N 401.422 4.887 5 20 HJBD Cc1csc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Br 486703156 XQANNEFKRJOFIW-UHFFFAOYSA-N 423.210 4.676 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c1 486866218 BYOPLTWGSLIFAX-UHFFFAOYSA-N 416.865 4.577 5 20 HJBD O=C(Nc1ccc(-c2nnc3n2CCCCC3)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 491793848 GCMOGKKTJFFHJI-UHFFFAOYSA-N 417.425 4.578 5 20 HJBD O=C1Nc2cc(Cl)ccc2[C@H]1C1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 493896595 HNZSFOLCLSELLD-LJQANCHMSA-N 420.296 4.850 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccc(F)cc1Br 498036170 OBKSXCUPLHDGTC-LLVKDONJSA-N 411.227 4.519 5 20 HJBD CCC1CCC(N(C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C2CC2)CC1 499163661 WXFAXBZZPVAJOZ-UHFFFAOYSA-N 412.433 4.778 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCN(Cc2ccccc2)c2ccccc21 499365510 UNKVGCJAGWVEKD-UHFFFAOYSA-N 424.460 4.810 5 20 HJBD CCC(CC)(CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)N[C@@H](C)c1ccccc1 499949710 JDXHTECUENZOLJ-INIZCTEOSA-N 408.502 4.716 5 20 HJBD CC(C)(C)c1ccc(C(=O)NC[C@@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 500291212 JLSKRLGYTHNLAK-INIZCTEOSA-N 415.559 4.596 5 20 HJBD Cn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nc2cc3ccccc3cc2c1=O 501151019 VPZQFCKNOMNGFC-UHFFFAOYSA-N 411.870 4.941 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(Cl)c(O)c(Cl)c1 501979649 PHGHLWFNGMMKSN-UHFFFAOYSA-N 413.260 4.806 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@H](C)c1ccc(F)cc1 502449675 DQBXFMRITQXYLQ-LLVKDONJSA-N 412.364 4.567 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 505481478 UVPXIYCBYZSUQT-UHFFFAOYSA-N 416.371 4.550 5 20 HJBD O=C(CC[S@@](=O)Cc1ccccc1Oc1ccccc1)Nc1ccccc1[N+](=O)[O-] 507698065 NGRASGVASQELRT-SSEXGKCCSA-N 424.478 4.665 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512762137 NZXSMIOWQWSELF-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1ccccc1[C@@H](C)c1noc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 514756945 XABIFCPQXUQXSI-OXQOHEQNSA-N 420.469 4.724 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(C1CC1)[C@@H]1CCCc2ccccc21 515372347 PEAAXRNRJSVMPB-JOCHJYFZSA-N 419.525 4.880 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)c(C)c2c1 520732403 GUSKJHDBQQNSAI-OAHLLOKOSA-N 411.458 4.728 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](c1ccccc1)c1ccc(F)cc1 524671454 PMGHIVZPKXGRES-JOCHJYFZSA-N 408.429 4.994 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1 525665660 QLOTZNVYLHEJJE-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)ccc2s1 533605801 WQNVCNAASYNFOE-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD COc1cccc(COc2cccc(NC(=O)NCc3ccccc3[N+](=O)[O-])c2C)c1 535218998 HAPQXEKKQKAHLD-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD Cc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)s1 535719388 PGASMPDMHLDUGS-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(Oc2ccccc2Cl)CC1 536721442 JYEXSIZJPBHKDH-UHFFFAOYSA-N 402.878 4.857 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(-n4cncn4)c(F)c3)o2)c(Cl)c1 537731532 VWCCPUWIYMWBRE-UHFFFAOYSA-N 413.796 4.840 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)N(Cc1ccccc1[N+](=O)[O-])C1CC1 537807354 DPDFSQWBSKAOQG-UHFFFAOYSA-N 400.287 4.837 5 20 HJBD CC(=O)c1cc(Br)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 541405733 CJWYKHUDZUZGHF-UHFFFAOYSA-N 403.188 4.558 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2COC(C)(C)CC)c([N+](=O)[O-])cc1OC 541634065 RCBHCLNBLXYKIC-UHFFFAOYSA-N 416.474 4.960 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543288158 GYVUIYZPJHDHTH-HNNXBMFYSA-N 406.891 4.713 5 20 HJBD C[C@@H](c1nc(-c2ccc(OCc3cccnc3)cc2)no1)c1ccc([N+](=O)[O-])cc1F 544617484 XYFFUAGISIHLQE-CQSZACIVSA-N 420.400 4.910 5 20 HJBD Cc1ccc(-c2ccc(CN(C(=O)c3cc([N+](=O)[O-])cnc3N)C(C)C)s2)cc1 545394680 CWDNBWRVDQLSGH-UHFFFAOYSA-N 410.499 4.660 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 553210204 QEJZLYKSRUKWSL-KBPBESRZSA-N 410.396 4.526 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)Nc3ccc(C(N)=O)cc3[N+](=O)[O-])cc2)c1 556293771 YOZRQKJJFRBYOX-MRXNPFEDSA-N 405.454 4.754 5 20 HJBD CCn1c(Sc2ncnc3ccc(SC)cc23)nnc1-c1ccc([N+](=O)[O-])cc1 588820522 IBNGQHRSFZSUDD-UHFFFAOYSA-N 424.511 4.690 5 20 HJBD COC(=O)c1cc(C(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)cc([N+](=O)[O-])c1 603531407 LBDWDVAOLFYKNX-HNNXBMFYSA-N 424.478 4.847 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1cccnc1Oc1cccc(Br)c1 603732714 QNVARKQCZOEKJI-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COC(=O)COc1c(C)cc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1C 604496762 PRGVNNJPWRCXGQ-HYARGMPZSA-N 418.449 4.747 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccccc3Cl)cs2)cc1[N+](=O)[O-] 609009480 VALZPGKLPXPZST-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CCOc1cc(NC(=O)N2C[C@@H](c3ccccc3)C[C@@H]2C)c([N+](=O)[O-])cc1OCC 609683476 XTUFNICFLZKMDV-RDJZCZTQSA-N 413.474 4.802 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(Nc3ccc4nnc(C(F)(F)F)n4n3)c2)cc1 609765140 KETWYEXOWWXUGG-UHFFFAOYSA-N 416.319 4.587 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)Nc3ccccc3)c2)c([N+](=O)[O-])c1 610151107 SZULNFPABGYOHC-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC(C(F)(F)F)CC1 610304347 VJUNTUPENVLPJV-UHFFFAOYSA-N 407.392 4.621 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2)nn1 610876500 FSUMLYFAJJSKAT-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 611202522 OFESCPKBBDRKDM-DYESRHJHSA-N 414.527 4.947 5 20 HJBD CC[C@H](C)n1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1ccccc1 611711149 SARJIAPNAAZYDS-ZDUSSCGKSA-N 406.471 4.919 5 20 HJBD C[C@@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C1CCCCC1 725969143 KSHYOUOTJPMMQW-LBPRGKRZSA-N 416.396 4.702 5 20 HJBD CC(=O)Nc1ccc(Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 727430555 BTTKACBVNYMBNV-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 728491125 DVLDIRBYBDEJQX-UHFFFAOYSA-N 420.318 4.983 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCc1c(F)cccc1[N+](=O)[O-] 728991137 PMAOJIRXQCDOEA-UHFFFAOYSA-N 423.487 4.725 5 20 HJBD Cc1ccc(-c2nc(CC(=O)OCc3cc([N+](=O)[O-])ccc3OC(C)C)cs2)o1 729117953 QWPHBDVJXPKBCK-UHFFFAOYSA-N 416.455 4.693 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1ccc2ccccc2c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 731268621 NWFLJJDCZHJALP-MJXNMMHHSA-N 422.412 4.561 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)OCc1cc([N+](=O)[O-])ccc1Cl 734781216 UHCPCTOOEYWUTD-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 741629672 QNHWUVXKDSNIPB-XJKCOSOUSA-N 403.276 4.764 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 742464801 PWFRORZFGKMLDG-DNVCBOLYSA-N 413.474 4.744 5 20 HJBD CC/C(=C\C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 745752273 DBKAXTYNSHKGHG-MNWMYKRDSA-N 402.834 4.612 5 20 HJBD CC(C)c1cc(=O)oc2cc(Oc3ncc([N+](=O)[O-])cc3Br)ccc12 749143585 KCHKHQDREXHAED-UHFFFAOYSA-N 405.204 4.774 5 20 HJBD Cc1c(C(=O)N2CC3(CCCC3)c3c(F)cccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 749150130 JDPZHAJNRLJTHT-UHFFFAOYSA-N 413.405 4.731 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755015282 ZHXOBVPNQSIJQD-WCQYABFASA-N 407.239 4.515 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755030113 MGBWBMIRPQVPQK-VIFPVBQESA-N 414.206 4.860 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 755669920 DBTVCBPMAOHIRR-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD C[C@H]1C[C@H](c2cccnc2)N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 756319641 KNYKIPZXFDOLAY-IINYFYTJSA-N 424.682 4.629 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2cccc([N+](=O)[O-])c2Br)cc1 757980366 BGKWCGJBMNHAPX-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD CC[C@@H](C(=O)N1CCC(NCc2ccc([N+](=O)[O-])c(Cl)c2)CC1)c1ccccc1 761523041 PWEBZSKIQLMGJN-LJQANCHMSA-N 415.921 4.523 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cs2)cc1 766228195 UKCXMIRABMBMEY-UHFFFAOYSA-N 421.434 4.632 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 767889087 IXNUZEDEDYJJNN-HNNXBMFYSA-N 408.479 4.729 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2CCC(O)(c3cccnc3)CC2)s1 769932725 SDIRMRBWAKAHNJ-UHFFFAOYSA-N 409.511 4.638 5 20 HJBD CC[C@@H](Oc1ccccc1F)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218693 UGSJAEFOKIRCIH-CTNGQTDRSA-N 410.401 4.619 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259677 IIQBPWIHLYHMKK-UHFFFAOYSA-N 408.797 4.600 5 20 HJBD Cc1cc(Cl)nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 800066691 CKSHRYLNLVZUGZ-UHFFFAOYSA-N 405.907 4.916 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1 800149983 ZTKJLMALFZFKAY-UHFFFAOYSA-N 412.421 4.933 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCC[C@H](Cc2csc3ccccc23)C1 801949105 BHOXZBQTDSKJMS-CYBMUJFWSA-N 413.474 4.626 5 20 HJBD CCn1c(SCc2cc(Br)no2)nc2cc3ccccc3cc2c1=O 813568109 FQCOFVIDACIVBH-UHFFFAOYSA-N 416.300 4.612 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)SCc1cc([N+](=O)[O-])ccc1OC 861871418 ODRVOHLUGRXTLM-AWEZNQCLSA-N 402.516 4.989 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])cc(Cl)c1OC 864040363 AUAHBEGSVLUQNE-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 921250297 DPYVKZKUIIJOPO-UHFFFAOYSA-N 424.416 4.839 5 20 HJBD COc1cc(Cc2noc(CCOc3cc(Cl)ccc3Cl)n2)ccc1[N+](=O)[O-] 1116613077 PDAXMWQVOGFCAQ-UHFFFAOYSA-N 424.240 4.506 5 20 HJBD COc1cc(-c2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)ccc1OC(C)C 1118415488 LLZDNCGLIZKNON-UHFFFAOYSA-N 405.357 4.581 5 20 HJBD O=C(N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319678832 RZNFGIQBRNPUKH-NRFANRHFSA-N 422.872 4.652 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(-n2cccn2)c(F)c1 1324273542 WYBQGJZOUOXILD-GFCCVEGCSA-N 419.254 4.533 5 20 HJBD C[C@@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 9160388 WLEUHHSXRXCXRA-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(Cl)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 21196397 SRVCTVLBEIUZKS-UHFFFAOYSA-N 411.792 4.702 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1)c1ccccc1 47409657 CNCDENGRIPAFRO-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50315739 ZCQSNXBNDZAGPN-UHFFFAOYSA-N 410.455 4.628 5 20 HJBD CNC(=O)c1ccc(Oc2ccc3c(-c4ccccc4)cc(=O)oc3c2)c([N+](=O)[O-])c1 53549121 DOCJRVPGBMTTPH-UHFFFAOYSA-N 416.389 4.520 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c(OCc2ccccc2)c1 59784607 GNCQODSXHIPPJB-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CSCc1c(Cl)cccc1Cl 60825186 ULUASRJCDZSTNX-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD O=C(Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1)c1cc2cccc([N+](=O)[O-])c2[nH]1 65156957 ROYWTDWWYDQIHX-UHFFFAOYSA-N 424.420 4.781 5 20 HJBD CC(C)(C)c1cc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)n(C(C)(C)C)n1 110557319 FTPFYZWMIRCWJO-UHFFFAOYSA-N 401.511 4.675 5 20 HJBD C[C@H]1C[C@H](C)CN(CCCCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 237705405 CRSBLGUBBXCYNB-IRXDYDNUSA-N 402.564 4.566 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1N1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 301212556 WPZSDCXDDLCDSG-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(C[S@](=O)C3CCCCC3)c2)c([N+](=O)[O-])c1 301315248 MDSQLDYSUZFVBG-NDEPHWFRSA-N 403.460 4.828 5 20 HJBD Cc1nc(CNc2ncc(Br)cc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 301692064 YBCCKCJCFHNOCU-UHFFFAOYSA-N 423.654 4.981 5 20 HJBD COc1ccc(C(=O)C2CCN(c3cc(C)nc4c([N+](=O)[O-])cccc34)CC2)cc1 301984805 CHECIUSFMVIGRD-UHFFFAOYSA-N 405.454 4.559 5 20 HJBD Cc1cccc(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c1[N+](=O)[O-] 303331186 UGNXNAHPUZLCOM-MRXNPFEDSA-N 413.232 4.563 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccc4c(c3)CCCC4)cs2)c1[N+](=O)[O-] 410100745 QISUHNQVSFIPNR-UHFFFAOYSA-N 409.467 4.858 5 20 HJBD CC(C)(NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1 430561488 MBJQEZRVDIVMNI-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCO[C@@H](c4ccc(Cl)c(Cl)c4)C3)nc2c1 434846773 SEGCEJWELIEPMJ-QGZVFWFLSA-N 408.241 4.616 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2ccc(O)c([N+](=O)[O-])c2)cc1 436970764 MMJCBVUCGOOVMG-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H]2CCC[C@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 443900010 VTIFAGYCFXHQEX-HKUYNNGSSA-N 412.486 4.719 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 444004924 SZUVDILABUNSKQ-INIZCTEOSA-N 402.469 4.798 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 444039116 KUULSMLWNVMMHO-UHFFFAOYSA-N 424.815 4.513 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1F 444226507 PBHHYUOCKSKOJD-LBPRGKRZSA-N 410.395 4.898 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(Cl)c2)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 444407677 FCYIXFRZIGIZKO-CWTRNNRKSA-N 400.818 4.597 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(OCc2cccnc2)c(Cl)c1 445844163 YOGLVXZDNOJFNP-UHFFFAOYSA-N 411.845 4.794 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1OC 446500298 YAFMDMVJMNUKSY-UHFFFAOYSA-N 415.490 4.653 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](c2ccc(F)cc2)C(C)C)cc1SC 448513425 QUQQLWFUMQZMFR-IBGZPJMESA-N 406.479 4.934 5 20 HJBD CN(c1ccccc1)c1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cn1 460362844 ZXASRZJDLCEWPV-QFIPXVFZSA-N 420.494 4.646 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2c(cnn2Cc2nc3ccc(Br)cc3o2)c1 460880628 KXEXXJYOJWUATO-UHFFFAOYSA-N 407.611 4.550 5 20 HJBD Cc1c(C(F)(F)F)nn(C)c1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 461196962 YPBXTQLTQCMTPA-UHFFFAOYSA-N 419.363 4.651 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 461301629 MKNOEVWQZVBNHI-RTWAWAEBSA-N 424.522 4.920 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 462441665 ZEZBDYVNHAOTOT-HIFRSBDPSA-N 416.428 4.786 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccccc2n1C(C)C 462984936 CSNDPJCFTPLPOL-WMZOPIPTSA-N 408.502 4.972 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463671333 VOIDDKPAYSACOR-QFYYESIMSA-N 416.783 4.773 5 20 HJBD CC[C@@H]1C[C@H](C)CN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 465297406 VUUIRDQXFHZHFQ-FXAWDEMLSA-N 418.497 4.637 5 20 HJBD Cc1ccc(OCc2nc(CNCc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 466469936 DAGSULIHIYMGDS-UHFFFAOYSA-N 403.891 4.882 5 20 HJBD O=C(NC1(Cc2ccccc2)CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 469112525 VYMMLYJTYXFLGX-UHFFFAOYSA-N 405.454 4.524 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 471905323 BDNCKDWVANLVOU-FPOVZHCZSA-N 421.497 4.571 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCCC[C@H]3c3ncc(-c4ccccc4)[nH]3)c1)OCOC2 472640165 QMCMUINOFVODIH-NRFANRHFSA-N 420.469 4.579 5 20 HJBD CC(C)c1ccc2c(c1)[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)CCC2 476487659 CVPRYQFMCBRHJL-SFHVURJKSA-N 415.877 4.504 5 20 HJBD COc1c(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)cccc1[N+](=O)[O-] 477127302 LEWCLSUMMBGAGG-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 477224736 VCDROMKMOJVMHH-HNNXBMFYSA-N 412.273 4.764 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F)CCC2 479534311 DINNNEIXPLRTKJ-ZWKOTPCHSA-N 414.811 4.968 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1CC=C(c2cccc3ccccc23)CC1 479744564 MTPZYHZEQMFFRP-UHFFFAOYSA-N 424.460 4.863 5 20 HJBD Cc1nc(CSc2ccc(C(=O)NCc3cccc([N+](=O)[O-])c3C)cc2)cs1 481493663 PIYVRKTWVCIAAN-UHFFFAOYSA-N 413.524 4.890 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2)cn1 481798253 FHEZCHKWHXOOAM-UHFFFAOYSA-N 400.504 4.590 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccncc2)n1-c1ccccc1 485367031 PRSPXPBNAVGLSV-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3ncc4n3CCCC4)cc2)c1[N+](=O)[O-] 485765872 YQANXZOUVHPNMK-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD COC(=O)c1cc(C(C)(C)C)sc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486234540 RVVHIEPAWBZHQH-UHFFFAOYSA-N 408.501 4.715 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 486780751 RHTXHVJBRKAZDL-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccnc(Oc3ccc(F)cc3)c2)cc1[N+](=O)[O-] 489240445 RQBCZCSMKZICRX-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccccc2C)C[C@@H]1C 489596196 LBWRKVGCXJQQCP-DLBZAZTESA-N 414.527 4.660 5 20 HJBD O=C(CCc1ccc(Br)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498141212 WIPAPVIYZWUAJV-SFHVURJKSA-N 421.316 4.564 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)cc1 499433871 GYUBVSZACOGCKF-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1ccccc1[N+](=O)[O-])C1CC1 502891741 GRQJASARCMRSLV-CYBMUJFWSA-N 408.376 4.745 5 20 HJBD CC[C@@H]1C[C@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 504531315 ARNKSXMCHZMWLL-HZPDHXFCSA-N 417.531 4.913 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1nccn1C 504927823 VGOGBXMUHGPTLK-XLIONFOSSA-N 414.506 4.717 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccc(F)cc1OC1CCOCC1 506232759 CIRMBRFPWIMIEY-UHFFFAOYSA-N 404.438 4.691 5 20 HJBD Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccc(Br)cc2n1 508791116 MOMZLAHCHNNXBU-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC[C@H]2CCCO2)cc1 509247327 PFZLNXDEBQBZPF-OAQYLSRUSA-N 413.474 4.595 5 20 HJBD C[C@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)CCc1ccccc1[N+](=O)[O-] 511073248 JOOXAILENVOPSZ-CYBMUJFWSA-N 420.391 4.899 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)o1 511163684 YFDBCWNIMKIGHK-OAQYLSRUSA-N 416.437 4.846 5 20 HJBD COc1cc(Cl)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1OC 513775690 UJPATXBDZGLXPA-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccccc1F 513790445 YEJFEJPKPSMYQR-QGCDCVKKSA-N 408.477 4.558 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)n(-c2ccccc2)n1 515600679 DWWRUGKXBYQPMT-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 520725635 RLCYAMFPZUTZOC-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 521153699 IARIUZSLGVMUIH-OAHLLOKOSA-N 402.497 4.608 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1C 521749240 KZUTVLTXEAQDMB-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)NCCCNc3ccc(C)cc3[N+](=O)[O-])o2)cc1 523512623 JMBFZUSJKGWYDC-UHFFFAOYSA-N 421.453 4.598 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccccc2CC(=O)O)cc1[N+](=O)[O-] 532690004 OCMOCOOBSYUUJN-UHFFFAOYSA-N 402.472 4.612 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533250751 NIHZFLGKLSLCMW-LLVKDONJSA-N 415.799 4.899 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)c1C 536262208 FKXCDJXZXWDLDC-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](NCCCc1nc(-c2ccncc2)cs1)C1CC1 536870385 MLEPKOAZZFPEEF-LJQANCHMSA-N 408.527 4.657 5 20 HJBD CC(C)(C)C(=O)NC[C@H]1CCCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 537631006 JFOFIEIZEOZWSE-MRXNPFEDSA-N 415.559 4.698 5 20 HJBD COCCSc1ccc([C@@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 539306279 PTUMRRYZRCXAOD-OAHLLOKOSA-N 413.499 4.839 5 20 HJBD C[C@H](C(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1F 541592227 VPHHEKGCEATYSI-MGPLVRAMSA-N 402.319 4.786 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 542317472 YUIOJLMMCAQGPT-AWEZNQCLSA-N 420.307 4.958 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2c(Br)ccc(F)c2C1 544604570 VTDNQZFMKAJLPH-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD COc1ccc(-c2nc(Cc3nc4cc(Cl)ccc4s3)no2)cc1[N+](=O)[O-] 545004993 UXCYOQKUDCXITH-UHFFFAOYSA-N 402.819 4.507 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)Nc2ccc([N+](=O)[O-])cc2C)c(OC)c1 548984397 LXMOWROSWVWVAP-UHFFFAOYSA-N 413.474 4.755 5 20 HJBD O=c1c(Br)cn(CCSc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 549055027 JIIBOLBMTZEIBD-UHFFFAOYSA-N 424.103 4.618 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(Br)ccc2OC)cc1[N+](=O)[O-] 552905635 MZNLSJRWTKSJRJ-LBPRGKRZSA-N 409.280 4.615 5 20 HJBD O=C(N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1csc([N+](=O)[O-])c1 560814436 NYFBCAZYUHYXMJ-INIZCTEOSA-N 414.405 4.573 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(c3ccc([N+](=O)[O-])c(C(=O)O)c3)CC2)cc1 567182794 YRYUDPBGPOXBLI-UHFFFAOYSA-N 410.470 4.591 5 20 HJBD Cc1ccc(CN(C(=O)c2csc([N+](=O)[O-])c2)c2ccc3c(c2)OCCO3)s1 603756238 WVYYVCBVYCPUBI-UHFFFAOYSA-N 416.480 4.644 5 20 HJBD CNc1ccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 603919706 WTIWBJPKGMFZQA-GOSISDBHSA-N 401.875 4.871 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(CC1CCCCC1)C1CC1 604004434 VVOFFALNSIQYSH-UHFFFAOYSA-N 400.397 4.721 5 20 HJBD Cc1ccc(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 608836329 JNKGWKGDBFUSJQ-UHFFFAOYSA-N 402.454 4.681 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)ccc1[N+](=O)[O-] 609065024 YGPHJWSTVWBLHQ-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3N)n2)c(C)c1 609112634 HRGWUEMKTBWGTL-UHFFFAOYSA-N 400.435 4.617 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)cc1[N+](=O)[O-] 609131366 MXVFFULJYMUWPS-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1cccc(Sc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609402998 ZSELCKOEWLWDAA-OAHLLOKOSA-N 421.478 4.562 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCCc2c(Cl)ccc(F)c21 609444833 YZRPBZAHYQRWEO-UHFFFAOYSA-N 417.868 4.583 5 20 HJBD COc1ccc(NC(=O)CNc2ccc(Oc3ccccc3)cc2C)c([N+](=O)[O-])c1 609450237 ATVSKVOBKREMQV-UHFFFAOYSA-N 407.426 4.755 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2CSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609791809 TWGAARZFJCOMMT-KUHUBIRLSA-N 420.494 4.740 5 20 HJBD O=C(NC[C@H]1OCCc2ccccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206054 HTYOOGZLJHZSPU-HXUWFJFHSA-N 412.511 4.673 5 20 HJBD O=[N+]([O-])c1cc2c(cc1CNCc1nc(-c3cc4ccccc4o3)cs1)OCCO2 614101143 RCXBMZLQDBGLDX-UHFFFAOYSA-N 423.450 4.526 5 20 HJBD COc1cc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OC(C)C 729047855 YXAKOVVCFXKMHQ-UHFFFAOYSA-N 415.490 4.511 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)N(Cn1nc(NC3CCCCC3)sc1=S)CCC2 738043581 HLBKTUWRIFSFCE-UHFFFAOYSA-N 405.549 4.737 5 20 HJBD O=[N+]([O-])c1cn(CN2CCC(c3cccc4ccccc34)CC2)nc1-c1ccncc1 742146930 UAXNUEOABNDVFA-UHFFFAOYSA-N 413.481 4.844 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1 742772604 NAJKQVANZIATTK-UHFFFAOYSA-N 412.833 4.754 5 20 HJBD C[C@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nc(-c2cccc(C(F)(F)F)c2)no1 744442327 CEDPJBNXWBVGTJ-JTQLQIEISA-N 407.304 4.616 5 20 HJBD COc1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2F)cc1SC(F)(F)F 744832231 CUAINOZNFQQKBZ-UHFFFAOYSA-N 405.325 4.711 5 20 HJBD O=C(CCc1nc2ccccc2o1)Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 749063576 CXXOHLBKVHFHIT-UHFFFAOYSA-N 402.406 4.865 5 20 HJBD Cc1ccc(Nc2nnc(SC[C@@H](O)c3cccc([N+](=O)[O-])c3)s2)cc1C 749640068 MMXAFVHPLPAQCI-MRXNPFEDSA-N 402.501 4.632 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 752979021 RCGIEBDDIDGBDV-IBGZPJMESA-N 422.824 4.955 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 754455500 UIFGJPCIMWNNFM-IBGZPJMESA-N 409.442 4.572 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755762079 JXXHMGYZENVONU-HXUWFJFHSA-N 421.478 4.514 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cccc1C(F)(F)F 760665140 ZUBFCOLTGMMNQU-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NOCc1ccc(C(F)(F)F)cc1 765000494 XJCAXFQAYQCBNT-UHFFFAOYSA-N 400.378 4.587 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 767061551 FKOVHXZQQAPQPA-NSHDSACASA-N 422.384 4.678 5 20 HJBD Cc1ccc([C@H](C)NC/C=C\CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775549991 SPKCUGCSWDFJKY-SPCWZHTESA-N 412.490 4.677 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cc([N+](=O)[O-])ccc1Cl 778005862 MIQIFQWAHQOHKR-SNVBAGLBSA-N 414.676 4.564 5 20 HJBD C[C@H](OC(=O)C[C@H](O)c1ccc(OCc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 784631707 YDFJIYAVZYXHNJ-SBUREZEXSA-N 421.449 4.902 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1 791204740 LZEBLARGSPDKQN-ORYIKVKISA-N 402.406 4.531 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1nc(Cl)c(Cl)c(Cl)c1Cl 801090729 DRKDFLWJTSCYSK-UHFFFAOYSA-N 423.083 4.918 5 20 HJBD O=C(OCC(=O)c1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])cc(Cl)c1F 801856413 XQOUWRUHGRWKAW-UHFFFAOYSA-N 406.580 4.734 5 20 HJBD O=C1/C(=C\c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC(=S)N1Cc1ccccc1 810212791 YLMYZBKAPUYNLD-SFQUDFHCSA-N 421.503 4.581 5 20 HJBD CCOC(=O)/C(=C\c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccncc1Cl 812245230 RNYZSEJXSHECDM-SXGWCWSVSA-N 415.858 4.870 5 20 HJBD CN(Cc1nc(C(F)(F)F)cs1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813035675 VOKUBDRXWSRFFK-UHFFFAOYSA-N 414.192 4.649 5 20 HJBD O=[N+]([O-])c1c(Br)cccc1Sc1nnc(NCc2ccco2)s1 820615180 CYGMUSHWEXQCTD-UHFFFAOYSA-N 413.278 4.565 5 20 HJBD CN(c1ccc2ccccc2c1)S(=O)(=O)c1c(Cl)ccc([N+](=O)[O-])c1Cl 821132070 INPOKKZEDBZXMZ-UHFFFAOYSA-N 411.266 4.880 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCCN(C(=O)OC(C)(C)C)c2ccccc21 864013364 QXYLBQFAMNSMTF-UHFFFAOYSA-N 413.474 4.755 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2ccc(OCc3cccnc3)cc2)no1 904467704 KZEVWQKZKXAJAJ-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccsc3C(F)(F)F)no2)cc1-n1ccnc1 904920122 DEGDSXNNBHTYSY-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD O=C(CCOc1cccc([N+](=O)[O-])c1)OCc1nc2ccccc2c2ccccc12 915447838 FSFDISVSQVAXQM-UHFFFAOYSA-N 402.406 4.809 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)C(=O)Nc1ccccc1Cl 919515144 YWHWYQKJUKMEFO-GOSISDBHSA-N 415.833 4.550 5 20 HJBD Cc1ccc(OCc2nnc(-c3cc(Br)cc([N+](=O)[O-])c3)o2)cc1C 1330038656 IRDKFJJSMCAPBL-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD O=C(NCc1cccc(C(F)F)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1338547203 IQWUOWHTFFUIAF-UHFFFAOYSA-N 414.358 4.827 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)nc1 1340210518 UQCACUCIVCATOV-UHFFFAOYSA-N 404.426 4.681 5 20 HJBD CCOc1ccc(-c2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)cc1OC 1348875292 SVQHGBOAWHVUCX-UHFFFAOYSA-N 424.438 4.843 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 15036345 JJZJWJJVPIKGHL-UHFFFAOYSA-N 415.540 4.926 5 20 HJBD CCCOc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c([N+](=O)[O-])cc1OCCC 17741867 PLVULDIUYHJADE-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(c2ccc(Br)cc2)CCCC1 31930972 AWVSKWKFTXHXIZ-UHFFFAOYSA-N 417.303 4.828 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCCC[C@H]1c1ccncc1 50315124 IIBHUELNTFWZMN-IBGZPJMESA-N 408.483 4.871 5 20 HJBD COc1cc(C(=O)Nc2ccc3c4c(cccc24)CC3)c([N+](=O)[O-])cc1OC(F)F 53887352 LNEDTZGPOFKHBB-UHFFFAOYSA-N 414.364 4.709 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 58533061 UOBVVGMWSZMEHZ-UHFFFAOYSA-N 420.469 4.699 5 20 HJBD CCc1cc(Sc2nnc(-c3ccccc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64904962 UFVGBDBNRHKSQP-UHFFFAOYSA-N 405.439 4.815 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccccn2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 108338071 FGODVZIPTCFBEK-UHFFFAOYSA-N 411.845 4.889 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cc(Br)sc1Br 227266131 BZYLKCRHASJIDM-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD COC[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc(C)o1 237075728 NKKFGNSAVBUDMG-SFHVURJKSA-N 400.406 4.905 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccc(-n3ccnc3)c(F)c2)s1 237856738 NZGYVVLEAITNQO-UHFFFAOYSA-N 408.458 4.938 5 20 HJBD O=C(Nc1ccc(Br)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 426097415 QCPRGGBEOQUFOI-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD COc1cccc([C@@H](CC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 426798841 JUKGANJKMJPHBJ-GOSISDBHSA-N 412.467 4.638 5 20 HJBD C[C@H](CSc1ccc(F)cc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 426828911 XHJKYSNHAVQYOH-OAHLLOKOSA-N 418.490 4.532 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)c2)n1 431102309 LLGJYMCWQQLEFB-UHFFFAOYSA-N 409.446 4.572 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C\c2ccc(-c3ccccc3[N+](=O)[O-])s2)nc1O 432707620 YSOBVJSGNUPXMJ-BENRWUELSA-N 411.439 4.556 5 20 HJBD CCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@@H](C)c1cccc(OC)c1 436113299 VIIZTUIYNTVCNE-INIZCTEOSA-N 400.478 4.913 5 20 HJBD CC[C@H](CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])Oc1cccc(Cl)c1 440627405 QGUZBHYVOADPTI-CYBMUJFWSA-N 424.906 4.566 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)[C@H](C)CO1 444091565 XJRDLJMRXIRAMU-OSPHWJPCSA-N 412.489 4.592 5 20 HJBD O=C(NC[C@H]1CCC[C@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444232591 RFMDDVMNQCVGGY-STQMWFEESA-N 420.406 4.919 5 20 HJBD O=C(Nc1nccc2sccc12)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444301282 LRGVDFHUGBCWGG-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD CCOc1cc(-c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1O 445428215 VEQMNYZJKQWUSH-SNVBAGLBSA-N 405.794 4.543 5 20 HJBD O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)C1(c2cccc(C(F)(F)F)c2)CCC1 446442243 MFOWUZAJELRSPJ-UHFFFAOYSA-N 404.388 4.620 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1cccc(-c2ncc3n2CCCC3)c1 446923631 AMBXXFNQJIEPHN-INIZCTEOSA-N 419.485 4.624 5 20 HJBD COc1ccc2c(c1)N(C(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1)CCO2 464381135 ZQCKXHAGLSYWCH-UHFFFAOYSA-N 416.499 4.781 5 20 HJBD COc1cccc(C=C2CCN(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 467231189 RLPMKXZRBKAQGG-UHFFFAOYSA-N 415.877 4.646 5 20 HJBD CN(Cc1ccon1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467790938 WKGNWHVIWCCWFC-UHFFFAOYSA-N 403.847 4.660 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475219971 MJVJJFUPEAGEML-RDTXWAMCSA-N 423.391 4.903 5 20 HJBD Cc1nc(CSc2ccc(C(=O)Nc3ccc([N+](=O)[O-])c(C)n3)cc2)cs1 475294173 CBAPUCLHJISBBF-UHFFFAOYSA-N 400.485 4.608 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)SCc2cc([N+](=O)[O-])cc3c2OCOC3)n1 476191458 IAYAFTWSULBODX-CYBMUJFWSA-N 413.455 4.814 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478295427 XJKQAYJKDTZMBG-FZMZJTMJSA-N 423.922 4.570 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 478564797 YQOPZCMWCQMYOV-FXAWDEMLSA-N 416.481 4.620 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 481951966 KEYBQEUARIMWHE-UHFFFAOYSA-N 414.483 4.906 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)c2sc(Br)cc2[N+](=O)[O-])s1 485480223 MSABRWPJPODHFW-SECBINFHSA-N 401.307 4.766 5 20 HJBD COc1cc(CNc2ccccc2CN(C)C(=O)c2cccs2)ccc1[N+](=O)[O-] 486201895 XTELSVOKTQKWPP-UHFFFAOYSA-N 411.483 4.549 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)cc2-c2nc(C3CC3)no2)c1[N+](=O)[O-] 486413571 BRPADHMXTASQNR-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)c1C 488825803 BCSSUUXVRZPVDP-UHFFFAOYSA-N 414.458 4.632 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 490581679 DRMODINYAPVUCN-HKUYNNGSSA-N 413.543 4.953 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1OCC(F)F 497537667 STFQLKBBXNQQJS-UHFFFAOYSA-N 413.808 4.599 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccc(Cl)cc1)C1CC1 498327082 WMRALQFTCUZMBE-UHFFFAOYSA-N 416.908 4.999 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1ccccc1C(F)(F)F)C1CC1 498351995 DWRGFFQMQUJYCC-UHFFFAOYSA-N 407.392 4.607 5 20 HJBD CC[C@H](C)Sc1ccc(NS(=O)(=O)c2ccc(OC)cc2[N+](=O)[O-])c(C)c1 498397108 OYIKPJVZOACECS-ZDUSSCGKSA-N 410.517 4.603 5 20 HJBD Cc1c(NC(=O)[C@@H](Oc2ccccc2Br)C(C)C)cccc1[N+](=O)[O-] 508795436 MYEWPZRDAWUTJK-KRWDZBQOSA-N 407.264 4.708 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1cccc(Br)c1 511233976 SWMSFVXDHLKNIT-INIZCTEOSA-N 420.263 4.735 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](c2ccc(Cl)cc2)C2CCCC2)c([N+](=O)[O-])c1 511378206 MUJPTMWNKFADRI-IBGZPJMESA-N 408.907 4.995 5 20 HJBD CN(Cc1ccccc1)Cc1cccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 512984572 HIRKIWGMACLISL-UHFFFAOYSA-N 417.509 4.542 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)NCCc3ccc([N+](=O)[O-])cc3)ccc21 522336324 XKOAQRXFAQXTNQ-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCSC[C@H]1c1ccc(Cl)cc1 523846121 YBEUMADNRUPFGF-IBGZPJMESA-N 415.902 4.520 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C(=O)NCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 530966088 GANDOXVPTZQRPZ-WZONZLPQSA-N 403.482 4.612 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](C)c1cccc(Cl)c1Cl 537112058 CNAQAJFVYHPPDL-WDEREUQCSA-N 412.273 4.588 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 541529105 RKGMMAUVEVPCFI-VLIAUNLRSA-N 423.494 4.950 5 20 HJBD C[C@@H](C[S@@](C)=O)NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 542309275 CTJGQLTZELTRCP-OHZJNBGDSA-N 404.532 4.541 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1ccc([N+](=O)[O-])cc1Cl 542936058 OGNGPKJYRUKWHG-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD CCc1ccc([C@@H](Nc2cccc(NC(=O)[C@@H](C)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 544381059 UNXRSKXBWOLDQX-QRQCRPRQSA-N 423.469 4.925 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccc(F)cc2)c2ccc(Br)o2)cc1 550681108 UYDSOMVZMPTCDW-GOSISDBHSA-N 405.223 4.969 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cccc2[nH]c(-c3cccs3)nc12 552713956 AAUWDFLVKLOISV-UHFFFAOYSA-N 406.467 4.514 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@@H]3c3cccc(Br)c3)ncnc2s1 552937732 MNAMIICBKJTTGJ-CYBMUJFWSA-N 405.277 4.704 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cl)cc2OCC(F)F)c1[N+](=O)[O-] 554796707 DGVPUORTALPULW-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD O=C(Nc1ccccc1)[C@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccccc1 556064162 UZCMLXMQVJOXIL-DHIUTWEWSA-N 401.466 4.942 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 557500371 XZVLMYNZBQOSAN-WDYCEAGBSA-N 415.287 4.766 5 20 HJBD C[C@@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 557759926 XIVJXVVNNVFWCK-KUHUBIRLSA-N 422.403 4.535 5 20 HJBD C[C@H](C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 581760371 BBMWOJVODUYNFW-INIZCTEOSA-N 403.438 4.614 5 20 HJBD O=[N+]([O-])c1cc(CN2CC3(CCOCC3)[C@@H]2C2CC2)ccc1Oc1ccc(F)cc1 583159956 YELBUNFXOVHODU-QFIPXVFZSA-N 412.461 4.917 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 603595360 GTPMCRHNRAIPHJ-GFCCVEGCSA-N 400.456 4.708 5 20 HJBD C[C@@H](NC(=O)CCSc1ccccc1Br)c1cccc([N+](=O)[O-])c1 603966949 QEBWSTCMOMIJPB-GFCCVEGCSA-N 409.305 4.717 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2cccnc2Oc2ccccc2)cc1[N+](=O)[O-] 603980355 MXBLAMHZPHDGIB-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cn1cc(C(=O)Nc2ccc(NC3CCCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 604538962 DCNFHXVYVJSNFK-UHFFFAOYSA-N 419.485 4.992 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cccc(C)c2[N+](=O)[O-])cc1 609219334 NXEKOZZODUUWDN-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 609856019 KDVGIBFDYCBQBQ-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 610187248 AKUABSWRSXKJHJ-BLVKFPJESA-N 406.866 4.783 5 20 HJBD Cc1cc(N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 610587642 OBBCADNYWNBAKI-STQMWFEESA-N 420.313 4.537 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1 611826510 YKCNVDMSBFEYMQ-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1ccc(OC(F)F)c(Cl)c1 613526776 OVKVQEULAJVISR-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1OC 727708322 OZCZHRQHFLCLPK-LBPRGKRZSA-N 408.401 4.552 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)N(Cn1nc(C3CC3)n(Cc3ccccc3)c1=S)CCC2 738043772 INWCREHLHIDBHP-UHFFFAOYSA-N 421.526 4.658 5 20 HJBD Cc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)o1 744500708 UGHHEPREXZZZKT-UHFFFAOYSA-N 401.806 4.514 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ncc(-c2cccc(Br)c2)o1 744530475 VKLGJEXTQRPRBI-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2cc(Br)ccc2F)C2CC2)cc1 745652895 JNIFHVXYVQOKEY-UHFFFAOYSA-N 424.295 4.858 5 20 HJBD O=C(O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(CCC(F)(F)F)cc1 752516648 OKCYLDREOJUXES-HOTGVXAUSA-N 411.380 4.627 5 20 HJBD CC[C@@H](C)Oc1ccc(-c2noc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 762082477 ACEJMNSXXPYUDE-YJYMSZOUSA-N 408.414 4.688 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1C[C@H](O)c1ccco1 762986079 IBJSNJBMFCYLGT-WDYCEAGBSA-N 418.515 4.563 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nnc(-c2ccc(C)cc2)o1 763814063 MOAZKFYMOPECSH-CYBMUJFWSA-N 413.455 4.983 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1SCCO 765469279 IHYODMCRWOKTJU-UHFFFAOYSA-N 409.467 4.675 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3sccn23)no1 771325064 RDKWUTRCEYKQAM-QMMMGPOBSA-N 407.864 4.861 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc2cc([N+](=O)[O-])ccc2o1 776131109 CGMLVISYICJDPH-FQEVSTJZSA-N 419.349 4.591 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F 776406095 VCEOONFIGPNBIG-CYBMUJFWSA-N 409.255 4.590 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccc([N+](=O)[O-])s2)on1 778075356 RGJNSVYBZSIXNA-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Br 782124962 ZCUZIUHXSXBEQK-DVOMOZLQSA-N 403.276 4.764 5 20 HJBD CC1=C(Br)CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CC1 797438730 GWXKJUOAVGJUDS-UHFFFAOYSA-N 416.144 4.523 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C(=O)Nc2ccccc2)c2ccccc2)c1F 803459427 WABLTCDBPMXVQN-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD CC(=O)N[C@H](c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1)C1CCCC1 809362977 JQHKCGKLMAOYSC-FQEVSTJZSA-N 422.441 4.805 5 20 HJBD Cc1onc(-c2ccc(F)cc2)c1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 809434389 WZUPJDQTBRPRIM-UHFFFAOYSA-N 419.372 4.819 5 20 HJBD COc1cnc2ccccc2c1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 813304606 DXWBQINOBJZTBQ-UHFFFAOYSA-N 421.434 4.632 5 20 HJBD O=C(c1nc(Cl)ccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915108857 MZCFLBDQWBPLKS-UHFFFAOYSA-N 408.163 4.501 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Oc3cc([N+](=O)[O-])ccc3C)s2)cc1OC 916403678 PPUSHVJIDYIDHV-UHFFFAOYSA-N 414.439 4.572 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)nc1-c1ccccc1 926086049 FNFPAGQJSWSHMM-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(F)cc1OC(F)F 1117788668 RYXDMJKPIVAZCM-QMMMGPOBSA-N 422.281 4.845 5 20 HJBD CC1CCN(C[C@@H](C)c2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1118358526 TXYPYQZUYZUDSB-KGLIPLIRSA-N 408.886 4.607 5 20 HJBD O=C(c1nc(C2CC2)n(-c2ccccc2)n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 1251963669 HKUCUDKVVMBWSF-UHFFFAOYSA-N 417.469 4.642 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(C(C)(C)C)nn2)cc1 1322988978 MTGVPQFEYQWESO-UHFFFAOYSA-N 422.441 4.736 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2cnn(CC(F)(F)F)c2)o1 1323948166 WZCXBLUYMAIOEP-UHFFFAOYSA-N 414.771 4.557 5 20 HJBD Cc1ccc(-c2nnc3n2CCCCC3)cc1NC(=S)Nc1ccc([N+](=O)[O-])cc1 1337222397 CBTBXAFSXFNFAK-UHFFFAOYSA-N 422.514 4.697 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841679 ZXPWJLLSNPWCNN-SECBINFHSA-N 408.197 4.870 5 20 HJBD CCOc1cc(C(=O)Nc2nc3ccc(Cl)cc3s2)c([N+](=O)[O-])cc1OC 7921545 RCQPUOLBWRDWGD-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 9812410 ZFGZSQINJWXUPN-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(Br)c1 11246758 RXBWXDDPJZMEPH-UHFFFAOYSA-N 407.267 4.556 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCc1ccccc1F)c1ccccc1 21820171 VFKKCTJMXIKMCK-HXUWFJFHSA-N 413.836 4.857 5 20 HJBD CC(=O)Nc1cccc([C@H](C)N(Cc2ccccc2)C(=O)c2ccccc2[N+](=O)[O-])c1 37141845 QJKPJBPFVIDZII-KRWDZBQOSA-N 417.465 4.957 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)N(Cc2ccccc2)C(=O)c2ccccc2[N+](=O)[O-])c1 37141847 QJKPJBPFVIDZII-QGZVFWFLSA-N 417.465 4.957 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50556336 BOIVKAHEUWBINM-IBGZPJMESA-N 409.467 4.704 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)C(C)C)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858677 HVIUNIRKVMMINK-UHFFFAOYSA-N 405.458 4.567 5 20 HJBD Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1Br 71845888 ZSHOTMWEKZQWFM-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD Cc1nc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)sc1-c1ccccc1 105989711 SVRCKEPBOPSAED-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 195589818 FDJULOJXEKUVMM-IRXDYDNUSA-N 423.494 4.579 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)c2ncccc12 301230579 HMAITTMKGLFFJH-OAHLLOKOSA-N 419.416 4.716 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1 301327948 AALOAHONXSQHAV-KRWDZBQOSA-N 424.501 4.538 5 20 HJBD COC[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1cccc(C(F)(F)F)c1 301515174 FCXZJANHIOEVGG-CYBMUJFWSA-N 420.185 4.571 5 20 HJBD Cc1cc(N[C@H](C)c2noc(-c3ccccc3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301825434 MEKXZZBDESPFOD-CQSZACIVSA-N 402.414 4.583 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2nc3ccccc3s2)nc1-c1ccccc1 409672266 GMBONVOACZRZHL-SFHVURJKSA-N 418.478 4.844 5 20 HJBD CSc1ccc([C@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 410234051 NLMXQSOUBQYZSG-QMMMGPOBSA-N 401.307 4.632 5 20 HJBD Cc1onc(-c2ccccn2)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 429020626 NMAVEYHSWHMLGL-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1NC(=O)c1ccccc1 430552653 NNCUHXUHIMSKJA-UHFFFAOYSA-N 417.465 4.821 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1c(Cl)cccc1[N+](=O)[O-] 432599251 SYLOOWSPWLGUMS-CYBMUJFWSA-N 414.874 4.820 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@H](C)Cc1ccccc1C(F)(F)F 435947605 MXVXYLWYBNYDAO-GFCCVEGCSA-N 420.391 4.583 5 20 HJBD Cc1cccc2nc(C3CCN(c4cc(C(F)(F)F)ncc4[N+](=O)[O-])CC3)oc12 436352148 LXKQXMKHSZKAML-UHFFFAOYSA-N 406.364 4.842 5 20 HJBD C[C@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 439641766 NVTJVVYTLCFIKB-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD COCc1nc(CN=c2cc(C)[nH]c3ccc([N+](=O)[O-])cc23)c(-c2ccccc2)s1 440649106 QEKONLSZAWFZSS-UHFFFAOYSA-N 420.494 4.755 5 20 HJBD Cc1ccc(CCN(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CC(F)(F)F)cc1 441362901 XUSQIMSRTBBELF-UHFFFAOYSA-N 420.391 4.560 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 442929265 CRFLZDWEGSUVCT-QZTJIDSGSA-N 424.375 4.548 5 20 HJBD Cc1cc2c(cc1C)O[C@@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)C2 443880080 PZNINKADCVJKBP-GOSISDBHSA-N 409.467 4.586 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Oc3ncc(Cl)cc3Cl)cc2)c1[N+](=O)[O-] 444036850 UDIFADKRQSSUCD-UHFFFAOYSA-N 422.228 4.627 5 20 HJBD Cn1nc(-c2ccc(F)cc2F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 447653684 NLZMHGLHDVGHRW-UHFFFAOYSA-N 414.393 4.741 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(OCc3ccccn3)c2)c([N+](=O)[O-])cc1F 464481405 PMZGTDAFFCJLGW-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(F)cc2)c1C(C)C 466519852 QBVMIQPRYACOQE-UHFFFAOYSA-N 412.421 4.694 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cc4ccccc4o3)s2)c1 470366489 FOVIGLBKUACDDR-UHFFFAOYSA-N 412.452 4.834 5 20 HJBD COc1ccc(NC(=O)c2ccc3c(c2)nc(C)n3Cc2ccsc2)c([N+](=O)[O-])c1 472809028 MHQABNAOETVPGP-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD COC(=O)COc1ccc(CNc2ccc(SC3CCCC3)cc2)cc1[N+](=O)[O-] 473996817 SBCVRTNMSMPFBA-UHFFFAOYSA-N 416.499 4.793 5 20 HJBD C[C@H](c1ccc([S@@](C)=O)cc1)N(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 474167315 CCIZIFZVXRQDEX-XHDOVSQSSA-N 422.506 4.761 5 20 HJBD CC[C@@H](CN(CC)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccccc1 482252072 IHMXGCWQTFYTIR-HNNXBMFYSA-N 402.516 4.981 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@@H](C)c2cccc(Cl)c2)c(C)c([N+](=O)[O-])c1 486883479 HPAZOVQARNXXDQ-LBPRGKRZSA-N 405.838 4.568 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3nnc(C)n3C(C)C)c([N+](=O)[O-])c2)cc1 490081063 QMHVELBEIVZDES-UHFFFAOYSA-N 411.487 4.788 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C[C@H](C)O)c1ccccc1 490586311 MJOVDHZYHBCMEC-WMZOPIPTSA-N 416.543 4.627 5 20 HJBD COc1cc(CNc2ccc(-c3cnco3)cc2)c([N+](=O)[O-])cc1OCC(F)F 491839274 YKUCKNQKXIATJV-UHFFFAOYSA-N 405.357 4.514 5 20 HJBD O=C(Nc1nc(-c2cc(Br)cs2)cs1)c1ccccc1[N+](=O)[O-] 497947429 GEESVEZMGPCNLB-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2nc3ccccc3[nH]2)CC1 502692454 DZGOLUSAZZIIGC-UHFFFAOYSA-N 424.526 4.993 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)[C@H]3CCCOC3)cc2[N+](=O)[O-])cc1 503204632 XBTMYIDWDMRUSI-RDJZCZTQSA-N 400.500 4.599 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)c(OC)c(Cl)c2)c([N+](=O)[O-])cc1OC 506309323 ZPZWMZVVMSQIQF-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD CCCCCNC(=O)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 506876743 SJNNYIABOJSELC-UHFFFAOYSA-N 415.559 4.842 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 509898511 PHRMYPZGUXAJHW-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)c1 511440802 AXOYNAKPGCOIJX-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c2ccc(F)cc2)cc1 512119070 ARVCTZZYGDNKDM-OAQYLSRUSA-N 419.412 4.743 5 20 HJBD Cc1c(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)n[nH]2)cccc1[N+](=O)[O-] 516078697 UVKFASOKWWGLJV-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD C[C@H](NCc1ncc(-c2cccc(Br)c2)[nH]1)c1cccc([N+](=O)[O-])c1 518450539 JUOZSOBEEHMJEW-LBPRGKRZSA-N 401.264 4.598 5 20 HJBD CC[C@H](OC1CCCC1)C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 522815969 HHFZQZSMHMVGAL-IBGZPJMESA-N 424.457 4.717 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cc(C)ccc3[N+](=O)[O-])cc2)cc1 525118356 HXINWDXLJVXWGN-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Br 525644816 QCVXRVKALCYLPO-IIYDPXPESA-N 403.276 4.764 5 20 HJBD CO[C@H](C)c1nc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cs1 530736921 BZBBKHLAFWVNLV-OAHLLOKOSA-N 412.515 4.816 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 534703592 AUVSUOKHCAHMQF-UHFFFAOYSA-N 400.263 4.680 5 20 HJBD CN(CCc1ccc(Br)cc1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535567903 VQKWWTFBJMUWGK-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD CN(C(=O)Cc1csc(C(C)(C)C)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538253003 DNESDZQMQJRAPO-UHFFFAOYSA-N 416.528 4.678 5 20 HJBD CCN(CCC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1)CC1CC1 538947818 DHFTZFUUCUIGMG-UHFFFAOYSA-N 412.534 4.584 5 20 HJBD CCc1ccc([C@H](NCc2csc([C@H](C)OC)n2)c2ccc([N+](=O)[O-])cc2)o1 542470536 FMMCFNWSQZAVRA-ORAYPTAESA-N 401.488 4.793 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC[C@@H]2CCCO2)cc1 544075379 BOSZCXJPFNXQSA-INIZCTEOSA-N 422.890 4.537 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCC[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 546675427 WQMJLRPXWGWJBE-JOCHJYFZSA-N 418.497 4.824 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@H]1CCCOC1 553195018 DJERXJTXWVWHGC-HNNXBMFYSA-N 406.891 4.650 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc12 557073353 NEJRXDOBBFROJK-UHFFFAOYSA-N 420.494 4.618 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1 560740717 XWOMZPOTCUODLK-DOTOQJQBSA-N 408.376 4.688 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 566574401 IMNWMVWICSOSRR-JTQLQIEISA-N 409.389 4.584 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 574350760 UWPIGQPIBNPHRN-SJORKVTESA-N 405.454 4.550 5 20 HJBD Cc1ccc(C)c(C(=O)c2ccccc2C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 603575854 XLNHELXCMYSDQO-UHFFFAOYSA-N 402.450 4.715 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(Cc1ccco1)c1ccc(Cl)cc1 604119514 VEAZZRJQXDOOPS-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD COc1cccnc1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 604537247 XMUVSSVKKBQKGP-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD CC[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1F 606235055 MTRRHLNNCFHFIC-FQEVSTJZSA-N 406.432 4.700 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2F)c2ccc(F)cc2)cc1[N+](=O)[O-] 609231040 UQUUDOYRNWHTCC-UHFFFAOYSA-N 412.392 4.658 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609453538 HSQBRJLFTZUFSC-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CN[C@H]3CCN4CCC[C@@H]4C3)cc2F)c(Cl)c1 609616145 WZFRQZWVSFOWOV-JKSUJKDBSA-N 419.884 4.896 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 609865694 AQBTVWHJQHOMAV-VFVRVIDISA-N 408.907 4.621 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 681972607 YBUQXWMVNUIDOI-UHFFFAOYSA-N 415.880 4.789 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1C(F)(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729756928 RICLLGBVNGKKJE-LLVKDONJSA-N 421.331 4.511 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nc3ccc(Cl)cc3[nH]2)cc1SC 732519400 MOQNATFLSLSUOW-SECBINFHSA-N 421.862 4.773 5 20 HJBD O=C(CCc1cc(Br)cs1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734895630 GUEHBMVSBZTFCU-UHFFFAOYSA-N 404.669 4.748 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3ccc(SC)cc3)CC2)ccc1[N+](=O)[O-] 744204022 MXQVTMMMVYQHGP-UHFFFAOYSA-N 416.499 4.541 5 20 HJBD COc1ccc(-c2cc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])on2)cc1 745564758 FGARTERZFXVDBE-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cccnc2Cl)cc1[N+](=O)[O-])c1ccccn1 750641919 UAWDLWNAOCJRBG-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1)C(C)C 751310299 OYGBCMVIMMWEQO-UHFFFAOYSA-N 403.910 4.751 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)cc1 753241970 OYIHWHYYDJIZDA-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CC[C@H](CSc2ccccc2)C1 756964883 HFUQGFBUMPGNOK-AWEZNQCLSA-N 405.907 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)OC(C)(C)C 757621594 DOTPTGZRLOYVHK-NVXWUHKLSA-N 420.510 4.511 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)c1[N+](=O)[O-] 758448388 WBJXWMUSRPZYDV-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCSc2ccc(F)cc21 759339803 TUXBPYMQOCMOEO-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1S/C=C\c1ccc([N+](=O)[O-])o1 768415641 QJHPUUSRQHEKGI-KTKRTIGZSA-N 406.851 4.795 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 783316420 CCGBCJRJWZFLGX-LBPRGKRZSA-N 421.906 4.565 5 20 HJBD C[C@H](N(Cc1ccccc1)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)C(C)(C)C 785041741 HYNJCGOTUWHZPH-AWEZNQCLSA-N 417.893 4.650 5 20 HJBD Cc1cc(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)cc([N+](=O)[O-])c1 787664995 KPKMDYMQWDHABZ-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccn(CC(F)F)n1 789997296 BFJDGHHAYJKBNI-UHFFFAOYSA-N 410.427 4.521 5 20 HJBD COC(=O)c1ccc(Cl)c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 812988138 ZTEWGWHNBVFUGS-UHFFFAOYSA-N 402.834 4.624 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccccc21 813279987 SCIPEFYCEBZDAJ-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nc2ccccc2n1C(F)F 917947371 SJKIYFIDCAUJKA-SNVBAGLBSA-N 400.341 4.739 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCCc3c(F)cc(F)cc32)CC1 918603513 PEFUUPNJTUIQAB-UHFFFAOYSA-N 415.440 4.702 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 919743579 ILASBXOGTGYEPN-UHFFFAOYSA-N 417.244 4.701 5 20 HJBD CCCCc1nc(-c2cccc(OC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)no1 920228480 SXELAFBRGDBSSZ-UHFFFAOYSA-N 413.455 4.685 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1Br 1117267332 RIQZUOUMSXVBCS-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD O=C(Nc1ccc(-n2cncn2)cc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 1319443731 SLXUALQHJGQKDD-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(Cc3cccc4ccccc34)o2)cc1OC 1322492673 DGUCTPQFWUBHKN-UHFFFAOYSA-N 405.410 4.796 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(O)(Cc3ccccc3F)CC2)o1 1344004171 TWVNNZDKIQZOOC-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 11025168 YXINQLVAKVQYAJ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CC(=O)Nc1cccc([C@H](C)N(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])cc2)c1 11088915 GZOPOOPUEVKLAM-KRWDZBQOSA-N 417.465 4.957 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Cl 24886620 PYTJZMUFXPTEEZ-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C3CC3)[C@H](C)C3CC3)cc2[N+](=O)[O-])n1 47448430 LSDLAUZCEJXIMM-GFCCVEGCSA-N 403.529 4.914 5 20 HJBD Cc1cc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)ccc1Oc1cccnc1 57310021 AOIDDKHJCYOSRQ-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(C)cc([N+](=O)[O-])c3)n2)cc1Cl 195691005 PZAKZACZTDTXNP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1nc(Cc2nnc(Sc3c(Cl)cc([N+](=O)[O-])cc3Cl)o2)cs1 302236409 JNAXYESCNMZCPB-UHFFFAOYSA-N 403.272 4.792 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1Cl 303844336 TXRMZYSKGCRCIZ-UHFFFAOYSA-N 411.683 4.763 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)cc1C(F)(F)F 327756608 OBBBQSQGKANPRW-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 410140739 CRGZPGBESKVOJE-HXUWFJFHSA-N 414.465 4.707 5 20 HJBD C[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nc2ccccc2[nH]1 429063158 SEONLALCGGPRRN-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD Cc1cc(C)c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c(Br)c1 432881036 ZTQDDELGYLXIIE-UHFFFAOYSA-N 402.248 4.568 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)CC2)cc1 433122968 XLCZKMAJJFTHNU-QFIPXVFZSA-N 407.514 4.520 5 20 HJBD C[C@@H](Oc1cccc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 435564470 CNHVWPYQFPWQSM-GFCCVEGCSA-N 402.353 4.755 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)cc1 436306605 KXTVIBJJXFVNFL-GFCCVEGCSA-N 410.395 4.632 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 437140633 GSQLXWPSULKWKJ-LBPRGKRZSA-N 423.391 4.703 5 20 HJBD Cc1c(CC(=O)Nc2c(C(=O)c3ccco3)oc3ccccc23)cccc1[N+](=O)[O-] 439851509 GFTILJONMRVRFZ-UHFFFAOYSA-N 404.378 4.655 5 20 HJBD O=C(Cc1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1)Nc1ccc(Cl)cc1Cl 445370969 JYNAGRBDIJVSAT-DAXSKMNVSA-N 419.224 4.636 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@@H]3c3ccccc3C(F)(F)F)n2)c1 445579944 RLKRHODMDZRGPA-CABCVRRESA-N 419.359 4.867 5 20 HJBD C[C@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c2ccccc12 462673361 FDVCOQJKAKHHTL-ZDUSSCGKSA-N 404.875 4.582 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 466719646 NINGRAKGUKOWCE-QFIPXVFZSA-N 407.495 4.956 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 466833410 GVPQPJVVFDSQDP-HNNXBMFYSA-N 418.877 4.929 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 470377681 YYLUOIINQBGSEP-LLVKDONJSA-N 410.879 4.636 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 472832121 MIVHULZNNKYYTE-HNNXBMFYSA-N 401.426 4.510 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@@H](C)c2cccc(O)c2)cc1[N+](=O)[O-])c1ccccn1 473931602 ITDIGZSIHMAROQ-HOTGVXAUSA-N 420.469 4.702 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 477838196 OUYAYRWNTKEPFX-OAQYLSRUSA-N 400.500 4.777 5 20 HJBD O=C(N[C@H]1CCCCC12CCCC2)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 485074071 QLFDPTXQLIYYJU-INIZCTEOSA-N 400.397 4.769 5 20 HJBD O=C(N[C@@H]1CSc2ccccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485494160 PZOWOLSDODPDJW-LJQANCHMSA-N 406.463 4.751 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cc(Br)ccc2F)cc(C(F)(F)F)c1 487202733 LBHUVJJXLYYCNE-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2ccncc2)cc1 487417561 FRHISLDSRBQJRQ-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H]3CCN(CCc4ccccc4)C3)o2)cc1 488455245 BWRWUMBQHAVDJJ-FQEVSTJZSA-N 405.498 4.509 5 20 HJBD CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497828361 LFWFIQPQPLNDLL-CQSZACIVSA-N 414.405 4.667 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@H](C)Oc3ncccc32)cc1[N+](=O)[O-] 508266515 NTLMNDQMOJSMFE-CQSZACIVSA-N 401.488 4.556 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 511485863 CMQNGRVXQSZMJU-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccc(Br)c2)C(C)C)cc1[N+](=O)[O-] 513460141 MKDLZLOWUZBKJH-UHFFFAOYSA-N 421.291 4.807 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 518444279 VVVOKZSEMNYCQI-FQEVSTJZSA-N 423.425 4.569 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCc3ccc(O)cc3C2)cc1[N+](=O)[O-] 518487876 CHFGCGJINXKCIH-UHFFFAOYSA-N 400.500 4.637 5 20 HJBD CC1CCN(Cc2ccc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)CC1 518767867 YJCLKCYGIZZCHR-UHFFFAOYSA-N 419.472 4.718 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)c1cccc(F)c1 520735104 AMQXDEBHWDXZNQ-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(OC(F)(F)F)cc3)cs2)c1 522198646 OUZMWJAMWGBUEY-UHFFFAOYSA-N 409.389 4.907 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc(Cl)s3)cs2)cc1[N+](=O)[O-] 524087850 XNQBKNXRPOSURO-UHFFFAOYSA-N 409.876 4.623 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(CSC3CCCC3)c2)ccc1[N+](=O)[O-] 524866023 UPKPUQPGUUYAGL-UHFFFAOYSA-N 416.499 4.797 5 20 HJBD Cc1c(NC(=O)CCN[C@H](c2ccccc2)c2ccc(F)cc2)cccc1[N+](=O)[O-] 533377579 OPTGKDPLXRBXKE-HSZRJFAPSA-N 407.445 4.750 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 534029175 LZWSWXPLRVMNNV-UHFFFAOYSA-N 409.442 4.838 5 20 HJBD CC(C)[C@H]1C[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536757392 UEFCCPZFGKGONV-ORAYPTAESA-N 423.307 4.911 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@H]2CCCO2)c1 537135106 GEFFNCPMFXXJFJ-GOSISDBHSA-N 416.499 4.905 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 540199734 SVWNESGESWECRQ-UHFFFAOYSA-N 423.372 4.754 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)c1 540728727 LBYZHNWXOMKGRM-INIZCTEOSA-N 421.453 4.794 5 20 HJBD C[C@@H](NCCC(=O)Nc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 543496991 WAVNSVWLHLZCEB-UONOGXRCSA-N 409.408 4.724 5 20 HJBD CO[C@H](C)c1nc(Cc2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cs1 545116581 OXMHNXGCBNQAGV-LLVKDONJSA-N 402.476 4.697 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1c(-c2ccccc2)nc2ccccn12 547412271 BQVLSRRTFPPKLR-UHFFFAOYSA-N 411.421 4.572 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CS(=O)(=O)c2cccc(C(F)(F)F)c2)c1 555048966 QGWXPGVWXLLHTP-UHFFFAOYSA-N 413.295 4.606 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1-c1ncco1 555853653 LAGVGIQSOSYNSF-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)NCC[C@H](c1ccccc1)C(F)(F)F 556218517 WTOGAESOCROYOA-RDTXWAMCSA-N 409.408 4.638 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)cccc1N1CCCC1 557335462 HCQMMHCHSYASJZ-UHFFFAOYSA-N 417.506 4.765 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCOC[C@H](C2CCC2)C1 561736694 KSMUMMUGTBQOKX-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccccc3)Cc3ccccc3F)n2)c1 561845116 SSRVSOYMSNQROI-UHFFFAOYSA-N 418.428 4.986 5 20 HJBD Cc1nc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccc(Cl)cc2)no1 562024158 FMVZYXOGCVVPGK-OAHLLOKOSA-N 422.228 4.504 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 574855240 VNPUFBBAOLWGCG-BCOMRZATSA-N 414.527 4.918 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(F)cc3)c(C)s2)c1 578636822 GZZSQORZOFAHPK-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCC4)[C@H]3[C@@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581044343 CWJSNZFUVPJXAB-FCHUYYIVSA-N 421.497 4.693 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H]2CCCCN2c2ccccc21 590525364 DBZWBIMQVQVUFG-QGZVFWFLSA-N 420.494 4.738 5 20 HJBD CSCCNC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 603450499 BJWGCSMDTRKUHZ-NTCAYCPXSA-N 413.524 4.614 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 603638220 INRCWHWQNRAXBE-VXGBXAGGSA-N 416.302 4.957 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 603775661 RDZBCARICYODEI-UHFFFAOYSA-N 405.410 4.988 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 609263025 GLPFZIKQLNBUJO-WMLDXEAASA-N 418.877 4.778 5 20 HJBD Cc1sc(NC(=O)c2csc(-c3ccoc3)n2)nc1-c1cccc([N+](=O)[O-])c1 609371181 MLWGHHRNHRAIIY-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD O=[N+]([O-])c1nn(Cc2ccc(Oc3ccccc3F)c(F)c2)cc1Br 609852010 DIEKXYKAZOWHTN-UHFFFAOYSA-N 410.174 4.673 5 20 HJBD CC(C)(C(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1ccc([N+](=O)[O-])cc1 610061562 CHRAXBLEIVFFHQ-UHFFFAOYSA-N 414.889 4.647 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1ncc(-c2ccccc2)o1 610068577 OMJLTXVOMFPBGX-CHWSQXEVSA-N 415.833 4.548 5 20 HJBD CCc1cc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)on1 610154260 CUQWKOQCHOXMGR-UHFFFAOYSA-N 401.806 4.521 5 20 HJBD O=C(CCSc1nnc(-c2cccc3ccccc23)o1)Nc1ccccc1[N+](=O)[O-] 610166555 MGZRNDDLQRSGGN-UHFFFAOYSA-N 420.450 4.919 5 20 HJBD CC(C)(C)Oc1cc(N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 611203551 NBWCZUGFZGWDDH-GHMZBOCLSA-N 400.319 4.949 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1CO 611216178 LANXXMLADFOMLJ-UHFFFAOYSA-N 416.499 4.773 5 20 HJBD COc1cc(Cc2noc([C@@H](C)c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 612967896 BAUSTYCWECPGPW-NSHDSACASA-N 407.348 4.748 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(OC(F)F)cc1 725984752 BMROVZAAGNQPEA-SECBINFHSA-N 416.174 4.877 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CCOC(c1ccccc1)c1ccccc1 726431803 LJSVSNQXLPVEIB-UHFFFAOYSA-N 416.275 4.668 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1nnc(-c2c(Cl)cccc2Cl)o1 726632627 HSLOKIQODCTUOT-RMKNXTFCSA-N 420.208 4.708 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2)cc1 726961829 PQWOLJPLCMFRBQ-UHFFFAOYSA-N 415.405 4.856 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)s1 730202795 ZWFRQEULWMOMDC-ZDUSSCGKSA-N 402.863 4.894 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@H](c1ccc(C)cc1)c1cccnc1 733107889 BLVUSDVYDXHUJL-JOCHJYFZSA-N 405.454 4.559 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(F)c(F)c1 735054228 PRNXRKOZGBIUSO-INIZCTEOSA-N 410.804 4.659 5 20 HJBD O=C(COc1ccc(Oc2ccccn2)cc1)OCc1ccc(Cl)c([N+](=O)[O-])c1 741932079 OVZABMVKOBCBRJ-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD Cc1nc(-c2ccc(Cl)c(Cl)c2)n(CN(C)Cc2ccccc2[N+](=O)[O-])n1 742539571 JCUDWTGCESBLLS-UHFFFAOYSA-N 406.273 4.558 5 20 HJBD Cc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1NC(=O)OC(C)(C)C 742692092 CNZVWTNFFXZPEW-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CC(C)(CNC(=O)Cc1ccccc1[N+](=O)[O-])Sc1ccc(Br)cc1 742730637 QKCBTTSWGZZCHN-UHFFFAOYSA-N 423.332 4.587 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1 742938083 JHPUQMPJGLVKBN-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCc1ccc([N+](=O)[O-])cc1F 746939796 RWBWEFJPYVFTKG-UHFFFAOYSA-N 423.487 4.725 5 20 HJBD Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)c1NC(=O)c1ccoc1C 746973256 OMONTHAMMZSHRY-UHFFFAOYSA-N 412.373 4.553 5 20 HJBD COc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(OC2CCCC2)c1 747889795 CDSHIZBWPYLNJP-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccc(SC(C)(C)C)cc1C 751284451 MHDOIMBLGJYLAP-UHFFFAOYSA-N 410.517 4.603 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])cc2)cc1 753353466 XRUDMUPUTKXFMS-UHFFFAOYSA-N 422.412 4.847 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1F 755058861 FTCPQXOOMOVGQW-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD O=C(Cc1ccc(Cl)c(Cl)c1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760456485 AICZQOQQJIUMPF-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD O=C(Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-])c1cnc([C@@H]2CCCO2)s1 760996131 YMFOJQVRPLBXKW-SFHVURJKSA-N 410.451 4.713 5 20 HJBD COc1ccc(S[C@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776140267 UZFLYVICCQPNQP-VFNWGFHPSA-N 424.478 4.812 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790349543 SEEHQMBIVJAWEZ-OAHLLOKOSA-N 413.449 4.618 5 20 HJBD CC(C)(C)[C@@H](NC(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1cccs1 795245018 JTNCTTWPCDQEBE-INIZCTEOSA-N 400.859 4.731 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cs2)cc1 798130036 GJFCMLWYPANQBB-XGICHPGQSA-N 410.451 4.874 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1ccc(Cl)cc1Br 800151952 UYNMWRMRQOMHMG-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD Cc1c(C(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 801092525 REMAZQYPAZMVLO-BCDXTJNWSA-N 409.442 4.671 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2nc3cc(Br)ccc3o2)c1 803245864 GIYUJTRSOMWOED-UHFFFAOYSA-N 423.244 4.577 5 20 HJBD O=c1ccccn1C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1 810002927 CTCWANTTYKFMJQ-GOSISDBHSA-N 418.280 4.594 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cc(=O)c2ccc(F)cc2o1 811223582 UGWKGXYZZJFXAV-UHFFFAOYSA-N 412.156 4.504 5 20 HJBD COc1ccc([C@H](O)C2CCN(Cc3ccc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 812774536 QPOFJLDTZIZSJX-DEOSSOPVSA-N 422.481 4.809 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2cccc(C(=O)N3CCCCC3)c2)cc1[N+](=O)[O-] 815405736 HRNIOTXUQNGHCN-UHFFFAOYSA-N 416.861 4.540 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 829063966 YGNGBRXHXOFCCC-WYDVEAGSSA-N 415.254 4.547 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)/C=C\c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 836993869 XDJZKTJSRWPMFK-WKTRQSJXSA-N 422.890 4.522 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C3CCCCCC3)no2)c(I)c1 904678796 BKSAXYZIUSLISG-UHFFFAOYSA-N 413.215 4.687 5 20 HJBD O=C(Nc1cccc(N2CCOC2=O)c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 914760933 UGRKCWBMXDZBPI-UHFFFAOYSA-N 418.409 4.547 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 1116240408 HMOLVKRSYAIHFZ-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCO[C@H](c3ccc(Cl)s3)C2)c(Br)c1 1116673833 MZICWGFDTBISIG-ZDUSSCGKSA-N 417.712 4.646 5 20 HJBD COc1ccc(NC(=O)N(CCc2ccccc2F)C2CCCC2)c([N+](=O)[O-])c1 1123427833 UELIQZNWLLMGBM-UHFFFAOYSA-N 401.438 4.762 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(Cn2cccc2)cc1 1318538145 SXAFMSAHQDSRSK-UHFFFAOYSA-N 404.451 4.820 5 20 HJBD CC[C@@H](C)c1nnc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 1331854374 VOGBXMRYMDLCFE-OAHLLOKOSA-N 403.442 4.935 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3ccc(F)cc3Br)o2)c(Cl)c1 1345544149 NOOGVZAQQCYDOK-UHFFFAOYSA-N 412.602 4.791 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1C(F)(F)F)N(C)Cc1ccccc1[N+](=O)[O-] 26348897 HVVCKKBLSNXACR-NSHDSACASA-N 415.799 4.726 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@H]2c2ccccn2)cc1[N+](=O)[O-])c1ccccn1 55523969 QKEVTOFSFYZWOW-IERDGZPVSA-N 417.469 4.535 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccco1)c1ccccc1 57660035 TXBPXVJYOPGMHZ-UHFFFAOYSA-N 405.454 4.638 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(Br)c(C(F)(F)F)c1 60998454 BBEDSGFKKJTEQX-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 65073571 UYTSSCYZAWSEPK-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD COc1ccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 108945069 GLEPTLNQILQPIK-GOSISDBHSA-N 402.859 4.838 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccccc2OC(F)F)cs1 110598609 SOOZEUQGFYSELE-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)ccc1Br 159038479 GLOHFSBHCNRYIB-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(C)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Br)cc1 303348522 GRJWKFKCSNOXAL-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)cc1[N+](=O)[O-] 409654637 XTNAEKVNXAFVEJ-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD CCN1CC[C@H](N(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C[C@H]1C 443915328 BAWHCIDFSFCSNE-APWZRJJASA-N 412.490 4.724 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3ncc([N+](=O)[O-])cc3C)C2)n1 447694017 PGMKLWKLPUJTQZ-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(Br)cc(C(F)(F)F)c1 464150109 HCPSGQCVVPDZRE-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CO[C@@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(C)(C)C 465217003 XGJXRVVFGNFRQP-SFHVURJKSA-N 406.866 4.831 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CCOCC1 466546288 SKPHMSGRWMWBNX-ZDUSSCGKSA-N 420.918 4.944 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(F)cc2F)C(C)(C)C)c([N+](=O)[O-])cc1OC 468619388 GZAAVNWFVKSWJM-IBGZPJMESA-N 422.428 4.798 5 20 HJBD Cc1ccc([C@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccn2)cc1 471380586 HJEIDVHXGSBJFO-IBGZPJMESA-N 415.371 4.836 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 473507307 JMNPEUDPWGOFGR-MRXNPFEDSA-N 419.416 4.716 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 479087411 AGQNRTISGKLROA-CYBMUJFWSA-N 413.421 4.782 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 479505923 WRCXDHAOEBISRI-QHCPKHFHSA-N 419.481 4.772 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(OC(C)C)c1 480653982 SDYRPAYOHJCWRB-OAHLLOKOSA-N 418.515 4.612 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccccc2F)C1)c1cccc2c(=O)c3ccccc3[nH]c12 482869279 MMHDTOVLPFQKPV-CVEARBPZSA-N 400.453 4.887 5 20 HJBD CCOc1cc(N2CCN([C@H](C)c3ncc(-c4ccccc4)o3)CC2)ccc1[N+](=O)[O-] 482887169 HRBHWUZPGUJEEN-QGZVFWFLSA-N 422.485 4.532 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cnc3ccccc3c2C(F)(F)F)cc1[N+](=O)[O-] 488047400 WPYIALAAAWMNFU-GFCCVEGCSA-N 403.360 4.961 5 20 HJBD CC(C)(NC(=O)NCCc1nc2cc(Cl)ccc2s1)c1ccccc1[N+](=O)[O-] 488196504 FRWLUOLSLYBOPR-UHFFFAOYSA-N 418.906 4.635 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OC(C)(C)C)cc1SC 500588189 HILMPZRVSWYACF-UHFFFAOYSA-N 408.451 4.894 5 20 HJBD COc1ccc([C@H](NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C(F)(F)F)cc1 505863028 RLRPFYYMBYTGSP-KRWDZBQOSA-N 407.348 4.652 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2ccccc2)no1 506558357 SOCDTQFGPQCWDM-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1COc1c(Cl)cncc1[N+](=O)[O-] 506570591 MMGKQZRCVQDXOX-UHFFFAOYSA-N 407.257 4.737 5 20 HJBD O=C(Nc1nccn1-c1ccccc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 511319304 DEBTVAGTLSKXJE-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 512613037 KEHINYJIQKHIIJ-UHFFFAOYSA-N 410.499 4.614 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 514929492 PHFCHBOVRZYDIP-NRFANRHFSA-N 402.454 4.553 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(SC2CCCC2)cc1 532944095 RSVQSDWIHGGANZ-KRWDZBQOSA-N 417.535 4.501 5 20 HJBD CC[C@H](C[C@H](C)CO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536222846 WMQOICPKRGTZFK-DZGCQCFKSA-N 422.934 4.926 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C 536982050 OHHWOUBQUCQBNU-SJCJKPOMSA-N 422.279 4.563 5 20 HJBD COCCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc2o1 537170530 BAKCZGYQJNVIJF-UHFFFAOYSA-N 415.471 4.678 5 20 HJBD CN(Cc1nccs1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 538718957 ZARPMJZFLQETGA-UHFFFAOYSA-N 405.483 4.536 5 20 HJBD C[C@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)s1 540893864 LBBFZIJSTDTNKW-VIFPVBQESA-N 417.712 4.535 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(CSC2CCOCC2)c1 543120942 WXETZVQCEMCFRL-UHFFFAOYSA-N 418.540 4.738 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccccc3[N+](=O)[O-])n2)c1)c1ccccc1F 544944862 OOTPSMYQUWWNIX-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4ccc(Cl)cc4Cl)no3)c2c1 545552032 XFSAPCOYUXFMFG-UHFFFAOYSA-N 417.208 4.796 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3c[nH]c4cc(F)ccc34)no2)c(Br)c1 547090218 VKPBPSNBBBWGPG-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4ccccc4[C@@H]3c3ccccc3)o2)cc1 550344991 QGSPVPKTPQQVRM-QHCPKHFHSA-N 412.449 4.793 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 558873688 RCYVMYKYPZBWKF-UHFFFAOYSA-N 402.756 4.548 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Br)cc1F 561704363 MCPUVNBRLOCSQT-SECBINFHSA-N 408.227 4.554 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@@H]2CCCCN2c2ccccc21 575086467 QITUTXJIFMKTGC-IBGZPJMESA-N 423.516 4.560 5 20 HJBD CN(C(=O)c1csc(-c2ccc(Cl)c(Cl)c2)n1)c1ccc([N+](=O)[O-])nc1 580253195 RNEFFPSGPSUPNF-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCc4nc(-c5ccccc5Cl)sc4C3)[nH]c2c1 588853585 UEFVYXPKUOREIW-UHFFFAOYSA-N 411.874 4.811 5 20 HJBD Cc1sc(NC(=O)C2(c3ccccc3)CCOCC2)nc1-c1cccc([N+](=O)[O-])c1 603997544 NQOKDSLWKZPGEL-UHFFFAOYSA-N 423.494 4.714 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c(F)c1 604040307 FPQXGMDXSJASAI-HNNXBMFYSA-N 419.261 4.567 5 20 HJBD COc1ccc([C@@H](N[C@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604478643 CLNSYNICHDVUOU-OSPHWJPCSA-N 419.481 4.700 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2NC(=O)c2cccs2)cc1[N+](=O)[O-] 608810086 AUFUNIMDJTZBKX-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD C[C@@H](NC(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1cccc([N+](=O)[O-])c1 609291417 UCUDPIIXJWCFJV-CYBMUJFWSA-N 411.487 4.655 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)CCNc2ccccc2[N+](=O)[O-])cc1 610188985 FPGQYJKKBHYNEY-QGZVFWFLSA-N 419.481 4.950 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccc(Br)s2)cs1 610221221 BERUKOXNCUJEOP-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCN(c2ccc(Cl)cc2)C1 613296931 RCBLLOOBTSYOQQ-HNNXBMFYSA-N 402.882 4.513 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2F)cc1 618964661 GAUNJPYARXWCEC-GOSISDBHSA-N 404.463 4.588 5 20 HJBD C[C@H](OC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1)c1ccccc1[N+](=O)[O-] 726326503 UYMIFBJWBWEHCS-IVMQYODDSA-N 416.518 4.844 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@H](OC1CCCC1)c1ccccc1 726933031 BLTXTRWWURXVDW-HXUWFJFHSA-N 402.878 4.907 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(Cc2ccc(F)cc2)n1 727047920 IYSPQXRXRCEASB-UHFFFAOYSA-N 416.430 4.537 5 20 HJBD O=[N+]([O-])c1cccc(-c2csc(NS(=O)(=O)/C=C/c3ccccc3Cl)n2)c1 727241953 NWQVMMDDMMVLMQ-CMDGGOBGSA-N 421.887 4.784 5 20 HJBD O=C(OCc1csc(COc2ccc(Cl)cc2)n1)c1cc(F)cc([N+](=O)[O-])c1 731527978 QHAKNERCBNKDMT-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)cc2)n1 736673739 NQDJXTSCLBNIFZ-UHFFFAOYSA-N 413.415 4.572 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1sc(N2CCCC2)nc1Cl 741934225 GMXLAXXPIAFBSD-UHFFFAOYSA-N 401.275 4.519 5 20 HJBD O=[N+]([O-])c1cn(CN(Cc2ccc(F)cc2)C2CCCCC2)nc1-c1ccncc1 742144898 JSNVISHJAQFJBZ-UHFFFAOYSA-N 409.465 4.785 5 20 HJBD O=[N+]([O-])c1cn(CN(Cc2ccc(Cl)c(Cl)c2)C2CC2)nc1-c1ccncc1 742146986 WLTQZOKULNHXQK-UHFFFAOYSA-N 418.284 4.782 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@H](C)c2ccccc2[N+](=O)[O-])cc1Cl 743468461 LCADFXMDELMLMG-CQSZACIVSA-N 405.882 4.669 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC([C@H]2CCCN2C(=O)OC(C)(C)C)CC1 743842856 NCTYLHAWQMTGJH-VQIMIIECSA-N 403.523 4.767 5 20 HJBD O=C(c1cc(-c2cccnc2)nc2ccccc12)N1CCCc2c1cccc2[N+](=O)[O-] 754406199 OUDLWJKRUKQMMP-UHFFFAOYSA-N 410.433 4.798 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc(Cl)ccc3[N+](=O)[O-])o2)cc1C 758910647 DITFLWCEXXDEPO-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccoc2Cl)c2ccccc2)c([N+](=O)[O-])c1 768973371 BVPNVOIBGSERTE-KRWDZBQOSA-N 414.801 4.686 5 20 HJBD COc1ccc(C[C@@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 788505054 RDECVBKKCLHVOL-QMHKHESXSA-N 421.497 4.829 5 20 HJBD CC(C)(NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(=O)N1CCCC1 793393850 BOCBCAHYUXFPIK-UHFFFAOYSA-N 417.893 4.531 5 20 HJBD CCOC(=O)c1cccc(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 794448121 MBOBUZQMDHUICI-NSHDSACASA-N 408.863 4.544 5 20 HJBD C[C@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1OCCc2sccc21 797032334 RYJOIGWDQNRJNQ-WVFSVQOHSA-N 424.478 4.634 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 798242960 OIYAUGAQGIGQMS-UHFFFAOYSA-N 402.454 4.899 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cc(Cl)c(Cl)cc1F 798578533 BBAOPJPQRIFJRB-UHFFFAOYSA-N 402.165 4.520 5 20 HJBD Cc1cc(C)cc([C@@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 801534746 YQJYUEZYQAHDMT-FQEVSTJZSA-N 422.506 4.826 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(-c2noc(C(F)F)n2)cc1 805637093 VMRYZLUOENSTND-UHFFFAOYSA-N 409.732 4.593 5 20 HJBD C[C@@H](CC(=O)c1ccccc1F)C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085215 DJMCHXWJQXPHNH-JTQLQIEISA-N 414.216 4.993 5 20 HJBD CC[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc2c(c1)OCCO2 812926784 IMOSWRXERXWWCT-CQSZACIVSA-N 411.241 4.554 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(C(F)(F)F)nc1Cl 820596202 RPNYSJMUENRJQK-MRVPVSSYSA-N 414.771 4.720 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nc(-c3ccsc3C(F)(F)F)no2)n1 904922896 MNMPAKJHLNXWQZ-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)c1ccc(Cl)cc1Cl 916523152 KCHYLWIKFHRWRD-JTQLQIEISA-N 414.266 4.808 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC(C)(C)c1ccccc1 921275434 JPEDGJXDOCKVLB-UHFFFAOYSA-N 422.485 4.750 5 20 HJBD CC(C)(CO)[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1cccnc1 1117722299 RDSHTFLPUZBDCB-HXUWFJFHSA-N 415.877 4.753 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(COc2ccc([N+](=O)[O-])cc2)o1 1326105279 YAGIAOMNXVWWLA-UHFFFAOYSA-N 403.822 4.530 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(c4nc5ccccc5o4)CC3)co2)cc1 1341244591 ZSJAMOYTHVLBQK-UHFFFAOYSA-N 404.426 4.771 5 20 HJBD O=C(O[C@H]1CCCC[C@H]1C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1343428587 GARIMCJUCDUIRX-OCCSQVGLSA-N 400.378 4.996 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC(F)(F)F)cc1 10979641 ZZHKPARWDLBNBD-NSHDSACASA-N 400.378 4.655 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 11028910 NVSBWYDFQLBAOY-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD O=C(CN1CCC[C@H]1c1nc2ccccc2s1)Nc1ccc(Cl)cc1[N+](=O)[O-] 15351504 MIHOMLPYQPDDNS-HNNXBMFYSA-N 416.890 4.634 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Br)[C@@H](NC1CCCC1)c1ccccc1 21819746 MTFFGVFBMHRDGG-SFHVURJKSA-N 418.291 4.569 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 26115921 AHAKOVKDTYAISE-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD Cc1cc(C(=O)COC(=O)/C=C\c2ccccc2[N+](=O)[O-])c(C)n1Cc1cccs1 33386040 XMWCHOCEKYXYKH-KTKRTIGZSA-N 424.478 4.562 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(CCCOc2ccc([N+](=O)[O-])cc2)CC1 60481897 CKXWKOOBGHNQLD-UHFFFAOYSA-N 402.878 4.612 5 20 HJBD C[C@H]1CCCCN1CCCCNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 63758216 POSXLVUUIFSWPM-IBGZPJMESA-N 424.545 4.591 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 64848543 KNIHOXIFAGPWRS-UHFFFAOYSA-N 405.907 4.825 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(COCCc3ccccc3)c2)c1 65093392 FEPTURMFIBOQKY-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NC[C@@H]2CCCO[C@@H]2c2ccccc2)cc1Cl 301655477 HRDWMTOMBNMNDP-IFXJQAMLSA-N 404.850 4.615 5 20 HJBD CCOc1cc(C(=O)N2CCCc3cc(Cl)cc(C)c32)c([N+](=O)[O-])cc1OC 303677791 LNDFCNLHBBWBGY-UHFFFAOYSA-N 404.850 4.557 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@@H](Sc4cccc(Cl)c4)C3)n2)cc1 429172683 KSPVYWHUKNGQHV-QGZVFWFLSA-N 416.890 4.665 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1C 429848574 FVHCOALQVNDMPE-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 431119329 QQSRAGSKAACFAZ-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(Oc2ccccn2)c1 438656834 PSJUDRQWIRIBCF-UHFFFAOYSA-N 406.442 4.927 5 20 HJBD COc1cc(CN[C@@H](C)c2ccccc2SC)c([N+](=O)[O-])cc1OCC(F)F 440631147 WGEYWJHWJGCIOL-LBPRGKRZSA-N 412.458 4.820 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cn1 441420589 GIAAXOQRLWQUMA-UHFFFAOYSA-N 406.442 4.573 5 20 HJBD CCOc1cc(NC(=O)c2sc(C)nc2CC(C)C)c([N+](=O)[O-])cc1OCC 445755541 DKZUXBXCPRZHNX-UHFFFAOYSA-N 407.492 4.608 5 20 HJBD COc1ccc2c(c1)[C@@H](N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1)CCO2 460232880 BTCJRBBMEWUPJY-SFHVURJKSA-N 424.478 4.831 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 462228911 XJLJADSHEZOULG-SFHVURJKSA-N 423.435 4.936 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463847132 CNARTWRKMMKZBM-AWEZNQCLSA-N 412.383 4.894 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)CC(F)(F)F)cc2[N+](=O)[O-])n1 464464576 DLMHJUGNWTVWRD-QMMMGPOBSA-N 405.423 4.582 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(OC)cc2F)nc1C 464721835 ZURJRNMNMBPLJR-UHFFFAOYSA-N 415.446 4.989 5 20 HJBD COC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1Cl 467010096 BCNHFHPGAOCSQH-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C(F)(F)F)cs1 470563056 FOWOKOBINYHNMK-LURJTMIESA-N 413.299 4.580 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])CC1 474405034 AAOFRRHQSVJLRZ-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC(C4CCCC4)C3)cc2[N+](=O)[O-])n1 477944087 WLCPHCASBAZVDH-UHFFFAOYSA-N 403.529 4.773 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)C[C@@H](CC)c2ccccc2)cc1OC 482267754 PGDJDZALAFIDJJ-MRXNPFEDSA-N 400.475 4.658 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccn1Cc1ccccc1 483150296 KRESGQATTLMYEQ-UHFFFAOYSA-N 410.499 4.840 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(OCC(F)(F)F)c2C)c1[N+](=O)[O-] 486242929 WYGOISJGSBDBER-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD COc1ccccc1O[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 487231409 GHXZRLQFKWGCQA-OAHLLOKOSA-N 418.515 4.549 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 492829769 LANLUFCPBNDSEK-ZWKOTPCHSA-N 414.525 4.596 5 20 HJBD O=C(Nc1ccc(Br)cc1Cl)c1cc([N+](=O)[O-])ccc1OC(F)F 498242492 ACKCKWFGFFRCSV-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CCOc1ccc([C@H](Nc2ccc(C(=O)NC)cc2[N+](=O)[O-])c2ccccc2)cc1 498836587 IAGRIBDJFQNPJY-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD CCCOc1cc(NC(=O)c2ccc3ncccc3c2)c([N+](=O)[O-])cc1OCCC 499283708 XUKLUXWLYBUKAV-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD CSc1ccc(NC(=O)Cn2cc(Nc3ccc([N+](=O)[O-])cc3Cl)cn2)cc1 501250928 AXGOGHHACXLSKX-UHFFFAOYSA-N 417.878 4.549 5 20 HJBD CCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)cc1 512520512 OCRGKWLLGIUQOV-UHFFFAOYSA-N 421.419 4.883 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 513460487 PBYYZSPUUJJGTR-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(Oc3ccccc3)cn2)no1 514888467 QBBKPCSWTQOJRD-INIZCTEOSA-N 417.425 4.963 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)c1)Nc1cccc2c1CCCC2 517521570 FEWZBVVDNQLKNN-UHFFFAOYSA-N 419.441 4.698 5 20 HJBD C[C@@H](c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1)N1CCOCC1 522020284 OFZOIJOKBADNRF-INIZCTEOSA-N 411.502 4.538 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523505859 LZLAZVLKLHYPOO-GOSISDBHSA-N 409.530 4.563 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(CSC2CCOCC2)c1 524192191 DDFZMKMCYXMJKY-UHFFFAOYSA-N 415.515 4.671 5 20 HJBD COc1ccc(CN[C@H]2CCC[C@@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 524224916 RDOSLULLJACZOM-AZUAARDMSA-N 416.543 4.946 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCC[C@@H]3CC(C)C)cc2)c(C)c1[N+](=O)[O-] 524845364 VBVCMSIPYQSJFE-OAQYLSRUSA-N 412.534 4.887 5 20 HJBD Cc1cccc([C@H]2CCCN2c2c([N+](=O)[O-])cnc3ccc(Br)cc23)n1 531706424 VSYNAOYDGRBZME-QGZVFWFLSA-N 413.275 4.950 5 20 HJBD O=C(NCCc1csc(-c2ccc(Cl)cc2)n1)c1ccc(Cl)cc1[N+](=O)[O-] 534730708 BRIADFZNYGLIAW-UHFFFAOYSA-N 422.293 4.998 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 536183265 YZEYPCGDHSMPEO-UHFFFAOYSA-N 419.403 4.561 5 20 HJBD C[C@H]1CN(C)CC[C@@H]1NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 536855681 BUWZVRLGTHDJHA-UGSOOPFHSA-N 407.873 4.609 5 20 HJBD Cc1cccc(OCCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 539355934 TZHJLILEGMCGST-UHFFFAOYSA-N 411.483 4.849 5 20 HJBD Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)nn1-c1ccc(Cl)c(Cl)c1 539593364 IYCAXRRLKPGALU-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)n(-c3ccc(Cl)cc3Cl)n2)c1 540751040 UJILUSXKRYXNSG-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD COc1cc(CNC[C@@H](C)c2nccs2)ccc1OCc1ccc([N+](=O)[O-])cc1 541778925 HSEKOYURHVTDQG-OAHLLOKOSA-N 413.499 4.532 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)CSc2ccccc2[N+](=O)[O-])s1 542236336 HZDHQWKYCURWMQ-UHFFFAOYSA-N 402.497 4.879 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] 542422625 WDAUFLAAPZYYGR-CYBMUJFWSA-N 407.430 4.713 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(-c3cncnc3)cn2)cc1[N+](=O)[O-] 543075729 LPIVJXVNOJFUEN-UHFFFAOYSA-N 412.453 4.827 5 20 HJBD C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc(Cc2nc3ccccc3n2C(F)F)no1 545621667 AYOLMDMQSZJKDH-BENRWUELSA-N 411.368 4.874 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)c2cccs2)c1 549009094 GOXBNUCYKUJMOG-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1OCCc2sccc21 550861163 BYGAQOPQBILOML-PIGZYNQJSA-N 415.496 4.668 5 20 HJBD COc1cccc(-c2c[nH]cc2C(=O)Nc2cc(Br)cc([N+](=O)[O-])c2)c1 552395469 ITFCVQXBUMKPMU-UHFFFAOYSA-N 416.231 4.613 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 554686487 NDQCTUNJAFFEQX-UHFFFAOYSA-N 411.483 4.741 5 20 HJBD CN(C)CCCN(C(=O)c1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 556326484 HLUSTWDLBZNUBA-UHFFFAOYSA-N 417.509 4.778 5 20 HJBD Cn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1ccccc1F 563470652 DMKJUYLUZFAKJE-UHFFFAOYSA-N 412.368 4.841 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1 568153468 HLKMCUPPVRSHJH-UHFFFAOYSA-N 404.392 4.870 5 20 HJBD CC1(C)CN(C(=O)c2sc(Br)cc2[N+](=O)[O-])[C@@H]1c1cccs1 580918086 SCSIKKSTRZOJHZ-GFCCVEGCSA-N 401.307 4.704 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 584083386 OGJDRSFKTUAGOG-UHFFFAOYSA-N 420.397 4.569 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC[C@H](c2nc(C(F)(F)F)cn2C)C1 584139904 JACJAPGWRUHKCJ-IDJPSDCMSA-N 408.424 4.630 5 20 HJBD CCc1ccc([C@H](NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C(C)C)cc1 585705084 VDAYNZPNPHIBCB-LJQANCHMSA-N 422.428 4.894 5 20 HJBD CC[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1F 606235056 MTRRHLNNCFHFIC-HXUWFJFHSA-N 406.432 4.700 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1ccc([N+](=O)[O-])cc1Cl 608868988 FOMVOBSZJOYYDK-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1ccccc1COc1cccc(CC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 609262145 LZYUBTGQIZRFRO-UHFFFAOYSA-N 404.466 4.683 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)ccc21 609651118 KLLFMGTZTQSGJY-UHFFFAOYSA-N 418.375 4.747 5 20 HJBD Cc1csc(C(C)(C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 609703206 AHXLNWYNXYVRIF-UHFFFAOYSA-N 410.499 4.637 5 20 HJBD CCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1nc(C)cs1 610046608 NVDUWPYBTVOUEJ-UHFFFAOYSA-N 420.541 4.943 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(NC(=O)CC(C)(C)C)ccc1Cl 610223613 GUKNSMJOMPAKBP-UHFFFAOYSA-N 417.893 4.895 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)c(F)c1 610223824 MEZMGENKZBUHGA-LLVKDONJSA-N 402.319 4.568 5 20 HJBD O=C(Nc1cnn(Cc2ccncc2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202634 UDTIXBJGIBKTAU-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@@](=O)C4CCCCC4)c3)c2c1 611709992 WTZJSKWRKUANAJ-GDLZYMKVSA-N 410.499 4.863 5 20 HJBD Cc1cccc2sc(N(Cc3ccccc3)C(=O)c3ccc([N+](=O)[O-])n3C)nc12 612556660 ACAGTZUNOGKTRR-UHFFFAOYSA-N 406.467 4.698 5 20 HJBD O=C(Nc1ccc(Cl)c2ncccc12)c1sc(Br)cc1[N+](=O)[O-] 618398325 BVIGENBPSADGKU-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CC(C)(CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccncc1 619672259 IEPBHYAYYHMDGE-UHFFFAOYSA-N 407.495 4.849 5 20 HJBD CCc1cc2oc(=O)cc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2cc1Cl 730645649 ZWGNPVWFEIIUGX-UHFFFAOYSA-N 422.220 4.927 5 20 HJBD C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 731209966 HERRMYSBHLJKMU-CHWSQXEVSA-N 406.508 4.652 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)ccc21 734388920 LGRVIGNSZFHSJK-UHFFFAOYSA-N 410.327 4.936 5 20 HJBD CCc1ccc(OC(=O)c2csc(-c3ccc(OC)c(OC)c3)n2)c([N+](=O)[O-])c1 734740300 XWTWVBRKWSRBMG-UHFFFAOYSA-N 414.439 4.517 5 20 HJBD O=C(CCCc1nc(-c2ccc(F)cc2)no1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734891258 LHWVBJYLJBMYLE-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 735248553 AMPQXTILDIONGK-UHFFFAOYSA-N 415.308 4.826 5 20 HJBD O=C(OC[C@H]1CCCN(C(=O)c2ccccc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 749080344 SMLBJXCGEWNGFH-HNNXBMFYSA-N 424.478 4.519 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2cccc(NC(=O)c3ccccc3)c2)c1 750431395 KLVJNWARSSOAON-UHFFFAOYSA-N 422.462 4.926 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(NC(=O)OCC(C)C)c2)cc1[N+](=O)[O-] 752657202 YIJPCFLNVQZERO-OAHLLOKOSA-N 414.462 4.990 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762909263 VHTFWUMPDZGEEK-KGLIPLIRSA-N 409.442 4.774 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764244108 YZKKSGZXZROULY-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)c2ncccc12 764912963 GYNUPADSRAXXDH-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD CC[C@H](Oc1cccc(C)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217462 IKGISGYISVLNKF-VXKWHMMOSA-N 406.438 4.788 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 780004198 HZTYVPINXUZOGV-UHFFFAOYSA-N 416.430 4.988 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@H](c4cccc(C(F)(F)F)c4)C3)o2)cc1 784481928 FUROTPZLQQERMN-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 787813049 SCRLOBHACGDMED-UHFFFAOYSA-N 410.927 4.686 5 20 HJBD C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 801540736 DOJXNGYBTGFCLL-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD Cc1nc(Cc2nnc(SCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])o2)cs1 809948170 WULCLTVWRCONES-UHFFFAOYSA-N 417.299 4.933 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 815954097 RJIMFMIEEYYCIC-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1)c1nccn1C 920070068 HYKACFZXSSCDQJ-AQTBWJFISA-N 423.494 4.892 5 20 HJBD COc1ccccc1-c1nnc(SCc2ccc([N+](=O)[O-])cc2Br)o1 1324138196 DUXOUJJSHUTBFN-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CCN1C/C(=C\c2ccc(Cl)c([N+](=O)[O-])c2)c2nc3ccccc3c(C(=O)O)c2C1 9492879 ZXWRKVMZXZBTKJ-NTEUORMPSA-N 423.856 4.871 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(C[C@H]1CCCO1)c1nc2ccccc2s1 14499000 GADNEEYBQJNJSJ-CYBMUJFWSA-N 417.874 4.684 5 20 HJBD Cc1c(NC(=O)[C@H](C)NCC(c2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 30523663 SEAMTTNRZKJXJP-SFHVURJKSA-N 403.482 4.652 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 64423098 BQMCYKMJAIILCR-UHFFFAOYSA-N 411.483 4.724 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1C(F)(F)F)C1CC1 65510662 GMZIVNLZWOFYFH-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)c2)n[nH]1 65578833 PICGVZVZCDZGBG-UHFFFAOYSA-N 415.409 4.733 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccccc3OCC(C)C)cc2)c(C)c1[N+](=O)[O-] 108324221 OFPCNVFQODMTEH-UHFFFAOYSA-N 422.485 4.744 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCc2sccc2[C@H]1c1cccs1 427126314 NGIDDUFAMMOWEX-SFHVURJKSA-N 400.481 4.514 5 20 HJBD Cc1c(NC(=O)c2ccc(F)cc2OC(F)F)cc(Br)cc1[N+](=O)[O-] 431177818 GYDMDMPDFYEZKV-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCSc2ccncc21 432991904 HJELWQMFXDJTGP-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD CC[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 435933129 BIZFZPJSZUILFK-AWEZNQCLSA-N 406.432 4.883 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435936010 FDZALSQMZBHDTQ-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD O=C(NCC1(c2ccccc2)CCC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435940139 ZXXFCOXZKDUIRE-UHFFFAOYSA-N 418.443 4.811 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436108942 UPMZHNCVSPMVLH-UHFFFAOYSA-N 421.294 4.716 5 20 HJBD Cc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n(-c2ccc(F)cc2)n1 436285348 OCYYUULJYHEMBW-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC 437944979 BGBRLYSJKYMMNJ-INIZCTEOSA-N 424.375 4.608 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438017292 WXVHNSUGSZILAT-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD COc1cc(C(C)=O)ccc1S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 441917273 DEMLDMPGRMCLBA-NSHDSACASA-N 408.863 4.579 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3ccccc3[N+](=O)[O-])n2)cc1 445272555 DOXMSAMRQPHWQI-WVUXNXBLSA-N 406.442 4.843 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H]1CCOc2ccc(Br)cc21 446489299 XJWLVKILEQWKSV-INIZCTEOSA-N 420.263 4.653 5 20 HJBD COc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1Cc1ccccc1 446908639 PCEWMECYUCUURG-UHFFFAOYSA-N 417.465 4.784 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@@H]1CCCc2c(O)cccc21 447690222 CAEIQKLJMQHLIT-LLVKDONJSA-N 403.307 4.839 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 461257266 LTEQRVPBAAWLDL-IRXDYDNUSA-N 407.495 4.613 5 20 HJBD O=C(C(c1ccccc1)c1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464648159 MAPVXNGTQCXQTB-UHFFFAOYSA-N 416.477 4.797 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(c2cccc(Br)c2)CC1 466800077 BOBFJTMTBPRLSV-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](C)[C@@H](n3ccnc3)C2)cc1[N+](=O)[O-] 469388418 KUPHMBRSGMFJRT-LPHOPBHVSA-N 416.547 4.653 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 470688964 IXLBIJBZRWZNJL-RBZFPXEDSA-N 416.861 4.680 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 473336038 PSVNLPKOUBFGRS-UHFFFAOYSA-N 401.419 4.883 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 475634153 KUTWDEFJSQDGJI-OICCZTGUSA-N 400.784 4.603 5 20 HJBD O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1Cc1nc(-c2ccccc2Cl)cs1 478921059 BNYUEVYGHBPLPL-UHFFFAOYSA-N 424.869 4.644 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)cccc1[N+](=O)[O-] 480065879 WLESTZVHPUNPIF-UHFFFAOYSA-N 418.453 4.502 5 20 HJBD CC[C@@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 486435574 ZVYNZAJMZYBGAS-SECBINFHSA-N 405.423 4.673 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486728888 JTYBXYVOKRZVAQ-UHFFFAOYSA-N 411.767 4.607 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccccc2)Cc2ccco2)c(C)c([N+](=O)[O-])c1 486906271 XPBQSDWKFUPNKH-UHFFFAOYSA-N 423.425 4.517 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489441463 DUTFJFRCIKDPRS-WEYGHZABSA-N 411.502 4.740 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccccc2F)s1 500281467 NQQFVZXTVIIGQZ-UHFFFAOYSA-N 403.460 4.512 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Oc2ccccc2)CC1 503015081 GXZMPMXIZBQGBR-UHFFFAOYSA-N 400.500 4.781 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1 504713411 VYCLWLNKYRFQIR-AWEZNQCLSA-N 420.556 4.826 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1sc(-c2ccccc2)nc1C 506576259 DIYNFGUTYWWCOD-ZDUSSCGKSA-N 412.471 4.918 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(COC)nc2c1 507305771 SDCHXNHCIKIJLA-UHFFFAOYSA-N 401.444 4.637 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 511742850 MVUXQIQMRUOYRY-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OC1CCCC1 518834964 OCGCDHXLTAHTPJ-CYBMUJFWSA-N 404.850 4.976 5 20 HJBD COc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 522136698 NRXMYZRLDZIIIB-KRWDZBQOSA-N 424.501 4.811 5 20 HJBD O=[N+]([O-])c1ccc(N2CCN([C@@H](c3ccccc3)c3ccccc3Cl)CC2)nc1 524161824 WQYOYRMZECGHLW-QFIPXVFZSA-N 408.889 4.555 5 20 HJBD C[C@H](N[C@@H](C)c1c(F)cccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 530966433 MIFXUQAZYPEKNW-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc21 536318179 XDPCVHREQZNHPM-UHFFFAOYSA-N 419.466 4.735 5 20 HJBD CCc1nn(C)cc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 539103480 GQVCAJNJHKGLQW-UHFFFAOYSA-N 405.252 4.525 5 20 HJBD Cn1cc(CNc2cc(F)ccc2OCC(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 539957124 OINKPGYVHJWSQP-UHFFFAOYSA-N 424.354 4.688 5 20 HJBD C[C@@H](C(=O)NCCOc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 541612995 CCCYFVBQVCPLTG-MRXNPFEDSA-N 408.429 4.700 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(Oc2ncnc3cccc([N+](=O)[O-])c23)cc1 542505587 IUZYNSZAHNGBIA-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ncn(C)n2)cc1 543391576 VRULNFZZTKLUHP-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CN(CCc1ccncc1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 543621113 FERMWCXDLDWBDV-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1O 544554138 WECJEKNQBIEPMM-UHFFFAOYSA-N 416.499 4.521 5 20 HJBD CN(C(=O)c1cccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1)c1ccccc1 546236318 QTPPSWZHVBKPKH-UHFFFAOYSA-N 414.421 4.512 5 20 HJBD CCc1nc2cc(CNC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)ccc2n1C1CC1 549020322 ADCSNPCGMRFBGY-AWEZNQCLSA-N 424.526 4.639 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])s1 550167406 NBLDUECVMRSCLL-AWEZNQCLSA-N 410.499 4.817 5 20 HJBD COc1ccc(CN2CCC(F)(F)[C@@H](C)C2)cc1OCc1ccc([N+](=O)[O-])cc1 570132663 ASEFRYKLKHHTRL-HNNXBMFYSA-N 406.429 4.660 5 20 HJBD CC(C)(c1nc(CCCOCCc2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 589128438 GDOCYCMHNQZIPL-UHFFFAOYSA-N 413.449 4.635 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)cs1 590134805 VPPAPUQEOJQLPI-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)n1 591355961 OMGPEDFEEQYPTL-UHFFFAOYSA-N 419.466 4.886 5 20 HJBD CCOc1ccc([C@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 603613081 OFZRSZTXZLGVIK-HSZRJFAPSA-N 422.506 4.991 5 20 HJBD CN(Cc1nccn1CC(F)(F)F)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 727757728 XLJCNHWHYHMZOZ-UHFFFAOYSA-N 416.709 4.662 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cccc2c1CCN(C(=O)OC(C)(C)C)C2 734148443 ZEYOJLPNKXUECV-UHFFFAOYSA-N 413.474 4.509 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(C(F)(F)F)(C(F)(F)F)C1 735364321 MMLRXRGSLBRBBL-UHFFFAOYSA-N 405.682 4.597 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)[C@@H]1c1ccccc1 735644641 CFLQAVYCCPEARU-PXAZEXFGSA-N 421.316 4.676 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc([C@@H](C)NC(=O)OC(C)(C)C)cc1 742264368 ZHKORUOTAABYIT-CQSZACIVSA-N 401.463 4.801 5 20 HJBD COc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2F)cc1SC(F)(F)F 744775667 AFASSYOFBDXKNO-UHFFFAOYSA-N 405.325 4.711 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746187291 AIZVLRKJNRQVBT-LLVKDONJSA-N 420.384 4.525 5 20 HJBD O=C(Nc1ccccc1)c1nnc(/C(Cl)=C/C=C\c2ccccc2[N+](=O)[O-])s1 750906970 TVKJIDZLKCJLPN-CMAKCPRESA-N 412.858 4.992 5 20 HJBD C[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC[C@@H]1c1ccccc1 755016715 XXHSZUXQSXSRER-WFASDCNBSA-N 421.266 4.762 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)c1[N+](=O)[O-] 758466678 ITMIWQFGPMLXPG-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 759947724 LCKHKKNHFHBNIX-OWCLPIDISA-N 411.380 4.554 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(OCc2cccnc2)cc1 764191378 HFMFDSDACOEGAU-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)nc1Cl 776217488 ITNKQOCVQFPTPO-HNNXBMFYSA-N 422.199 4.777 5 20 HJBD CC(C)(C)Cc1csc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)n1 776720708 GJNACOJNOOKZHX-UHFFFAOYSA-N 416.272 4.794 5 20 HJBD CCCOc1cc(NC(=O)/C=C\c2scnc2C)c([N+](=O)[O-])cc1OCCC 776748141 RSWYWONEHSEQEP-SREVYHEPSA-N 405.476 4.589 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(Oc3cccc(F)c3F)nc2)c1[N+](=O)[O-] 785750291 UYEDCDVLYFYXSC-UHFFFAOYSA-N 403.316 4.760 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](O)c3ccc(C(F)(F)F)cc3)cs2)c1 808425842 HZDQYUYIQIBPFL-QGZVFWFLSA-N 423.416 4.560 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 811083588 NJPFYLRLNGCOOO-UHFFFAOYSA-N 404.637 4.825 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 811548593 BMELCGJFPIZNMI-WQTXXOFMSA-N 422.506 4.667 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813077394 WZDPGUGJQZMZTH-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(COC(=O)/C(F)=C\C1CCCCC1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 814539322 BGKRYQYDTRWLQB-RIYZIHGNSA-N 418.343 4.529 5 20 HJBD O=C1/C(=C/c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC(=S)N1Cc1ccccc1 817937255 XKIVWUPPVOVPCK-PDGQHHTCSA-N 421.503 4.581 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 917042544 MGAAJASMPVXUHS-UHFFFAOYSA-N 405.410 4.602 5 20 HJBD O=C(COc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 917170703 YZINKYDZNPDOFP-OAHLLOKOSA-N 413.232 4.783 5 20 HJBD COc1cc(Br)c(COc2cc(Cl)ccc2[N+](=O)[O-])cc1OC 920993186 VIGPQMRBKNOXCK-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD COc1ccc(-c2nnc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1Br 1117234623 OGCIDVJYCNSPQP-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(Cc2ccc(Cl)cc2)n1 1317836511 XYPRRKNKMKBOCC-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1nc(C(F)(F)F)cs1 1320884482 DHYOGBWNCADTMM-ZETCQYMHSA-N 410.215 4.683 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2(Cc3ccc(F)cc3)CCOCC2)n1 1324519605 BMTMDJQLAIYPPN-UHFFFAOYSA-N 411.433 4.692 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc(-c3cc(Br)cc([N+](=O)[O-])c3)o2)o1 1330046060 ILRNYQKDMIGVIF-UHFFFAOYSA-N 421.251 4.509 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 1338408966 YOZQLLAJFJJKGL-GJZGRUSLSA-N 408.298 4.540 5 20 HJBD Cc1ccc(-c2nc(Cc3nnc(COc4ccccc4[N+](=O)[O-])o3)cs2)cc1 1341396748 FFUCMBFCNQHVSA-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(N[C@@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])c1 7365771 MTYBFBHDXIQOMB-AWEZNQCLSA-N 417.487 4.545 5 20 HJBD CCOc1ccc([C@@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 29594575 CAKCHHMVAGEQCT-KRWDZBQOSA-N 422.428 4.941 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] 55595363 FURSCANVOBIETA-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD CN(C)c1ccc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 56113339 YPOMMUALDKKGLR-UHFFFAOYSA-N 410.396 4.510 5 20 HJBD Cc1ccc(F)cc1NC(=O)c1ccccc1C(=O)c1ccc(N(C)C)c([N+](=O)[O-])c1 58626109 CVRLPUJUUKHWSG-UHFFFAOYSA-N 421.428 4.592 5 20 HJBD O=C(c1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106188506 GZLODKCNKRTNNE-UHFFFAOYSA-N 406.282 4.818 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NS(=O)(=O)c3ccccc3)c2)c2ccncc12 301402049 CQXFIPPGKBDIMP-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1cc(Cl)ccc1Cl 302391959 ILCJLAQBAONNQX-GFCCVEGCSA-N 424.284 4.547 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(c2ccc(Br)cc2)CC1 410416207 GMJRMGSNJCVDCF-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCC(CC)(CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)OC 427863295 JKUIPLJZZKNVMT-IBGZPJMESA-N 419.909 4.723 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 432336208 OULDNRUUWNXVTH-UHFFFAOYSA-N 415.833 4.601 5 20 HJBD Cc1nc2cc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)ccc2o1 435944650 SOKKSOYPYZWKRX-UHFFFAOYSA-N 405.360 4.661 5 20 HJBD O=C(c1ccc2noc(-c3ccc(F)cc3)c2c1)N1CCc2cc([N+](=O)[O-])ccc21 437370621 HPQWZAZZOCMGPO-UHFFFAOYSA-N 403.369 4.745 5 20 HJBD O=[N+]([O-])c1cccnc1SCc1ccnc(-c2ccc(Br)cc2)n1 440840955 ATQUOBIUUIBWGT-UHFFFAOYSA-N 403.261 4.502 5 20 HJBD COc1ccc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1Br 446488258 KSIUNCONYOKTNA-LLVKDONJSA-N 408.252 4.557 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nc2cc(Br)ccc2s1 463245496 DFWMXMBLAUINGA-UHFFFAOYSA-N 420.288 4.847 5 20 HJBD C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 468557461 YNPYUQGDSFTKBP-PBHICJAKSA-N 418.877 4.806 5 20 HJBD COc1cc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)cc([N+](=O)[O-])c1C 480043563 OJKFSDUWKDGYJB-LJQANCHMSA-N 420.469 4.595 5 20 HJBD Cc1c(CNC(=O)[C@@H](C)c2cccc(C(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 481473239 DWYYHRVFILVPIB-KRWDZBQOSA-N 402.450 4.554 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(C)C 483058118 HCAXCKJHDXWLMC-SFHVURJKSA-N 410.392 4.971 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 488686250 NSYDNPNRIZVFLI-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC2CCC2)c(F)c1 489930622 GDLBTPAEGHFCSS-UHFFFAOYSA-N 420.462 4.656 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])c2cccnc12 490800163 TWEMSPBQBDABGS-MRXNPFEDSA-N 403.360 4.609 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccco1 491385068 KYVCPOFUSYZOIL-QFIPXVFZSA-N 421.453 4.660 5 20 HJBD Cc1c(NC(=O)NC[C@@H](c2cccc(Cl)c2)N2CCCC2)cccc1[N+](=O)[O-] 501034999 FPSABYMHOYYMDU-IBGZPJMESA-N 402.882 4.515 5 20 HJBD CC[C@H](C)n1c(Sc2nc3sccn3c2[N+](=O)[O-])nnc1-c1ccccc1 504184391 OLVSAWROVLBMCE-NSHDSACASA-N 400.489 4.685 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@H]1/C=C/c1ccccc1 505393277 BFSSRTVDDWBMFF-IJDCCNJMSA-N 401.260 4.675 5 20 HJBD CCCCN(C)CC1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 506941994 BPOGBNRQAQBEHG-UHFFFAOYSA-N 402.564 4.662 5 20 HJBD CSc1cc2c(cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)OCCO2 509929154 UJSLWOIQPDJXLF-UHFFFAOYSA-N 417.487 4.530 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 512608864 ZZIMWJKSPYLRAE-SAABIXHNSA-N 421.419 4.744 5 20 HJBD Cc1c(NC(=O)N2CCC[C@H](COc3ccccc3Cl)C2)cccc1[N+](=O)[O-] 513655035 OWYWDHHMXPYRPO-HNNXBMFYSA-N 403.866 4.880 5 20 HJBD C[C@@H](C(=O)NCc1ccccc1COCc1ccccc1)c1cccc([N+](=O)[O-])c1 516903967 VPEZAIWLBUOHFJ-GOSISDBHSA-N 404.466 4.732 5 20 HJBD COc1cc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)cc(Cl)c1OC 517631207 QYDXRIGRXKTXNH-XQRVVYSFSA-N 405.769 4.625 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1CCCCC1 522574220 RXVOPPFACWXCBS-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD CC1(C)CC(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC(C)(C)N1 522708225 KZAUNQIAJNNJAE-UHFFFAOYSA-N 412.490 4.818 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)cc1[N+](=O)[O-] 522721344 HIBZMWJRTQHKKS-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c12 524101055 IPBWTUDCNLKNIC-UHFFFAOYSA-N 418.409 4.717 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc2oc(C(F)(F)F)nc2c1 524831163 KIAVXXGHEVYOJU-UHFFFAOYSA-N 422.363 4.899 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 537179131 SVJGTOJJEWQQSA-KRWDZBQOSA-N 405.454 4.985 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCS[C@H]3COCC[C@H]32)cc1 538809267 BEDFUMYQVSBFRQ-XLIONFOSSA-N 420.918 4.747 5 20 HJBD CC[C@H](CSC)N(C)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 539134932 KKJIJURYQVIJRC-CYBMUJFWSA-N 411.574 4.725 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccc(OC)cc2)CCC1 541366595 ADCDPWNRYSLERJ-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(OCC3CC3)nc2)no1 545682477 CQBVTLABQOOUJD-NSHDSACASA-N 416.821 4.622 5 20 HJBD Cc1c(Cc2noc(-c3cncc(-c4ccc5c(c4)CCO5)c3)n2)cccc1[N+](=O)[O-] 545774982 ISSFMBWYVZTSQP-UHFFFAOYSA-N 414.421 4.541 5 20 HJBD Cc1c(Cc2noc([C@@H](C)Oc3ccc(Br)cc3)n2)cccc1[N+](=O)[O-] 547198330 LMNGSUXFOFHTAZ-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD COc1cccc(Nc2ccccc2C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 554825195 RYKJYISZHVSVER-UHFFFAOYSA-N 405.454 4.662 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(-c2ccco2)cc1F)c1cccc([N+](=O)[O-])c1 560537452 AVHWPKOFKPSWAQ-OAQYLSRUSA-N 406.417 4.607 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Cc3nc(C(C)C)no3)cc2)cc1[N+](=O)[O-] 563607879 MMTMOTZYICMWQT-UHFFFAOYSA-N 412.471 4.666 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 565501380 FCYARYJUNDDBOQ-KRWDZBQOSA-N 407.264 4.589 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 569652795 FRETVHNXANFSES-FXAWDEMLSA-N 410.518 4.674 5 20 HJBD CC(C)c1ccc(-c2nc(Cc3ccc(Oc4ncccn4)cc3)no2)cc1[N+](=O)[O-] 570757978 MTROXGPBLRETCA-UHFFFAOYSA-N 417.425 4.941 5 20 HJBD Cc1ccc2nc(CNCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cn2c1 572388760 QTZHWFNTIOHFRD-UHFFFAOYSA-N 406.417 4.772 5 20 HJBD C[C@@H](Cc1ccc(Br)cc1)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 603759749 JDRLEPCNXMTNCL-STQMWFEESA-N 423.332 4.585 5 20 HJBD O=C(NCC1(c2ccc(Br)cc2)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] 604200824 GWMFHTGDLIGAHN-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H](c3ccccc3)CC2)cc1[N+](=O)[O-] 608968200 OUQZVMGZUALIBL-IBGZPJMESA-N 414.527 4.633 5 20 HJBD COC(=O)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 609183775 CZGSRLSJMFZLCL-UHFFFAOYSA-N 420.421 4.745 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccccc3OCc3ccccc3)no2)cc1 609475615 MXCADOHHUGRNHW-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD CN(C)CCCN(C(=O)c1csc([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 609517648 GEKKDRRCBOEKEZ-UHFFFAOYSA-N 423.538 4.840 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609729505 BMRHVBBEAYKPBV-UHFFFAOYSA-N 416.783 4.695 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(-c3cccc(OCc4cccnc4)c3)no2)c(Cl)c1 609813614 RRKFGQNSWCEPTC-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1cccc(C(F)(F)F)c1 610036493 DTMXQYARLBAISR-VIFPVBQESA-N 417.181 4.867 5 20 HJBD CC(C)N(CCc1nc(-c2cccc(Cl)c2)no1)Cc1ccc([N+](=O)[O-])cc1 610132834 QEDVWGDOFYVPOY-UHFFFAOYSA-N 400.866 4.751 5 20 HJBD COCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611200006 ABNOJLAXULVWSN-UHFFFAOYSA-N 400.500 4.706 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 728835246 LIHBSZWFIFSTOG-NSHDSACASA-N 409.305 4.960 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1ccc(Cl)cc1)c1cc(F)cc([N+](=O)[O-])c1 731459088 KCGGBEHHEVRTPC-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc([C@@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)c1 733740240 WGWYQFGNXPTVNE-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD Cc1ccc(-c2nc(C(=O)OCc3ccc([N+](=O)[O-])cc3Br)cs2)o1 739577681 FENYIHNOIRHRJW-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)ccc1NC(=O)CC(C)C 741896708 URCBXCUNNFPDJF-UHFFFAOYSA-N 417.893 4.813 5 20 HJBD O=C(Nc1ccc(CN2CCCCCC2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 744076835 CSBCVZOPZPIGKP-UHFFFAOYSA-N 422.529 4.823 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@@]12CCCc1ccccc12 744156222 CTJFDKXVYAEVGW-NFBKMPQASA-N 404.422 4.587 5 20 HJBD COCCCOc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 750184760 DYLLJOJRKZJNJC-UHFFFAOYSA-N 418.877 4.720 5 20 HJBD Cc1c(C(=O)Nc2ccc(COC(C)(C)C)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 752473056 QFCOVCXZISCLOR-UHFFFAOYSA-N 401.419 4.687 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Br 754664678 YDNMQNBUCBZHQF-GJZGRUSLSA-N 403.276 4.814 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN[C@@H]1CC2(CCC2)Oc2ccccc21 757702367 DHJJTVMLKYFOKX-MRXNPFEDSA-N 403.276 4.893 5 20 HJBD O=C(c1cc(N[C@H]2CC3(CCC3)Oc3ccccc32)ccc1[N+](=O)[O-])N1CCCC1 757784670 AHTTUBWJGJUMEE-IBGZPJMESA-N 407.470 4.689 5 20 HJBD O=C(N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1Br 759053694 IMFMTBFZTKPFQT-HNNXBMFYSA-N 419.250 4.862 5 20 HJBD COc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)ccc1NC(=O)OC(C)(C)C 764200665 ZHFHLGIEVBOZEL-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD COC[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1cccc(C(F)(F)F)c1 766066232 FGQBFXKNDMVORW-FQEVSTJZSA-N 423.435 4.560 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 766244786 TWTXBZUXEYPXPR-HXUWFJFHSA-N 409.442 4.572 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3ccc(F)cc3)n2Cc2ccco2)o1 768412778 RJNDVDFHMKFXRQ-LUAWRHEFSA-N 412.402 4.990 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@H](O)c1cccnc1 772723324 KGKQOGAZOXDSEE-DEOSSOPVSA-N 422.484 4.792 5 20 HJBD CN1[C@H]2CC[C@H]1CC(NCc1ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c1)C2 774250991 HKJDZYAZMVFAAO-HOTGVXAUSA-N 419.884 4.894 5 20 HJBD Cc1cc(-c2noc(CCC(=O)O[C@@H](C)c3cc([N+](=O)[O-])ccc3C)n2)ccc1F 778782740 XCHMISCFSHCTJL-AWEZNQCLSA-N 413.405 4.638 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781678171 OIJLEBHEMVLLSS-UHFFFAOYSA-N 407.810 4.515 5 20 HJBD COC[C@H](C)OC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782549080 MRJWSCITWBLJMU-HNDQUVLASA-N 412.467 4.713 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)[C@H]3CCc4cc(Cl)ccc43)co2)cc1 794772806 DTPLQAKXOSSOTF-XRHLQHRESA-N 402.859 4.839 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811501823 YQBIGHHEKMODTN-UHFFFAOYSA-N 422.190 4.806 5 20 HJBD Cc1ccc2snc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c2c1 816005139 AYZLALROVXMGMG-FQEVSTJZSA-N 405.435 4.854 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1C(=O)Nc1ccccc1 916075610 NKGVCETZIIQCDC-UHFFFAOYSA-N 421.478 4.719 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(-c2ccccc2)n(-c2ccccc2)n1 917611897 NLLVNBFXPINXGI-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@@H]2C[C@@H]2c2ccc(Br)cc2)o1 1117192015 SGDUMFFKXPRGEQ-ZIAGYGMSSA-N 416.231 4.590 5 20 HJBD CC(C)(C)OC(=O)NCCCc1nnc(-c2cc3ccccc3c3cccnc23)o1 1118133168 UOVHZFQBKMFXLN-UHFFFAOYSA-N 404.470 4.895 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])c4cccnc34)o2)cc1 1323899541 BUTOWZBAZQLDSY-CYBMUJFWSA-N 406.398 4.732 5 20 HJBD COc1cc(C)c([C@H](C)NCc2ccc([N+](=O)[O-])cc2Br)cc1OC 1324149444 ANXRTAXEQRCWKP-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccccc2COC2CCOCC2)n1 1324275152 QPQSBSQJULWGIG-UHFFFAOYSA-N 409.442 4.624 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@@](CO)(c3ccccc3)C2)o1 1324691158 OTAWSAASWPJUDM-JOCHJYFZSA-N 412.873 4.644 5 20 HJBD CC[C@H](c1ccccc1)c1nnc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 1341657479 JIVKGDFMYDPNJM-QUCCMNQESA-N 420.469 4.805 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cc1 15560654 NUIHRRPYRKTLMG-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc2ccccc12 15741507 YXLPWKURYSMBTM-KRWDZBQOSA-N 403.482 4.842 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC(c2nc3ccccc3s2)CC1 26227636 STVIQXGRVHZPMY-UHFFFAOYSA-N 424.526 4.721 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCC(c1ccccc1)c1ccccc1 31662299 DFSFSFZMIZXAOO-UHFFFAOYSA-N 403.482 4.958 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 33591221 ROVGVCUAUCADSB-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)[C@H](C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53003542 WMYKMCQVIQZOJR-IAGOWNOFSA-N 404.470 4.996 5 20 HJBD Cc1c(NC(=O)CCNc2ccccc2[N+](=O)[O-])cccc1NC(=O)c1ccccc1 55803734 ITBPDDDLKZZQKU-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)c1ccccn1 64783200 SFOLCEWOOCZGNG-OAHLLOKOSA-N 411.845 4.644 5 20 HJBD C[C@H](Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)o1)c1ccccc1 107843004 DWVRXFVPWASSFY-CYBMUJFWSA-N 423.454 4.666 5 20 HJBD Cc1cc(N[C@H](C)c2noc(Cc3ccccc3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301706052 GIXSRKUFHSNZGU-OAHLLOKOSA-N 416.441 4.507 5 20 HJBD COc1cccc(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)c1[N+](=O)[O-] 435758825 HGVNGQQSWCSBDQ-CQSZACIVSA-N 409.467 4.585 5 20 HJBD Cc1ccc2c(c1)CC[C@H]2NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436142143 YPKGJDTXDOVRGT-HXUWFJFHSA-N 404.416 4.685 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCS1 437153683 WNWYGCPXIGJQOM-MRXNPFEDSA-N 419.934 4.828 5 20 HJBD CC(C)c1ccc(CNc2ccc(C(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)cc1[N+](=O)[O-] 437236134 NIHQTLYFOYVLIO-IAGOWNOFSA-N 411.502 4.580 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 437320812 VUVZFKHWRJPKRU-ZWNOBZJWSA-N 402.731 4.692 5 20 HJBD C[C@H](NC(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 437635609 QAQMDLNPRUYEJZ-NUTKFTJISA-N 407.392 4.920 5 20 HJBD C[C@@H](CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1)NC(=O)C1CCCCC1 440602689 OPFDGSKEQUKCDJ-SFHVURJKSA-N 423.513 4.603 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccc(-n2ncc3ccccc32)cc1Cl 444330810 NJYLZTMQLMLOEF-UHFFFAOYSA-N 408.801 4.545 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CCCCC[C@@H]1c1ccco1 446031748 QAMJEDIOYFSUOI-MRXNPFEDSA-N 407.264 4.637 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 448249336 NPDHNURCWOPNJQ-UHFFFAOYSA-N 409.417 4.868 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 462995663 WZWSUAJGRQKBPC-KRWDZBQOSA-N 423.444 4.806 5 20 HJBD C[C@H](C(=O)Nc1n[nH]c(-c2cc(Cl)ccc2Cl)n1)c1ccc([N+](=O)[O-])cc1F 463164026 NDQSFEIBEXJMEU-QMMMGPOBSA-N 424.219 4.568 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccc(Cl)cc1)c1nccn1C 463968209 GXLFNXSCMBHURZ-GOSISDBHSA-N 413.865 4.510 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 466899442 BXNUMWXUMJTZFQ-YPMHNXCESA-N 409.305 4.827 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccccc3OC(F)F)cs2)c1 471526441 FBWVDJVOJHARQY-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](c1ccccc1)c1nccs1 475186848 ULAMRRJBSSKPGS-OAHLLOKOSA-N 422.388 4.981 5 20 HJBD COc1cccc(C2=CCN(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)C2)c1 475193839 CVZXPWDMDYHJNK-UHFFFAOYSA-N 407.348 4.553 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2OC)c1C 476478587 ZSOBTPHZGXZJBK-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(N2CCCC2=O)cc(C(F)(F)F)c1 476687057 AINZRMQGEWWDLH-UHFFFAOYSA-N 421.375 4.610 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)o1 477904991 PYWFNYQVSILXMS-UHFFFAOYSA-N 423.816 4.650 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2I)ccc1C1CC1 479294946 UZPKKAOFIMTLMM-UHFFFAOYSA-N 422.222 4.638 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(OC(C)C)c1 480653981 SDYRPAYOHJCWRB-HNNXBMFYSA-N 418.515 4.612 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCc3ncc(C(C)(C)C)o3)n2)cc1[N+](=O)[O-] 484534125 RSEXZDFKDUWFRW-UHFFFAOYSA-N 414.487 4.884 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(CCN3CCC(C)CC3)c2)c1[N+](=O)[O-] 485497104 AEVYCONOEURKGB-UHFFFAOYSA-N 413.543 4.843 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485580076 MSKKMVBQYKJTHO-MQSCRBSSSA-N 416.481 4.579 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccncc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 486779648 NYVOUUDHIRRGTK-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1ccc([N+](=O)[O-])cc1Cl 489673300 XOXULWHIWDOASG-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC[C@@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)[nH]1 493282116 SEHRJNRLPHVFEQ-CRAIPNDOSA-N 407.474 4.805 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OC 499941989 CXYIBZKRLLFJJN-SNVBAGLBSA-N 413.257 4.752 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1 500623155 FYIHTUFOBJVCOL-UHFFFAOYSA-N 418.331 4.635 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 505775373 AULPQUCGJDLGFN-UHFFFAOYSA-N 404.426 4.526 5 20 HJBD CC(C)(NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Cl)c(Cl)c1 508632465 UZYQGNHUMPBLPN-UHFFFAOYSA-N 407.257 4.977 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H]3CCc4c(Cl)cccc43)cc2)c(C)c1[N+](=O)[O-] 509125895 SKLFGCHYYAZEPP-FQEVSTJZSA-N 424.888 4.527 5 20 HJBD Cn1c([C@H]2CCCN2Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 510417670 WTNZYOZIUJVYGU-HXUWFJFHSA-N 419.510 4.942 5 20 HJBD COc1cc(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)ccc1[N+](=O)[O-] 511951445 FRINDNSRGHWFLP-CQSZACIVSA-N 409.467 4.585 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 513509263 QKFZMPPKQCMKDR-AWEZNQCLSA-N 418.664 4.800 5 20 HJBD COc1ccccc1[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCC1 518993536 IFMCBXHRTGRIBG-JOCHJYFZSA-N 421.497 4.790 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)s1 520729072 SRCCWXBYGDHFLH-ZDUSSCGKSA-N 402.863 4.894 5 20 HJBD CCC(CC)(NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 525035160 OZDVNBLIBLYYGJ-UHFFFAOYSA-N 406.866 4.711 5 20 HJBD CC[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cc(F)ccc1F 525365510 ZUQQQUCFAJNRLZ-HXUWFJFHSA-N 406.432 4.700 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(OCC3CCCCC3)CC2)cc1[N+](=O)[O-] 530726667 RCXOBDQHLUXBAR-KRWDZBQOSA-N 403.523 4.735 5 20 HJBD Cc1c(C[S@](C)=O)cccc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 533219783 MPDZKTDONMEKBB-MHZLTWQESA-N 401.513 4.517 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536303250 XNJZJRUHGXLXOZ-UHFFFAOYSA-N 420.469 4.843 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccccc2n1C(C)C 537586387 IFDVHXWNPYOPQJ-UHFFFAOYSA-N 412.515 4.956 5 20 HJBD CN(C(=O)[C@H]1C[C@@]12CCCc1ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251052 BXPLOHLXVVHTBW-WZONZLPQSA-N 419.506 4.975 5 20 HJBD CC(C)C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 538564426 HUQHMUTWKFZCNS-QUCCMNQESA-N 411.502 4.530 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 539024942 FTXFHWAQVOAFGI-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1F 542076259 PHUMNKVIRKDHGK-UHFFFAOYSA-N 417.368 4.556 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1NC(=O)c1cccs1 543147674 QKVXKKADACARJW-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD C[C@H](NC(=O)C(C)(C)C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545077309 SYSIIOGXJOVYND-ZDUSSCGKSA-N 410.430 4.660 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1OCCc2sccc21 557079233 XQTAOPADMXHQLJ-BFUOFWGJSA-N 420.556 4.837 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(-c2cccc3cccnc23)cc1 560676300 TZIIPWKENVRYME-UHFFFAOYSA-N 423.432 4.616 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc([C@H](C)c4cccc([N+](=O)[O-])c4)n3)c2)n1 577169442 CDMCQBLDMBDDEM-MRXNPFEDSA-N 403.442 4.658 5 20 HJBD CCCC[C@H](C)N(C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 581757273 ZRELABWLTUXRHM-ZDUSSCGKSA-N 421.544 4.783 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(CSCc2ccco2)no1 581909382 XQSXUIWFJLXLIC-UHFFFAOYSA-N 410.249 4.742 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C(Cc3ccccc3)Cc3ccccc3)n2)nc1 583466410 FABIUVJNBWYDMU-UHFFFAOYSA-N 400.438 4.533 5 20 HJBD CC(C)n1ccnc1S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 590717925 PGQIEBSWWGOAJI-SNVBAGLBSA-N 402.398 4.510 5 20 HJBD Cc1c(NC(=O)NCc2cccc(NC(=O)c3cccc(F)c3)c2)cccc1[N+](=O)[O-] 604082620 HFTPVZMHZROWNA-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(C)cc2OCc2ccccc2)cc1[N+](=O)[O-] 604085387 CHPOHMIAIJDJDO-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c1 604257908 NWHYTBSYUNHCKV-DTORHVGOSA-N 416.343 4.520 5 20 HJBD Cc1nc(-c2ccccc2)c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)s1 604537983 SGRZKURFIQEBBW-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 608948474 ANPUBMKTIPUHJU-FQEVSTJZSA-N 420.465 4.634 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)c2ccccc2)cc1OC 608948830 IKCVBMXJDKUBKQ-NRFANRHFSA-N 420.465 4.634 5 20 HJBD COc1ccc(CC(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 609009901 FNEAFCBUSGPZNA-ZDUSSCGKSA-N 422.403 4.917 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)s2)cc1 609379743 YTQRJTKWHYZQBK-UHFFFAOYSA-N 410.480 4.813 5 20 HJBD Cc1noc(C)c1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791467 CMYBKBPSVJKNIT-UHFFFAOYSA-N 419.796 4.714 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCC[C@H]3c3ccco3)cc2)c(C)c1[N+](=O)[O-] 609909252 YPTARRMFFOYVKU-FQEVSTJZSA-N 422.485 4.807 5 20 HJBD COCCN(C(=O)[C@@H](C)c1cccs1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 609966173 MYRSXKVJNVYOLS-ZDUSSCGKSA-N 417.512 4.563 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CCO1 610209100 RXYHUSVAKZTCFU-QHCPKHFHSA-N 418.449 4.909 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cccc(CC(=O)OC(C)(C)C)c1 610259178 RPCREZQKKJYZJB-UHFFFAOYSA-N 400.475 4.878 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H]3[C@H]2[C@H]3C(F)(F)F)cc1[N+](=O)[O-] 610494720 OCALNHXHTIUMKW-RCBQFDQVSA-N 402.438 4.756 5 20 HJBD COc1cc(CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C2CC2)ccc1OC(F)F 610580836 PEUTXLHHOQYEQN-UHFFFAOYSA-N 419.384 4.511 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)c1cccc([N+](=O)[O-])c1 611090723 GODOBIGZVUNANS-GFCCVEGCSA-N 401.875 4.940 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@H]1n1ccnc1 611212574 RCBJJMCQQOZXHX-DNVCBOLYSA-N 414.531 4.549 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1nc2ccccc2s1 734111157 QRHKBIHJPDHSKO-CQSZACIVSA-N 410.499 4.686 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1F 737733639 WERUKITYCYXIIZ-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 744476117 ROLAUZIWFCHXIV-JTQLQIEISA-N 422.224 4.793 5 20 HJBD C[C@H](OC(=O)C1(c2cccc(Cl)c2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744778474 OHCXNRCZXFKYTD-NSHDSACASA-N 423.252 4.504 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745074139 NRKDABHUSAVCFU-PBHICJAKSA-N 418.877 4.633 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745301399 FADWXIRBYBKSHB-MNOVXSKESA-N 411.241 4.576 5 20 HJBD COc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 749905509 FDTWMSKACYCXIH-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1[C@@H]1CCC[C@H](C)C1 758161668 BAMLVUSZRYPEFR-SMDDNHRTSA-N 423.926 4.630 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(-c3c(C)noc3C)cc2)cc1SC 759907692 LOXJUXYPDRXUDU-UHFFFAOYSA-N 414.439 4.816 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3)s2)c1[N+](=O)[O-] 760382799 SJJGLCAYXLXLQU-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1cccc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c1NC(=O)c1ccoc1C 764597096 LGZQUTIBJNOGFG-HNNXBMFYSA-N 408.410 4.975 5 20 HJBD Cc1nn(CN(C)CCCc2cc(-c3ccc(F)cc3)no2)c2ccc([N+](=O)[O-])cc12 764966981 RFWJQYMFIGCFRE-UHFFFAOYSA-N 423.448 4.569 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CC[C@H]2c2cccc(F)c2)c1=S 765037904 IALPCPODHDYVKO-SFHVURJKSA-N 413.478 4.553 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2ccccc2Cl)o1 765044437 PLIZYJITOBAUEO-AWEZNQCLSA-N 415.833 4.610 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccc(Oc2ccccc2)nn1 766121325 ACRAWCFBUQQGMU-UHFFFAOYSA-N 411.439 4.646 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 774627722 SYIAWZOTDKFHEG-IERDGZPVSA-N 414.893 4.655 5 20 HJBD Cc1ccc([C@@H](C)NCCCN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775550123 VMJAZBUBLCCYGT-IAGOWNOFSA-N 400.479 4.511 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)ncc2Cl)nc1-c1cccc([N+](=O)[O-])c1 782332350 OWPRSHJNVQUTLA-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD C[C@H](OC(=O)CC1CC(c2ccccc2)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 798732867 CRPLCEKGDBXTHB-SYJJWHGVSA-N 407.426 4.833 5 20 HJBD COc1cc(CNc2ccc(OCC(F)(F)F)c(Cl)c2)c([N+](=O)[O-])cc1OC 800290085 BFENPJDGZUYMIS-UHFFFAOYSA-N 420.771 4.819 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)c1nc2ccccc2o1 801282430 FAJGBCAONNIULG-QMMMGPOBSA-N 409.167 4.556 5 20 HJBD Cc1c(Cc2noc([C@H]3C[C@@H](C)CCN3C(=O)OC(C)(C)C)n2)cccc1[N+](=O)[O-] 809435817 PUVOIXYYZUAAQE-SUMWQHHRSA-N 416.478 4.585 5 20 HJBD C[C@H]1CN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC[C@H]1NC(=O)OC(C)(C)C 809963686 XRLJHYOICPAUHW-XHDPSFHLSA-N 418.321 4.637 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1nc2ccccc2cc1Cl 810593168 UNZYWKHSEJRQEB-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD CC(=O)N(c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)[C@H]1C[C@@H]1C 815164236 QKJJBOAWGNUJBM-SGTLLEGYSA-N 405.401 4.570 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nnc(-c2cccs2)o1 815511375 HJMHDFPPSAIFFA-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cn2)c1 916088340 GBWRGADFDXDPOV-UHFFFAOYSA-N 408.370 4.505 5 20 HJBD Cc1cc(C)c(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)[nH]1 1116266907 UNQFAEZJUMJQLH-UHFFFAOYSA-N 413.817 4.665 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)o1 1252191209 RHXVGYZMEUFFNF-UHFFFAOYSA-N 414.421 4.505 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 1319813423 XQTDFGMQJJBTNG-NXEZZACHSA-N 400.237 4.719 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3ccccc3n2C(C)(C)C)cc1SC 1338511570 FCUZDCHECHMPTB-UHFFFAOYSA-N 414.487 4.682 5 20 HJBD Cc1cccc(-c2nnc(S[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)o2)c1 10015444 OJSHVUCXRRXWQS-NSHDSACASA-N 418.862 4.726 5 20 HJBD CCN(c1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1)C(C)C 15992018 IEKYRLJORHARLP-UHFFFAOYSA-N 410.518 4.685 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 16141746 RZGKCAJJWSBGPZ-QGZVFWFLSA-N 419.275 4.733 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(F)cc1)c1cccs1 25812089 VJIWDNITUXHBRL-NRFANRHFSA-N 413.474 4.893 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)n1cccn1 28435871 VOXIVYPYJAJTAQ-GFCCVEGCSA-N 419.363 4.753 5 20 HJBD Cc1ccc(CNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 53164131 XVFTUKXDUCZXOH-HNNXBMFYSA-N 408.433 4.540 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21 58770857 PTDXCOOWVCEJBT-KPZWWZAWSA-N 422.453 4.871 5 20 HJBD COc1c(Cl)cccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 63641166 YNIVAHGTPYYHST-SECBINFHSA-N 417.771 4.715 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc(Cl)cc1[N+](=O)[O-] 97038257 YABHOAUJPWHEEW-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(C)C 106085093 TURBXDUEFVFIJL-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD CCC[C@@H]1CCc2nc(NC(=O)c3cc(OCC)c(OC)cc3[N+](=O)[O-])sc2C1 109767060 RNFSGHRTOURAFJ-GFCCVEGCSA-N 419.503 4.616 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(NCc2ccc(Sc3ccccc3)cc2)s1 301943129 YBFDBKKPBRZPLM-UHFFFAOYSA-N 420.537 4.823 5 20 HJBD COc1cc(NC2CCN(c3cc(C)nc4ccc([N+](=O)[O-])cc34)CC2)cc(OC)c1 302066813 FKIQZAGGGNCIFT-UHFFFAOYSA-N 422.485 4.550 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 303124277 ARDIETHQFZLKDR-MRXNPFEDSA-N 423.454 4.862 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 429807320 INSQWRPWYLQRJS-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 434054609 VCVYPUXNRKUQOL-UHFFFAOYSA-N 419.441 4.719 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 439962078 PBRCJERKDSFPPN-UHFFFAOYSA-N 407.474 4.746 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 440619943 GDHIZUCKMQIMCH-INIZCTEOSA-N 401.532 4.811 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2ccccc2Cl)C1 443650812 DDPBPFPPMVDGSO-XJKSGUPXSA-N 414.849 4.513 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC[C@H]3c3ncc[nH]3)c([N+](=O)[O-])c2)cc1 447043664 CKYPXAITNKDCGC-IBGZPJMESA-N 405.458 4.610 5 20 HJBD CCOc1ccc(OC)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447420832 BYQCZMISRARMRT-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1ccc(F)cc1[N+](=O)[O-] 466986093 SLUKXKZYCKFKIY-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD O=C1NCCN1c1cc(N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])ccc1Cl 467405490 GLXQCHBJOACOGY-RDTXWAMCSA-N 414.893 4.601 5 20 HJBD O=C(Nc1cc(Br)ccc1NC1CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 469059230 WJTYVHHKZYOBSX-UHFFFAOYSA-N 415.247 4.665 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccc(Cl)cc1Br 471899798 OZGXBMBUVSXJFV-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 475459431 OMRDZWHNZDEQBD-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c2cccnc2O1 475906470 PUQQOOXNBJGXTG-CQSZACIVSA-N 407.451 4.569 5 20 HJBD CCN(C(=O)c1cc(O)cc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cccnc1 476129661 CARYXSMRUBMCKU-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD COc1cc(C(=O)Nc2cccc(NC(=O)C3CCCCC3)c2)cc([N+](=O)[O-])c1C 478499749 XXPSKFPDCFIGJR-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1 480767685 VQHWGNXKRFNGTG-UHFFFAOYSA-N 417.425 4.504 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 482512506 UPRYCGFCPJSIEX-UHFFFAOYSA-N 413.430 4.899 5 20 HJBD CCC[C@@H](NCC1(N[C@H](C)c2ccccc2)CCOCC1)c1cccc([N+](=O)[O-])c1 484183518 ZNFAKFWSJWMMFX-AUSIDOKSSA-N 411.546 4.926 5 20 HJBD CN(C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1cnc2ccccc2n1 484882634 DAGIXABREZTVRM-UHFFFAOYSA-N 416.462 4.966 5 20 HJBD CSc1cccc(C(=O)NCC2(c3cccc(Br)c3)CC2)c1[N+](=O)[O-] 486213495 XQHPKOKLHMRTEZ-UHFFFAOYSA-N 421.316 4.541 5 20 HJBD O=C(Nc1nnc(C(F)F)s1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487242294 UACDKRNAHVKRED-UHFFFAOYSA-N 408.411 4.787 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(C)c1 488214111 FPUPYCRNTGVQLU-UHFFFAOYSA-N 418.515 4.929 5 20 HJBD COc1ccc(Cl)cc1NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-] 490433517 NNGCMIGRHBIXQZ-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(C)CCCCCc1cc(-c2ccccc2)no1 494685450 NMKMHEJJFSJNSS-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 504795997 OLLDTCJNRFASQW-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Nc2cnn(C)c2)c1 506697051 LTWIJLGSIQNRGE-UHFFFAOYSA-N 411.487 4.826 5 20 HJBD CCOC(=O)c1c(NC(=O)c2ccc(OCC)c([N+](=O)[O-])c2)sc2ccccc12 506779030 KBXRZQLAKAAXOT-UHFFFAOYSA-N 414.439 4.637 5 20 HJBD CCSc1ccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 507559931 ITQPNTZGKNOQMI-UHFFFAOYSA-N 412.433 4.840 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(CNc2ccccc2[N+](=O)[O-])n1 508645522 NWOOCJBHTFOMDL-MRXNPFEDSA-N 416.865 4.802 5 20 HJBD CCN(CC)[C@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccccc1 509360042 UCSOCPLTEWBIMV-JOCHJYFZSA-N 412.534 4.598 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 513697883 TWYHTTFREZXAEZ-HTAPYJJXSA-N 408.502 4.693 5 20 HJBD CCn1nccc1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 515322432 TTXWRFIDAAXQJG-UHFFFAOYSA-N 416.821 4.518 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 515903966 SJZBKDVIJZEOIE-ACJLOTCBSA-N 418.291 4.965 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 519066418 ARFLKPQGRWAYLU-NRFANRHFSA-N 423.444 4.526 5 20 HJBD Cc1ccc(Br)c(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 519724630 MPXURYUXVCFGOS-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)cc1[N+](=O)[O-] 519889618 AXMOTGWDCFYHMP-INIZCTEOSA-N 416.524 4.917 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 523684281 LYUJXXVCQJLIIB-UHFFFAOYSA-N 404.854 4.676 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 523800185 MXBUAMOSSNHRRY-GOSISDBHSA-N 404.470 4.771 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 525087223 LJYGGISSRJKQAH-UHFFFAOYSA-N 418.497 4.898 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(c2ccc(F)cc2F)CC1 535603469 PXSYBZYJYVUFIT-UHFFFAOYSA-N 403.429 4.525 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCn4cccc4[C@@H]3c3ccccc3)co2)cc1 536347300 BJPZZELUWKGTII-QFIPXVFZSA-N 400.438 4.657 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1c(C)nc2sccn12 539672568 ZQLXVIHRKSPUMV-UHFFFAOYSA-N 418.544 4.777 5 20 HJBD CC[C@H](NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C)c1nc(C(F)(F)F)cs1 539882534 XFOWODNKWZXTSK-NSHDSACASA-N 401.410 4.876 5 20 HJBD O=C(Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 539896661 ZZWZMDOAETYMEO-UHFFFAOYSA-N 418.253 4.517 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 540253999 JJEBQNPVJZIUQD-UHFFFAOYSA-N 409.364 4.574 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)C(c1ccccc1)c1ccccc1 541626167 GWDMOXWTMSRYGU-GOSISDBHSA-N 403.482 4.652 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2/C=C/c2cccs2)cc([N+](=O)[O-])c1OC 542184349 YFBNJERGHOVGGT-ZNFPLGDCSA-N 416.499 4.772 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC)s2)cc1 544383636 CTDKXZDKQCBSRO-ZDUSSCGKSA-N 413.499 4.895 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 545850278 MWOWFQXIQBBTQO-CYBMUJFWSA-N 418.409 4.683 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC(c2c(Cl)cccc2Cl)CC1 564063498 CWOLVSBJQVXTIL-UHFFFAOYSA-N 419.268 4.934 5 20 HJBD COCc1ncsc1-c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 568695141 DFWJOUPAEUNPDR-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 570051407 SCOVVYJQPYDCLE-SFHVURJKSA-N 407.474 4.512 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3ccccc3OC)cc2)c1 570337383 DZHBGIPSJOBNKP-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD O=C(Nc1ccccc1Cc1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 571929740 GPRLECRCVPAFPL-UHFFFAOYSA-N 403.438 4.612 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 574826556 RVQVRJLBNNYSNO-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD COCc1cnc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)s1 576249319 BMAVGBHRDONUHP-UHFFFAOYSA-N 415.496 4.910 5 20 HJBD CCOC(=O)c1sc(N(C(=O)c2cccc([N+](=O)[O-])c2)c2ccccc2)nc1C 603600707 MURLSLJYQGWFLB-UHFFFAOYSA-N 411.439 4.515 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)N1CCN(c2ccccc2[N+](=O)[O-])CC1 603644699 QWLRZNCXUDMERR-UHFFFAOYSA-N 416.437 4.848 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 603738042 NJLXVFVZUANEBG-UHFFFAOYSA-N 415.377 4.919 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)c1 604257910 NWHYTBSYUNHCKV-IUCAKERBSA-N 416.343 4.520 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609073669 RLOKAFHXXKGBRG-UHFFFAOYSA-N 405.454 4.596 5 20 HJBD COc1ccc(CN2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)cc1[N+](=O)[O-] 609315849 CWEMPQNTPSYPMD-SFHVURJKSA-N 415.248 4.623 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)cs1 611999905 IXGYLXPGJVRUIZ-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD C[C@H](CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])NC(=O)C1CCCCC1 727632148 RJAAIPOUVDLUKN-MRXNPFEDSA-N 410.470 4.642 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 729035858 JGKREJHFLYIVDX-ZROIWOOFSA-N 411.458 4.614 5 20 HJBD COC(=O)c1ccc2c(c1)CN(c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])C2 730107712 PUAUZFZQKONXTC-UHFFFAOYSA-N 400.740 4.574 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@@H]1C[C@@H]1c1cccc2ccccc12 730873012 TUGTVFMCTFMYJQ-WOJBJXKFSA-N 415.405 4.645 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1C[C@H]1c1ccc2ccccc2c1 731271966 VNULGZHMZBCLAE-VQTJNVASSA-N 415.405 4.645 5 20 HJBD C[C@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)[C@@H]1c1ccccc1 735646629 YSUDDBHMZIIQSB-PXAZEXFGSA-N 421.316 4.676 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877763 ZSAHZTGBDIQRMF-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD CC(C)(C)OC(=O)N1CCCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccccc21 741737655 BJEAILHVLLZBFH-SDNWHVSQSA-N 423.469 4.786 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 746263943 QIPJLZRFVOQDBG-WMZOPIPTSA-N 414.458 4.677 5 20 HJBD Cc1ccsc1[C@H]1C[C@H]1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 747746880 NJUFYJBKPARWAO-RBUKOAKNSA-N 422.462 4.926 5 20 HJBD C[C@@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)s1 750546120 AIFJNRPBFNETMB-RKDXNWHRSA-N 417.270 4.637 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 756764733 BPDDWIJXSDZXQD-KBPBESRZSA-N 409.442 4.774 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(Cc3c(Cl)cccc3Cl)no2)C1 761363040 ZZXHHSZTPIKGGU-MRXNPFEDSA-N 419.224 4.741 5 20 HJBD C[C@H](C[C@@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765129522 AKUCCXOVZKDFLY-CXAGYDPISA-N 420.556 4.933 5 20 HJBD O=C(NCCOC1CCC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767096454 RPVQNUXAWBHJDC-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD COc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1OC(C)C 775482323 ZXQQVVDRFXTMNK-UHFFFAOYSA-N 418.515 4.821 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(O[C@@H]3CCCC[C@@H]3O)cc2)cc1[N+](=O)[O-] 777592716 UGRDYDNNFCZFQM-GJULWVSJSA-N 400.475 4.849 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1 777947089 LILZQYVSKMBHAX-UHFFFAOYSA-N 419.428 4.588 5 20 HJBD CC(C)(C)Sc1cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)ccn1 778795352 FYRILCFHADZQPG-SFHVURJKSA-N 403.529 4.768 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1cc([N+](=O)[O-])ccc1OC(F)F 779348234 PVASSUNHFNCASO-UHFFFAOYSA-N 402.309 4.603 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 781683096 WVXBGLBDXGDPBM-GOSISDBHSA-N 415.446 4.735 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2F)sc1Cc1c(F)cccc1F 785458459 BBZVFQRXASVWQS-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 787406544 PTLWUELVQMUZCA-OJCAFVCUSA-N 403.523 4.623 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(C(=O)N3CCCCC3)ccc2Cl)c1F 790441157 YLOYDSUFPWWGBT-UHFFFAOYSA-N 419.840 4.574 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799338257 IUVKQNGAXIEUQC-SFHVURJKSA-N 420.494 4.652 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(-c3ccc(F)cc3)o2)ccc1Br 800824940 WCKCOHSSUFDBJV-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)nc1 803059564 RUWUMLRVDGVUCR-JTQLQIEISA-N 400.328 4.730 5 20 HJBD CCOC(=O)Nc1ccc2c(COc3ccc(Cl)c([N+](=O)[O-])c3)cc(=O)oc2c1 808056903 LNORFSUAUHMTLM-UHFFFAOYSA-N 418.789 4.502 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811376512 OXPJNPWDAOOJRQ-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812900193 QMRGGKODPXQHEU-LBPRGKRZSA-N 423.300 4.672 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c1 815060979 WUEFISFWSCYVBC-CZUORRHYSA-N 400.422 4.763 5 20 HJBD O=C(Nc1ccccc1Oc1ccccn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 866018137 GEBRGARFBNRXMI-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(C(C)(C)c4ccccc4[N+](=O)[O-])n3)c2)n1 904526331 XSQVBUCGERAWIU-UHFFFAOYSA-N 417.469 4.832 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1)c1ccccc1 917780318 BZPXSRYQKOAQJM-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(Nc1ccc(N2CCOC2=O)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 918386482 NDOFZAUDGKRVKK-UHFFFAOYSA-N 418.409 4.547 5 20 HJBD O=C(NCCCc1cccc(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 920747117 KDDAFIBLJPAODA-UHFFFAOYSA-N 421.419 4.576 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1255682589 UIKFFRCKMJDYGY-UHFFFAOYSA-N 417.343 4.733 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(C(=O)c3cccs3)CC2)cc1[N+](=O)[O-] 1319465909 GQYIJGTVONNTKE-UHFFFAOYSA-N 400.500 4.689 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(-c2ccc(Br)cc2[N+](=O)[O-])o1 1328157474 QORJSAIUYDKKQX-SECBINFHSA-N 408.183 4.686 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1ccc(Br)c([N+](=O)[O-])c1 12254029 QAFWRQYUWKQDOU-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCOc1cc(C(=O)OCc2csc(-c3ccsc3)n2)c([N+](=O)[O-])cc1OC 28147393 SZKTZMBOHSTCSC-UHFFFAOYSA-N 420.468 4.544 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1cc(Cl)ccc1[N+](=O)[O-] 63677391 GEDKFVSWRZXQSG-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(-c2nccs2)c1 64807257 SAADHVOBLLHLRN-LLVKDONJSA-N 403.847 4.778 5 20 HJBD COc1cc(/C=C/c2nc3cc([N+](=O)[O-])ccc3s2)c(Br)cc1O 72042009 OBIQKYDRRDWTSL-GORDUTHDSA-N 407.245 4.852 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCN(c4cccs4)CC3)cs2)c1 238005700 PVQBFUPREOISGM-UHFFFAOYSA-N 400.529 4.538 5 20 HJBD CCC[C@H]1CCc2nc(NC(=O)c3cc(OC)c(OCC)cc3[N+](=O)[O-])sc2C1 303028858 PEXSRMNJEMULJD-LBPRGKRZSA-N 419.503 4.616 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303087386 BXBLTKGEZRZEHS-MGPUTAFESA-N 424.526 4.809 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 409677774 HNXAZNOHZPKQOR-FQEVSTJZSA-N 419.416 4.647 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(N2CCCCCC2)nc1 409722475 SZIDLCORZZYOCR-UHFFFAOYSA-N 423.498 4.746 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC([C@H]2CCCO2)CC1 439909009 OGYYQNXHQPNXFP-HXUWFJFHSA-N 412.511 4.777 5 20 HJBD O=C(N[C@H]1CCCc2nc(O)ccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441825199 PFTMIRIEYHPUQU-KRWDZBQOSA-N 421.478 4.654 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 444394407 TWDXAMKZRCCIFF-QMMMGPOBSA-N 401.307 4.632 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@@H]3CSc4ccccc4O3)no2)cc(C(F)(F)F)c1 446015275 FOHQFVNFMIZNJB-ZDUSSCGKSA-N 409.345 4.889 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@@H]1CCO[C@H](c2ccccc2)C1 447687016 SMUANAPEDLVPPF-DOMZBBRYSA-N 417.334 4.976 5 20 HJBD CN(CCCCCO)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 447710079 MOFBJHYHQLORJM-UHFFFAOYSA-N 408.907 4.634 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@H]2CCN(Cc3ccccc3)C[C@@H]2C1 461444408 IICOUGLJDMPORL-DHIUTWEWSA-N 421.544 4.982 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)C(=O)c2cc3ccccc3c3cccnc23)n1 465207644 QTDKSWRADMIIGX-MRXNPFEDSA-N 418.497 4.777 5 20 HJBD CC[C@@H](Cc1ccccc1Br)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 466320984 RJVZJDSGUIRJIU-AWEZNQCLSA-N 405.292 4.585 5 20 HJBD CC(C)c1ccc(CNc2ccccc2OCC(=O)Nc2cccnc2)cc1[N+](=O)[O-] 466470388 KAUWANSJRWRYNZ-UHFFFAOYSA-N 420.469 4.743 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(c2cccc(Br)c2)CC1 466795751 QGBXUEPSDWHPEI-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 468565915 NCFUHJCWSOJWAH-QAPCUYQASA-N 400.500 4.512 5 20 HJBD O=C(NCc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2)c1)Nc1ccccc1Cl 471108597 PAICFCDRYHFFSL-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1cccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n1 475287443 UUZFSSKOURDWAR-UHFFFAOYSA-N 416.275 4.653 5 20 HJBD CN(C)Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1Cl 478039438 IHYPAAZGBMFPJH-UHFFFAOYSA-N 419.912 4.508 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1c(Cl)cccc1[N+](=O)[O-] 479352239 XUGHEUINTFYOPG-JTQLQIEISA-N 414.676 4.564 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2cc([N+](=O)[O-])ccc21 480234431 RVLZZQSLAXBTPF-DHIUTWEWSA-N 414.461 4.867 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 481651287 BCFBNADBCQWHBM-KESTWPANSA-N 420.440 4.989 5 20 HJBD COc1cccc(OC)c1OC1CCN([C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 485713360 BYJXXTJTQQLUIS-MRXNPFEDSA-N 400.475 4.525 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486079530 XMHMKHOKJPSBFW-OALUTQOASA-N 415.287 4.652 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 486328845 WKPFBBIEIKEYEN-UHFFFAOYSA-N 403.641 4.527 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccncc3)c(C)c2)cc1OC 487684609 GNDKOQXHOURFRA-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(F)c1)c1ccccn1 498894379 VGVYXYFIQYMPRG-VLIAUNLRSA-N 411.458 4.515 5 20 HJBD C[C@H]1CCCCN1CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 499200375 ZCSTWZHCHFTIAZ-KRWDZBQOSA-N 413.543 4.740 5 20 HJBD O=C(NC1CC(c2ccccc2)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 500934720 BCUROPOZNFRCLM-UHFFFAOYSA-N 404.416 4.636 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@H](C)c2ccccc2)c([N+](=O)[O-])c1 501242396 DRIRNZVIPDWERQ-OAHLLOKOSA-N 404.422 4.786 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)c3cc4ccccc4c4cccnc34)C2)nc1 503077122 NZRAATJEELGJLN-LJQANCHMSA-N 424.504 4.582 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] 505467427 FTSZRJKHIPEUIA-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD CCCN(CC(=O)Nc1ccccc1C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 506777646 OEMKYCJIHUHXAK-UHFFFAOYSA-N 424.526 4.877 5 20 HJBD COc1cc(C(=O)N2CCCc3cc(Cl)cc(Cl)c32)cc([N+](=O)[O-])c1OC 508204298 XFWBFLZYXYXBKZ-UHFFFAOYSA-N 411.241 4.512 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C3(c4ccccc4)CCOCC3)n2)cc1[N+](=O)[O-] 512234552 QXCZSFNWKYQIRW-UHFFFAOYSA-N 423.494 4.714 5 20 HJBD O=[N+]([O-])c1cccc(CS(=O)(=O)[C@@H](c2ccccc2)c2ccc(Cl)cc2)c1 513777254 RVVUZGVPFFHYSV-FQEVSTJZSA-N 401.871 4.953 5 20 HJBD Cc1c(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cccc1-c1nnc2n1CCCCC2 518468652 DXBNTHJSTOYBFZ-OAHLLOKOSA-N 419.485 4.630 5 20 HJBD O=C(Nc1ccc2nc(-c3ccc(F)cc3)oc2c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 521134006 JIEWWLAHMRDVIS-UHFFFAOYSA-N 417.356 4.671 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)n1 525198510 LXAVHDHSTBKNFU-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccc(OC(F)(F)F)cc1 532749297 SWEHTZSUAROWFI-UHFFFAOYSA-N 421.375 4.823 5 20 HJBD COc1ccc([C@@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 537027424 WAPYVPMDSVLYJT-UZUQRXQVSA-N 419.481 4.942 5 20 HJBD Cc1nnc(N(CCC(C)C)C(=O)CCNc2ccccc2[N+](=O)[O-])c2ccccc12 540104399 OYJNFDYJSNBWCA-UHFFFAOYSA-N 421.501 4.728 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H](C)c2cnn(C(C)C)c2)c2ccccc2)c([N+](=O)[O-])c1 542572229 CVJWNHSJGDBJDD-VGSWGCGISA-N 421.501 4.711 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1 544097639 SHOJEGXROJZPDE-LPHOPBHVSA-N 424.881 4.516 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(/C=C/c2ccc(OCc3cccnc3)cc2)n1 544912822 UTKXAHNJMBVNNI-FMIVXFBMSA-N 414.421 4.713 5 20 HJBD CCCN(C)[C@@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1 552680770 DUVTUSUTQBIPPN-KRWDZBQOSA-N 410.301 4.715 5 20 HJBD O=[N+]([O-])c1ccc(OC[C@H](O)COc2cccc3[nH]ccc23)c(-c2cccs2)c1 555565100 UIYKCHWNWZMTGP-OAHLLOKOSA-N 410.451 4.623 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 556419932 PCUDKOOQTONHBM-BEFAXECRSA-N 422.403 4.820 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(N2CCCC2)c1 564664522 TYXNXCPOLXHKSH-LSDHHAIUSA-N 416.909 4.526 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(Cl)c2OCC(F)F)cc1[N+](=O)[O-] 565741396 NXQGMEZBTRBJPR-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD O=[N+]([O-])c1cc(I)cc(CNc2cccc(C(F)F)c2)c1 579531758 IOGJYPRGQYYLAI-UHFFFAOYSA-N 404.154 4.749 5 20 HJBD COc1cc(CCO)ccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 582447621 VMBZOBPAVGXYOS-UHFFFAOYSA-N 402.834 4.664 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F 589093918 JVILYCZUYMIQOP-SNVBAGLBSA-N 402.756 4.759 5 20 HJBD CC[C@@H](C)N(Cc1ccccc1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 603565352 DCWGSAANXSOVPA-MRXNPFEDSA-N 402.516 4.664 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(CC(F)(F)F)C(C)C)cc2[N+](=O)[O-])n1 603961397 WPDWRQSSUBUQRW-UHFFFAOYSA-N 419.450 4.924 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2c(C(F)(F)F)cc(O)nc2o1 604346591 GZDINPSWTASULC-UHFFFAOYSA-N 423.347 4.511 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 604493741 HKXCGPDGRFVKCN-LLVKDONJSA-N 420.384 4.525 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccnc3OC3CCCC3)o2)c([N+](=O)[O-])c1 608836371 QAPNUGGWLRKLPJ-UHFFFAOYSA-N 423.469 4.870 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608850631 WCFLQCBFQDNGTP-SECBINFHSA-N 421.862 4.581 5 20 HJBD Cc1c(Br)cccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 608889014 AGOANDXCEKZULD-JTQLQIEISA-N 412.671 4.758 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2nc3c(Cl)c(Cl)ccc3s2)cc1[N+](=O)[O-] 608928187 YWHXEXRFSUMQCZ-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 609443469 KQNRUBDJMNVXBL-QGZVFWFLSA-N 413.440 4.621 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 609700234 OAIZZIWCQRYZMB-HNAYVOBHSA-N 402.541 4.701 5 20 HJBD CSCc1c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 611495664 HXQMPMXIWLBIFD-UHFFFAOYSA-N 404.875 4.830 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)nn1 672768271 LEQOMKLPHOQILI-UHFFFAOYSA-N 422.444 4.824 5 20 HJBD O=C(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)Nc1ccc(Cl)cc1 726606324 FNKIXGZWCWWUBW-UHFFFAOYSA-N 420.252 4.890 5 20 HJBD O=C(CCCOCc1ccccc1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166093 WMAUPOYSLWVNCN-UHFFFAOYSA-N 402.878 4.988 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)ccc12 728166440 GFAYEAWEUHBQDY-HNNXBMFYSA-N 402.410 4.555 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c([N+](=O)[O-])c1 730107867 XFPXOMBYXYRGED-MRXNPFEDSA-N 416.192 4.527 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCCc2c(Cl)ccc(F)c21 731465487 PXBRQKKJKBCSAC-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD Cc1csc(-c2cccc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2)n1 739502584 JPJBJQOLAOBTHB-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1sccc1Br 739578931 QGOASJCQUPBTJF-UHFFFAOYSA-N 421.066 4.538 5 20 HJBD Cc1nc(Cl)ccc1C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 752817354 LQWZTLZWGWNGAL-UHFFFAOYSA-N 423.816 4.650 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758250424 MYJINEYYJFZVAJ-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(Cc2nc(Cc3ccccc3[N+](=O)[O-])no2)c1 761345053 UHIOHRVXXDUBPU-UHFFFAOYSA-N 410.430 4.506 5 20 HJBD C[C@@H](Sc1nc2ccccc2s1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 762584787 FPWVFHQKWIPDDB-CYBMUJFWSA-N 413.524 4.876 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 764390005 DSDSWCDRTGEJPI-UZLBHIALSA-N 402.878 4.757 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1SC(=O)N(C)C 765033098 VVQYJQLFBYKUJT-OAHLLOKOSA-N 401.488 4.576 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 765197146 ZBLODFHADRVPIW-VQIMIIECSA-N 418.490 4.574 5 20 HJBD CCCN(C(=O)Nc1ccc([N+](=O)[O-])c(C)c1)C1CCN(C(=O)OC(C)(C)C)CC1 771186263 WPMKQQAKVPCURB-UHFFFAOYSA-N 420.510 4.547 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1cc([N+](=O)[O-])ccc1Cl 778136712 DMHNEFPWYQGZSD-NSHDSACASA-N 411.241 4.569 5 20 HJBD CCc1ccc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 783960556 WMERNHKYPZJZRR-NSHDSACASA-N 401.806 4.779 5 20 HJBD O=C(CSc1ncc(-c2ccc(Cl)cc2)o1)Nc1cc([N+](=O)[O-])ccc1F 793990548 ZQEJPLQMZYKBDE-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2cccc(Br)c2)C2CCOCC2)cc1F 801546819 ZMHHSOAWMCVQMG-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD CC[C@@H](OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cn1 808946661 FUWBWABFYKXZDX-CQSZACIVSA-N 418.368 4.934 5 20 HJBD CCN(CC)C(=O)c1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811486113 DKQGVMGXLMOTPD-UHFFFAOYSA-N 410.301 4.673 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812920268 HACMLSSXVMCUAS-UHFFFAOYSA-N 413.257 4.707 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1Cl 816947080 FYOQPFCVKGMLBQ-GOSISDBHSA-N 402.237 4.816 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817874826 HTAMQUTZCFSASG-JTQLQIEISA-N 415.799 4.817 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)oc12 918210383 PIXKOLLDGJHRDV-OAHLLOKOSA-N 423.469 4.831 5 20 HJBD C[C@H](OC(=O)Cc1csc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 919370524 MIZOTBCFFOSKIA-NSHDSACASA-N 418.858 4.576 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1csc(COc2ccc(F)cc2)n1 921135112 WRCHIOZIZWFPNA-UHFFFAOYSA-N 408.794 4.642 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc2nc(CN3CCCCC3)[nH]c2c1 1320507361 RGGDYENEUUUCLD-UHFFFAOYSA-N 421.501 4.635 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c12 1322204724 FWYGYVNYTXJSTN-UHFFFAOYSA-N 421.413 4.761 5 20 HJBD Cc1csc(CCCNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1 1338293767 MTKKAURMUOIHHK-UHFFFAOYSA-N 407.561 4.861 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)COc1cc(Cl)ccc1Cl 10904048 UPLMLLUJBQBOBO-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 11917948 IQDPRRMIKFPCGE-ZDUSSCGKSA-N 400.456 4.756 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2Oc2cccc(C)c2)cc([N+](=O)[O-])c1 15985376 VMDICGNEAPRYJS-UHFFFAOYSA-N 406.394 4.734 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 30843205 AYXIUQLOAVODAL-UHFFFAOYSA-N 408.401 4.600 5 20 HJBD COc1cc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])ccc1NC(C)=O 52333435 GHSSDKCAMNGNNA-UHFFFAOYSA-N 405.410 4.536 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 72028627 MKUUOFNTCXNDLZ-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)N[C@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 195635467 FPTJMSGOIUGACS-OAHLLOKOSA-N 422.485 4.735 5 20 HJBD COc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1OC 237481711 WNWJAZMRWAFLSW-UHFFFAOYSA-N 412.417 4.833 5 20 HJBD CCc1ccc([C@@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C(C)C)cc1 248216090 GHCJOEDBPZSAGA-QHCPKHFHSA-N 409.530 4.887 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@@H]1CCO[C@@H](c2ccccc2)C1 302161112 HHRUHXNKAHIAPN-CZUORRHYSA-N 411.336 4.854 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(CC(F)(F)F)cc1 303952822 ZMDCRIJERDKYKQ-UHFFFAOYSA-N 410.395 4.898 5 20 HJBD O=C(CCCCC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 427503245 ZOTMYQMDZYINSH-NRFANRHFSA-N 416.474 4.751 5 20 HJBD Cc1cccc(OCCCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1C 428194453 FHGJUTCKPPFAKU-UHFFFAOYSA-N 418.468 4.636 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCC(c2ccncc2)CC1 428670441 MKBZERLORAOQJT-UHFFFAOYSA-N 413.275 4.685 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 429074148 FSMLYJIXVYHEGQ-CQSZACIVSA-N 421.881 4.855 5 20 HJBD CC(=O)Nc1nc(CNc2cc([N+](=O)[O-])ccc2Sc2cccs2)cs1 434878984 GVNNXDRHELFVJX-UHFFFAOYSA-N 406.514 4.835 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1cc(Cl)cc(C(F)(F)F)c1 435907240 OMYBMWQHLIQDST-WZUFQYTHSA-N 421.762 4.921 5 20 HJBD COc1ccc(NC(=O)N2CCC(F)(c3cccc(Cl)c3)CC2)cc1[N+](=O)[O-] 439368549 ZBXZATXVBQRXEM-UHFFFAOYSA-N 407.829 4.750 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(Br)c1COC 462484346 JVTSGSYBJDWIIV-CQSZACIVSA-N 421.291 4.711 5 20 HJBD Cc1nc(-c2cccc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2)cs1 464633817 BXXZPIPFPBICPK-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(=Cc2ccccc2F)CC1 465087213 AKMNLODQAHMKLM-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)NCc1ccc([N+](=O)[O-])cc1Cl 466272252 WRECHKBUHOGPCJ-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 467760377 SLACAZLKONXCEP-SAIGTISXSA-N 424.497 4.789 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](CN(C)CC3CC3)C2)cc1[N+](=O)[O-] 470240176 ZXNHRDWCIKHUBY-SFHVURJKSA-N 419.591 4.537 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 474258582 JKSZNYVGJAJCLI-AWEZNQCLSA-N 420.309 4.966 5 20 HJBD CC(C)c1c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cnn1-c1ccc(Cl)cc1 477623896 BRSPEWJIXBYOPW-UHFFFAOYSA-N 410.861 4.760 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O 480630609 KQNVBYDGJPHNAI-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1Sc1ccc([N+](=O)[O-])cc1F 481840630 VKGGCMODQGQAHO-UHFFFAOYSA-N 408.842 4.729 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485752254 GZUCGXMOPLGALJ-KRWDZBQOSA-N 409.305 4.656 5 20 HJBD CSc1cccc(C(=O)Nc2ccnn2[C@@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486238431 BBHAOQIOYRXDBZ-QGZVFWFLSA-N 408.483 4.691 5 20 HJBD O=C(O)C/C(=C/c1ccc(Br)cc1[N+](=O)[O-])c1nc2ccccc2s1 486542833 VJMIPTFEVDVBAZ-XFFZJAGNSA-N 419.256 4.982 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486748053 RTRBCHWCMUTTNE-UHFFFAOYSA-N 422.407 4.844 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nccs2)c1 488203877 PYPRKHGAINXQQA-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(OC(F)F)c1 489833231 FWRMBYYLDCAYAU-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD C[C@H](N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccn1 499068256 FQGJEGRZZYTKGI-XOBRGWDASA-N 410.861 4.674 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Oc3ccnc4ccccc34)cc2)c1[N+](=O)[O-] 501401998 AHDDRSCYCBZFLU-UHFFFAOYSA-N 417.425 4.863 5 20 HJBD C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(OCC(F)(F)F)cc1 502233312 LQLQTTXHTXJLMC-NSHDSACASA-N 407.348 4.508 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 502278700 IIYOXQKXWZEETP-XLIONFOSSA-N 423.494 4.616 5 20 HJBD C[C@H](NC(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1cccc([N+](=O)[O-])c1 504966214 XBCMOBREDKBLFA-JTQLQIEISA-N 401.316 4.558 5 20 HJBD C[C@@H](NCc1ccccc1Cn1cncn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 508738214 DYNJHTOWWZTOLC-MRXNPFEDSA-N 419.510 4.814 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)CC2)n1 511421475 GCZMTGDRUHEQBL-UHFFFAOYSA-N 412.515 4.850 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 518955130 PAWYLVLMOWZSOL-WBVHZDCISA-N 408.376 4.602 5 20 HJBD CCCn1ccc2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc21 522668278 BUDJARMCGGHCLE-UHFFFAOYSA-N 406.486 4.815 5 20 HJBD CC[C@@H]1CCCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 522893848 VWZVTVYPGFISHM-GFCCVEGCSA-N 411.289 4.740 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1)C(C)C 523941085 PESYELSLVYVIAB-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 524837711 JEXLADSBPSRTDO-UHFFFAOYSA-N 409.408 4.778 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnn(CC(F)(F)F)c2)ccc1Oc1ccc(F)cc1 532427842 KCGHQGUNMZKIAI-UHFFFAOYSA-N 424.354 4.575 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1OC(F)(F)F 532751867 VFONWTORURRALW-UHFFFAOYSA-N 421.375 4.823 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534229631 GUCLOTMFXBZLKU-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD CCOc1ccc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 539199616 IXNUYVHMAXGLOE-CYFODOTGSA-N 408.857 4.967 5 20 HJBD CCOc1cccc([C@@H]2C[C@@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539430697 OFWCWMZFWNGGMP-HKUYNNGSSA-N 400.500 4.780 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 544447574 IYBKFHDMEDJRLL-DBDZUICISA-N 406.479 4.523 5 20 HJBD CC(C)(C)N1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 544521940 BRNKYXCJDUHOCH-UHFFFAOYSA-N 419.591 4.870 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551804635 FTBACQNETDDMNB-UHFFFAOYSA-N 418.331 4.793 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(COCC(F)(F)C(F)F)c3)ncnc2s1 557512702 MUUBWKCZPALSRS-UHFFFAOYSA-N 416.356 4.760 5 20 HJBD COc1ccc(-n2c(C)nnc2SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 558854420 YOBXBJHOANGMBT-UHFFFAOYSA-N 424.404 4.804 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc([N+](=O)[O-])c(O)c1 573051136 DEXRNKFANZJAJZ-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD C[C@H](CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 581317381 ZAOHLNJHAQFKGW-CQSZACIVSA-N 409.408 4.601 5 20 HJBD CC(=O)c1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1F 583581486 QDONZQSIVVMJRL-UHFFFAOYSA-N 410.351 4.554 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC4(CCOCC4)CC3)c([N+](=O)[O-])c2)cc1 588051234 BYDJOFXNTCMOMW-UHFFFAOYSA-N 409.486 4.553 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H](n4cc(C)cn4)C3)cc2[N+](=O)[O-])cc1 589485778 ZMUPTUHVBWEQGA-SFHVURJKSA-N 422.510 4.647 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 603744504 OYFVUYVYMRLHFK-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2nc3c(Cl)c(Cl)ccc3s2)c([N+](=O)[O-])c1 608927649 CARBNCWJBHPUOM-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@](=O)C(C)(C)C)c2)c1 609695403 UKUFBJSISIUJGV-MHZLTWQESA-N 406.529 4.616 5 20 HJBD C[C@H](c1ccccc1)[C@@H](CO)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 609708158 JPSMYTUVLCBNRG-IIBYNOLFSA-N 410.445 4.780 5 20 HJBD COc1cc(OCC(=O)N2CCCCC[C@@H]2c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 609757830 YHKYEXWQVUFCOQ-GOSISDBHSA-N 418.877 4.780 5 20 HJBD O=C(CCc1nnc(-c2ccccc2)o1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609858892 PNCVPSBVXNTSKN-UHFFFAOYSA-N 406.442 4.553 5 20 HJBD CCOc1cc(CCCNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1OC 609865708 BEBVLBHVXPIUPB-UHFFFAOYSA-N 414.483 4.579 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H](Cc1ccccc1)C1CC1 609911842 AGRDKHRXGFTBTR-INIZCTEOSA-N 424.444 4.843 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cccc(Cl)c3)no2)c(Br)c1 609961812 JKTUXQYDKIQVNM-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1c(NC(=O)NCC2(c3ccccc3Br)CC2)cccc1[N+](=O)[O-] 610163052 GIXDSQSQQQJMJM-UHFFFAOYSA-N 404.264 4.519 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)c1 610223818 LTBYHTBPXYMYRO-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCN(c2ccc(Cl)cc2)C1 611202080 HCENTMBUMSLJAN-AWEZNQCLSA-N 419.934 4.617 5 20 HJBD CC(C)c1nc(CCNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])cs1 726595231 MHGHWFPASURMCP-UHFFFAOYSA-N 404.370 4.756 5 20 HJBD CN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1cccc(OC(F)(F)F)c1 728491897 IUWORGMPWVYMGQ-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C[C@@H](c2ccccc2)C(F)(F)F)cccc1[N+](=O)[O-] 728652268 CRPHROGGWHNLAF-HIFRSBDPSA-N 424.375 4.510 5 20 HJBD COc1cc(C(=O)OCc2oc3ccccc3c2C)c([N+](=O)[O-])cc1OC(F)F 734290162 HZWKMVZUXNRPLV-UHFFFAOYSA-N 407.325 4.616 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc(Cc2ccc(F)cc2)s1 735821383 BXACBXRDTACHBY-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 747806632 QKMKICYZYOGZPB-OXQOHEQNSA-N 413.499 4.929 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(-c2ccc(Cl)cc2)no1 755055528 OVNLUVWRLQINHE-GHMZBOCLSA-N 419.796 4.845 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(O)c(Cl)c1 765861760 HVUDFJCXTVYEFZ-UHFFFAOYSA-N 417.270 4.598 5 20 HJBD Cn1c([C@@H]2CCCN2Cc2c(Br)cccc2[N+](=O)[O-])nc2ccccc21 768919614 UKZWKCLQVNSAMD-SFHVURJKSA-N 415.291 4.581 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1ccc([N+](=O)[O-])cc1Cl 778091654 HEWSTPSSMATGNE-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD O=C(OCc1cc(F)cc(F)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 782317607 QBCRAKBUZMQKKZ-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD CCc1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cnn1-c1ccccc1Cl 791577916 YAGRUONKVJBHIG-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(Cl)cn1 792450436 GJUGIVHVNFNRES-ZETCQYMHSA-N 419.062 4.550 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CCC(F)(F)C2)cc1)c1cc([N+](=O)[O-])ccc1Cl 796523155 FOUVSETUIQWDLT-NSHDSACASA-N 424.787 4.841 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1 800143446 DCHYXGAOGZLVQZ-UHFFFAOYSA-N 407.401 4.571 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc([N+](=O)[O-])cc3Cl)CC2)c(OC)c1 913091795 MTNMRSHFNWAEFJ-UHFFFAOYSA-N 406.866 4.658 5 20 HJBD Cc1ccc(OC(=O)c2ccc(C)c(NC(=O)c3ccccc3F)c2)c([N+](=O)[O-])c1 915281867 ZURKPTYTGZXSKB-UHFFFAOYSA-N 408.385 4.822 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2cc(F)ccc2[N+](=O)[O-])on1 918916483 FKAQMQPXJWXOHH-UHFFFAOYSA-N 402.403 4.680 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CSCc1ccc(Cl)cc1Cl 919983859 QYFNYOOFXYYTHR-UHFFFAOYSA-N 402.255 4.749 5 20 HJBD C[C@@H](Nc1cccc(N2CCCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 920061835 JUDIEVGNTHONSU-CQSZACIVSA-N 402.882 4.678 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CSc2ccc(Br)cc2)o1 1257768233 AQIXKNJURVALHU-UHFFFAOYSA-N 422.260 4.612 5 20 HJBD Cc1ccc2c(c1)S[C@H](C(=O)N(C)c1nc(-c3ccccc3[N+](=O)[O-])cs1)C2 1319929469 LMPUSNXWAOHVBT-SFHVURJKSA-N 411.508 4.707 5 20 HJBD COc1ccc(C(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 1321640046 TZAYOOFPXMDAQK-BNCCVWRVSA-N 418.449 4.531 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 10235590 OOJRKKTWISTITP-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD COc1ccc(CN(CC(F)(F)F)C(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 24868153 UTRCRKBOFWWNGQ-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Oc2ccccc2)c1 28614362 ATWSVUKDCMEWNW-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OCC 53270201 AASJZOHGTXICFN-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)cc1[N+](=O)[O-] 56027284 MWCKRSQWOBWGDM-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD CC(=O)c1ccccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 64679894 YBGSWJRCHNOBCD-AWEZNQCLSA-N 404.426 4.618 5 20 HJBD Cc1c(C(=O)N(C)c2ccccc2Oc2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 72069097 PKVMEIACZKRXKH-UHFFFAOYSA-N 407.382 4.880 5 20 HJBD Cc1cc(NC(=O)c2ccc(-c3ccccc3)nc2C)n(-c2ccc([N+](=O)[O-])cc2)n1 105584563 LKGXGTXYGIHXEC-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CCCCN(C(=O)c1ccc(OCC)c([N+](=O)[O-])c1)C1CC(C)(C)NC(C)(C)C1 109143765 SGPKXSUVNLWCMW-UHFFFAOYSA-N 405.539 4.545 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3cc(F)ccc3OC)o2)c([N+](=O)[O-])c1 237437977 XATAQSQTEUJRCL-ZDUSSCGKSA-N 400.406 4.862 5 20 HJBD Cn1cc(CNCCSc2ccc(Cl)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 237919342 IYWKMDVAIDZJCB-UHFFFAOYSA-N 402.907 4.531 5 20 HJBD Cc1ccc(-c2csc(NC(=O)COc3ccccc3Cl)n2)cc1[N+](=O)[O-] 302919594 FUQRBSHZJZEIFD-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD C[C@H](NCc1cc(Br)cc(Br)c1)c1cccc([N+](=O)[O-])c1 394573158 PHOBPTDFYHLNLC-JTQLQIEISA-N 414.097 4.971 5 20 HJBD COc1ccc(CN(CC2CC2)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(OC)c1 410054366 JTKMRFJGAXQAMK-UHFFFAOYSA-N 419.865 4.710 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccccc3CC(=O)O)cc2[N+](=O)[O-])cc1 428081947 CLSHKZSGODQYBM-UHFFFAOYSA-N 422.462 4.934 5 20 HJBD C[C@H](NC1(CN[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CCOCC1)c1ccccc1 433245679 LSDHXGXPXHOMBO-FDDCHVKYSA-N 423.557 4.807 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 437470498 GICVZIKAWXUWJV-CDXJDZJCSA-N 414.527 4.668 5 20 HJBD C[C@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)CC[C@@H]1c1ccccc1 437811627 RXTYRYTVCWUVHC-BBRMVZONSA-N 405.401 4.854 5 20 HJBD O=C(O)c1cc2cc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)ccc2o1 439989545 BXPKYXAPJKFQEP-UHFFFAOYSA-N 416.364 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 442512626 SHVPFRFGZVRCBQ-KRWDZBQOSA-N 417.465 4.728 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)O[C@H]2CCC[C@@H](C)C2)c([N+](=O)[O-])cc1OCC 443845608 VHTNBTQKUQTQLX-VKJFTORMSA-N 408.495 4.705 5 20 HJBD Cc1ccccc1[C@@H](OC[C@@H](O)CSc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040374 WWECVSXMNFSETB-KNQAVFIVSA-N 410.495 4.557 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc2c(cc1Cl)OCCCO2 462422867 QFVXUMLZBBHNFF-CQSZACIVSA-N 404.850 4.617 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] 464468444 BFISTUQVSHAUPA-GDBMZVCRSA-N 412.511 4.808 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C)c1S(=O)(=O)Nc1ccccc1CCC(F)(F)F 464840194 SWRKYOWIPDHAIB-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(-c2cccc(F)c2)no1 464933633 HUIMMTAXDBYONF-GFCCVEGCSA-N 412.421 4.572 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C 471030925 FSNRPFKWXAMUHI-OAQYLSRUSA-N 421.501 4.609 5 20 HJBD COc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)C(C)(C)C)c(OC)c1 472498056 KYLSVQAGSFHBHT-UHFFFAOYSA-N 420.893 4.635 5 20 HJBD COC(=O)[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 475136686 HXROZPYYHCLNMA-IBGZPJMESA-N 416.430 4.592 5 20 HJBD CC(C)(NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1)c1ccc([N+](=O)[O-])cc1 475373640 YIMWLRCVIBDWKZ-CHWSQXEVSA-N 424.320 4.509 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 478142026 JFSGUCYYRUMGDF-CQSZACIVSA-N 414.405 4.747 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cnn(C)c1 480715965 JXKZCZDRHHRCOL-RXVVDRJESA-N 414.506 4.717 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc([C@H]3C[C@H]4CC[C@H]3C4)cs2)cc1SC 482405128 OPAYJNREQZYIHL-SRVKXCTJSA-N 419.528 4.938 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc2ncccc12 484892194 JEKYHENUCGLVMT-HNNXBMFYSA-N 419.416 4.716 5 20 HJBD O=C(Nc1ccc(COC2CCCC2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 485691869 ONOXKVQCLBFERN-UHFFFAOYSA-N 406.385 4.908 5 20 HJBD CSc1cccc(C(=O)N(C)CCCc2cc(-c3ccccc3)no2)c1[N+](=O)[O-] 486233997 PJFNRJNJIUJMNG-UHFFFAOYSA-N 411.483 4.677 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@@H]2c2cccc(C)c2C)c(C)c([N+](=O)[O-])c1 486857378 JZAUDOPZYNRECR-LJQANCHMSA-N 411.458 4.676 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2ccc(NC(=O)CC(C)(C)C)cc2)cc1[N+](=O)[O-] 487980091 KEIVATORJCRANT-MRXNPFEDSA-N 411.502 4.698 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 488555710 POZOHODDAIGFNX-INIZCTEOSA-N 406.529 4.544 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)o2)cc1 492788044 HGXCGVHYWSGYJN-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cnccc1Oc1ccccc1 499646355 CWSYKBJMTYYUIB-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD COc1ccc(-c2ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c(F)c2)c(F)c1 499852994 TUJNQQNMCAKIEH-UHFFFAOYSA-N 410.376 4.752 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cc(Cl)c(O)c(Cl)c1 501987681 XIUHKCNILNBCQF-UHFFFAOYSA-N 420.046 4.551 5 20 HJBD Cc1ccccc1C(C)(C)CNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 505352193 SHDFNLUNJIMLGN-UHFFFAOYSA-N 410.392 4.552 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCCC(=O)N(C)C)cc2[N+](=O)[O-])c1 507957551 VVZOLGBKZGKKNP-UHFFFAOYSA-N 413.518 4.777 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(CC1CCCCC1)c1ccccn1 507980222 IPPGATWIVYSRRO-UHFFFAOYSA-N 408.502 4.817 5 20 HJBD CN(c1ccccc1Oc1ccccc1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 509536937 CJJPECXCWYEACI-UHFFFAOYSA-N 418.858 4.866 5 20 HJBD COc1cc(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)ccc1[N+](=O)[O-] 511492681 XUCZYLREWNYUJH-UHFFFAOYSA-N 407.253 4.840 5 20 HJBD COc1cccc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2)c1OCc1ccccc1F 512934911 XUKSQMQCPTVZHI-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(-c2ccc(OC)nc2)ccc1C 512966683 JVUVMDGKANYMKI-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 513123883 XQGOAVHAOYVLPA-QHCPKHFHSA-N 417.465 4.803 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](C[C@@H]3CCOC3)c3ccccc3)cs2)c1 513538543 LMAMPRADGGNFNW-KKSFZXQISA-N 409.511 4.976 5 20 HJBD COc1cc(CCO)ccc1NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 516215018 BLZSNUGZICQYAT-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)Nc2ccccc2)cc1 516326034 CAOKATCNBBQROA-UHFFFAOYSA-N 420.425 4.646 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 521143933 BINBGOZYVPICHT-AWEZNQCLSA-N 406.467 4.936 5 20 HJBD CSc1ccc(C(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)cc1[N+](=O)[O-] 522045296 IODVXMGNXGDBKN-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1OC 523171998 SSHGMYNRXZEIMZ-IBGZPJMESA-N 412.486 4.548 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 523877117 HULLPBSMYJYGQU-CYBMUJFWSA-N 402.834 4.983 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(F)c(F)cc2F)cc1[N+](=O)[O-])c1ccccn1 524552469 JCYIAXLPEMILMG-NSHDSACASA-N 416.359 4.833 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccc(C)cc3)cc2)cc([N+](=O)[O-])c1OC 525069213 QNMSMWFEBPHSJK-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD O=C(c1cccc(COc2ccc(Br)cc2[N+](=O)[O-])c1)N1CCCCC1 525112818 VGQWBFARYLWQOY-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD COc1ccc(C(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 532087115 AGKLLFYIAAEBTM-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Br)cc1Cl 533965325 JXJDFADUDCTDLB-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD Cc1cc([C@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C2CC2)ccc1F 534770112 VWJNRLPBPPXRHV-RUZDIDTESA-N 414.480 4.870 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(-c2ccncc2)cs1 539074659 XGYWGSHJICOVOE-INIZCTEOSA-N 414.556 4.816 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 539547912 VJPOKLNQOKFOET-DJJJIMSYSA-N 401.463 4.723 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F)c1ccccc1[N+](=O)[O-] 542040859 CIQBZADKWUROSZ-CYBMUJFWSA-N 408.254 4.527 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 542545429 QVKLAUVRMVTFON-KBPBESRZSA-N 404.438 4.512 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2ccccc2Cl)s1)c1ccc([N+](=O)[O-])cc1F 543472479 XANBBQRMEQQGFW-VIFPVBQESA-N 406.826 4.648 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2cccc(Br)c2OC(F)F)n1 545471932 SCLJUMAHSZHJLJ-UHFFFAOYSA-N 403.179 4.550 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(F)cc3)o2)nc1OCc1ccccc1 545902550 LYYYPMHNUNMUQP-UHFFFAOYSA-N 424.413 4.909 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3ccccc3s2)C1 548744579 VETAXTHXIUIQLI-GFCCVEGCSA-N 400.435 4.755 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCc2cccc(Cl)c21 549404810 BXQRZWLGEUNYRX-UHFFFAOYSA-N 402.881 4.788 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)c1cc([N+](=O)[O-])cc(Br)c1C 559578506 JHNZQUQMIASEMH-QGZVFWFLSA-N 406.280 4.674 5 20 HJBD O=C(c1cc(NCCCSc2ccc(F)cc2)ccc1[N+](=O)[O-])N1CCCC1 603943321 NRDBPVRCFWHMAA-UHFFFAOYSA-N 403.479 4.564 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nc(-c2ccc(Br)cc2)n[nH]1 609140589 HEWPKNRRIVBZEO-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD O=C(Cc1cccnc1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 609497524 DQBGTOPAKOMMTP-UHFFFAOYSA-N 416.359 4.933 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)Nc3ccc(-c4cncnc4)cc3)cc2[N+](=O)[O-])C1 609789109 GZXJCGMMONVMNQ-INIZCTEOSA-N 417.469 4.540 5 20 HJBD O=C(c1cc(F)c(Cl)cc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610210344 KJUNTBCYMSJNOM-INIZCTEOSA-N 401.246 4.929 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1sc2c(c1-c1nc3ccccc3[nH]1)CCCC2 612517465 KYNBKARHSJYWBE-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC([C@@H]2CCCO2)CC1 615279928 JFEDECKGQZNTNV-IBGZPJMESA-N 404.532 4.661 5 20 HJBD O=C(CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)Nc1ccc(Cl)cc1 726606656 JRPXWZVWLJAFLK-UHFFFAOYSA-N 401.875 4.705 5 20 HJBD C[C@H](Oc1ccccc1Cc1ccccc1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 728178573 CRVMGYFXOVDSKC-AWEZNQCLSA-N 412.392 4.870 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(F)ccc3[N+](=O)[O-])s2)cc1 733772542 SCIDVOUCRNCNGO-LLVKDONJSA-N 415.446 4.665 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])no1 734888117 KOKHQIOVCHNQOM-UHFFFAOYSA-N 419.846 4.589 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c(C(N)=O)c1-c1ccccc1 735998804 ZQQFYSOYNHBHIU-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD CCOc1cc(C(=O)O[C@H](C)c2ncc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OC 741309625 VRWPZEZWIFUITG-CYBMUJFWSA-N 412.398 4.575 5 20 HJBD COc1ccc(COC(=O)Cc2ccccc2[N+](=O)[O-])cc1SC(F)(F)F 744469886 SAGXKSRRLOLSLS-UHFFFAOYSA-N 401.362 4.501 5 20 HJBD O=C(OCc1nc(Cc2ccc(F)cc2)no1)c1cc2ccccc2c2cccnc12 745972939 WLRJKUSBXLMCGG-UHFFFAOYSA-N 413.408 4.858 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cc(Br)ccc1NC1CC1 746436263 PGTAVEDGAJHPSZ-XCVCLJGOSA-N 402.248 4.584 5 20 HJBD O=C(OCCCO)c1c2c(nc3ccccc13)/C(=C/c1cccc([N+](=O)[O-])c1)CCC2 747386930 HUWZHJRVPXUTON-SAPNQHFASA-N 418.449 4.559 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc(Cl)cc1[N+](=O)[O-] 748774745 QJHJKWZKKBQAHR-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD CCSc1ccc(CC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 751915502 DOCLEPKUJZLJTO-CYBMUJFWSA-N 413.455 4.604 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CCOc4c3ccc(Cl)c4Cl)cc2N1 752972718 COGTZDHBZPCYFI-LBPRGKRZSA-N 408.241 4.722 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC1 753299884 OJHUXIJQKTVGLF-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC[C@H]1c1ccc(Cl)cc1 755011167 HNWKIQIGQHDQCU-ZDUSSCGKSA-N 413.630 4.737 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(Cc1ccccc1)c1ccccn1 757915164 ITCCRBJVZJTEJA-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(Cc3ccc(Cl)c([N+](=O)[O-])c3)C2)cc1 761251118 MENGVFWGZFSEAV-QGZVFWFLSA-N 416.909 4.590 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2n1C 763195070 KTCBUJHHMWYLDI-VXGBXAGGSA-N 421.375 4.908 5 20 HJBD CS[C@@H](C)CC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 766916029 AYESUXRQASRYND-KPZWWZAWSA-N 422.890 4.613 5 20 HJBD CC(C)C[C@@H](NC(=O)OCc1ccccc1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 767952471 IRFFGDUGWWSWIN-GOSISDBHSA-N 410.430 4.658 5 20 HJBD CSc1cccc(C(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)c1[N+](=O)[O-] 777139944 QMAGYCHEGZHOGH-LJQANCHMSA-N 420.918 4.556 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780451502 AJDNRYUXELXBPW-UHFFFAOYSA-N 415.449 4.526 5 20 HJBD O=C(OCc1nnc(-c2ccco2)o1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 784442719 CEPMCWZSYUDSRT-UHFFFAOYSA-N 415.427 4.630 5 20 HJBD COc1ccc(NC(=O)[C@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C(C)C)cc1 791224094 YFYLWJRUXSYIDL-QGZVFWFLSA-N 417.512 4.969 5 20 HJBD CCC1=C(C(=O)O[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)CCCO1 791545646 BPZISMVJVUSBBQ-OAQYLSRUSA-N 424.453 4.601 5 20 HJBD Cc1c(Cc2noc([C@@H]3C[C@H](C)CCN3C(=O)OC(C)(C)C)n2)cccc1[N+](=O)[O-] 809435815 PUVOIXYYZUAAQE-DYVFJYSZSA-N 416.478 4.585 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 809920675 ZILVBVPILMAFIO-OCAPTIKFSA-N 419.709 4.843 5 20 HJBD CN(CC(=O)Nc1ccccc1Cl)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809934293 OXMPOZRPMMBAQE-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cs1 811373669 JVKFGXWKYYONPJ-MRVPVSSYSA-N 405.259 4.813 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 815540447 XQWQGTRHTIYVCT-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 818026597 UYMQVKSNESSRNJ-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)cc1[N+](=O)[O-] 821671564 BDTQHVZLTLVNFX-UHFFFAOYSA-N 420.400 4.860 5 20 HJBD COc1ccc(CSCC(=O)OCc2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 914799471 CJGGGBJUXGIOKV-UHFFFAOYSA-N 415.389 4.599 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc(Cl)c([N+](=O)[O-])c1 920013932 LADDTRGBZLJKFF-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD COc1cc(CNCc2ccc([C@H](C)O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 920182592 UMSYDSWILAKQIB-KRWDZBQOSA-N 422.481 4.526 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)o1 1251316760 YDOOFGPKXYSLLG-HUUCEWRRSA-N 408.458 4.864 5 20 HJBD COc1cc(CSCc2nc(C)sc2C)c([N+](=O)[O-])cc1OCC(F)F 1320192149 NMBRBUFUCBSABN-UHFFFAOYSA-N 404.460 4.754 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCCN2C(=O)c2ccccc2)n1 1323625453 PXUHSCDONXVMPF-GOSISDBHSA-N 406.442 4.629 5 20 HJBD CCC(=O)NC1(c2ccc(NC(=O)C3(c4cccc([N+](=O)[O-])c4)CCC3)cc2)CCC1 1790476059 XSFIDDHEKUGUKM-UHFFFAOYSA-N 421.497 4.561 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 5508556 VHAVYFMPCXRQBT-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)[C@@H](Sc1ccccc1)c1ccccc1 9890627 IJVGUGHSPDITRH-NRFANRHFSA-N 407.495 4.657 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(SCc3cccnc3)cc2)cc1[N+](=O)[O-] 11597873 HWACLKSSWATNNM-UHFFFAOYSA-N 424.438 4.751 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C(c2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 22690299 MSQSOFOHSOSMMI-KRWDZBQOSA-N 418.449 4.606 5 20 HJBD COc1ccc(CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C2CC2)cc1 50293716 URNLIYZWDGVVDO-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cs1 60628674 UWCOJQCHAXAGAE-CQSZACIVSA-N 410.499 4.630 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)o2)cc1 106920401 OCUIAPVKVJCABH-UHFFFAOYSA-N 412.829 4.983 5 20 HJBD CO[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 237217668 AEIQSZBSJIJRLQ-GOSISDBHSA-N 403.891 4.849 5 20 HJBD COc1cc(CNCc2cc(C(C)C)no2)c([N+](=O)[O-])cc1OCc1ccccc1 237294432 NUPLCYJJPVEATF-UHFFFAOYSA-N 411.458 4.584 5 20 HJBD COc1cccc(-c2noc([C@H](C)NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)n2)c1 237580837 WNGBVZTVMVAIMH-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD CC(=O)c1ccc(N2CCC[C@@H]2c2c(C)nn(CC(C)C)c2Cl)c([N+](=O)[O-])c1 301641036 MEXFIUPTUKAJRR-QGZVFWFLSA-N 404.898 4.953 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Sc1nnc(-c2ccccc2F)n1Cc1ccco1 301657002 BDHQYGPBYVZIAB-UHFFFAOYSA-N 414.393 4.924 5 20 HJBD Cc1nsc(C)c1-c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 426617728 JRFLICUBQAVGSF-LLVKDONJSA-N 422.470 4.953 5 20 HJBD CC1(C)C[C@@H](NCc2nn(-c3ccccc3)c3c2CCC3)c2cc([N+](=O)[O-])ccc21 433166003 DQUFMGQEVVMRHO-OAQYLSRUSA-N 402.498 4.781 5 20 HJBD CCSc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438165415 IAECHKLGBOYRPP-UHFFFAOYSA-N 401.488 4.782 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@H]4CCCN4Cc4ccc(F)cc4)n3)c2c1 438224191 OJPXRLRFSAIDCB-HXUWFJFHSA-N 421.432 4.526 5 20 HJBD Cc1ccc(Oc2ccccc2)c(NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)c1 440633463 FBCKZPOJXJNXQG-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](C(=O)Nc1cc2c(cc1Br)CCC2)c1ccc([N+](=O)[O-])cc1F 443867644 JUDRBMUTVMFOPF-SNVBAGLBSA-N 407.239 4.727 5 20 HJBD O=C1CCCc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc21 444186811 RRINCGHAHRUTHY-UHFFFAOYSA-N 418.399 4.731 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)c3cccc4c(=O)c5ccccc5[nH]c34)o2)cs1 444829345 OJBILOIVJFWNBW-UHFFFAOYSA-N 415.474 4.636 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 444851229 QZZWKDQRHDYPSE-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2CSCC)c([N+](=O)[O-])cc1OCC 448133817 CSIFXFNKOJFFOH-UHFFFAOYSA-N 404.488 4.898 5 20 HJBD O=C(CC(c1ccccc1)c1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 461406056 KJFJYESGUUWFNQ-UHFFFAOYSA-N 402.450 4.538 5 20 HJBD CC(C)N(CC(F)(F)F)C(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 462228556 ODNINJGNVWITKC-UHFFFAOYSA-N 411.380 4.598 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N(C)[C@@H](c1ccccc1Cl)C(C)C 464038449 FMAVITIOYGXIDG-LJQANCHMSA-N 417.893 4.659 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 464284178 AAWRINFWYJIOKT-GUYCJALGSA-N 406.841 4.766 5 20 HJBD COc1ccc(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 469314118 FCQDXJBZLLZGIX-CQSZACIVSA-N 411.483 4.869 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 473687788 YURKAWSXXYTOGA-IBGZPJMESA-N 406.841 4.724 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(C)C)cc2Cl)cc1OC 474140691 GCOUMRUTTKXOKG-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD COc1cc(OC)c(OC)cc1CN(Cc1c(F)cccc1[N+](=O)[O-])C(C)(C)C 474169046 JVZWSSONVMSVMM-UHFFFAOYSA-N 406.454 4.560 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc2cccc(F)c2o1 475084779 MWMDFZTUQYNEQX-AWEZNQCLSA-N 411.433 4.574 5 20 HJBD CC(C)(NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(OC(F)(F)F)cc1 475694673 HMFGNLGXGVFIOJ-UHFFFAOYSA-N 422.363 4.568 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccc3Br)o2)c(F)c1 476515480 WKMNVTJGXXHVFA-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD Cc1cc(OCC(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)c(F)cc1[N+](=O)[O-] 479187781 KFHGIGKFZFCNCL-FQEVSTJZSA-N 418.421 4.528 5 20 HJBD CC[C@@H](C)CN(CC)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 480188650 GNNXTYPWBHECTC-CQSZACIVSA-N 415.456 4.725 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 481657403 NJYAHURMTZGALX-WJYNOGRTSA-N 422.406 4.775 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccco1)c1ccc(Cl)cc1 482485726 HLNPAENVOYQQFI-UHFFFAOYSA-N 400.818 4.844 5 20 HJBD Cc1cccc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)c1[N+](=O)[O-] 482851598 YCBFSHQJOYPOGU-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1noc(C[C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc2)n1 485407014 MDGVWMDOBQDFDO-GOSISDBHSA-N 419.416 4.883 5 20 HJBD C[C@H](C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cn(C)c2ccccc12 486697460 FUOFJEOKIBPUPF-LBPRGKRZSA-N 405.376 4.525 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2coc3ccccc23)cc1[N+](=O)[O-])c1ccccn1 489009379 AVPAIHYLRFTEMJ-HNNXBMFYSA-N 416.437 4.839 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 498726596 FIRCHJRYPWUKDJ-QGZVFWFLSA-N 404.470 4.602 5 20 HJBD CCOc1ccc([C@H](C)NCC2CCN(Cc3cccs3)CC2)cc1[N+](=O)[O-] 504928672 DFLXEPDHVLLOIY-INIZCTEOSA-N 403.548 4.618 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)(F)F 505311854 NEKHAYDECSDQOE-UHFFFAOYSA-N 417.771 4.630 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1COCc1ccccc1 506279755 HHGNSLLORMCQNW-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD COc1ccc(CNC(=O)N[C@H](c2ccc(Cl)cc2)C2CCC2)cc1[N+](=O)[O-] 511010937 CGOKLGYIYDUSRJ-IBGZPJMESA-N 403.866 4.597 5 20 HJBD COc1ccc([C@@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccc(F)cc2)cc1 511715876 PFZNTHCLAYQHAJ-QHCPKHFHSA-N 421.428 4.829 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1c[nH]c2cccc(Br)c12 513804862 HMAQVIRLOWXOPL-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCN(CC2CCCCC2)CC1 516002743 NSILSAHUIZJWSI-UHFFFAOYSA-N 401.532 4.538 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccc([N+](=O)[O-])cc1 517191047 INPAGYYYRGIQJO-IBGZPJMESA-N 414.868 4.532 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1 518430158 QWZXSVUMLZCSDQ-UHFFFAOYSA-N 407.474 4.862 5 20 HJBD CC(C)Oc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 520992617 GKXIVLNRTYRQNP-KBPBESRZSA-N 405.882 4.713 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1cscn1)c1ccccc1 521761812 YUFXVLYSDFVNNN-ZDUSSCGKSA-N 417.874 4.705 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@H](C)c1ccccc1-c1ccc(Cl)cc1 523504285 OKXODXPWAKPJOQ-CQSZACIVSA-N 412.877 4.688 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 523845899 NGMYSMVMHJMENJ-LJQANCHMSA-N 415.921 4.602 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 531179231 IPSYNPYOFDFDKU-UHFFFAOYSA-N 406.442 4.847 5 20 HJBD CNc1ccc(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 531580995 XIAGGCIYEFSLSI-CQSZACIVSA-N 410.499 4.902 5 20 HJBD CN1C(=O)CCc2cc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)ccc21 532892089 FEFPHNWTKSTDFF-UHFFFAOYSA-N 416.481 4.884 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])n1[C@@H]1CCC[C@H](C)C1 536090147 GHRXYYUOUWNNKS-SMDDNHRTSA-N 423.926 4.630 5 20 HJBD CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](c1ccc(C)cc1)n1cncn1 536642093 SRJXUYISCIQOMD-VQIMIIECSA-N 417.416 4.576 5 20 HJBD Cc1cc(NC(=O)c2coc(-c3ccccc3Cl)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 537360894 JRVHILGXFRXQJN-UHFFFAOYSA-N 423.816 4.650 5 20 HJBD Cc1ccc([C@H](C)NC[C@@H](O)COC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 538278843 GCTPQLPHWLEJRQ-WMZHIEFXSA-N 420.509 4.721 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(SC(C)C)cc1 539305562 UCUWYOUCRDVTAS-OAHLLOKOSA-N 417.531 4.783 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(C/C=C/c2ccccc2[N+](=O)[O-])CC1 539900009 LIUKSJOGBSQTHE-VMPITWQZSA-N 413.543 4.686 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)c12 541998129 HUZQDRRBXLPPSW-UHFFFAOYSA-N 408.336 4.834 5 20 HJBD Cc1nc(C)c(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 542594023 CJSMTTPGLWPLGD-UHFFFAOYSA-N 419.572 4.923 5 20 HJBD COc1cc(Cc2noc(/C=C/c3csc(Br)c3)n2)ccc1[N+](=O)[O-] 545765639 WFYZOXZMQCQQHY-HWKANZROSA-N 422.260 4.572 5 20 HJBD CC(C)OCc1ccccc1-c1noc(-c2ccc(OC(F)F)c([N+](=O)[O-])c2)n1 546350451 AZLXUJZTBMABJO-UHFFFAOYSA-N 405.357 4.838 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3c[nH]c4cc(Cl)ccc34)no2)ccc1OC(F)F 546391842 XJPVNINUXCFBHV-UHFFFAOYSA-N 420.759 4.972 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(CCc4cncc(F)c4)n3)cc2)c1 547200051 KQJXSEWATMCZFV-UHFFFAOYSA-N 406.373 4.756 5 20 HJBD COc1cc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1Cl 554668901 HPTTVKDEUDSWME-UHFFFAOYSA-N 408.907 4.730 5 20 HJBD CN(Cc1ccccc1NCCC(=O)Nc1ccccc1[N+](=O)[O-])C1CCCCC1 561576035 LJTVHUIMUYLRBV-UHFFFAOYSA-N 410.518 4.800 5 20 HJBD COc1cc(CN[C@H](C)Cc2cccc(O)c2)c([N+](=O)[O-])cc1OCc1ccccc1 568119921 INOZRWVABPHLQS-QGZVFWFLSA-N 422.481 4.609 5 20 HJBD CC(C)c1ccc(C(=O)NC[C@H](NCc2ccccc2)c2ccco2)cc1[N+](=O)[O-] 586875410 MGEOVQHCPMXCOB-FQEVSTJZSA-N 407.470 4.572 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)Cc3ccsc3)cc2[N+](=O)[O-])n1 604200498 NDOCXGRTIWMCPM-LLVKDONJSA-N 419.553 4.933 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 608799482 MFNCFRVJELECIT-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609490330 LOKIYJMCWOQDIU-JTQLQIEISA-N 420.252 4.989 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-n2cnc3ccccc32)cc1 609783220 BTBZRXOZEWELNK-UHFFFAOYSA-N 406.829 4.768 5 20 HJBD COc1ccc([C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cc1 609783498 MATQARDUTMWTDY-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD O=C(Nc1c(F)cccc1F)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852696 ZGEVERXUGSFCJN-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1SCC(F)(F)F)c1ccc([N+](=O)[O-])[nH]1 610125369 RKLXZSPPXSXOHY-UHFFFAOYSA-N 413.299 4.848 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(F)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610171660 QHXVYOKQTOFCNS-KBPBESRZSA-N 414.330 4.568 5 20 HJBD CCOC(=O)c1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611229868 YTXBNZRBTCSTJL-UHFFFAOYSA-N 421.500 4.515 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 611565507 OMORUAUUOLSRRS-UHFFFAOYSA-N 404.879 4.557 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@@H](c1ccc(-c3cccc([N+](=O)[O-])c3)o1)N2 730272475 QJQKUQBJNABUDE-NRFANRHFSA-N 405.410 4.592 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)c2nc3ccccc3s2)CC1 734114113 LAIYCZJDBRUDCL-OAHLLOKOSA-N 424.526 4.932 5 20 HJBD C[C@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)[C@H]1c1ccccc1 735646631 YSUDDBHMZIIQSB-SJKOYZFVSA-N 421.316 4.676 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2ccccc2c1 745567974 XQWBFAWDOYOOAC-UHFFFAOYSA-N 403.312 4.807 5 20 HJBD C[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 745665440 NSQRHWOWWLNOOT-DNVCBOLYSA-N 414.458 4.540 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755020769 DMINQHOYRJCULV-RISCZKNCSA-N 407.239 4.515 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 755409957 CRAQMFUGMIPCIL-LBPRGKRZSA-N 404.394 4.790 5 20 HJBD COc1ccc(OCc2ccccn2)c(CNCc2cc([N+](=O)[O-])ccc2Cl)c1 756900700 YDUMLDNFWIOORN-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD O=C(NC[C@@H]1CC(=O)N(Cc2ccccc2)C1)c1c2ccccc2cc2ccccc12 760001953 WQDAYRFRDLGTBH-FQEVSTJZSA-N 408.501 4.772 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(Br)s1 760323274 NPLZFMAHPMUJIO-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD Cc1ccc(-c2noc(Cn3ncc4ccc([N+](=O)[O-])cc43)n2)c(OC2CCCC2)c1 762265511 PNWKSPJPQZQJRE-UHFFFAOYSA-N 419.441 4.673 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 762992139 YUIHPRDXBGDEPR-ZDUSSCGKSA-N 424.457 4.914 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764235311 SOLTYFUDPWCRDP-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)Cc2ccc(Cl)s2)c1=S 764859846 IKBBPKPEXMQSPE-UHFFFAOYSA-N 423.951 4.814 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cccc(Cl)c1[N+](=O)[O-] 767368494 OIURMQPIBXMRRP-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H]2CCCN2C(=O)OC(C)(C)C)no1 769889760 RAVQTUICERRMSD-NVXWUHKLSA-N 416.478 4.786 5 20 HJBD Cn1cc(COC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c(C(F)F)n1 770404780 PTIJJDODHRERDP-UHFFFAOYSA-N 419.409 4.774 5 20 HJBD Cc1noc(COc2ccc(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)cc2)n1 770570300 FLXJLQMUWJEVJS-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776134049 LKEDLWZIRLQUSQ-DEOSSOPVSA-N 418.449 4.902 5 20 HJBD O=C(OCCCOCc1ccccc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781255401 BLAMEGSNFCLYHB-UHFFFAOYSA-N 423.415 4.723 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-])c1ccccn1 783152101 CUYMEBMMJTYYLO-IFXJQAMLSA-N 413.474 4.666 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H]2CCOC(C)(C)C2)cc1 785912763 JPALTLNRXFSBSX-QGZVFWFLSA-N 401.415 4.510 5 20 HJBD COc1ccc(CSc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1Cl 790818498 OKEUAGHINDULMO-UHFFFAOYSA-N 423.846 4.545 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1ccc(Cl)cc1 791580807 VRHLVIRNMHSJCQ-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD COCCCC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccccc1 791903766 FBHIYWZNANEBDF-GOSISDBHSA-N 421.291 4.645 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NCc1c(Br)cccc1[N+](=O)[O-] 798959280 BIFZMYQGUICSIK-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)c1cccc([N+](=O)[O-])c1Br 807671733 VNMKBVBRUUGVKJ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3ccc(C(F)(F)F)cc3)o2)cc1 808426144 PSENOZMSNDTWJO-GOSISDBHSA-N 406.360 4.697 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc3c(c2)C(=O)OC3)cc1[N+](=O)[O-] 808462069 ZLICFENNGPWQLG-UHFFFAOYSA-N 400.456 4.656 5 20 HJBD CCn1c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nnc1-c1cccnc1 809959646 MBNIPMVPFHNFHO-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD CC(C)(C)OC(=O)NC[C@]1(C)CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 810005188 YKTGOGVWFLBWRO-SFHVURJKSA-N 418.321 4.638 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ncc1Br 813042920 XRDOSTIAFZSXDA-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CCOc1cc(-c2nc(-c3ccsc3C(F)(F)F)no2)c([N+](=O)[O-])cc1OC 904923783 YKAUQHWUTAQCHF-UHFFFAOYSA-N 415.349 4.799 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2cccc(F)c2)C2CCCC2)cc1[N+](=O)[O-] 914518532 QOJDDKOOBQWLDM-UHFFFAOYSA-N 401.394 4.536 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1Cl 914743956 PQLQWNHOOLWGKR-BRPSZJMVSA-N 418.721 4.888 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3Cl)CC2)c(C)c1 914880616 SBDIMIHACZWMFZ-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)Nc1cc([N+](=O)[O-])c(F)cc1F 915144484 RKTFPCBTONKSFG-UHFFFAOYSA-N 423.828 4.755 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)NC1CCN(Cc2ccc(Cl)cc2)CC1 915307549 IKGLFPYLVNSCQR-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 916654027 UGNYEEIYHXQWQU-ZDUSSCGKSA-N 400.696 4.850 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Oc1cc(C(F)(F)F)ccc1Cl 920314757 FXLMAHYPTIDPSR-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc([N+](=O)[O-])ccc4NC)CC3)c[nH]c12 1115872034 AKPSSGVAOMPACM-UHFFFAOYSA-N 406.486 4.700 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1 1262557902 PPZAACRKGWVXCJ-GJZGRUSLSA-N 408.298 4.540 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC2CCN(c3nccs3)CC2)o1 1326982854 JLBVQGVXDQOFEL-UHFFFAOYSA-N 418.906 4.723 5 20 HJBD Cc1c(NC(=O)NC2C[C@@H]3CCC[C@H](C2)N3Cc2ccccc2)cccc1[N+](=O)[O-] 1327789319 HJUNRDCXJJJOSC-IHWFROFDSA-N 408.502 4.610 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nnc(CCCOc3ccc(Cl)cc3Cl)o2)c1 1341343336 PPWOFXVOVHYAEM-UHFFFAOYSA-N 408.241 4.887 5 20 HJBD C[C@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)c(F)c1 1343663827 PJZWWMZLNUISIO-NSHDSACASA-N 404.394 4.843 5 20 HJBD Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)oc1C 1349989060 CBUMMMPSNBFXMG-UHFFFAOYSA-N 417.874 4.934 5 20 HJBD CCN(C(=O)COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc2ccccc12 3386671 APHJWBBZCLUVFH-UHFFFAOYSA-N 412.829 4.611 5 20 HJBD CC(=O)c1cccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 6376790 RPLQYHZPIUWTHR-UHFFFAOYSA-N 413.480 4.966 5 20 HJBD Cc1ccc(CC(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)cc1 15601552 DVPXVUXUXNNKDF-UHFFFAOYSA-N 424.840 4.957 5 20 HJBD Cc1nc2ccccc2c(C)c1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 19621337 MKGXIJVUWLKTTF-CQSZACIVSA-N 418.409 4.728 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc(C(F)(F)F)nc2ccccc12 22248236 MDXGJZGZLOQNKP-UHFFFAOYSA-N 422.388 4.596 5 20 HJBD C[C@H](OC(=O)Cc1ccc2ccccc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 24895642 NUNIAOZORVRBDY-ZDUSSCGKSA-N 412.829 4.514 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)cc1[N+](=O)[O-] 32561399 MTNCHYKICNSQTQ-UHFFFAOYSA-N 404.398 4.681 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc([N+](=O)[O-])c2cnccc12 301755602 XNZITPVEYRWITE-INIZCTEOSA-N 419.485 4.572 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2ccc(Br)cc2)CC1 302986859 WLSRFNDUKXYAEN-GFCCVEGCSA-N 421.316 4.643 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC[C@@H](c1ccccc1)C(F)(F)F 432479583 VYCZIELCYZOJKY-SFHVURJKSA-N 409.408 4.515 5 20 HJBD CSC[C@@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 433169781 SOXXPDIWTXPERT-OAHLLOKOSA-N 401.488 4.994 5 20 HJBD O=[N+]([O-])c1cccc(CS(=O)(=O)Cc2ccc(Oc3ccccc3)c(F)c2)c1 433449601 HMFXZKMJAGJPAG-UHFFFAOYSA-N 401.415 4.641 5 20 HJBD O=C(NCC1(CCO)CCCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 433972169 NZMGRTXARSSZPY-UHFFFAOYSA-N 414.527 4.809 5 20 HJBD COc1cccc(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)c1[N+](=O)[O-] 435868597 GCRJRXYCFBHPTI-NSHDSACASA-N 415.446 4.665 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)cccc1[N+](=O)[O-] 438924117 CIPPPRCYGSIGCH-OAQYLSRUSA-N 406.486 4.740 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccccc2-c2nncn2C(C)C)cc1[N+](=O)[O-] 440279489 SVLVGXUBBQQALC-OAHLLOKOSA-N 408.462 4.625 5 20 HJBD COc1ccc(OCCN(Cc2cccs2)Cc2cccs2)c([N+](=O)[O-])c1 441254773 FXTKAFHUIDALLM-UHFFFAOYSA-N 404.513 4.808 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC)c1 443865050 WAFBTYSXCNVARX-MRXNPFEDSA-N 424.375 4.608 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cnn(-c4cccc(Cl)c4)c3)no2)cc1 445652933 QZFQXIDWRKPKJW-UHFFFAOYSA-N 417.759 4.624 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 445810454 LFEDGEDMVCWLQV-CALCHBBNSA-N 423.513 4.593 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCc2ccccc2COCc2ccccc2)n1 447551751 RIMKPULBMCCWEM-DHIUTWEWSA-N 420.513 4.782 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCSC(C)(C)CC2)cc1 447641370 OAPZKRNFNSTUJN-UHFFFAOYSA-N 416.499 4.753 5 20 HJBD CN(Cc1nc2ccccc2s1)c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447689755 SPEZMFCYZXVATC-UHFFFAOYSA-N 418.347 4.890 5 20 HJBD COc1cc(C(=O)N(C)CC(C)(C)c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 460519986 HXTBJEALGHAKHN-UHFFFAOYSA-N 422.428 4.563 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccc(CCC(=O)N2CCCC2)cc1 462225958 IPJATOCDJVRXPK-UHFFFAOYSA-N 411.502 4.549 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC(F)(F)F)c1nc(C)cs1 462482064 DFJSUOFTEUFWEQ-CYBMUJFWSA-N 401.410 4.524 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 462505529 WFFFQKBTXCPRCW-MRXNPFEDSA-N 407.426 4.648 5 20 HJBD C[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1ccc(Cl)cc1 462747877 XQUKEKGNURWGRO-CYBMUJFWSA-N 403.891 4.929 5 20 HJBD CNc1c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 462981310 OCTLPNWUULQQJS-UHFFFAOYSA-N 422.485 4.777 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 464299343 STWKIWNNGIAQQR-OPAMFIHVSA-N 411.477 4.560 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464646303 XNFDHEUMSIOSKB-CQSZACIVSA-N 420.918 4.799 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 469155212 MRINLUNPSGDDEP-OAHLLOKOSA-N 410.499 4.929 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 470031818 JCCKSPZZAYKXJA-YJBOKZPZSA-N 421.400 4.546 5 20 HJBD C[C@@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)c(Cl)c1 471357730 KBXWGPXJJJGUQA-SNVBAGLBSA-N 411.241 4.805 5 20 HJBD CCSCc1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)no1 471727792 RCRJXBUJTCBALR-UHFFFAOYSA-N 404.473 4.520 5 20 HJBD CCCc1cccc(C(C)C)c1NC(=O)CSc1ccc(C(N)=O)cc1[N+](=O)[O-] 472692653 NHNMJYBCJNULDH-UHFFFAOYSA-N 415.515 4.500 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 474465836 CWADQHWSUMPBGE-AWEZNQCLSA-N 423.473 4.503 5 20 HJBD COc1ccc(CN2CCC[C@@](C)(OC)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 475545542 DVOFTJREINCVMP-HSZRJFAPSA-N 414.502 4.573 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H](c2ccccc2)C1 476183918 WFRUEPZGSMAKOM-NRFANRHFSA-N 401.466 4.837 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(Cc3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 476824277 QJOCODCSTRMNBV-QGZVFWFLSA-N 416.481 4.668 5 20 HJBD COC(=O)[C@@H](Cc1ccc(C)cc1)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 477044748 OJOCBZWBJYRCCG-LJQANCHMSA-N 412.467 4.576 5 20 HJBD C[C@@H](NCC(=O)N1Cc2ccccc2C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 479532141 PNBAAMYZNMIZJB-OAHLLOKOSA-N 407.495 4.516 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 481416965 ZLZCQNAFSYTEOI-LHSJRXKWSA-N 415.877 4.812 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1 484140677 YEQDWUHMYXXHJO-AWEZNQCLSA-N 404.488 4.763 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@@H]1c1ccccc1 485964103 CPSQQTGJAWMPOF-OXJNMPFZSA-N 400.500 4.605 5 20 HJBD CCOc1ncc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1Cl 486974135 ZQTLFXSFRHFCJG-UHFFFAOYSA-N 418.862 4.726 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc([S@@](C)=O)cc1 489031067 NABSDKXMUTYUEU-GMYLUUGSSA-N 420.556 4.571 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1ccc([N+](=O)[O-])cc1Cl 489637321 ZRZYBCJSRYUFPT-ZDUSSCGKSA-N 413.680 4.588 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(N2CCCC2)c1 495050897 UQEXWURVGGOGIX-KRWDZBQOSA-N 408.502 4.630 5 20 HJBD CCC(CC)(CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)N[C@H](C)c1ccccc1 499949712 JDXHTECUENZOLJ-MRXNPFEDSA-N 408.502 4.716 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccccc1Cl 501079558 LTKGVYGBBRMSOC-UHFFFAOYSA-N 400.765 4.525 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3ccccc3Cl)C2)cc1[N+](=O)[O-] 505112753 FWXNOSWRRQNCMX-LJQANCHMSA-N 402.878 4.759 5 20 HJBD O=C(c1cc(N[C@H]2C[C@@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 507030485 VDJPQWXGYKPTHY-QAPCUYQASA-N 419.403 4.818 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2ccc3nc(C(F)F)sc3c2)cccc1[N+](=O)[O-] 507242494 GGUJROGAKGQHSL-SNVBAGLBSA-N 406.414 4.890 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1ccc(Cl)cc1[N+](=O)[O-] 507990979 ULHWOYDWLBPOCH-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1 509448806 LRXRSZABVKUFGO-SFTDATJTSA-N 415.465 4.574 5 20 HJBD CCn1c(SCC(=O)N(CC(C)C)C(C)C)nc2cc3ccccc3cc2c1=O 510382820 BAVBHVDGLQLKCZ-UHFFFAOYSA-N 411.571 4.555 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 511696174 UNPJOCXYBRKOHX-ZUZCIYMTSA-N 402.731 4.692 5 20 HJBD O=[N+]([O-])c1ccc2[nH]nc(-c3nc([C@H](F)c4ccc(C(F)(F)F)cc4)no3)c2c1 511991380 XQVVDOGTYGFKLA-CYBMUJFWSA-N 407.283 4.599 5 20 HJBD Cc1ccc(Cc2nc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cs2)cc1 512950502 BOUJPOLWELLCHG-UHFFFAOYSA-N 419.409 4.804 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1c(F)cc(F)cc1Br 513811853 ZBHJDEOUOUVOJP-UHFFFAOYSA-N 417.231 4.507 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1 515235347 JHASICIUJOBVDU-CYBMUJFWSA-N 412.471 4.625 5 20 HJBD C[C@H](NC(=O)c1cn(-c2ccccc2)nc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 515681442 VRXVDITZCDQSSZ-KRWDZBQOSA-N 412.449 4.939 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 516944621 VNVJBBODBKLSOT-ACJLOTCBSA-N 403.276 4.825 5 20 HJBD COc1c(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc(Cl)cc1C(N)=O 517104622 OKHBOSYQJUAPJE-UHFFFAOYSA-N 417.874 4.696 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)cc1[N+](=O)[O-] 518463257 QQATXOHKJLWRNB-UHFFFAOYSA-N 418.409 4.733 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520803231 RMXGZZWFHBBGFR-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3ccccc3Br)C2)c2ccncc12 521972042 ZIUFEVOIATZUMV-CYBMUJFWSA-N 413.275 4.596 5 20 HJBD Cc1noc(C)c1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 522744072 FGVCKHCJIJKUPT-UHFFFAOYSA-N 400.822 4.717 5 20 HJBD Cc1ccc(NCCCNC(=O)N[C@H](CC(F)(F)F)c2ccccc2)c([N+](=O)[O-])c1 525324612 RSIDNUIFEHQYIY-QGZVFWFLSA-N 424.423 4.698 5 20 HJBD Cc1c(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cccc1-c1ccccn1 533669587 KAKXITHYPQVIKC-QFIPXVFZSA-N 405.479 4.853 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccccc1Cl 534794063 IROCFJZYJWGUKJ-UHFFFAOYSA-N 403.847 4.775 5 20 HJBD Cc1c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cnc2ccccc12 538254679 GWHJUAGWVZLELX-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)NCC)c1 538583555 LBQCVFYSEJOTFN-UHFFFAOYSA-N 416.503 4.881 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc([N+](=O)[O-])cc2F)c2ccc(OC)cc2)cc1 539244190 ZXPVVVDFTABDNK-HSZRJFAPSA-N 410.445 4.825 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 539446638 KJHFUNFUOIYFPD-INIZCTEOSA-N 420.309 4.966 5 20 HJBD COc1cc(C(=O)N2CCC(c3ccccc3C)CC2)c([N+](=O)[O-])cc1OC(F)F 543498015 YKSITFPTWBRNNX-UHFFFAOYSA-N 420.412 4.533 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 543668757 PXDKLJQMAMIPKA-NYSBEXSLSA-N 415.465 4.665 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](c2cccc(F)c2)c2ccccn2)c1Br 544393364 LMBIOBAIFMCWAX-KRWDZBQOSA-N 417.238 4.796 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544461302 DUQCCBPCZFLYGE-RBUKOAKNSA-N 400.450 4.651 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 547373894 NVXSYWIQKGCRNP-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD Cc1ccccc1[C@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CCO1 556082132 YGCPWULDVBGJQQ-OAHLLOKOSA-N 417.334 4.530 5 20 HJBD Cn1ccnc1[C@H](NCC1(Cc2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1 556890829 XJYPNPDEDLXEDK-JOCHJYFZSA-N 404.514 4.810 5 20 HJBD CCc1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)o1 577667417 PGDXQGUTHACZLM-UHFFFAOYSA-N 403.504 4.666 5 20 HJBD CC(C)N1C[C@@H](c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CC1=O 578088132 VQMYYJVOKVVKNE-GDAGCXPBSA-N 424.482 4.601 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 582142437 DGEMGULGFJSIML-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 603566900 AUGXJAWSVLHLBB-QGZVFWFLSA-N 420.918 4.854 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)C(C)C)cc1 608802975 RUFRISWJEUAYNV-VFNWGFHPSA-N 400.523 4.825 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2OC(F)F)cs1 609021926 ZOPLCZXTDQFKKJ-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccc(F)cc3)[C@@H]3CCCO3)cs2)c1 609598636 FXGIOBXUHPDKIE-VQTJNVASSA-N 413.474 4.867 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609781048 MOXXJMMUKLJZQH-UHFFFAOYSA-N 420.425 4.667 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785237 SOVOAORAPZYYQL-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(c2ccccc2F)CC1 609864302 WKRVIRBEIDDZMA-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD Cc1ccc(CCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1Cl 610305531 BQPIZIPNNINTIG-UHFFFAOYSA-N 402.878 4.559 5 20 HJBD CSc1ccc(C(=O)Oc2ccc(Br)cc2C(C)=O)cc1[N+](=O)[O-] 727967184 YDBHVWLBWFIKMF-UHFFFAOYSA-N 410.245 4.501 5 20 HJBD O=C(CCCCOc1ccc(Br)cc1)Nc1ccc(F)c([N+](=O)[O-])c1 731163081 PMVOGNZVKWECIY-UHFFFAOYSA-N 411.227 4.684 5 20 HJBD COc1ccc(CN(C(=O)c2csc([N+](=O)[O-])c2)c2ccc3nc(C)[nH]c3c2)cc1 731995376 YOEOXVDCQNVYPW-UHFFFAOYSA-N 422.466 4.697 5 20 HJBD COCc1cccc(OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 733073514 KFGCZQKMGCYXHI-UHFFFAOYSA-N 416.480 4.877 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368784 QKLNFPYQDPMUJA-OAQYLSRUSA-N 419.441 4.685 5 20 HJBD Cc1c(C(=O)N(c2ccc(F)cc2Cl)C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 735634099 QAIICHJNJYYWFA-UHFFFAOYSA-N 409.801 4.968 5 20 HJBD O=C(NCCNc1ccccc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 735827823 UWYYLZJOHILMIO-UHFFFAOYSA-N 411.845 4.882 5 20 HJBD CC/C(=C\C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(Cl)c1 745757243 GSGYJSYUOMGHGQ-UKTHLTGXSA-N 403.818 4.652 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 750854297 LVTBDMXGMLGJHL-QMMMGPOBSA-N 403.685 4.872 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1ccc(Br)cc1[N+](=O)[O-] 750962820 DMLNWIOPAGOCBG-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc(-c3ccccc3)cc2F)cc1[N+](=O)[O-] 752135074 IVWVLLUGHRNJEK-OAHLLOKOSA-N 421.428 4.525 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)CCc1cccc([N+](=O)[O-])c1 755131059 NGWNNDJPTACTIG-UHFFFAOYSA-N 405.497 4.620 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C2CC2)o1 757256827 SSISLMNPHCVQBA-SJKOYZFVSA-N 403.866 4.839 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1 765671786 YVMKQCSDZSFNLA-UHFFFAOYSA-N 408.414 4.600 5 20 HJBD O=C(c1ccc[nH]1)c1cc(Cl)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 766544275 IJGUCHUXKIHSEW-UHFFFAOYSA-N 404.209 4.713 5 20 HJBD Cc1cccc([C@H](O)CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 768996106 OVDYQHCUJALIKR-OAQYLSRUSA-N 407.426 4.551 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@H](O)c2ccco2)cc1OC(F)F 771244562 MHMDGWUSVJZGQO-KRWDZBQOSA-N 404.369 4.529 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([C@H](C)O)c(C)n3)c([N+](=O)[O-])c2)cc1 777993723 ODHIDOLOSLIIOH-INIZCTEOSA-N 420.469 4.524 5 20 HJBD Cc1ccc(N(C)[C@@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 784374963 PAKLAZXVDLYMAE-DYESRHJHSA-N 421.501 4.615 5 20 HJBD C[C@@H](OC(=O)c1c[nH]cc1-c1ccccc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 787200718 FAUYDQWWCLKGLC-GFCCVEGCSA-N 422.372 4.697 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 787904572 KZZLDTYNPSNKTL-CQSZACIVSA-N 412.877 4.638 5 20 HJBD O=C(Cc1ccc(F)cc1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 890319557 IHBWMOKCWBAVPA-UHFFFAOYSA-N 419.364 4.655 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1OC1CCCC1 913506480 SNHGCLMOAKEQSC-UHFFFAOYSA-N 411.458 4.751 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(C)c1 919212748 WHHGTMZQDWGUAQ-UHFFFAOYSA-N 410.426 4.842 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 920001528 UFRSKINBDGCLDY-DHZHZOJOSA-N 403.276 4.808 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 920225325 SCXYUIAZNXTSHQ-CQSZACIVSA-N 417.487 4.919 5 20 HJBD COc1ccc(CCc2nnc(-c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)o2)cc1 1257868301 MTIMLKXXTLAGLY-UHFFFAOYSA-N 422.485 4.675 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1OC 1318383180 LOFCALOSLYXHNK-GDNBJRDFSA-N 418.449 4.859 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccn(C3CCCCC3)n2)cc1[N+](=O)[O-] 1321007063 BQQSABRQCQGSHB-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2c(F)cccc2Cl)C1 1322443666 FJIDREZSGQWEPV-LBPRGKRZSA-N 408.882 4.569 5 20 HJBD CC1CCN(CCc2nnc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)o2)CC1 1322931105 GYDWFSNTKKMJLU-UHFFFAOYSA-N 421.501 4.531 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(F)cc1OC(F)F 1336892877 FLXFTFXQJAHILF-LBPRGKRZSA-N 423.391 4.771 5 20 HJBD CC/C=C(\C)C(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccc([N+](=O)[O-])o3)CCC2)cc1 1792061390 BGSDVTBVQBTUMO-BXYSQEQOSA-N 423.469 4.691 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2)c1 5958399 GMBBAPFWYNAQOG-QHCPKHFHSA-N 418.449 4.677 5 20 HJBD CCc1ccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1 7168779 FNZSXWKCLMNNFT-HNNXBMFYSA-N 417.534 4.768 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1ccc(C(=O)Nc2ccccc2)cc1 11459132 AEICBFBSNBZZSX-UHFFFAOYSA-N 422.462 4.683 5 20 HJBD O=[N+]([O-])c1ncccc1Oc1nc(-c2cccnc2)nc2sc3c(c12)CCCC3 15164015 BKDPWOSBGBIAHG-UHFFFAOYSA-N 405.439 4.728 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 18135894 FVMKMFYCUXALAG-OAQYLSRUSA-N 406.486 4.584 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC([C@H](O)c3ccccc3)CC2)c([N+](=O)[O-])c1 22406196 JNNCDRILAOZHKW-XMMPIXPASA-N 416.477 4.776 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCCCCCC1)c1ccc([N+](=O)[O-])cc1Cl 49950318 YZSORVMVBQCFOS-UHFFFAOYSA-N 415.877 4.907 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 55641038 VXQZTAUMPSQHHX-GFCCVEGCSA-N 412.364 4.966 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C[C@@H](c2ccccc2)O1 109057161 XLSBUZVTJVISNE-HXOBKFHXSA-N 418.449 4.989 5 20 HJBD CNc1ccc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cc1[N+](=O)[O-] 110775697 KIFLDSTYUVXGBJ-UHFFFAOYSA-N 420.397 4.614 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1cccc(NC(=O)c2ccc(F)cc2)c1 236857281 GTCJIAGYJFGXEB-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3nc(C(F)(F)F)cs3)cs2)c1 237711575 LJBPKFNOYJQNQI-UHFFFAOYSA-N 414.434 4.526 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2cc(F)cc3c2OCOC3)s1 237803946 QIAJCGGMWGRURJ-UHFFFAOYSA-N 414.458 4.661 5 20 HJBD CCOc1cc(NCc2ccc(N3CCc4ccccc4C3)nc2)ccc1[N+](=O)[O-] 301518228 KLIGALJCBILPPM-UHFFFAOYSA-N 404.470 4.563 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Sc1nnc(-c2ccc(Cl)cc2)o1 301713349 YUVBAPHNCFAQAR-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)s1 303049096 ISIKLEMVMZOMEK-UHFFFAOYSA-N 422.466 4.586 5 20 HJBD C[C@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccc([N+](=O)[O-])s1 430442010 PZLJXQQLVBCAQU-HNNXBMFYSA-N 421.478 4.629 5 20 HJBD CC(C)(NC(=O)NCc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1 431799728 VALKJWWOATYRQR-UHFFFAOYSA-N 404.879 4.592 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc(C(C)(C)C)no1 437133589 DGYGZZJXDKBHBU-ZDUSSCGKSA-N 410.430 4.559 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437152242 DECBFHFSZSCKFP-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C(C)(C)C)cs1 437159519 FYWUXVJQUKJLPX-VIFPVBQESA-N 401.410 4.859 5 20 HJBD CCCOc1ccc2c(c1)CCN([C@H](C)c1nnc(-c3ccc([N+](=O)[O-])cc3)o1)C2 441080824 QFXWETPUYSJNAL-OAHLLOKOSA-N 408.458 4.553 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cc(Cl)ccc2Cl)c(C(F)(F)F)c1 446990357 JPWRPTKTCLRISX-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)c1 460155073 MFWKQHDAUKYLBM-GOSISDBHSA-N 404.466 4.902 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1ccc(F)cc1[N+](=O)[O-] 466286458 JQQBYCXRXGFKPW-MUUNZHRXSA-N 404.463 4.568 5 20 HJBD COc1ccc(N(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 466913459 JCRBJDPKNQYQOU-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)c2)n1 467989620 FZNCMKXBWNOCRP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)c(OC(F)F)cc1F 468191048 DSURHSFLJUGTDG-UHFFFAOYSA-N 424.759 4.859 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](c1ccc(Cl)cc1)C(F)(F)F 469150379 VQDDWIIFJUZJBV-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@H](C2CC2)O1 470688967 IXLBIJBZRWZNJL-ZUOKHONESA-N 416.861 4.680 5 20 HJBD Cc1c(Cc2noc(CSCC(=O)c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 472794626 SVGUCJJMZBXCHL-UHFFFAOYSA-N 417.874 4.647 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(OC(F)F)c1 475486754 KVKDANSHCJJZDA-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD COc1c(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)cccc1[N+](=O)[O-] 480563136 KDTAKEDOKJACLN-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(C[S@](=O)C(C)(C)C)c2)c1[N+](=O)[O-] 486232185 VJVBMAWIDWDTEZ-MHZLTWQESA-N 406.529 4.616 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 487537140 KYUOOIVWCTXMBV-LBPRGKRZSA-N 406.280 4.653 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1C(=O)N(C)C(C)C 489115909 MCTWGYFXZAEZJI-UHFFFAOYSA-N 424.501 4.580 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])ccc1O)c1csc(-c2c(F)cccc2F)n1 490601996 AWNNRKNCCHSHIB-UHFFFAOYSA-N 419.409 4.618 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCOc3ccccc3C(F)(F)F)cs2)c1 500903730 USYJOVAOIUKMLF-UHFFFAOYSA-N 423.416 4.906 5 20 HJBD O=C(N[C@@H]1CCCc2nc(O)ccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 504080304 RAEHECPEONKHEX-LJQANCHMSA-N 421.478 4.654 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cnc1N1CCCC[C@H]1C 504847509 VNEWMRQWKGJGNN-CYBMUJFWSA-N 422.407 4.948 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 505359869 OBHVIJVDDXMCED-MRXNPFEDSA-N 420.309 4.966 5 20 HJBD COc1ccc(CSCc2nc(-c3nccc4ccccc34)no2)cc1[N+](=O)[O-] 507693377 ZAZJQIQFLHGZTA-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)CSc1ccc([N+](=O)[O-])cc1 510149953 LHWZSURMFKRABV-UHFFFAOYSA-N 423.332 4.887 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)c1 515442618 HJZXPLIGLAICAW-HNNXBMFYSA-N 423.494 4.782 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 518299474 NQMOEDCSZZQONP-UHFFFAOYSA-N 404.470 4.653 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc([C@H]3C[C@@H]3C)o2)cc1[N+](=O)[O-])c1ccccn1 518433634 NDZJAZLZQSUQFQ-MPGHIAIKSA-N 420.469 4.809 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 520680184 ZCGZUERXZDQTQH-CQSZACIVSA-N 415.877 4.824 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 525116312 SVEYYWQKDYEMIW-JOCHJYFZSA-N 420.465 4.634 5 20 HJBD CCCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@@H](OCC)C12CCCC2 525408254 RBWDLLXJMHPSJF-RBUKOAKNSA-N 414.506 4.595 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)o2)cc1 525618704 BABHVSBRACMSGU-QFIPXVFZSA-N 423.494 4.765 5 20 HJBD COc1ccc(OC)c([C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 532771951 FRBQVAWSZBMFQD-HXUWFJFHSA-N 423.469 4.647 5 20 HJBD CN(CCc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)C1CC1 538122763 PVDKGAIYYHFHEZ-UHFFFAOYSA-N 408.527 4.967 5 20 HJBD CC(C)(C)S(=O)(=O)Cc1ccc(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1 538870168 IJYMMWPKNPDMOL-UHFFFAOYSA-N 414.430 4.653 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])sc2C1 539418802 MGHSDWPSOHDCBA-ZDUSSCGKSA-N 408.483 4.881 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)s2)c(C)c1 541611998 XCGSNCDIOFSYFF-GFCCVEGCSA-N 400.435 4.611 5 20 HJBD CCc1ccc([C@@H](NCc2cccc3c2OCCCO3)c2ccc([N+](=O)[O-])cc2)o1 543422744 LNQOUFOGFLQDCY-QFIPXVFZSA-N 408.454 4.791 5 20 HJBD CC[C@@H](C)Oc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 547167647 IBLUJOCOFJOLSR-GFCCVEGCSA-N 406.398 4.743 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1NC(=O)C(C)(C)C 558338506 CLHSCWXDOKKBEC-UHFFFAOYSA-N 412.490 4.594 5 20 HJBD C[C@H](C(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 561740650 WXZUJWZMZMZTSM-OLZOCXBDSA-N 412.383 4.946 5 20 HJBD COCCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 568455636 UBEFJLQZBLQLGH-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 579977211 AFHFVYBUBRAVEH-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD CN1CC[C@H](c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c2ccccc21 584079146 RTIUEJIRUKSGAY-KRWDZBQOSA-N 408.461 4.703 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H](C)c2nc3ccccc3n2C(F)F)c([N+](=O)[O-])c1 590821079 OUOWOJPWTRSEKM-GFCCVEGCSA-N 417.416 4.651 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604095154 PSNLNDHGWAVUQC-RKDXNWHRSA-N 423.313 4.715 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cc5cc([N+](=O)[O-])ccc5o4)CC3)c2c1 604102946 CCBMOVQPWZJKRB-UHFFFAOYSA-N 417.421 4.760 5 20 HJBD Cc1cc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)ccc1OCC(F)(F)F 609978276 JDKNRUIPTPUAQA-UHFFFAOYSA-N 409.364 4.671 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)nnn1-c1cccc(C(C)C)c1 610168510 DXLPFENLIUMKBU-UHFFFAOYSA-N 423.473 4.512 5 20 HJBD CCc1ccc(C(=O)NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610434023 RLOWMMFBGVZJCQ-RYUDHWBXSA-N 412.330 4.797 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCC(F)(F)C3)o2)c(Br)c1 610787692 BLEKKRVCCDITPC-SNVBAGLBSA-N 401.207 4.895 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OC2CCCC2)cc1OC 610912306 WMWDXPZJKBXKIS-UHFFFAOYSA-N 418.421 4.715 5 20 HJBD Cc1[nH]nc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1-c1cccc(Cl)c1 612605474 KFYDNKSZAACHBE-JTQLQIEISA-N 402.813 4.828 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)Cc3ccc4c(c3)NC(=O)C4)c3ccccc3n12 680017144 SDQUBMTVTFYEAD-UHFFFAOYSA-N 420.472 4.625 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730642246 AFYHTHMXWWQFCO-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@@H](C)C2 730695838 XVOFLULVACCWHQ-PJODQICGSA-N 417.845 4.679 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@@H]1C[C@H]1c1cccc2ccccc12 730873010 TUGTVFMCTFMYJQ-VQTJNVASSA-N 415.405 4.645 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 732059531 NBUBBWQFEPQSRS-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H](C)CC1CCCC1 733911963 HBIUNHFJZYXUPJ-OAHLLOKOSA-N 400.479 4.598 5 20 HJBD COc1cc(C(=O)O[C@@H](c2cccc(Cl)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC 750215458 HEVGFXTYQFUTOH-HNNXBMFYSA-N 419.739 4.726 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1cccc(NC(=O)c2ccccc2)c1 750426835 BHBWCHPJEYRPIF-KAMYIIQDSA-N 402.406 4.604 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccc(-c2cscn2)cc1 750753663 XMEWQAOQDFAWIR-UHFFFAOYSA-N 412.470 4.884 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccc2nc(C3CC3)sc2c1 751276530 QTXPCSSCOUBUFJ-UHFFFAOYSA-N 409.876 4.536 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C23C[C@H]4C[C@@H](CC(Br)(C4)C2)C3)cc1[N+](=O)[O-] 752416495 JHNYLJPJBVPSIC-IXERNEQCSA-N 421.335 4.814 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 754012791 NOFPRWIVVJLPHU-CQSZACIVSA-N 408.385 4.695 5 20 HJBD C[C@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccsc1 755434442 PZHRWRMYOMQZAV-FQEVSTJZSA-N 420.556 4.576 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761016514 VKYRMTKGMKLILC-JTQLQIEISA-N 422.224 4.799 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764164957 ZPJNYOJLUVHTLP-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 764294987 OBKHENMDLGOIJE-CQSZACIVSA-N 403.910 4.832 5 20 HJBD O=C(c1ccc(Cc2nc(O)c3cc4ccccc4cc3n2)[nH]1)C(Cl)(Cl)Cl 775637972 UWWSLIGPNXMDHJ-UHFFFAOYSA-N 420.683 4.960 5 20 HJBD COc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 776133219 GZHMPYALEWTZAX-JOCHJYFZSA-N 422.437 4.732 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1cc([N+](=O)[O-])ccc1Cl 776347675 QUPGBABVTPFHSL-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787670603 OQDPPOWXQYUMKD-UHFFFAOYSA-N 420.440 4.525 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(-c2ccco2)nc2c1cnn2C(C)C 800150250 IEYIRRNITAHKPI-UHFFFAOYSA-N 423.404 4.880 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 813244208 RFZORXYPQCOALO-NWDGAFQWSA-N 400.740 4.889 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1N1CCSCC1 814627939 YXIAQFNFHWJFFD-UHFFFAOYSA-N 414.531 4.513 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 819474940 CRZITFYSINXEOX-INIZCTEOSA-N 412.877 4.638 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ncc(-c2ccc(F)cc2)o1 920777433 GXPVLPDSHKRCML-NSHDSACASA-N 420.780 4.553 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)[C@H](C)c1cccc(C(F)(F)F)c1 921267025 TYBWVMWONNLNNX-GFCCVEGCSA-N 414.355 4.741 5 20 HJBD CCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccc(Cl)cc1 1116769029 CWABRVMOYQMKPD-UHFFFAOYSA-N 411.849 4.544 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)cc1 1257705133 AULVGSFCWHVXEP-OAHLLOKOSA-N 424.457 4.784 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1 1261425704 JGPOICMFJPBMKN-LBPRGKRZSA-N 403.822 4.761 5 20 HJBD CCOc1cc(-c2nnc(CSc3ccc(C)cc3)o2)c([N+](=O)[O-])cc1OC 1322514740 CXOKONQBWWMWGT-UHFFFAOYSA-N 401.444 4.653 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(-c3csc(C)n3)cc2)c([N+](=O)[O-])c1 1347520411 DTZQVYWBURYKII-GFCCVEGCSA-N 412.471 4.918 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)CCNC(=O)OC(C)(C)C)c3ccccc3n12 1348582640 QIWGFIBEJQPYAZ-UHFFFAOYSA-N 418.497 4.802 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1 15628098 SNXJXTQQHPRHNP-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1cccc([N+](=O)[O-])c1C 15648041 JTBPFMYCWXWNGV-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD CC[C@H]1CCc2sc(-c3nnc(Sc4ccc([N+](=O)[O-])cn4)n3C)cc2C1 23616661 GUBIYEOTCSLYCF-NSHDSACASA-N 401.517 4.513 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3ccc(Br)cc3)o2)cc1 42108469 ZSCQQVZXTQHTBA-UHFFFAOYSA-N 406.261 4.742 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3ccoc3C)cc2[N+](=O)[O-])n1 55461792 MRHVBEJSAOUTMX-UHFFFAOYSA-N 403.485 4.685 5 20 HJBD C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 55941990 DNODAUNVJCDVIL-GFCCVEGCSA-N 413.430 4.539 5 20 HJBD C[C@@H](NC(=O)NCc1ccccc1COCc1ccccc1)c1cccc([N+](=O)[O-])c1 56400179 XQYNQSCOFADEFH-GOSISDBHSA-N 419.481 4.872 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccnc2)Cc2ccccc2C)cc1[N+](=O)[O-] 65806651 LVZFMRJEBGLVMA-UHFFFAOYSA-N 405.454 4.540 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccccc1C(=O)Nc1ccc(Cl)cn1 72081710 PTUJIJIQJUAFOC-KPKJPENVSA-N 422.828 4.547 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Br)cc2[N+](=O)[O-])cc1)c1ccco1 110457292 GWLBLVYVLHKIIO-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD COc1cc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)ccc1O 237298151 HLIHOCVSOKFNNY-UHFFFAOYSA-N 402.834 4.555 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1)N1CCCCC1 301068150 FAAAQIBROFIODP-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD CCc1cc(Oc2cncc(Br)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301245125 AKLOBVAUSCRGGI-UHFFFAOYSA-N 401.220 4.564 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c(=O)n(C)ccc12 410388760 ZOHWSTFXLROYQN-UHFFFAOYSA-N 411.483 4.591 5 20 HJBD O=C(O)C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc(F)cc1F 426826126 NSVOIWVHRDLBQQ-KRWDZBQOSA-N 418.421 4.907 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)N1C(=O)[C@H](c2ccc(Cl)c(Cl)c2)N(C)C1=O 430876050 PTORBFHRSBWRHU-HWPZZCPQSA-N 408.241 4.598 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1cccc([N+](=O)[O-])c1)c1ccccc1 433676943 GZWKOWAXSMLRIB-UHFFFAOYSA-N 404.470 4.595 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2csc(CCc3ccccc3)n2)cc1[N+](=O)[O-] 435511190 MALWHZWBQFCKPS-INIZCTEOSA-N 424.526 4.705 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(c4cc(C(F)(F)F)ncc4[N+](=O)[O-])C3)[nH]c2c1 436331715 OSVUEOQOVDZWEO-GFCCVEGCSA-N 405.380 4.577 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccc(NC(=O)c2cccc(F)c2)c1 446495825 PTRVRZLBLOXKIB-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@@H]4CCC[C@H]43)n2Cc2cccs2)c1 461317187 WMRNSUMCDVYNDR-HNAYVOBHSA-N 409.515 4.732 5 20 HJBD CN(Cc1nccn1Cc1ccccc1)C(=O)c1cc2ccccc2c2cccnc12 464297994 QVADUVQGPLMLHT-UHFFFAOYSA-N 406.489 4.905 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1occc1CSc1ccccc1 469176181 MSOWDBCNEQGYCN-UHFFFAOYSA-N 405.435 5.281 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@@H](C(C)C)CC2)c([N+](=O)[O-])cc1OC(F)F 469505261 QPQSZMFUXBGLTP-OLZOCXBDSA-N 400.422 4.540 5 20 HJBD CCC1(CC)Oc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2O1 470724051 QVDSSWIFKMLUPL-UHFFFAOYSA-N 421.247 4.897 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc2ccc(Br)cc12 470742879 JXGQAPQOVYQMMM-UHFFFAOYSA-N 421.272 4.762 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 474752307 VAHBGHPLFRPEHN-HUUCEWRRSA-N 412.877 4.698 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 475554365 IRGSSORRMPPNNH-LBPRGKRZSA-N 403.389 4.839 5 20 HJBD C[C@@H](Nc1ccc(N2CCCCC2)c2ncccc12)C(=O)Nc1ccccc1[N+](=O)[O-] 481601403 QZEVAIBHMYWQSN-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1F 485273879 PWLWJKCXMMEEIZ-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@@H](c3nc4ccc(F)cc4o3)C2)c1[N+](=O)[O-] 485490755 MBJKDMWIWZIZEV-GFCCVEGCSA-N 415.446 4.617 5 20 HJBD COc1cc([C@@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 487804270 UHYRKTMDYRTLSO-OAHLLOKOSA-N 415.490 4.686 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(-c2cccc(C(F)(F)F)c2)nn1C 489993032 IEHDCGHSARPPMW-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD O=C(CCc1ccc(Cl)cc1Cl)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 490548085 SGLKPVJRFGVDDX-UHFFFAOYSA-N 411.241 4.634 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](c1ccc(Cl)cc1)c1cccnc1 496536901 QOQORSOQFBCSIH-GOSISDBHSA-N 401.875 4.956 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 496568551 FQMIITISKOGBJA-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(F)cc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 497800616 SMMLIXOCIQLSGJ-HUUCEWRRSA-N 414.330 4.568 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cccc1[N+](=O)[O-] 498564514 UFIBMSGFZMAKQO-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@@H](C)c3ccco3)cc2[N+](=O)[O-])n1 499414397 BETGBUJYXOYIQV-LBPRGKRZSA-N 403.485 4.937 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C2CC2)[C@H]2CCCc3ccccc32)cc1OC 500236771 BZMNTFNGRMQVAE-IBGZPJMESA-N 410.470 4.684 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1cccc(Cl)c1 500975254 KHVRAQIRSCGFJL-UHFFFAOYSA-N 412.877 4.777 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2F)c1C 503612565 JTUTUZDVIGNPFI-UHFFFAOYSA-N 414.462 4.982 5 20 HJBD CC[C@@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(OC)cc1 505357377 JMAQNEQTIIFVDH-KRWDZBQOSA-N 412.486 4.557 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1ccc2ccccc2c1 509406015 VVWPEOCBACOXSX-UHFFFAOYSA-N 407.470 4.747 5 20 HJBD Cc1cc(Br)cc(Cl)c1NC(=O)CCOc1ccccc1[N+](=O)[O-] 515699358 DKGQIYSSYQGVBT-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD O=C(Nc1ccc([C@H]2CCOC2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 517049880 HESUXCIAGGGSAQ-AWEZNQCLSA-N 420.415 4.716 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)NCCOc2ccc([N+](=O)[O-])cc2)c(C)c1 518313497 FDJXNJJMQBXCGG-HNNXBMFYSA-N 403.504 4.994 5 20 HJBD C[C@H](NC(=O)Nc1cccc(CSC2CCOCC2)c1)c1cccc([N+](=O)[O-])c1 522598234 UERRSGSJEBQYAD-HNNXBMFYSA-N 415.515 4.890 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OC(F)F)c2ncccc12 522744738 KFADEFXHDPQRHG-UHFFFAOYSA-N 407.760 4.579 5 20 HJBD C[C@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531733213 DEKJOXNGQSTLPD-LBPRGKRZSA-N 410.421 4.933 5 20 HJBD NC(=O)c1ccc(CN[C@@H](c2cccc(Cl)c2)C2CCCCC2)c([N+](=O)[O-])c1 531858931 YEYFCJDFCAGCLH-HXUWFJFHSA-N 401.894 4.758 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 533369670 ZZWXITYOSHPUQZ-OAHLLOKOSA-N 416.840 4.817 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H](C)c2sc(C(C)C)nc2C)c([N+](=O)[O-])cc1OC 533729923 MOPTWLDVHUMSNI-ZDUSSCGKSA-N 421.519 4.724 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1CO 534226897 RISXSXJJHIFNQI-UHFFFAOYSA-N 408.410 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)[C@@H](C)c2sc(C(C)C)nc2C)c1 538423249 FZUFEBHSZBAWPM-HNNXBMFYSA-N 405.520 4.780 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2)o1 539085139 UIOWLPWLGXWWQE-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD COc1ccccc1-c1nc(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)cs1 539272848 QHJMTUNKXRYSBN-UHFFFAOYSA-N 400.847 4.778 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)N=c2cc[nH]cc2[N+](=O)[O-])nc1-c1ccccc1 540979627 JHEPVMAEDQYGAW-KRWDZBQOSA-N 421.457 4.559 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1F 542536409 QWRSXXXLVWZKRD-GFCCVEGCSA-N 413.430 4.790 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 542959170 PVFLFWJWRBRLIG-CYBMUJFWSA-N 412.471 4.625 5 20 HJBD COc1ccc2oc([C@H](C)NCCC(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 544477780 PGEYMFBTQJSLLR-HNNXBMFYSA-N 411.458 4.646 5 20 HJBD Cc1oc(-c2ccccc2)nc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546560982 ZYNVKFHEQXULTL-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(OC)c(OC)c1C 568614887 PICMJTNGAXXSSA-ZDUSSCGKSA-N 404.488 4.523 5 20 HJBD Cc1cc(CN(C)C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(C)c1OCC(F)(F)F 573893449 RFCQBVVTBRUXGO-HNNXBMFYSA-N 424.419 4.915 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nccn1-c1ccccc1 579975941 JKAWMQHYOCSXNZ-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1OCC(F)(F)F 603738380 ZBAXIIRYVSTCQU-UHFFFAOYSA-N 410.304 4.543 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCC[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])cc1OCC 603753567 JWBLXHOXRUXQFK-VXGBXAGGSA-N 404.385 4.699 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 610101561 YXURHPXHHGDTCY-HXUWFJFHSA-N 420.513 4.988 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)cc1[N+](=O)[O-] 610596592 HZZXJPDVWVGEBX-XJABCFGWSA-N 411.502 4.774 5 20 HJBD COC(=O)c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611207235 REJVAPNSYMBRGC-UHFFFAOYSA-N 406.485 4.730 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1cc([N+](=O)[O-])ccc1F 728258456 SNKJIJSKWQAAJL-UHFFFAOYSA-N 418.428 4.969 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 729964651 KJEVJFNNLSWIOF-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD CCc1ccc(OC(=O)Cc2csc(COc3ccc(C)cc3)n2)c([N+](=O)[O-])c1 734739762 NEMIKRZHAGBIEK-UHFFFAOYSA-N 412.467 4.649 5 20 HJBD COc1ccc(CN[C@@H]2CC[C@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 742383729 RZAZNSZBEAMIOP-MJGOQNOKSA-N 402.516 4.556 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@](C)(O)c3cc(C)oc3C)c([N+](=O)[O-])c2)cc1 742412790 RTIZMCIEIOQCII-HSZRJFAPSA-N 423.469 4.685 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744367008 JGOUAOYMJAHHMM-GOSISDBHSA-N 408.376 4.511 5 20 HJBD Cc1cccc(C2(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CCC2)c1 744540180 QXUDIZYKFRLOPE-OAHLLOKOSA-N 407.426 4.679 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])no1 746067798 VGKNUJLDLIPWMY-UHFFFAOYSA-N 419.846 4.589 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(Cc2ccccc2)no1 747120059 WPSMTRGPKOJWCV-NSHDSACASA-N 421.331 4.505 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748617728 CLLAEBSKIFRKNP-UHFFFAOYSA-N 417.418 4.560 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Nc3cccc([N+](=O)[O-])c3Cl)cs2)cc1 752980785 MJHMHMBPSVBHBC-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)O[C@H](C)c1ccccc1NC(=O)c1ccccc1 755758911 CUEJJKGYQVEPDQ-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ncc(NCc3ccc([N+](=O)[O-])c(Cl)c3)cc2C1 761527934 UIILOGCNLOMVCI-UHFFFAOYSA-N 418.881 4.549 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)C1 761870038 LVISDNLEAAETOH-GFCCVEGCSA-N 414.418 4.506 5 20 HJBD O=C(Nc1cccc(CC[C@H](O)c2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 762999710 HYCVNMAHXKVGLH-QHCPKHFHSA-N 415.449 4.995 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1F 766234795 YPWANRYQMPQARK-GFCCVEGCSA-N 422.372 4.559 5 20 HJBD CCC(CC)n1ccc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 771337245 MWQSKPUHVUUZFY-UHFFFAOYSA-N 400.460 4.633 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132056 HUUBXOPIAXISDC-BXKMTCNYSA-N 420.465 4.900 5 20 HJBD COc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 776133223 GZHMPYALEWTZAX-QFIPXVFZSA-N 422.437 4.732 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NC3(c4cccc(Br)c4)CC3)CC2)cc1 777076277 SRAIOJPTPQNMNX-UHFFFAOYSA-N 416.319 4.605 5 20 HJBD CSc1cccc(C(=O)OCC(=O)N[C@H](C)c2ccc3ccccc3c2)c1[N+](=O)[O-] 777079337 NHFQWWGPJJYYSB-CQSZACIVSA-N 424.478 4.504 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 778886851 DLOZDKYKIRDUPB-GFCCVEGCSA-N 422.384 4.504 5 20 HJBD O=C(Nc1ncc(C(F)(F)F)cc1Br)c1ccc(Cl)c([N+](=O)[O-])c1 798241886 NYRPKBWVKHMOSZ-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD CCCCCC(=O)NC1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809939318 NMYJMSVHFSWCFH-UHFFFAOYSA-N 402.322 4.563 5 20 HJBD Cc1csc(SCc2ccc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cc2)n1 812812041 UFDLVLKNQMMNFQ-UHFFFAOYSA-N 404.517 4.782 5 20 HJBD Cc1sc(CCNC(=O)c2cccc([N+](=O)[O-])c2Cl)nc1-c1ccccc1 816933015 VXZMZXCCVWGWDQ-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Cl 915170040 BINDSFUPILPPPO-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1ccc(Cl)cc1)c1csc([N+](=O)[O-])c1 916043596 ARSWVIXQXQYEPJ-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 916402806 OEXKDVFSIJRPKB-UHFFFAOYSA-N 416.333 4.528 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 918195357 OXYADERXDNPICM-AWEZNQCLSA-N 419.261 4.567 5 20 HJBD COc1cc(C(=O)NC[C@H]2CCCC[C@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 920038208 JDLALQJZRHGLFC-TZMCWYRMSA-N 414.449 4.787 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3ccc(Cl)nc3)o2)ccc1NCc1ccccc1 1117226281 ZASKWFQBMXNWFL-UHFFFAOYSA-N 407.817 4.972 5 20 HJBD C[C@@H](Nc1ccc(-c2nnc(-c3ccc(Cl)nc3)o2)cc1[N+](=O)[O-])c1ccccn1 1257918517 SFJABJBHUYUYIY-GFCCVEGCSA-N 422.832 4.928 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cnn(Cc3ccccn3)c2)o1 1318372678 QQLGFANJYGUCIB-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD Cn1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 1322764449 BNHUXLXMCKBCBQ-UHFFFAOYSA-N 412.471 4.875 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccc(Cl)cc2)nn1C 1323961436 BMQYAMLWFBAHDR-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD C[C@H](OC(=O)CCC1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 7701772 GLWHATWPNCAZGB-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1Br 9861248 JEXVCWWRZIOTFP-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)cc1[N+](=O)[O-] 22161457 KTYPUSXCYUYXHQ-GFCCVEGCSA-N 422.890 4.771 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 23201309 UUSNDAHRWUOUFN-UHFFFAOYSA-N 407.426 4.990 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2oc3ccc(Cl)cc3c2C)cccc1[N+](=O)[O-] 26623931 FQQVBAOGEFPDTG-GFCCVEGCSA-N 416.817 4.795 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@@H]1c1ccccc1 44576005 QFVZXRPZCAXOCU-KKSFZXQISA-N 407.495 4.509 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2ccc(Cl)cc2)cc1[N+](=O)[O-])c1ccccn1 52917003 KWQZDGVLMVIGAV-CQSZACIVSA-N 410.861 4.746 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)no1)C(=O)c1ccc([N+](=O)[O-])s1 63633466 CYMFCIIZAWWLRH-UHFFFAOYSA-N 417.462 4.935 5 20 HJBD CCN(C[C@@H]1CCCO1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 65898793 RUFDBWWTLVRQCL-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD O=C(CSc1ccc(Cl)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106189744 FIGRBXFVOFOMSK-UHFFFAOYSA-N 418.824 4.931 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1NCc1ccccc1NS(=O)(=O)c1ccccc1 301178923 MJYNBWFAZWMSDT-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD Cc1cccc(C(=O)NC[C@@H](C)Sc2ccc(Br)cc2)c1[N+](=O)[O-] 303345788 DVODGLQIGGOGKO-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD CC(=O)N(c1cccc(Cl)c1)c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 426587070 OPOXASOSXYWIRQ-UHFFFAOYSA-N 418.862 4.672 5 20 HJBD Cc1ccccc1Cc1nnc(CNc2cc(Br)cc([N+](=O)[O-])c2C)o1 429796788 QMPUUQPFDHMXRU-UHFFFAOYSA-N 417.263 4.560 5 20 HJBD Cc1cnc(C2CCN(c3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)CC2)s1 432856789 AOJBLMLEVQOLNW-UHFFFAOYSA-N 410.499 4.718 5 20 HJBD O=C(CCn1ccnc1-c1ccc([N+](=O)[O-])cc1)Nc1cccc(C(F)(F)F)c1 434864539 JDQNXIZFJFMABI-UHFFFAOYSA-N 404.348 4.506 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)c1[N+](=O)[O-] 435552866 XOHDWBLRFKQPTJ-KRWDZBQOSA-N 402.859 4.838 5 20 HJBD CSCCCN(C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439476573 REJVKAFHYOFFOZ-GOSISDBHSA-N 407.923 4.613 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCCC[C@H]1/C=C\c1ccccc1 440878421 UAOANFKRSOSKHS-LENZSSGSSA-N 417.465 4.947 5 20 HJBD Cc1ccc(-c2ncccc2NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)s1 446604806 JOUJAGBNXHABOG-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 447858622 MPUAZRZLDSZODD-UHFFFAOYSA-N 408.336 4.903 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Br)cn2)no1 460843557 YAUISISXYSQCLG-VIFPVBQESA-N 407.249 4.656 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c12 464189825 SVOZGZAQZBSLPE-UHFFFAOYSA-N 420.469 4.937 5 20 HJBD CCN(c1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1)C(C)C 464663302 WDLQRCBKTNFQAU-UHFFFAOYSA-N 411.502 4.513 5 20 HJBD Cc1cccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1Br 470334392 LMRDVESGYAFFIM-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 470374343 OMXUAZGQQMSTFA-RYUDHWBXSA-N 410.829 4.543 5 20 HJBD COc1c(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cccc1[N+](=O)[O-] 474897622 IQELFLUGWZIBTQ-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD CC[C@H](CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 476096699 WWEFWWAAQVESSO-CYBMUJFWSA-N 408.907 4.538 5 20 HJBD COc1cc(C(=O)N(c2cccc(C(F)(F)F)c2)C(C)C)cc([N+](=O)[O-])c1OC 479083418 FUIMIFVSSNSCDN-UHFFFAOYSA-N 412.364 4.686 5 20 HJBD CCOc1cc(NC(=O)Nc2cc(C)n([C@H](C)CC)n2)c([N+](=O)[O-])cc1OCC 479892450 UIDHPTFHWYTLTH-GFCCVEGCSA-N 405.455 4.512 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 481478349 IFUHFXCBVDQFFF-UHFFFAOYSA-N 422.441 4.634 5 20 HJBD CCCOc1cc(NC(=O)N2CCC(C(F)F)CC2)c([N+](=O)[O-])cc1OCCC 482742858 CECISWYRYBWSOW-UHFFFAOYSA-N 415.437 4.681 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 486097271 PJEJOQYYKNGSSI-UHFFFAOYSA-N 423.513 4.518 5 20 HJBD O=C(c1cc2ccccc2n1CC(F)(F)F)N1CCCc2c1cccc2[N+](=O)[O-] 486450736 XHTXEHKLSVJIQS-UHFFFAOYSA-N 403.360 4.705 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 487926227 QJYAMRIEWYRXGM-UHFFFAOYSA-N 405.248 4.838 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 488006290 LMOGKGJHIAHJIU-IAGOWNOFSA-N 420.469 4.768 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccccc2n1C 489197543 SAOOBOGLVHMPJF-CQSZACIVSA-N 412.515 4.721 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2cccs2)C1 490582978 GGVLVWFEOYJDJZ-MRXNPFEDSA-N 406.529 4.618 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2)cc1[N+](=O)[O-] 493259660 QTVOBDDLCNAIQZ-MRXNPFEDSA-N 424.501 4.848 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCOC1 494770621 OWFCTHOMKBJVAI-OAHLLOKOSA-N 418.877 4.841 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCc3nc(C)oc3C)cc2[N+](=O)[O-])cc1 497439436 LCVVPCNIPSUNFZ-UHFFFAOYSA-N 411.483 4.632 5 20 HJBD CC[C@@](C)(COc1ncccc1Cl)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 497650267 CHYOGKYBIZHQBW-IBGZPJMESA-N 406.870 4.629 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)ccc1[N+](=O)[O-] 498564312 PLKSQAUQVMOLFY-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD COc1cc(C(=O)Nc2ccccc2COCc2ccccc2)c([N+](=O)[O-])cc1OC 500027184 TXGQCOCAEDOVBL-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD Cc1cccc(-n2c(C)c(C)c3c(NC(=O)c4ccccc4[N+](=O)[O-])ncnc32)c1 500756722 ULOATFQQQIZABJ-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD O=C(NCCc1ccc(OC(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 502644834 UEGGTLIOEXVYRU-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cccc(NC(=O)c2cccs2)c1 509694886 CCFJVJMDQUVNRQ-UHFFFAOYSA-N 423.494 4.959 5 20 HJBD CCOc1cc(NC(=O)CCc2ccc(-c3ccccc3)[nH]2)c([N+](=O)[O-])cc1OCC 512299400 NPHVZXJGSIJASJ-UHFFFAOYSA-N 423.469 4.959 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1 512648893 PLIKGXCLESANSG-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD COc1ccccc1-c1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 521891559 CICPUBHPNIZIBZ-AWEZNQCLSA-N 417.425 4.889 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 530727702 JLIIAVAOJRTSOR-ZIAGYGMSSA-N 420.307 4.652 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1ccc(Br)cc1 530979254 XDGKPHIGUUQLRQ-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD C[C@@H](Oc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cn1)c1ccccc1 532870548 FVGQWNGJCLNYGY-QGZVFWFLSA-N 417.465 4.935 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@@H](c2nc3ccccc3s2)C1 535026377 ZRIVCVQOTCSSEG-CQSZACIVSA-N 418.478 4.773 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])ccc3O)c2C2CC2)cc1Cl 536196659 HEXYPOJKKXTHAM-UHFFFAOYSA-N 412.833 4.578 5 20 HJBD Cc1ccc([C@H](NC(=O)CN[C@H](C)c2cccc([N+](=O)[O-])c2)c2cccs2)cc1 537076571 JDPYXYIGMAOWBY-ZHRRBRCNSA-N 409.511 4.521 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-n2cnc3ccccc32)cc1 538967507 AMQATEQQXYSUJB-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD CCOc1ccc([C@H](C)Nc2cccc(NC(=O)[C@H](C)n3cccn3)c2)cc1[N+](=O)[O-] 540739200 OUZXWGGVCJYJJY-HOTGVXAUSA-N 423.473 4.563 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1F 541277161 CLSUZBRDTSRLSZ-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 541604749 RJTAMCLROFOHJN-VFNWGFHPSA-N 422.460 4.907 5 20 HJBD O=C(c1c2c(nc3ccccc13)/C(=C/c1cccc([N+](=O)[O-])c1)CCC2)N1CC(F)C1 542429789 QNLOUQQVRKYRKQ-LFIBNONCSA-N 417.440 4.814 5 20 HJBD O=C(Nc1ccn(CC(F)F)n1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542551338 PTURJSHQRQMJHZ-UHFFFAOYSA-N 410.446 4.591 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2cc(C)no2)cc1OC 543842207 BREPGGJADBGGSD-PBHICJAKSA-N 403.479 4.662 5 20 HJBD CCCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(F)c1 544588221 IYKPPDSQDUDOAX-CYBMUJFWSA-N 414.412 4.753 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)c(Cl)c1 545780425 VNJROVJUHYGERG-GFCCVEGCSA-N 422.224 4.786 5 20 HJBD COc1ccc(Cc2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cc1F 546942381 KBWLNJLKWSPDIV-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD CSc1ccc(-c2nc(-c3cccc(NC(=O)C4CCC4)c3)no2)cc1[N+](=O)[O-] 546978432 WMPWIHIAUHLTEK-UHFFFAOYSA-N 410.455 4.772 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1 554176984 QIWBAZHNWGNJPS-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(F)cc1C 557124309 LVDMCXLBGGDEPY-UHFFFAOYSA-N 418.424 4.558 5 20 HJBD O=C(Nc1ccc2oc(CO)cc2c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 557178755 NIPFXXIAOGQRQV-UHFFFAOYSA-N 403.394 4.829 5 20 HJBD COc1ccccc1C1(c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)CC1 560024659 NMBYXHMCEVWVFN-UHFFFAOYSA-N 420.450 4.857 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1 560740718 XWOMZPOTCUODLK-NVXWUHKLSA-N 408.376 4.688 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc([C@H](C)Oc3ccc(Cl)c4ccccc34)n2)n1C 568138796 NXPKVJHMIIPJRO-JTQLQIEISA-N 413.821 4.633 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2ncoc2-c2cccc(C(F)(F)F)c2)n1 570432150 HARIHFDOOQCJNL-UHFFFAOYSA-N 416.315 4.909 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 579268865 VNIUVDLGPIXKRM-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(OC3CCCC3)c2)cc1[N+](=O)[O-] 603567803 QBXTXYGWCIOCQO-UHFFFAOYSA-N 416.499 4.797 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCCn3cncn3)cc2[N+](=O)[O-])c1 603633469 NEADVUXNCXWBAR-UHFFFAOYSA-N 409.490 4.590 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603737891 SWORCCRVOSUBQY-UHFFFAOYSA-N 420.400 4.668 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(C/C=C\c1ccccc1)c1ccc2c(c1)OCCO2 603750351 HPTRVKNGXURLBA-DAXSKMNVSA-N 422.462 4.788 5 20 HJBD CC(C)COc1ccc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 603873125 LVCOFOJGMPGQJH-UHFFFAOYSA-N 414.849 4.721 5 20 HJBD O=C(Nc1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1 604006383 KEUFKVONSSEUND-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD CC(C)(C)c1ccc([C@@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C(F)(F)F)cc1 604257403 HLVICRUCQULXCF-QGZVFWFLSA-N 424.375 4.654 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccccc2)c2cccc(Cl)c2)cc1[N+](=O)[O-] 609011217 IVXQOUFLPBMOGO-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN1CCc2[nH]c3ccc(Br)cc3c2C1 609197346 PYESBDGWEQJXCH-UHFFFAOYSA-N 404.239 4.536 5 20 HJBD CC1(C)CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCO1 609755876 VYUCQHOLAQRABK-UHFFFAOYSA-N 404.850 4.682 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO[C@@H](c2ccccc2)C1 611400139 YQARPZAYWRJYHS-LJQANCHMSA-N 400.500 4.699 5 20 HJBD CC(C)Cc1nc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cs1 611400809 CSDNCDXKWPQQHD-UHFFFAOYSA-N 402.501 4.650 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCc3c(Cl)cccc32)c([N+](=O)[O-])cc1OCC 611440015 WRIALQFVXYTUKJ-CQSZACIVSA-N 404.850 4.714 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(C1CC1)[C@H]1CCc2ccccc21 614347003 NVXZDKMRCNGNFR-VWLOTQADSA-N 408.501 4.833 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 617747738 ROTYFYAZUAJTOB-FZKQIMNGSA-N 403.276 4.825 5 20 HJBD C[C@@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)c2ccncc2)cc1 618568440 STYJRBPEWZXVLO-WAIKUNEKSA-N 416.481 4.970 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 734909242 SYHKDNFGFDWBHY-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD C[C@@H](NC(=O)c1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccccc1 735991197 KOCZAQXLQBGXHX-CQSZACIVSA-N 423.856 4.992 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1ccc(Br)c([N+](=O)[O-])c1 741990137 XHWTZXJXIBOPLP-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)C(C)(C)C)ccc1F 742685809 CDFMYMIEEODHRM-UHFFFAOYSA-N 407.829 4.933 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3cccc(C(F)F)c3)CC2)ccc1[N+](=O)[O-] 744201508 NZHQGTIMTMUQKC-UHFFFAOYSA-N 420.412 4.757 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745481905 BLSOLELZLHLMOX-KXXVWKPMSA-N 422.359 4.587 5 20 HJBD COc1ccc2cc(/C=C\C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)ccc2c1 751635383 MXIJBYHKCFGLPQ-OQFOIZHKSA-N 404.378 4.654 5 20 HJBD Cc1nnc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1[C@H]1CCC[C@H](C)C1 758166400 WEWKYVUHBZJOLG-ZOWXZIJZSA-N 421.498 4.504 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758737392 RBKQJRCBXOGNHM-HUUCEWRRSA-N 423.469 4.703 5 20 HJBD CCCc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(F)cc1 759462797 NVYIEQHQFZXEEX-UHFFFAOYSA-N 418.375 4.603 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Oc2ccccc2-c2ccccc2)c2ncccc12 766456942 TWGJRRQQADEREX-UHFFFAOYSA-N 406.419 4.578 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1ccc([N+](=O)[O-])c(Cl)c1 767174983 PPLSWFCHYSVUAR-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD Cn1c([C@H]2CCCN2Cc2ccc(Br)c([N+](=O)[O-])c2)nc2ccccc21 768919667 VLOIHSUGQKMFFY-QGZVFWFLSA-N 415.291 4.581 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)Cc1csc(-c3ccc(Cl)s3)n1)CC2 773987898 DCVPCKREAJJDCZ-UHFFFAOYSA-N 419.915 4.873 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1SCCc2sccc21 776217924 MZMCYTHCXJCSQM-RBUKOAKNSA-N 412.492 4.715 5 20 HJBD CCC1(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCN(C(=O)c2ccccc2)CC1 781157270 CKLMPMQNCPYTKP-UHFFFAOYSA-N 415.877 4.519 5 20 HJBD C[C@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1nc2ccccc2o1 781815167 NYEJNNVHTVGFQS-JTQLQIEISA-N 410.304 4.595 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(COc2ccc(Cl)nc2)CC1 788668969 LJXXGNHZSVZREB-UHFFFAOYSA-N 418.881 4.583 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(F)c(Br)cc2Cl)c1[N+](=O)[O-] 798533246 NLRPEGJVQMDVGT-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1cccc([N+](=O)[O-])c1Br 800126117 MNEQFHCXJUSQAP-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD Cc1nc2c(-c3nc(-c4ccc(Oc5ccc([N+](=O)[O-])cc5)cc4)no3)ccnc2[nH]1 809364278 TXDNPWGLKZCSAA-UHFFFAOYSA-N 414.381 4.684 5 20 HJBD O=C(Nc1ccccc1)C1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809933161 UOTWDWJBXWRLRV-UHFFFAOYSA-N 408.285 4.752 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1ncc[nH]1)c1cccc(F)c1 813035685 IJLBPSHOSYBICO-UHFFFAOYSA-N 409.204 4.611 5 20 HJBD COc1ccc(NC(=O)c2nnc(/C(Cl)=C/c3csc([N+](=O)[O-])c3)s2)cc1 917145930 QUVLSAPCOKKMND-SDQBBNPISA-N 422.875 4.506 5 20 HJBD Cc1ccc(-c2nc(/C=C\c3ccnc(-c4cccc([N+](=O)[O-])c4)[n+]3[O-])cs2)cc1 918712631 LOFWCXRVDRDVSW-KTKRTIGZSA-N 416.462 4.893 5 20 HJBD CS[C@H]1CC[C@H](N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 1116839586 NWTSUUXCASPWJD-WMZOPIPTSA-N 400.500 4.530 5 20 HJBD Cc1ccc2c(c1)S[C@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)C2 1317895925 QIRHPEZITLXFRD-KRWDZBQOSA-N 411.508 4.991 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cccc(OCc4cn5ccccc5n4)c3)o2)c1 1322260009 UDABXOVENNDLOJ-UHFFFAOYSA-N 413.393 4.539 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cccc(OCC(F)(F)F)n2)s1 1343959600 KRIDKNNJKNLCEL-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)COc2ccccc2[N+](=O)[O-])cc1 6261529 GTPPJZZBVKXCII-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD CCc1ccccc1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1CC 7577918 XXPYFKNNGUKZRS-UHFFFAOYSA-N 417.534 4.863 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)/C=C/c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 9976543 XDJZKTJSRWPMFK-TUPIDYKKSA-N 422.890 4.522 5 20 HJBD Cc1cccc(-n2ccnc2S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 23082917 FLXRTVGVHOPYLG-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])Nc1c(Cl)cccc1C(F)(F)F 25030061 SXKGNLJNJAAICN-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD C[C@@H]1c2cccn2CCN1C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 30796590 INLPVRAZZWEQNP-OAHLLOKOSA-N 424.888 4.879 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64917975 LLDNIQSTNNYTJU-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1c(F)cccc1N1CCCC1 110641106 WKRXWQLSUDEHTC-UHFFFAOYSA-N 415.468 4.533 5 20 HJBD C[C@@H](Sc1ccc(Cl)cn1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 219804437 ZWCYMYAEACNYGJ-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cccnc3OC3CCCC3)cs2)c1 238008442 PVOKQQOGRXZIEY-UHFFFAOYSA-N 410.499 4.725 5 20 HJBD CCc1ccc([C@H](Nc2ccccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301468627 NLROMRSNGPQGRA-QFIPXVFZSA-N 410.495 4.762 5 20 HJBD Cc1cc(N2CCC(C(=O)c3cccs3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301563350 UYLJHMWQDVPVBK-UHFFFAOYSA-N 408.483 4.521 5 20 HJBD CC[C@]1(CO)CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 428526120 QXSRGDWJRCAMKL-QFIPXVFZSA-N 414.527 4.679 5 20 HJBD CC(C)(NCc1csc(COc2ccc(F)cc2)n1)c1ccccc1[N+](=O)[O-] 429238776 ONBMOHGYZLHYDG-UHFFFAOYSA-N 401.463 4.794 5 20 HJBD Cc1c(NC(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)cccc1[N+](=O)[O-] 435671699 KSVBUNZHKTZKHX-LLVKDONJSA-N 414.409 4.790 5 20 HJBD COC(=O)[C@H]1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)CC1 436201981 VXAKHAIFDSOWPP-JOCQHMNTSA-N 420.512 4.725 5 20 HJBD O=C(Nc1ccc(Br)c(Cl)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 448521885 SQPNFAZNGVLYAM-UHFFFAOYSA-N 422.622 4.517 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1c[nH]c2ccc(Cl)cc12 463893012 FKBANCHRIGHXGH-NSHDSACASA-N 422.268 4.509 5 20 HJBD CCN(C(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@H](C)c1cc2ccccc2o1 464025496 DZPJZCDYSUQZTO-OAHLLOKOSA-N 409.442 4.506 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)cc(C(F)(F)F)c1 464145516 QUXIBIBTGUATEM-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2c(F)cccc2Cl)cc1OC 464150195 CKPJYJALDMQXKF-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(F)c(F)c2F)c([N+](=O)[O-])cc1OCCC 465443343 AMKAWKHCYOPXFQ-UHFFFAOYSA-N 412.364 4.842 5 20 HJBD Cc1ccccc1[C@@H](OC[C@@H](O)COc1c(F)cccc1[N+](=O)[O-])c1ccccc1 466998420 NSMYMJYROZYUMN-GCJKJVERSA-N 411.429 4.588 5 20 HJBD O=C(C[C@@H]1CCCCCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccccc1 467537407 XHEIRCGWSODOJN-SFHVURJKSA-N 405.454 4.734 5 20 HJBD CCc1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cnn1-c1ccccc1 468178340 HZDLPHHGJMOJMC-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCSc2ccc(F)cc21 471236035 IAKXPURNUAJPNB-UHFFFAOYSA-N 400.353 4.895 5 20 HJBD Cc1c(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cccc1C(F)(F)F 471837972 UEWSTIZBDJWBFS-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cc2cccc([N+](=O)[O-])c2[nH]1 473410050 RJDPIDLBIMFYKL-LJQANCHMSA-N 406.829 4.644 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccncc1OCc1ccccc1 477141333 UQBRMCVMPPWNPL-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD CC(C)CN1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 481855764 XDZWRLZULAVVPZ-UHFFFAOYSA-N 413.543 4.596 5 20 HJBD C[C@H](NCC1(N2CCCC2)CCOCC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 482563732 UKDYXNQWMJPXIR-KRWDZBQOSA-N 415.559 4.619 5 20 HJBD CCc1cc(N2CCCC[C@@H]2c2nccn2CC)nc(-c2ccc([N+](=O)[O-])cc2)n1 485443835 XTNHYNYDOZMYDT-LJQANCHMSA-N 406.490 4.562 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc(C(C)C)n1 485843430 HGXFQQIBRBYPBN-UHFFFAOYSA-N 407.561 4.895 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NCc1nnc(-c2ccc(Cl)cc2Cl)o1 486148126 RVMZITPWZCIZPW-UHFFFAOYSA-N 409.229 4.962 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 486653271 PNSFCUPFXPLXCR-UYAOXDASSA-N 404.470 4.579 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 489669000 UOSNUGGFOREGBU-HUUCEWRRSA-N 420.412 4.661 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(Sc2ccccn2)c1 489935043 OJBBIEMOHWBSPJ-CYBMUJFWSA-N 412.496 4.655 5 20 HJBD CCOc1cc(CN[C@H](c2ccccc2)c2nc3ccccc3n2C)ccc1[N+](=O)[O-] 492818898 QCXGRCPVAXZFSJ-HSZRJFAPSA-N 416.481 4.759 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccccc2OC(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 493478465 ITBJTLLJOWVOON-CQSZACIVSA-N 404.291 4.538 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 499321221 YLRDUCTVLQJHBL-QFIPXVFZSA-N 420.444 4.593 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1cccc(-c2cccnc2)c1)C1CC1 503076468 UTVXOOIUOPNLEI-UHFFFAOYSA-N 416.481 4.568 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)C)c(C)c1 503133159 RPQXOAGUXXUBLE-UHFFFAOYSA-N 415.515 4.796 5 20 HJBD Cc1nccn1CCCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 509618866 MWIIQARWRVGVKX-UHFFFAOYSA-N 400.866 4.725 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1CCCN(C2CCC2)C1 511267980 KANUQCDJUBWTKD-DLBZAZTESA-N 419.591 4.726 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cc(-c2ccccc2)no1 512243387 MHQZTSIMFJPHHX-UHFFFAOYSA-N 413.389 4.733 5 20 HJBD C[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 514815412 DCHRYKZJBRCQJW-INIZCTEOSA-N 410.495 4.631 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccc(Cl)c(Cl)c2)C2CC2)cc1[N+](=O)[O-] 515453611 FICHGVIDYQBYSR-UHFFFAOYSA-N 424.284 4.784 5 20 HJBD C[C@H](C(=O)N(Cc1cccc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 515748026 SYKAOIHHJZCTCS-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)CCC2 524150411 HMSNODZCGQZSKV-ZDUSSCGKSA-N 412.418 4.502 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(-c2cc(F)c(F)c(F)c2)cc1 525154278 QQOYXBKLKIDRSP-UHFFFAOYSA-N 400.356 4.951 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)C2CCC2)cc([N+](=O)[O-])c1OC 525562512 MKEJHPCYDJWBTH-SFHVURJKSA-N 404.850 4.537 5 20 HJBD COc1ccc(-c2ccc(CN[C@H]3CCOC4(CCCCC4)C3)o2)c([N+](=O)[O-])c1 532627369 MAEMTLXGBJTTIZ-INIZCTEOSA-N 400.475 4.835 5 20 HJBD Cc1cc(C)c(NC(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Cl)c1 534212546 OVEQZEXWDNZXCQ-UHFFFAOYSA-N 402.756 4.901 5 20 HJBD CCCn1c(Sc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)nnc1-c1ccncc1 535593783 YTRAZSXLEATEGI-UHFFFAOYSA-N 418.482 4.872 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCc2ccccc2[C@H]1Cc1ccccc1 536436642 UFJLHYYGCWQTRT-XMMPIXPASA-N 400.478 4.896 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@H]1c1ccccc1 537135420 YYANDMHDSGDAKF-UWJYYQICSA-N 414.527 4.993 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538050496 XKGCGXXLGPTEJJ-LPHOPBHVSA-N 406.841 4.573 5 20 HJBD CCn1c(SCc2ncc(Cl)cc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 540730480 NQNFSYPSTYBKQD-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1ccc(Cl)cc1[N+](=O)[O-] 540829323 AUPDMUZMVAILCD-YOFSQIOKSA-N 413.905 4.516 5 20 HJBD CC(C)(CO)C(C)(C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 541955707 YWLLEENZPMLNDT-UHFFFAOYSA-N 406.866 4.567 5 20 HJBD CCc1ccc([C@@H](N[C@H]2CCN(C(C)=O)c3ccccc32)c2ccc([N+](=O)[O-])cc2)o1 543424829 GDIXIKWQLQTZGK-URXFXBBRSA-N 419.481 4.927 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)ccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 544447806 FHBSHGREOBHQPB-SECBINFHSA-N 404.291 4.556 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2cccc3cccnc23)no1 545010395 NFYOFQDOARGEHD-GFCCVEGCSA-N 410.817 4.910 5 20 HJBD C[C@H](c1nc(Cc2cc(Br)ccc2F)no1)c1ccc([N+](=O)[O-])cc1F 545574340 BPIBDJUPYBFETE-VIFPVBQESA-N 424.201 4.761 5 20 HJBD Cc1ccc(-c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)cn1 546984484 ZNSVTQIIFQMZKC-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD COc1ccc(COCc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 547092826 DOVUUVXLCHIGKS-ZDUSSCGKSA-N 401.444 4.557 5 20 HJBD O=[N+]([O-])c1cc(F)c(CNC2(Cc3ccc(F)cc3Cl)CCOCC2)c(F)c1 550029770 WKDZAHDELXBGFZ-UHFFFAOYSA-N 414.811 4.547 5 20 HJBD O=C(NC[C@H](OCc1ccccc1)c1ccc(Cl)cc1)c1ccccc1[N+](=O)[O-] 553323014 MYZUSVHEZMCNFR-NRFANRHFSA-N 410.857 4.936 5 20 HJBD CCCN(CC(=O)Nc1c(C)cccc1[N+](=O)[O-])Cc1ccccc1Br 553835944 CULXYERPNVBWNV-UHFFFAOYSA-N 420.307 4.516 5 20 HJBD C[C@@H](C(=O)NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cccc([N+](=O)[O-])c1 559361696 ORCROFRYYOZQNP-OAHLLOKOSA-N 400.438 4.558 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1COc1ccccc1 571220200 FHQRWTSCYZFFPI-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC3(CCOCC3)CC2)cc1[N+](=O)[O-] 585751561 BUXAJUZFJYLGNF-UHFFFAOYSA-N 406.548 4.766 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 600666395 RWCKBNFGKCCVHK-AVRDEDQJSA-N 403.482 4.804 5 20 HJBD CCN(C(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 603529970 WFEZISNEOMRQHO-UHFFFAOYSA-N 418.449 4.633 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2cccs2)CC1 603730721 NKSWDWGGBQCKNJ-KRWDZBQOSA-N 413.499 4.606 5 20 HJBD C[C@@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1 603938013 GNHBWGYXNZWVJP-SECBINFHSA-N 410.377 4.609 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 609031499 LJRGXXLRNBPWGM-RYUDHWBXSA-N 423.252 4.805 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 609445408 SHXZRKBVLITOMD-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609783960 DNHNRVIHLFHBEG-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD CCOc1cc(C(=O)Nc2nc3ccccc3n2C2CCCC2)c([N+](=O)[O-])cc1OC 610123196 DZBYJWQXOPXVDU-UHFFFAOYSA-N 424.457 4.719 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610605370 KKYVBLARGDFHBR-RYUDHWBXSA-N 412.330 4.705 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)s1 610624212 ROQGPKUSPCWIRF-UHFFFAOYSA-N 401.513 4.682 5 20 HJBD CN(Cc1nc2ccccc2n1C)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611205610 BZEVVFYBKNDOSD-UHFFFAOYSA-N 424.526 4.788 5 20 HJBD CC(C)(C(=O)O)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 617742297 DVSXXSBVDPDZIV-CQSZACIVSA-N 420.531 4.593 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 729064813 LGUJNEGZYGDQAA-LBPRGKRZSA-N 421.906 4.565 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734910839 WFQPNODLYIPVHV-KGLIPLIRSA-N 404.850 4.621 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)CC[C@@H]1c1ccccc1 741974173 MHYWZXZRLZHXID-CJNGLKHVSA-N 403.276 4.623 5 20 HJBD CCOC(=O)c1oc2ccccc2c1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 742400417 VTYQHPZTZNFJDR-UHFFFAOYSA-N 406.341 4.731 5 20 HJBD Cc1cnc(COC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)o1 744500464 MEQDLYIOLRFFHU-UHFFFAOYSA-N 401.806 4.514 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746077668 WOGUGOWVXSDTEV-SECBINFHSA-N 408.197 4.870 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc(Cl)c([N+](=O)[O-])c1 749146745 VLNRZOYXTIRGII-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC(C)n1c([C@@H]2CCCN2c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nc2ccccc21 756128311 PMGPBUDPSDHYAB-IBGZPJMESA-N 419.485 4.752 5 20 HJBD C[C@@H](Cc1cccc(Cl)c1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 756342314 DSDOGQUNXXCEDS-STQMWFEESA-N 415.833 4.781 5 20 HJBD Cc1c(C(=O)N2CCC(=Cc3ccccc3F)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 757002087 JXCYNPRCHNAAGF-UHFFFAOYSA-N 413.405 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)OC(C)(C)C 757621542 DOTPTGZRLOYVHK-DOTOQJQBSA-N 420.510 4.511 5 20 HJBD CC(C)(C)O[C@@H](C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 760541274 BXSOBEYVSHHEHJ-LJQANCHMSA-N 410.426 4.849 5 20 HJBD CC[C@@H](SC)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 767670211 LSBVHGRANRRCRS-SJORKVTESA-N 422.890 4.613 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCC(O)(c4cccnc4)CC3)s2)cc1 769933071 YLEPUJKUURPSRZ-UHFFFAOYSA-N 409.511 4.638 5 20 HJBD COc1ccc(NCc2ccc([N+](=O)[O-])cc2F)cc1NC(=O)C1CCCCC1 778106386 UZBVCBVNWQNWAV-UHFFFAOYSA-N 401.438 4.873 5 20 HJBD O=C(Nc1cc(F)c(N2CCCCC2)c(F)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 779128983 RQEFXDSEAQVJMV-UHFFFAOYSA-N 400.385 4.597 5 20 HJBD O=C(CCN1CCCC[C@@H]1c1ccc(F)cc1F)Nc1cc([N+](=O)[O-])ccc1F 782516642 VHOPZFXRZIUJMH-LJQANCHMSA-N 407.392 4.568 5 20 HJBD Cc1cc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1Oc1ccncc1 785502518 HSNKINYLMQSIEZ-UHFFFAOYSA-N 422.397 4.570 5 20 HJBD COc1ccccc1-c1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2N)C(C)C)cc1 787049191 KMOBDSZAIUKWRP-UHFFFAOYSA-N 419.481 4.903 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790567856 KOFLNGIGNYLBOM-UHFFFAOYSA-N 419.609 4.779 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790572304 VUPFAUGKOIYERH-UHFFFAOYSA-N 419.609 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)OC(C)(C)C)ccc1C 796534217 DNMPSZXMYLSWOA-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918353 UBLNUAWWHNCOMY-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813785191 KBSOTBCBVXEHAW-UMEYKSNOSA-N 415.534 4.910 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)/C=C\c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 836993879 XDJZKTJSRWPMFK-WWRUEGTHSA-N 422.890 4.522 5 20 HJBD CC(C)Cc1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 916550889 WDXPPERGQITNGK-UHFFFAOYSA-N 422.485 4.894 5 20 HJBD O=C(COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)N[C@@H](c1ccccc1)C1CCCC1 919214483 SCAOLXNGJCSMER-QFIPXVFZSA-N 422.437 4.546 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(C(F)(F)F)cc1 919215178 XWMYRQMBRJBCFL-JTQLQIEISA-N 422.315 4.544 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 921008884 MYMARPBDNIMGJG-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD COc1ccc([C@@H](NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 1120558764 JGBXSBYTUURJOQ-FGZHOGPDSA-N 412.873 4.669 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1252222657 UTJJTBCDTYFKEK-UHFFFAOYSA-N 416.437 4.703 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2ccccc2C(F)(F)F)C1 1319102704 BTEDBTLJLIGKQW-UHFFFAOYSA-N 412.795 4.511 5 20 HJBD CCCCNC(=O)C1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1319950241 KFABGGHOFILZIX-UHFFFAOYSA-N 419.909 4.637 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(OCc3ccccc3)cc2)cc1[N+](=O)[O-] 5749132 XLRUPNUBQXBHBE-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD CSc1ccc(C(=O)N[C@H](c2ccccc2)c2ccc3nc[nH]c3c2)cc1[N+](=O)[O-] 25032868 HQFUUTKGEBVUCA-OAQYLSRUSA-N 418.478 4.712 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@H]1c1ccc(-c2ccccc2[N+](=O)[O-])s1 25310920 RDHPZCPCBJASIC-HXUWFJFHSA-N 409.467 4.536 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2)nc2sc(-c3ccccc3)cc2c1=O 29738874 NNYALLXWVQIOPK-UHFFFAOYSA-N 409.492 4.863 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cn2)c1 58296937 IDKYTSOXTRMLTB-UHFFFAOYSA-N 416.437 4.810 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 58950331 WMMQUJOWUWGMOI-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD C[C@H](Nc1ccc2oc(C3CC3)nc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 106059627 QGWQSGKZYHAXEG-JTQLQIEISA-N 400.822 4.706 5 20 HJBD CCCC[C@H](NCc1csc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 236882067 AQHGYTPWWIVOBH-IBGZPJMESA-N 416.547 4.544 5 20 HJBD COc1cc(CCNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)ccc1O 237297912 RYXXIHRCTVXZGY-UHFFFAOYSA-N 412.417 4.573 5 20 HJBD COc1ccccc1-c1nnc(Sc2ncccc2[N+](=O)[O-])n1-c1ccc(C)cc1 301076904 HSMBXUPQUHBDAB-UHFFFAOYSA-N 419.466 4.706 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ccc([N+](=O)[O-])cn2)C3)cc1 301175548 MGIKURWGWLJYRI-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC(c2c[nH]c3ncccc23)CC1 301183256 NXLGVEBNCFHOFS-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD Cc1cc(N2CCC[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C2)c2cc([N+](=O)[O-])ccc2n1 302105163 XBYMWCVJTUZXFP-JKSUJKDBSA-N 414.506 4.581 5 20 HJBD COc1cc(C(=O)Nc2c(F)cccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 410039562 UKCVHWKXBLCZHZ-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD C[C@H](NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1nc(-c2ccccc2)cs1 410291838 PQKMLMHHYXMZPK-AWEZNQCLSA-N 406.467 4.550 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 410299225 XVIQYTYFPAVVNC-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 427449354 NNGLNTFOFQAXAH-LJQANCHMSA-N 424.432 4.500 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1ccccc1C 430221355 SZNBRVBUJSDXQM-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)nnn1CC1CCCC1 433374993 OHOQEWOMDGQSSO-UHFFFAOYSA-N 421.457 4.730 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)c1[N+](=O)[O-] 433751755 PQIFXQMNYHXYPY-UHFFFAOYSA-N 409.377 4.641 5 20 HJBD CCOc1cc(NC(=O)CCc2ccc(Cl)c(OC)c2)c([N+](=O)[O-])cc1OCC 435162625 NBOCAMXVNQRMPP-UHFFFAOYSA-N 422.865 4.626 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2ccc(Cl)cc21 436233772 UKVURDVBWVPPFD-UHFFFAOYSA-N 410.807 4.816 5 20 HJBD CCN(CC1CCN(c2ccccn2)CC1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 437040283 PAWXKRNVMQEIBO-UHFFFAOYSA-N 424.526 4.583 5 20 HJBD CC(C)c1ccc(C(=O)NC(C)(C)c2nc(C(F)(F)F)cs2)cc1[N+](=O)[O-] 439158715 ICADVFJHSKJMNF-UHFFFAOYSA-N 401.410 4.859 5 20 HJBD CCCN(C(=O)C1CCC(NC(=O)CC2CCCC2)CC1)c1cccc([N+](=O)[O-])c1 441460310 CUGDSGWKJMEXHI-UHFFFAOYSA-N 415.534 4.593 5 20 HJBD CN(CC1(c2ccccc2)CC1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444381114 KPDPHUXFPCYNPZ-UHFFFAOYSA-N 418.443 4.763 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(C3(c4cccc(C(F)(F)F)c4)CCCC3)n2)n1C 445611506 XVGNKGTTWGIGFS-UHFFFAOYSA-N 421.379 4.566 5 20 HJBD COc1ccccc1[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C1 462481230 WGDBTEOLYCEXPW-GOSISDBHSA-N 405.454 4.571 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(Cc2ccc(F)cc2)CC1 463629715 OJLZRZVYGZABRF-UHFFFAOYSA-N 410.367 4.848 5 20 HJBD CC[C@@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 465248541 AFOYRHULFNOTCB-SNVBAGLBSA-N 405.423 4.673 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cnccc1-c1ccccc1 468119371 HQYGEUDMFUYUPY-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Cl 471300017 IPDNJJPFTUHXRL-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c1C 473768267 WXOPSAKJTXFSGF-UHFFFAOYSA-N 407.474 4.678 5 20 HJBD COc1ccc(OCCS[C@@H](C)c2nc(-c3ccccc3)no2)c([N+](=O)[O-])c1 476188680 ITUWECMVXWLCGI-ZDUSSCGKSA-N 401.444 4.527 5 20 HJBD Cc1nc2ccccc2n1C1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])CC1 480809362 CAFBSHKXSFPVIX-UHFFFAOYSA-N 405.380 4.508 5 20 HJBD Cc1nc2ccccc2n1C1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)CC1 482891789 ARKXMURYFFRNNQ-UHFFFAOYSA-N 408.502 4.877 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nnc(N2CCCC2)n1Cc1ccco1 484632260 XSZDBYZEBNQLFG-OAHLLOKOSA-N 413.503 4.590 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Nc3ccccc3)nc2)cc1SC 486107374 QMHVBUPDCHZBSA-UHFFFAOYSA-N 410.455 4.716 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@H]2c2ccc(Cl)cc2)c(C)c([N+](=O)[O-])c1 486866019 IPFDZUWCSBOEDZ-KRWDZBQOSA-N 417.849 4.712 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])o2)sc1Cc1cccc(Br)c1 488418717 JDTZXXFSRWYACY-UHFFFAOYSA-N 422.260 4.558 5 20 HJBD CCn1c(S[C@H](C)C(=O)c2ccc3c(c2)CCC3)nnc1-c1ccc([N+](=O)[O-])cc1 489438927 MRBRHEXVIFTWHU-CQSZACIVSA-N 422.510 4.725 5 20 HJBD CC(C)(NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccccc1[N+](=O)[O-] 489729431 SISCGAUBBBLYGO-HXUWFJFHSA-N 424.888 4.967 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2s1 491686009 QTSMOTPPKZSOJW-UHFFFAOYSA-N 418.862 4.849 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1ccc([N+](=O)[O-])c2cccnc12 498644672 UDCYTTJDKFFVCG-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD CC[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccccc1OC(F)F 498809187 RJZOKQKICVJBSA-HNNXBMFYSA-N 405.401 4.652 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2c(C)cc(Br)cc2C)c1 500035403 FOHHWMGKDHNVCQ-UHFFFAOYSA-N 409.305 4.626 5 20 HJBD CCN(Cc1ccc(OC)c(OC)c1)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 506016049 CMCHCSAVBLDMGD-UHFFFAOYSA-N 413.499 4.758 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)c(Br)c1 507702095 JJSISDRVHOJOHU-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD COc1ccc(CS(=O)(=O)Cc2ccc(C3CCCCC3)cc2)cc1[N+](=O)[O-] 507817686 FARUYEYTNNMVRB-UHFFFAOYSA-N 403.500 4.766 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 508326924 GJYLNTLEJOKQIQ-UHFFFAOYSA-N 424.504 4.814 5 20 HJBD COC1(c2noc(COc3ccc([N+](=O)[O-])cc3)n2)CCC(c2ccccc2)CC1 508645226 LWHJRVIDHTUWBX-UHFFFAOYSA-N 409.442 4.756 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H]1CCSc2c(F)cccc21 510817148 PNISUSYBCSVNMN-LBPRGKRZSA-N 413.377 4.694 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)cc1 515513997 MIGBSWRPHNLXQR-SFHVURJKSA-N 422.915 4.519 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ncccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 516716429 SLQVYDDRXULCJS-VHSXEESVSA-N 400.287 4.655 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4ccc([N+](=O)[O-])cc4Cl)n3)co2)cc1 517609420 HIMLVLNYUXNPDV-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cnn(C(C)C)c2)cc1[N+](=O)[O-] 517762960 JDESLCSPRFPADP-UHFFFAOYSA-N 424.482 4.577 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@@H](c3ccc4c(c3)OCCO4)C3CC3)o2)c1 521818197 AXKYFUVCSMPYPL-HSZRJFAPSA-N 406.438 4.867 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 522344115 CJGDCMOHXUCQDT-HSZRJFAPSA-N 418.497 4.591 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCCc1cccs1 522717025 FBPKHKXIUUOKCP-OAHLLOKOSA-N 411.483 4.608 5 20 HJBD CCOCc1cccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 523279903 UYSMZWQSRFRLCF-UHFFFAOYSA-N 414.405 4.881 5 20 HJBD Cc1ccc(-n2nc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2C)cc1 540525801 RGKJYLKYMNWEMK-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(CN3CCCC3=O)cc2)no1 544977583 MWWAKJTYSMLASI-CQSZACIVSA-N 424.482 4.621 5 20 HJBD CC[C@H](CC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 545463728 ZQYDHKXCZBIEDU-SECBINFHSA-N 404.332 4.553 5 20 HJBD Cc1c(Cc2noc(-c3cc(NC(=O)C(C)C)ccc3Cl)n2)cccc1[N+](=O)[O-] 545781631 SPTLKHFDRQJNQP-UHFFFAOYSA-N 414.849 4.792 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(C23C[C@@H]4C[C@H](C2)CC(C(F)(F)F)(C4)C3)n1 546242291 ONDDYBFRLMVFDS-NFAYLAGKSA-N 407.392 4.969 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548999534 JZMIYZKRSLREPF-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD Cc1cc(NCc2nnc3ccc(C(F)(F)F)cn23)c([N+](=O)[O-])cc1C(F)(F)F 549108456 IUKNPQRCVRKBFL-UHFFFAOYSA-N 419.285 4.596 5 20 HJBD O=C(CCN1Cc2ccccc2[C@H](c2ccccc2)C1)Nc1ccccc1[N+](=O)[O-] 560858672 SBRWAJFSNAZJOX-NRFANRHFSA-N 401.466 4.571 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](CC(=O)O)c2cccs2)cc1[N+](=O)[O-] 585731805 DQCDEMMIXWRCKI-KRWDZBQOSA-N 411.483 4.730 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H](c1cccs1)C1CC1 588672394 MSKGKZLFDSNLOS-QGZVFWFLSA-N 413.421 4.745 5 20 HJBD C[C@H](Cc1cncc2ccccc12)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 589136731 OMSXYPOSGZPNEK-CQSZACIVSA-N 413.437 4.944 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c2C)cc1 603457969 MSMQQYOABLBAGM-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CCOc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc(OCC)c1OCC 603501460 PKLGIRHQESSMKP-UHFFFAOYSA-N 402.447 4.660 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2nc3ccccc3[nH]2)cc1 603602539 DJRIZVNQDYLKOD-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] 603620329 SWPLMFRAICWBFQ-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD CCOc1ccc(C(=O)N2CC=C(c3c[nH]c4cc(C)ccc34)CC2)cc1[N+](=O)[O-] 603674436 AIEURUNFUQDYAE-UHFFFAOYSA-N 405.454 4.713 5 20 HJBD C[C@H](N[C@@H](CCc1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955353 SXLPRTWGLAGUGO-RXVVDRJESA-N 403.482 4.886 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2Cc3ccccc3-c3ccccc32)c(Cl)c1 603980978 CTVJFJQWRCTZER-UHFFFAOYSA-N 400.843 4.624 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 609022793 NWNBUMWFBCHFSQ-HZPDHXFCSA-N 424.501 4.596 5 20 HJBD Cc1nn(C)cc1[C@@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609025822 NTCBYICHJQLQAS-GFCCVEGCSA-N 414.849 4.573 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 609505069 JGOJWIYPPSFBCE-IAGOWNOFSA-N 416.359 4.542 5 20 HJBD COc1cccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1OC 609774067 RGHOTJKUDNWQII-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccccc1OCCN1CCCC[C@@H]1C 609813919 HRDODVHNPMTCEG-SFHVURJKSA-N 411.502 4.717 5 20 HJBD Cc1cc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 609993044 GITVKDWHDREGLZ-UHFFFAOYSA-N 411.380 4.675 5 20 HJBD COc1cccc([C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2Cl)c1 610180826 HWRJEJXDBHRQID-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291584 WZKOLLAGKJQEJF-KXBFYZLASA-N 422.403 4.854 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@@H]1CCCc2c1cnn2C 611276170 ZBXOHOXFCHFOKT-GOSISDBHSA-N 400.866 4.751 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3sc(C)nc3C)cc2[N+](=O)[O-])n1 726875238 QQUIUKAOSIRRQD-UHFFFAOYSA-N 421.525 4.941 5 20 HJBD CSc1ccc(C(=O)Oc2ccc(NC(=O)c3ccsc3)cc2)cc1[N+](=O)[O-] 728887320 HQDSTDJPYVNUMP-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1)c1cccs1 731958501 WCVKHKGSQIDCRN-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(Oc1ccc(-c2noc(-c3cccnc3)n2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 736017837 BIGRWKIOUYZULK-UHFFFAOYSA-N 422.784 4.579 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 737569491 BXIHCWYCDHDVIT-UHFFFAOYSA-N 423.356 4.709 5 20 HJBD CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 740867942 KHDGVRSHBKBWGN-IIBYNOLFSA-N 416.449 4.515 5 20 HJBD Cc1cccc(C(=O)OCc2ncc(-c3ccccc3Br)o2)c1[N+](=O)[O-] 741822880 MQGNFUPTMONFPS-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 742909589 KUGLVCQSQYPMFY-AEFFLSMTSA-N 405.564 4.577 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744491197 YHTLJPYBXHLUJZ-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@H]1CCC[C@H](OCc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)c2)C1 745092337 UBPLFERSTHWAJC-XOBRGWDASA-N 413.430 4.851 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)OCc1cc([N+](=O)[O-])ccc1Cl 745750671 RNZYJHHEGIYJCT-HNNXBMFYSA-N 404.633 4.722 5 20 HJBD Cc1cc(C)c(OC(=O)c2nc(C(C)C)n(-c3ccccc3Cl)n2)c([N+](=O)[O-])c1 748172893 MXWYIBCNDOSPRP-UHFFFAOYSA-N 414.849 4.788 5 20 HJBD O=C(Oc1cccc([N+](=O)[O-])c1)c1oc2ccccc2c1CSc1ncccn1 748598692 PSTJAXPWBOBIMA-UHFFFAOYSA-N 407.407 4.643 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CNCc1ccc(C(C)C)c([N+](=O)[O-])c1 749264064 RJTXSJFOOCAWMG-UHFFFAOYSA-N 420.307 4.517 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SC[C@@H](O)c3cccc([N+](=O)[O-])c3)n12 749640507 PBNBXPUUQJHEKP-GOSISDBHSA-N 408.483 4.542 5 20 HJBD Cc1nc(-c2cccc(C(=O)O[C@@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)c2)cs1 759950796 QRELGEOETWBIFC-ZWKOTPCHSA-N 412.471 4.564 5 20 HJBD O=C(Cc1ccc(C(F)(F)F)cc1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760472715 WZBSARNCZABLFW-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Nc1ccccc1CCC(F)(F)F 761907932 QTVQZPLMUWNOGX-UHFFFAOYSA-N 422.812 4.852 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)ccc2C)n1 763917829 GQEATAREPFIZLT-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCC2CCN(C(=O)OC(C)(C)C)CC2)cc1[N+](=O)[O-] 765809753 GXPOQVNCFSDEKU-INIZCTEOSA-N 419.522 4.508 5 20 HJBD CC[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1nc(C(F)(F)F)cs1 766375061 NFXBQJZAFKHGRO-HNNXBMFYSA-N 414.453 4.780 5 20 HJBD CCCc1csc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n1 768015591 HUGOKQNIGAACDZ-UHFFFAOYSA-N 404.673 4.672 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H]3C[C@@H](O)c3cccs3)cs2)c1 770293512 XTQZQRCELSNNAU-FUHWJXTLSA-N 415.540 4.868 5 20 HJBD CN(C(=O)/C=C/SCc1ccco1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495401 JEZNJFBOZHKGCG-CSKARUKUSA-N 401.469 4.721 5 20 HJBD O=C(OCc1ncc(Cl)cc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 777071939 QSDUBUYIQBNPSW-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1cccc([N+](=O)[O-])c1Br 782121246 JNBGHMZTZKUOTG-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)CC[C@H](NC(=O)OC(C)(C)C)C(C)C 789428495 MVFNKPLSOGHQGR-INIZCTEOSA-N 422.526 4.615 5 20 HJBD O=[N+]([O-])c1ccc(CNC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c([N+](=O)[O-])c1 792879147 DCISDERRCXEVMV-UHFFFAOYSA-N 415.755 4.954 5 20 HJBD CC(C)(C)c1ccc(N2CCC(Nc3nc(O)c4cc([N+](=O)[O-])ccc4n3)CC2)cc1 804372260 KIYGLHWMGGPPFX-UHFFFAOYSA-N 421.501 4.622 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](O)c3ccc(C(F)(F)F)cc3)cs2)c1 808425841 HZDQYUYIQIBPFL-KRWDZBQOSA-N 423.416 4.560 5 20 HJBD CC(=O)c1cccc(O[C@H](C)C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811083134 GMNBNBAXXDPGAW-LLVKDONJSA-N 412.225 4.615 5 20 HJBD Cc1ccccc1C1(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)CCOCC1 815196936 RZXMWDTYNFHJRI-UHFFFAOYSA-N 423.387 4.714 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1csc(-c2ccc(O)cc2)n1 815200059 HHCLRSKTGBEIPD-UHFFFAOYSA-N 424.356 4.800 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3c(Cl)nc4ccccn34)no2)c1 815686460 JEGMCWFNLPPKOW-UHFFFAOYSA-N 409.711 4.632 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)c12 863865667 WXUSAZXGRKHCMT-HNNXBMFYSA-N 416.437 4.770 5 20 HJBD CCC[C@@H](NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 917263267 BBSYBYUCNGZPJN-RDTXWAMCSA-N 410.426 4.544 5 20 HJBD CCNc1ccc(C(=O)Oc2ccc(C3SCCS3)cc2OC)cc1[N+](=O)[O-] 921194624 RLOVMLGEUIEYQV-UHFFFAOYSA-N 420.512 4.733 5 20 HJBD CSc1ccc([N+](=O)[O-])c(-c2nnc(-c3cc(F)ccc3Br)o2)c1 1322410180 HZTBBWYVEAEQKC-UHFFFAOYSA-N 410.224 4.935 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 1338399201 HQLPTMBZUHAMST-INIZCTEOSA-N 420.897 4.501 5 20 HJBD Cn1c(SCC(=O)c2ccc3c(c2)CCC3)nc2cc3ccccc3cc2c1=O 7169625 PJYDTLCKKALKKI-UHFFFAOYSA-N 400.503 4.550 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ncc4n3CCCC4)cc2)c1 44568663 RAYRGADXAZGROT-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(OCc2ccccn2)c1 55645513 SZOYWRURLAMSLX-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 71930597 DTIBWCNLASXHBR-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD COc1cc(C(=O)NCc2ccccc2[N+](=O)[O-])cc(Cl)c1OCCC(C)C 107958576 MCUVDGBVPRUIPO-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD COc1cc(F)c([C@@H](C)Nc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 261488923 XJMNKJGRXVJJLC-CYBMUJFWSA-N 412.421 4.690 5 20 HJBD COc1ccc(-n2c(Sc3ccc([N+](=O)[O-])cc3F)nnc2-c2ccccn2)cc1 301713124 LMKUMOXMKHRCRF-UHFFFAOYSA-N 423.429 4.536 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(Cl)cc21)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 302857398 PXQFNTDKYFEMCS-MRXNPFEDSA-N 417.918 4.815 5 20 HJBD COc1ccc([C@H](C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 426227407 LLLFPBSUEUEPOD-CQSZACIVSA-N 410.392 4.688 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccccc1OC(F)F 426248000 JNLSPMPGSPYPHS-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@H](C)[C@@H]2C)cc1SC 430652321 NLVYPMCZSSJOBP-NWDGAFQWSA-N 404.513 4.855 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN([C@H](C)c2ccccc2[N+](=O)[O-])CC1 431168820 SPRJZUNIYLBJEO-MRXNPFEDSA-N 401.532 4.734 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 439640626 DCBKMBRJPXRSSB-NSHDSACASA-N 401.271 4.647 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccccc3-c3cn[nH]c3)cc2[N+](=O)[O-])C1 439752626 XLLAJKNXVZZFTJ-HOTGVXAUSA-N 419.485 4.720 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 444826924 JAHYNGSNNKQVHL-UHFFFAOYSA-N 410.293 4.580 5 20 HJBD CC(C)N(CC(F)(F)F)C(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 462204486 RXDXNOMBUFEQKZ-UHFFFAOYSA-N 410.396 4.550 5 20 HJBD CC[C@](C)(CCO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468326507 BJAKEYUUDWFFOJ-LJQANCHMSA-N 408.907 4.680 5 20 HJBD CC(C)[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1F 468381749 JAGKBCWQXUBLOJ-XMMPIXPASA-N 420.459 4.946 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)c(C)c1 471337584 REWGWJUOXNDIGR-KRWDZBQOSA-N 407.495 4.965 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)n2)cc1 472448759 PZMIYUYNRVNEBN-CABCVRRESA-N 423.473 4.637 5 20 HJBD CCc1cc(N(C)[C@H](C)c2ccc([S@](C)=O)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 474176220 YDKLVJQAGTXLTB-FOGNVHKLSA-N 424.526 4.549 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)SCc2cc([N+](=O)[O-])cc3c2OCOC3)n1 476191462 IAYAFTWSULBODX-ZDUSSCGKSA-N 413.455 4.814 5 20 HJBD COc1ccc(CS[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1Cl 478633677 UEYIPKIKMWEQBD-NSHDSACASA-N 410.879 4.526 5 20 HJBD CC(C)CCn1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 483259118 VZRADAOTODGHMF-INIZCTEOSA-N 422.489 4.658 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1C 483573069 IDZDYQZNUNOQGX-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)Nc1ccn(CC(F)(F)F)n1 486949238 HSYGIOXWJCRCIZ-UHFFFAOYSA-N 421.335 4.790 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccccc2C)CC1 487442513 DXTINGIDQAMEMS-UHFFFAOYSA-N 414.527 4.662 5 20 HJBD CCn1c(S[C@H](C)C(=O)c2ccc(Cl)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 489398355 YDUUBASHPVHKRI-GFCCVEGCSA-N 416.890 4.890 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498984886 HTOCRRMKVVPXNZ-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD COc1cc(C(=O)N(C)c2cc(Cl)cc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 499508604 AQPWUAAUEWNTFD-UHFFFAOYSA-N 421.183 4.788 5 20 HJBD COc1ccc2c(c1)CCCCN2C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 500310543 AOTYHWOBHYWMLA-UHFFFAOYSA-N 424.375 4.528 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCCC23CCOCC3)ccc1Oc1ccc(F)cc1 500426074 YOVFCPMCEQXJTP-NRFANRHFSA-N 400.450 4.965 5 20 HJBD O=C(N[C@H]1CCOc2c(F)cccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 507510001 NCIHDNCAVDCDEN-IBGZPJMESA-N 421.428 4.600 5 20 HJBD COc1cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc(OC)c1C(C)C 511614093 CWUBAKHXCDSHQN-NRFANRHFSA-N 416.499 4.623 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 512985441 OWTZWXQSPYXZLP-UHFFFAOYSA-N 420.309 4.947 5 20 HJBD Cc1c(NC(=O)CCN[C@H](c2c[nH]c3ccccc23)C(F)(F)F)cccc1[N+](=O)[O-] 513121277 BFDPEKHWXNPSDZ-LJQANCHMSA-N 420.391 4.606 5 20 HJBD Cn1ccnc1[C@H](NCCc1cccc(-c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 513708361 RAQWDZYLLDZSLL-XMMPIXPASA-N 412.493 4.917 5 20 HJBD CNC(=O)c1ccc(N(C)[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)c([N+](=O)[O-])c1 514851908 BCYSUOYGDSXMIU-OAHLLOKOSA-N 424.526 4.892 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(CCCc4ccccc4)no3)cc2)c(C)c1[N+](=O)[O-] 517631087 PAJULUWHQOLXQP-UHFFFAOYSA-N 417.469 4.682 5 20 HJBD O=C(NCC1(Sc2ccccc2)CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517719106 JQSJOASYKUZPJZ-UHFFFAOYSA-N 423.494 4.684 5 20 HJBD COc1cccc(Nc2ccccc2C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 521922892 FFNHYISITQJJQI-UHFFFAOYSA-N 405.454 4.662 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)c1 523585737 YQAKLXNJKSOESZ-UHFFFAOYSA-N 407.426 4.601 5 20 HJBD Cc1ccc(C)c(OC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 533252504 WOOPZLVZJMDQNH-UHFFFAOYSA-N 421.497 4.953 5 20 HJBD COc1ccc(CNc2cnn(CC(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 535579781 NIPCBTZLDGTBEO-UHFFFAOYSA-N 410.474 4.647 5 20 HJBD O=C(NCCSCC(F)(F)F)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 536717307 GVBGDBYUYBCKMX-UHFFFAOYSA-N 415.393 4.804 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(F)c(Cl)cc1Cl 537040448 AECMAFVLDZOVMB-SECBINFHSA-N 411.220 4.942 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 537043960 STZNOWUWMNNYLB-ZIAGYGMSSA-N 412.421 4.525 5 20 HJBD COc1cc(F)c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 537091606 MKCBDEIPWCFJSE-GFCCVEGCSA-N 422.478 4.743 5 20 HJBD CC[C@H](NCCC(=O)Nc1cc(Br)ccc1C)c1cccc([N+](=O)[O-])c1 539082229 OHGZCYGCHUBIFH-KRWDZBQOSA-N 420.307 4.735 5 20 HJBD COc1ccc(NC(=O)c2cc(C)n(-c3ccc(Cl)c(Cl)c3)n2)c([N+](=O)[O-])c1 540691658 GJGTYBRDURIXBI-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD COc1ccc(NC(=O)c2cnn(-c3ccc(Cl)cc3)c2C(C)C)cc1[N+](=O)[O-] 542930879 XRYNVYXAVFNZBG-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD Cc1cc(C)cc(C(=O)N2CCC[C@@H](c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)C2)c1 547101398 LHJHKLWWXFCIQI-QGZVFWFLSA-N 420.469 4.590 5 20 HJBD C[C@@H](Oc1ccc(F)cc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 547153734 JYAVUJRAUDIFGI-SNVBAGLBSA-N 422.210 4.610 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(OC2CCCCC2)CC1 555624890 CBRMOJDMXCNTJU-UHFFFAOYSA-N 400.397 4.568 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)[C@H]1C[C@H]1C 557974780 UXZNJYLVBUTGJV-ZMZPIMSZSA-N 421.375 4.627 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1SC 559326799 CYTPWNPVQPAYHB-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)c([N+](=O)[O-])cc1OCC 567423466 QMZMNUMJLJYDNV-NEPJUHHUSA-N 404.385 4.699 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1nc2ccccc2cc1Br 574193076 MUIQBHLYHSADKO-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD O=C1CSc2ccc(N[C@H]3CCCc4ccc([N+](=O)[O-])cc43)cc2N1CC(F)F 574344743 AWQBSTVAFGGAOC-INIZCTEOSA-N 419.453 4.788 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 580389821 SVBMJSJZDVSXSP-LBPRGKRZSA-N 407.264 4.887 5 20 HJBD CCC[C@@H](C)N(C)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 603613324 WGOMEEIPJVNZSB-CQSZACIVSA-N 400.500 4.808 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1OC 603996978 JIGRZKZLTMQQAK-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608935890 JQYROOCJRPNUHF-HNNXBMFYSA-N 424.478 4.831 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 608964626 JHHAUQVCCLPGOY-QGZVFWFLSA-N 420.252 4.597 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCS[C@H](C)[C@@H]1c1ccccc1 609819384 ICRNKJHCWUMFPM-ZRGWGRIASA-N 402.541 4.781 5 20 HJBD CCOc1cn(-c2ccccc2)nc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609859182 NXSPEYQQJIOVST-UHFFFAOYSA-N 420.469 4.769 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2cccs2)c1 610047133 JWWQEAHNAAWUJS-UHFFFAOYSA-N 423.494 4.661 5 20 HJBD CC(C)(C)OC(=O)N[C@H](CCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1ccccc1 729651990 RZZJDTVWJUHHHW-QGZVFWFLSA-N 417.437 4.719 5 20 HJBD O=C(COC(=O)[C@H]1C[C@H]1c1ccc2ccccc2c1)Nc1ccc([N+](=O)[O-])cc1Cl 731277078 PJVRBGMIOFVVEE-ROUUACIJSA-N 424.840 4.687 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c1 732292378 MTRDASSKSXAHEX-UHFFFAOYSA-N 407.382 4.739 5 20 HJBD C[C@H](OC(=O)C[C@H](C)c1ccc(C(F)(F)F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 733519960 FMTBYIIURFJYOL-STQMWFEESA-N 424.375 4.678 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2)nc1 735272578 HSELBWZANACJMB-UHFFFAOYSA-N 416.393 4.597 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CS(=O)(=O)Cc2csc(-c3ccsc3)n2)c1 735561580 AGBISOLTSSHRMX-UHFFFAOYSA-N 414.917 4.548 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C2(c3cccs3)CCCCC2)cccc1[N+](=O)[O-] 745067952 XRQHKMFAIJYZDP-HNNXBMFYSA-N 416.499 4.737 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 745486796 LMXQPNONFJZTTO-MDWZMJQESA-N 422.359 4.507 5 20 HJBD O=C(CCc1cc(Br)cs1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746075819 JNPAEFMRWUCTNF-UHFFFAOYSA-N 404.669 4.748 5 20 HJBD CC(C)Oc1cc(Br)cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 746511529 BNMMGTDYKPWSCU-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD CC(C)c1nc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1ccccc1Cl 748422194 YIPSQEQIIIXFEC-ZDUSSCGKSA-N 414.849 4.870 5 20 HJBD Cc1sc2ncnc(SCC(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])c2c1C 749052994 AMJUPSACOQFJPI-LLVKDONJSA-N 403.485 4.613 5 20 HJBD O=C(CNC(=O)c1cc2ccccc2c2cccnc12)Nc1cccc2ccccc12 751186002 RXGOUHYAPKCIFP-UHFFFAOYSA-N 405.457 4.910 5 20 HJBD C[C@@H](OC(=O)COc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccc(Cl)cc2)o1 751603932 MMKAMTRXKVAYAP-GFCCVEGCSA-N 402.790 4.586 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])s2)cc1F 753091457 QDJOSWMPNRZULR-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F 758361679 NWBVSYQHMYYXIS-MRXNPFEDSA-N 423.876 4.917 5 20 HJBD CCc1nc2ccc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc2nc1CC 758853559 PTQPWARCVZORAL-UHFFFAOYSA-N 412.877 4.588 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(CSc2ccc([N+](=O)[O-])cc2)n1 761498350 JMJAONDRVIIHHG-UHFFFAOYSA-N 408.439 4.824 5 20 HJBD CCC(CC)[C@H](C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761499726 XWPWZXWLZJDZMR-WDEREUQCSA-N 404.385 4.556 5 20 HJBD CCOc1ccc([C@@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1OCC 764600962 CPFKXWRNVULFQW-QGZVFWFLSA-N 413.518 4.712 5 20 HJBD O=C(C[C@@H]1CCc2ccccc2C1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140474 YSOHYQZERBQRIE-OSPHWJPCSA-N 402.450 4.818 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 779161575 ISZXGTOUOSGYII-RDJZCZTQSA-N 415.490 4.590 5 20 HJBD COCc1ccccc1COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 784303983 QFXSVKVVXOXRPQ-MRXNPFEDSA-N 421.453 4.666 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 789431171 RBXTWMMELYVXLI-FQEVSTJZSA-N 417.893 4.843 5 20 HJBD Cc1c(C(=O)N2CC=C(c3cccc(Cl)c3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 794028435 ZFWYDYRNYDFWPR-UHFFFAOYSA-N 415.833 4.703 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2nc3ccc(Br)cc3o2)c1 803094520 PMQVXVSCBXAYGU-UHFFFAOYSA-N 423.244 4.577 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 803863128 HKWMXVHJHXDIQM-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812932048 ZHVJIPZGPXUIKI-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 816819482 GKZXDJAOIKQQJS-WCVQDECDSA-N 420.387 4.615 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 1317752750 RHDULBMYHVJYAH-DAXSKMNVSA-N 411.639 4.671 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)co2)cc1 1317772399 WZLKEMHRWVRXOE-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(CSc3ccc(C)cc3)o2)cc1SC 1326252803 WNTJQTODPOTVQN-UHFFFAOYSA-N 403.485 4.976 5 20 HJBD COc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3OC(F)F)o2)ccc1OC(C)C 1326917399 HFFQANMDAVOKTP-UHFFFAOYSA-N 421.356 4.709 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1cccc(OC(F)F)c1 1338317716 CQXAHOVHCXBEPJ-JTQLQIEISA-N 401.207 4.810 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 15637614 HYKVGNIFJYIBLE-UHFFFAOYSA-N 424.482 4.862 5 20 HJBD Cc1cc(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)ccc1[N+](=O)[O-] 28279091 RKQQZFOKKNVWLU-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)cc2C)c([N+](=O)[O-])cc1OCc1cscn1 57180571 TYLNBQVZGRZLRF-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1ccc(C)c(Sc2ccc(NC(=O)Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 58044307 UYPCBXSKWIURLU-UHFFFAOYSA-N 410.499 4.815 5 20 HJBD Cc1ccccc1-n1c(Sc2ncc(Cl)cc2[N+](=O)[O-])nnc1-c1ccncc1 301746536 PVIWNXKHOAUFLJ-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD Cc1cc(N2CCC[C@@H]2C[C@@H](O)c2ccc(F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301764942 GZBZTBVOCBMMNP-NHCUHLMSSA-N 422.460 4.592 5 20 HJBD Cc1cccc(C(Nc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])c2cccc(C)c2)c1 301940871 VBMWOCBCVXEPQC-UHFFFAOYSA-N 416.524 4.878 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)cc1[N+](=O)[O-] 409987044 SEGPEGUUDBMTSH-OAHLLOKOSA-N 408.458 4.574 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2c1cccc2[N+](=O)[O-] 426330551 KQAXFIOKUYTKND-GFCCVEGCSA-N 413.886 4.866 5 20 HJBD CC1(C)C[C@@H](Nc2ccc(CCC(=O)N3CCCC3)cc2)c2cc([N+](=O)[O-])ccc21 433216952 AOFCOZSGHZWYHE-JOCHJYFZSA-N 407.514 4.984 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@@H](Cc2ccccc2)C1 436422583 VISKQNAXEDCVND-SFHVURJKSA-N 414.480 4.915 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccccc1OC(F)F 437925662 JZUWVJOWGCFERT-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(Cc2ccccc2Cl)CC1 439150903 FWBOURQCNRTGEF-UHFFFAOYSA-N 414.889 4.641 5 20 HJBD C[C@@H](CSCc1ccccc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 440265679 MHZWHVSSNRJPKP-KRWDZBQOSA-N 414.527 4.534 5 20 HJBD O=C(COc1cc(Cl)cc(Cl)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 443867282 IPPLUPBDYXKYDO-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD COc1ccc(N(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C(C)C)cc1F 443869779 QKPVUEBJGJGFRR-UHFFFAOYSA-N 400.328 4.817 5 20 HJBD CN(Cc1cccc2ccccc12)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445688606 FHQMKOXLMJTEDG-UHFFFAOYSA-N 413.271 4.712 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1sc(Br)cc1[N+](=O)[O-] 446027777 ZBLMCRIJARMSDR-UHFFFAOYSA-N 411.277 4.993 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1 447276074 XPPWPKBQZQXMFW-UHFFFAOYSA-N 417.874 4.864 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCn2c(cc3ccc(Cl)cc32)C1 447380773 FBTXXDQWTMXGTI-ZDUSSCGKSA-N 423.860 4.830 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)N[C@@H](C)c1ccc(Cl)cc1Cl 448111316 XYQOZEBVYJLXPC-UWVGGRQHSA-N 400.287 4.655 5 20 HJBD Cn1ccc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])c1 466421833 OLNRCSGNELOBDD-UHFFFAOYSA-N 401.875 4.668 5 20 HJBD COc1ccc(C2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 467167198 AWQPAXNKCAWHBS-UHFFFAOYSA-N 407.470 4.681 5 20 HJBD CCc1ccc(C(=O)Nc2ccccc2NC(=O)Cc2cccs2)cc1[N+](=O)[O-] 471937953 WYRAZVGMTCZDFT-UHFFFAOYSA-N 409.467 4.652 5 20 HJBD COc1cc(CSc2c(OC)cccc2OC)c([N+](=O)[O-])cc1OCC(F)F 473779139 COTFUHSTMDVQQZ-UHFFFAOYSA-N 415.414 4.557 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)NCCCCc1ccc([N+](=O)[O-])cc1 476775005 WQLRKCXOEQZBLA-UHFFFAOYSA-N 413.474 4.539 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(Cc2cccc(F)c2)CCC1 482473843 FCBOTNHZEILGQJ-UHFFFAOYSA-N 414.480 4.575 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(COCCOC(C)C)c2)c1[N+](=O)[O-] 486228269 HKKAFPPTLOHAMA-UHFFFAOYSA-N 404.488 4.511 5 20 HJBD CC(=O)Nc1ccc(CCNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 504158102 VYZTZMIKRDSCRZ-UHFFFAOYSA-N 418.497 4.653 5 20 HJBD COc1ccccc1C[C@@H](C)N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 509109067 CTFJQPFTKYURPR-MRXNPFEDSA-N 410.495 4.939 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1cccc(C)c1C 510125444 YGGGKLCEKHGCGK-UHFFFAOYSA-N 406.486 4.740 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccccc1COc1ccccc1 517915184 NOKXQUHRYKRAGU-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD CC(C)[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 522616637 VYDIRJJGWZACAX-QGZVFWFLSA-N 416.421 4.826 5 20 HJBD CCCN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)[C@@H](C)C(=O)Nc1ccccc1 522874586 IOHHLOSMJDTUFL-INIZCTEOSA-N 411.502 4.772 5 20 HJBD COc1ccccc1[C@@H]1CCN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C1 523110009 KETDLJPHXZACCO-LJQANCHMSA-N 402.450 4.828 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)cc2s1 524451933 LGMQVESFCKWTIM-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OCc1ccno1 525200293 XQPVUCQTLVMOKI-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc(-c2ccccc2)n(-c2ccccc2)n1 531018220 POMAOGLZGOUHQS-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCCc2csc(-c3ccc(Cl)cc3)n2)c1 533996425 HWEAEMYYEFRUBX-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD COc1ccccc1NC(=O)[C@@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534057931 BAMADSUEPMYFDF-CYBMUJFWSA-N 413.455 4.519 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccccc3OCc3cccnc3)CC2)cc1 536678070 WNMCIZPTLXNXBQ-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 536862458 HQNUWUHGQAGROG-UHFFFAOYSA-N 403.316 4.808 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)cs1 537076863 SZAWVVKIPWMXBF-OAHLLOKOSA-N 410.499 4.895 5 20 HJBD CC(C)CN(C(=O)[C@H]1Cc2ccccc2O1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152788 RVNZZPOIPWQLSS-HXUWFJFHSA-N 423.494 4.711 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NCCSCc2ccc(Cl)cc2Cl)c1 537162277 SLWILCVVACIGKO-UHFFFAOYSA-N 415.298 4.573 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(-c2ccccn2)cs1 537968668 QXBPHSPYSGZWJY-HNNXBMFYSA-N 414.556 4.816 5 20 HJBD Cc1ccc(-c2noc(CCCn3cc(-c4cccc([N+](=O)[O-])c4)cn3)n2)cc1F 538659021 AIBPSKSWIRRGIY-UHFFFAOYSA-N 407.405 4.589 5 20 HJBD CCOc1ccc(-c2nc(CN[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1OC 540375502 GMPWDUMHPQGOSM-CQSZACIVSA-N 413.499 4.976 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1 541783350 FIRJCXVQCSODQX-UHFFFAOYSA-N 422.460 4.784 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(Cc4c[nH]c5ccc(Cl)cc45)no3)cn2)cc1 545019789 LQAIVLWFYBMSNP-UHFFFAOYSA-N 420.816 4.556 5 20 HJBD Cc1ccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c(N2CCCC2)n1 545662249 AFAKFXIDZFNNDJ-AWEZNQCLSA-N 411.487 4.802 5 20 HJBD CCOCc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)cc1 547048098 RZLQHCMBKVTRBZ-UHFFFAOYSA-N 404.220 4.611 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1cccc(-c2cccc(Cl)c2)c1)c1cccc([N+](=O)[O-])c1 551720092 PKCHZNUGDOQCOC-CQSZACIVSA-N 423.856 4.731 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(Cc2nc(C(C)C)no2)cc1 554941272 GHQQPPBKZXQHBY-UHFFFAOYSA-N 408.458 4.870 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 555721271 UCQWUGBHHZEBFK-OAHLLOKOSA-N 413.437 4.789 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561758985 QWFTXTDTXCHLKU-CYBMUJFWSA-N 419.403 4.727 5 20 HJBD CCOc1cc(CNC2(c3cccc(C(F)(F)F)c3)CCOCC2)ccc1[N+](=O)[O-] 575912607 IUJMOUSWQWTHMW-UHFFFAOYSA-N 424.419 4.808 5 20 HJBD Cc1ccc(Cl)cc1N(C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 579393704 SQPNEDFWLBUIDZ-UHFFFAOYSA-N 412.877 4.695 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)ccc1F 582436634 CAZAOPUWCTUVDO-OKILXGFUSA-N 413.449 4.671 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)CCNc3ccccc3[N+](=O)[O-])cc2)cs1 591445524 QTFYOGQTJMYRFJ-CQSZACIVSA-N 410.499 4.706 5 20 HJBD NS(=O)(=O)c1ccc(N[C@H](c2cccc(Cl)c2)C2CCCCC2)cc1[N+](=O)[O-] 600848955 JWWHMWIBJIWUFM-IBGZPJMESA-N 423.922 4.629 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NCc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 600862452 WYKHDPSEHDHIAI-UHFFFAOYSA-N 409.408 4.920 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)Cc1ccsc1 603461880 ITAFROIRLJMYGW-UHFFFAOYSA-N 416.480 4.743 5 20 HJBD CCN(Cc1ccc(OC)cc1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 603519485 GVENZHDBXVEAIN-UHFFFAOYSA-N 419.481 4.878 5 20 HJBD O=C(CN[C@H](c1ccccc1)c1cccc(Cl)c1)N1CCc2ccc([N+](=O)[O-])cc21 604009570 QOOIJBYUQCILGG-HSZRJFAPSA-N 421.884 4.517 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 610470795 SJOBRDHNNRYWAB-GOSISDBHSA-N 413.440 4.621 5 20 HJBD COc1ccc(C[C@H](NCc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1OC 611385727 VBKBFLSUAYNQAF-FQEVSTJZSA-N 410.445 4.825 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCCN(C)C)cc1 611399865 JQIHAZVEQHEUSU-UHFFFAOYSA-N 417.531 4.678 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCCC[C@H]3c3cc4ccccc4[nH]3)n2)cc1 731028267 VRNYHCUSMLQJBI-NRFANRHFSA-N 402.458 4.519 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cc2C1 732026562 BCIDZQFTGAONHB-WDZFZDKYSA-N 423.469 4.540 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc(O)c(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 732309714 SIXMMDRYMBVQIN-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1C 736006542 UUPODZJIEBFNQL-UHFFFAOYSA-N 419.268 4.981 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)o1 736158564 NFTHNKTXABYZKS-UHFFFAOYSA-N 416.817 4.990 5 20 HJBD CS[C@@H]1CC[C@@H](N[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 743484948 ULGQOFSOLCWYNA-IDHHARJASA-N 419.934 4.802 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)nc2ccccc21 746010857 MJGWMRDFYIOFEM-KVDXNUTJSA-N 404.470 4.902 5 20 HJBD C[C@@H](OC(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1-c1ccccc1 748037256 DZAYZUKFOPAIHO-QGZVFWFLSA-N 418.449 4.686 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)Cc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 751190705 JIPOVIIHCYYRHE-UHFFFAOYSA-N 405.410 4.751 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCOc2c1ccc(Cl)c2Cl 753019298 KHUDGENETIJYQG-LBPRGKRZSA-N 400.193 4.686 5 20 HJBD COc1ccc2cccc(CC(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 754348849 GXXQZQYKCRRHMK-UHFFFAOYSA-N 418.405 4.698 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])c2ccccc2)c1 758115498 WYADRUVHGFWWNG-NRFANRHFSA-N 422.412 4.888 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1[N+](=O)[O-] 760384920 JMCNUGFNWPVNAO-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD C[C@@H](NC(=O)c1ccccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 761678348 BEDCUNGHBFFAFW-IAGOWNOFSA-N 417.465 4.828 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(OCc2ccccn2)c1 764201658 NWEFHFPFXPLVEB-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H](O)c1ccc(-c2ccccc2)cc1 767830239 ISWXFSNYWAQWAG-NRFANRHFSA-N 405.454 4.734 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)Cc1ccccc1N1CCCC1 768206090 IKSGXKGXTFCOGO-UHFFFAOYSA-N 404.308 4.590 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)C(C)C 771547351 YJTLJBATHTVXCU-MRXNPFEDSA-N 412.490 4.738 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(/Br)c1ncc(-c2ccc(F)cc2)o1 772797269 NKXVFSJOPOGKBX-RIYZIHGNSA-N 405.179 4.988 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCO[C@H](C)C2)cc1 775489859 TYXYCOCSOXUIBF-OAHLLOKOSA-N 415.515 4.573 5 20 HJBD O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)N(Cc1ccco1)C1=CCCCC1 777940536 QLRDEKDQUGRSRG-UHFFFAOYSA-N 406.442 4.538 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1csc(COc2ccc(F)cc2)n1 778093771 SJDFYNBIRVXRLP-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD C[C@H](NC(=O)Nc1ccc(CNC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 779497226 ZCNDXBKXGHDFOA-AWEZNQCLSA-N 414.462 4.502 5 20 HJBD CCOC(=O)/C(=C\c1ccc([N+](=O)[O-])cc1Cl)c1nccn1Cc1ccccc1 783709160 XRZLOWRJJBXDLR-PDGQHHTCSA-N 411.845 4.597 5 20 HJBD CC[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1ccc(OC)cc1 791223422 FDCVWWBAOOWANV-OAHLLOKOSA-N 403.485 4.723 5 20 HJBD Cc1ccnc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 791266190 BSSSHPXMADXHPO-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD CSc1ccc(CSc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1 795268053 NXYPHBMJVWNEEI-UHFFFAOYSA-N 405.469 4.605 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@@H](C)[C@H](C)SC)cc1[N+](=O)[O-] 797205014 NREVKIUULWSLIV-STQMWFEESA-N 419.524 4.845 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1c(Cl)cccc1[N+](=O)[O-] 803343007 SOKOFFLDLPMDIQ-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CCOC(=O)/C(=C/C(C)=C\c1ccccc1OC(F)F)c1ccc([N+](=O)[O-])cn1 811691783 UJUONHZNOHUZML-OBHNZGETSA-N 404.369 4.641 5 20 HJBD COC(=O)c1ccc2ccccc2c1OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 863918219 IKBNEVBAWSWOOP-CYBMUJFWSA-N 411.435 4.621 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C2CC2)o1 915169021 FXCMMLXYQPYTHF-IAQYHMDHSA-N 419.275 4.879 5 20 HJBD Cc1ccccc1-n1nc(C(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 915285338 SRRTWGHAIRYWSM-UHFFFAOYSA-N 423.429 4.547 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 916491669 GUGCXJMROLPRNU-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD CN(Cc1cc(Br)cs1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 917456842 GEVHLIFEXQKTNU-UHFFFAOYSA-N 414.306 4.785 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1cccc(C2SCCS2)c1 920309822 OIWVEYLGCQUBCG-UHFFFAOYSA-N 416.933 4.528 5 20 HJBD CC(=O)[C@H](OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 920543747 AIIWVOBTGNNONW-QRQCRPRQSA-N 419.437 4.650 5 20 HJBD CC(C)(C)c1ccc(OCCCc2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1 1118070930 OKMNHAFXTRBLSV-UHFFFAOYSA-N 411.458 4.866 5 20 HJBD Cc1ccn(-c2cccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)c2)n1 1318459144 LGWUKBDKUNZSFB-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD COc1ccc([N+](=O)[O-])cc1O[C@H](C)C(=O)Nc1ccc(OCc2ccccc2)cc1 10845635 YHXHLBYDHJRWFU-MRXNPFEDSA-N 422.437 4.588 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccc(Cl)cc2)n1 31930486 PBNHNNLNCLSZIT-UHFFFAOYSA-N 401.875 4.573 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCc2cccnc2)cc1 32676898 HMWQWIOMBISYEN-CQSZACIVSA-N 411.845 4.713 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1Cl 56151876 ZCXBSTIRWZPYLR-UHFFFAOYSA-N 417.874 4.855 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1CN1CCc2ccccc21 65450807 DESSORSIOPNMRL-UHFFFAOYSA-N 416.481 4.522 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1NC(=O)c1ccco1 65864667 SCFPUYQTAUMASU-UHFFFAOYSA-N 421.453 4.799 5 20 HJBD CC(C)CCOc1ccc(/C=C2/CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1 220732314 LQTCNCQETLOXFM-LGMDPLHJSA-N 405.454 4.674 5 20 HJBD CO[C@H](C)C(=O)Nc1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 237176663 YVKDJXKSNIUFAR-CQSZACIVSA-N 411.483 4.909 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC(c4c[nH]c5cc(F)ccc45)CC3)n2)cc1 303424381 AGTJBAMIBNYPPH-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD CCOc1cc(C(=O)NCc2ccc(-c3cccc(C)c3)cc2)c([N+](=O)[O-])cc1OC 303688702 OUNYDQKVDHQTBE-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](COc1ccccc1F)c1ccccc1 409676776 AEJNXNDRWJJDOD-HXUWFJFHSA-N 409.417 4.984 5 20 HJBD Cc1cc(OCC(=O)Nc2cccc(Cl)c2Oc2cccnc2)ccc1[N+](=O)[O-] 430414583 LGJJKJAKFAKNKR-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1ncc(Br)s1 432533219 AALHHJMLMSJUSX-UHFFFAOYSA-N 410.112 4.869 5 20 HJBD CC1(C)C[C@H](NCc2cccc(NC(=O)c3ccncc3)c2)c2cc([N+](=O)[O-])ccc21 433144777 NTRAHUGYIZDQNI-QFIPXVFZSA-N 416.481 4.754 5 20 HJBD CCOc1cc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])ccc1OC(F)F 436302647 AVZWMRPBRVYLNK-UHFFFAOYSA-N 407.295 4.621 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 437897340 NVFKIHQHOFKESV-UHFFFAOYSA-N 419.437 4.986 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437924105 CFPXPOZEIGAEFN-UHFFFAOYSA-N 422.441 4.689 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(C(F)(F)F)c(C)c2)cc1[N+](=O)[O-] 441120977 XHTQOCSJFGLNTI-UHFFFAOYSA-N 414.405 4.803 5 20 HJBD CCCN(C(=O)c1cc(NC(=O)C(C)C)ccc1Cl)c1cccc([N+](=O)[O-])c1 441439347 VKIKQDKRAIBAIX-UHFFFAOYSA-N 403.866 4.900 5 20 HJBD Cc1ccc(CN(C(C)C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])s1 462554005 UEHBLZYKIYHDAQ-UHFFFAOYSA-N 423.343 4.871 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] 465749160 HCWQVEVBBPQJQX-OAHLLOKOSA-N 410.499 4.630 5 20 HJBD O=[N+]([O-])c1ccccc1CCN[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 467989206 UEBSGIZSWXXJLC-NRFANRHFSA-N 400.438 4.567 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 470153264 XQQQWVNWYRMPSK-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc([N+](=O)[O-])cc1F 470368939 HHEHNNXFMDUFKD-JTQLQIEISA-N 412.402 4.741 5 20 HJBD Cc1ccc([C@@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccccn2)cc1 472487752 VCYKKAMKHQNXDV-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD Cc1cc(NC(=O)N(CCc2ccccn2)Cc2ccc(F)cc2)ccc1[N+](=O)[O-] 473768843 LEXFEJCURLOIED-UHFFFAOYSA-N 408.433 4.714 5 20 HJBD CCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1C1CCCC1 475484632 DYIYYCFIWPRMQN-UHFFFAOYSA-N 411.487 4.845 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)cc1 481584748 KNSDVXORCKLFGN-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2F)n1 482874899 ANKJUNSDDFNXJL-UHFFFAOYSA-N 423.448 4.733 5 20 HJBD Cc1ccc(CN[C@H](C)c2nc(-c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 483633091 FJVQOWJRTRGIIW-GFCCVEGCSA-N 417.263 4.567 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)ccc1[N+](=O)[O-] 483802014 NQKHIFJTCCQGPF-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD Cc1ccccc1CCc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n[nH]1 484856084 SCPPOXJZBRKCOV-UHFFFAOYSA-N 418.375 4.683 5 20 HJBD CSc1cccc(C(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c1[N+](=O)[O-] 486483851 FCUCPQLLBRYPBT-UHFFFAOYSA-N 411.458 4.867 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N(Cc1cccnc1)C1CC1 486876276 VVFAFZDWLOFVDF-UHFFFAOYSA-N 405.479 4.946 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H]1CSc2ccc(Br)cc21 488612327 MBPAUIOUOZLWJA-AWEZNQCLSA-N 408.277 4.634 5 20 HJBD Cc1nn(C)c(C)c1[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 488855273 JMGMNTGTJUPXTH-GOSISDBHSA-N 416.547 4.671 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc3ccccc3c3cccnc13)OCCO2 490723199 LYMPWQPTYZBLMR-UHFFFAOYSA-N 400.434 4.810 5 20 HJBD COc1ccccc1[C@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ccco1 494448489 WMBRMAUCLODINS-BTYIYWSLSA-N 409.442 4.655 5 20 HJBD CCC(C)(C)OCc1cccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2OC)c1C 495073634 JILWIQGJUSSVFS-UHFFFAOYSA-N 415.490 4.668 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2nncc3ccccc23)cc1 498563286 MZWNWNAGUYJVQN-UHFFFAOYSA-N 414.421 4.902 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 501068617 GSYLQSGBWJQEKZ-UHFFFAOYSA-N 402.319 4.645 5 20 HJBD Cc1nn(CC(=O)Nc2cc(C(F)(F)F)ccc2Cl)c2ccc([N+](=O)[O-])cc12 501262424 PEAGHNYJCYIBNI-UHFFFAOYSA-N 412.755 4.564 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3c4c(sc3n2)C[C@@H](c2ccccc2)CC4)nc1 502794426 LHGGSFWEUWHTPH-AWEZNQCLSA-N 418.478 4.563 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 504863998 OBPKYAXTLIWHJP-KRWDZBQOSA-N 419.275 4.652 5 20 HJBD O=C(COc1c(Cl)cncc1[N+](=O)[O-])N(Cc1ccc(F)cc1)C1CCCCC1 506582627 BSWRNUWQKUAMDD-UHFFFAOYSA-N 421.856 4.523 5 20 HJBD Cc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1C(=O)N1CCCC1 509550566 WMJXVHXMWRFOKK-UHFFFAOYSA-N 424.501 4.582 5 20 HJBD Cc1ccc2c(c1)CC[C@H]2NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 510305410 QXYQLVPAWMEXLI-OAHLLOKOSA-N 410.417 4.818 5 20 HJBD CCCCOc1ccc(CCNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 510727333 PEFDEXNVEQWNAT-UHFFFAOYSA-N 411.502 4.664 5 20 HJBD CCOC(=O)c1cc2cc(NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)ccc2s1 513788859 YTMVJLVHPWFIQR-UHFFFAOYSA-N 424.438 4.648 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C(F)(F)F)ccc2NC(C)=O)cc1[N+](=O)[O-] 518846984 BFYLBERSRBWLLP-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3noc(-c4cc5cc([N+](=O)[O-])ccc5s4)n3)cn2)n1 520299464 RCJOSPQCFAFVFM-UHFFFAOYSA-N 418.438 4.724 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 521337131 KMSFRLBJWVZHSK-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cc(Br)ccc1F 524830817 BOWAEQSKECEBRC-UHFFFAOYSA-N 410.243 4.641 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@H](C2CCOCC2)C1 533688067 IZGQGHBJLMFKNF-SFHVURJKSA-N 412.511 4.635 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 533984172 RZNJWABQVULSKI-UHFFFAOYSA-N 416.481 4.574 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 535611697 OMHIVVDCLCNOSO-CYBMUJFWSA-N 423.416 4.547 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2cccc(Cl)c2)C1 536170720 SYVFZMGXLLTGHY-UGSOOPFHSA-N 414.849 4.513 5 20 HJBD C[C@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1cccc(Cl)c1Cl 537113737 GPEMHTWBUAKKFH-NSHDSACASA-N 410.257 4.550 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537231009 URFFEOUPOYAWOA-UHFFFAOYSA-N 407.401 4.837 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 538408409 ZRZQQUHCXFIOGI-SHXMKPCDSA-N 402.441 4.704 5 20 HJBD CC(C)C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 538564422 HUQHMUTWKFZCNS-AZUAARDMSA-N 411.502 4.530 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 538812616 YENKZTSTJNNXHW-AWEZNQCLSA-N 423.513 4.953 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nn1-c1cccc(Cl)c1 539689220 NAAOSFGRMUFIEI-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CC(C)(C)c1noc2ncc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)cc12 545076108 TZLJOBKSUBRDDG-UHFFFAOYSA-N 418.413 4.551 5 20 HJBD O=C(Nc1cccc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)c1)c1ccccc1F 545565573 LSVRRMIYMWQBAS-UHFFFAOYSA-N 418.384 4.627 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 545877057 AQXUEFACSGEANB-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nc(Cc3c[nH]c4ccc(Br)cc34)no2)c1 547102103 BWGUYGWSUHGPPM-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD COc1cccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c1 549934254 YHTPVTUHFLCECL-NSHDSACASA-N 422.363 4.523 5 20 HJBD CN(Cc1ccccn1)[C@H]1CCCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 550786429 UWQOLUAZKCOTKC-INIZCTEOSA-N 409.317 4.788 5 20 HJBD COc1ccc(CN(Cc2cccnc2)C2CC2)cc1OCc1ccc([N+](=O)[O-])cc1 554878730 DUDWTSWQNJRUHD-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(OC(F)F)cc1 555225445 IZKWFUAUPNCGIG-VIFPVBQESA-N 404.291 4.706 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cnc(-c4ccccc4)nc3)no2)c1 556291524 WSUJQDOPCZRQTO-UHFFFAOYSA-N 413.315 4.788 5 20 HJBD C[C@H](C(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 562300796 ZKAWECFVHIIEQO-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD CC(C)(c1nc(C2(c3ccccc3)CCOCC2)no1)c1ccc([N+](=O)[O-])cc1F 565801920 USDFFIXXYPCWEJ-UHFFFAOYSA-N 411.433 4.539 5 20 HJBD CCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)ccc2o1 568538379 ZTFKWMAXIZLJOS-UHFFFAOYSA-N 404.220 4.622 5 20 HJBD C[C@@H](C(=O)NCc1cccc(NC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 583670731 LBGYICDMABSCPW-MRXNPFEDSA-N 418.453 4.659 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)N(c1ccc(Cl)c(Cl)c1)C(C)C 585730860 JDNIHIVYOXRAHI-UHFFFAOYSA-N 419.286 4.514 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590127999 IHDQCKPUUIILBW-UHFFFAOYSA-N 406.282 4.605 5 20 HJBD Cc1cc(N2CCC[C@H](c3nnc4n3CCCCC4)C2)c([N+](=O)[O-])cc1C(F)(F)F 590132552 KIOQWKFHEJKWHC-AWEZNQCLSA-N 423.439 4.624 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1C(F)(F)F 590402368 HAXMBRHPVOWLMY-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(C)c(Cc3ccccc3F)s2)cc1[N+](=O)[O-] 603973056 ACSIOJGWSXUODX-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD CCCc1nc(C)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)s1 603997203 CPPSGQCXEHZETP-UHFFFAOYSA-N 402.501 4.996 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609281840 XKSFRDAHKQFFPL-MRXNPFEDSA-N 421.400 4.964 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1C 609795885 GKIHRVZSGMRBHL-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD COc1cc(C(=O)N[C@H](C)CSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609872526 YUZVWMXKZXGBJX-LLVKDONJSA-N 410.879 4.530 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1csc([N+](=O)[O-])c1 609980783 XDAYKLKMYDUPRB-UHFFFAOYSA-N 410.430 4.910 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 611200148 ZSFZJODKMJVNHY-AWEZNQCLSA-N 411.483 4.868 5 20 HJBD Cc1nc(C)c(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611204831 UGINYXPFVRFXTI-UHFFFAOYSA-N 405.545 4.675 5 20 HJBD CCc1nc([C@@H]2CCCCN2Cc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)no1 611263304 RVBVMKXUJSUHJK-KRWDZBQOSA-N 412.446 4.536 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(n2c(O)nc3ccccc32)CC1 612081703 BLWRDZGVMWHCFT-UHFFFAOYSA-N 422.488 4.921 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3nc4ccccc4n3C)c([N+](=O)[O-])c2)cc1 727431373 OPXIHLMJWXALQQ-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](O)c3ccccc3C(F)(F)F)cs2)c1 733325301 CLOMJXRAVQEXJS-KRWDZBQOSA-N 423.416 4.560 5 20 HJBD CN(CCc1nc(-c2cccc(Cl)c2)no1)Cc1cc([N+](=O)[O-])ccc1Cl 735919954 KWIBWGQHVOOWOP-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc(N3CCCCC3)cc2)c([N+](=O)[O-])c1 740427464 KXISIXBWIQKFPL-UHFFFAOYSA-N 411.506 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2csc(COc3ccc(Cl)cc3)n2)c1 745387536 IGQKTVZCBPSZGO-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCc1nncn1C1CC1 746228751 RYSYKYSHZRQCBB-UHFFFAOYSA-N 422.488 4.790 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754982311 RIPJGFPUSYZXHA-MRVPVSSYSA-N 417.181 4.608 5 20 HJBD O=C(NCCc1csc2ccccc12)c1cc(F)cc([N+](=O)[O-])c1Br 754987242 KSIOGDBHZPHGLE-UHFFFAOYSA-N 423.263 4.684 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 759700374 RKIRRVSQXXFXGT-INIZCTEOSA-N 405.886 4.778 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)cc(Cl)n1 762471001 HTGFWWMXULKSPC-QGZVFWFLSA-N 404.209 4.638 5 20 HJBD O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1coc(-c2ccccc2Cl)n1 776443001 LXFAQMFGTLYYOQ-UHFFFAOYSA-N 402.790 4.529 5 20 HJBD Cc1cccc([C@H]2CCCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 781645220 BIAMGSOWGBBQMV-HXUWFJFHSA-N 411.458 4.888 5 20 HJBD COc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 790834726 ATUJQBLHZNXCBC-UHFFFAOYSA-N 406.719 4.596 5 20 HJBD COc1ccc(OC(=O)c2[nH]c(-c3cccc(C(F)(F)F)c3)nc2C)cc1[N+](=O)[O-] 792123612 GIYDYDOSICSNDV-UHFFFAOYSA-N 421.331 4.540 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1 798543089 PHQZKAHHJJEYHL-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809919917 JLLFLANPGRIJDH-SNVBAGLBSA-N 414.245 4.959 5 20 HJBD CC(C)(CCc1noc(C2(c3cccc(Br)c3)CCCC2)n1)[N+](=O)[O-] 812814195 WQKZYPMSAGKNSD-UHFFFAOYSA-N 408.296 4.680 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511522 MXMLCVWIBWTUSA-LBPRGKRZSA-N 424.375 4.921 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 816063916 VQDFXBXTTOTRNX-HNNXBMFYSA-N 406.272 4.943 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3ccsc3C(F)(F)F)no2)c1 904924340 QEAMOMMJSGRRRZ-UHFFFAOYSA-N 410.377 4.992 5 20 HJBD Cc1ccc(-c2cc(COC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)on2)cc1 915369198 XBFKZETXERKRSR-UHFFFAOYSA-N 421.453 4.518 5 20 HJBD C/C(=C/C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 917338421 NIUXBZYUVATLDS-ZQGDAAQZSA-N 414.845 4.828 5 20 HJBD CCCCO[C@@H](C)C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 920878983 HESMQPZRDXDCEB-ZDUSSCGKSA-N 420.849 4.611 5 20 HJBD O=C(c1cccs1)C1CCN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)CC1 1116602698 WPXUHVLVRUEUJX-UHFFFAOYSA-N 423.494 4.730 5 20 HJBD O=C(O[C@@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1cc(F)cc([N+](=O)[O-])c1 1122860414 YPFMFYQLRCELCS-KRWDZBQOSA-N 424.215 4.836 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)o1 1324289485 ADDRZXRNTRLCQO-FQEVSTJZSA-N 402.878 4.899 5 20 HJBD CCCCOc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6842364 BKWFUSLDGKPMRZ-AWEZNQCLSA-N 411.414 4.742 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](c3ccc(F)cc3)c3cccs3)n2)cc1 55827771 FVIVUTBMIABGKP-LJQANCHMSA-N 410.430 4.725 5 20 HJBD COc1cccc(NC(=O)[C@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 56197501 HPBPRLYSGCYZPH-KSFYIVLOSA-N 405.454 4.634 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)n2)s1 57606466 LDASALKDMZLLOB-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD COc1ccc(CNCCc2ccc(F)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 61003471 IFTDBFJNHGNXFR-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD CC(C)N(C)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CC1 64739056 BNPXXOINQSIZSC-UHFFFAOYSA-N 411.502 4.580 5 20 HJBD CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cccc2ccccc12 65845033 NHDLYCXERVNPOT-UHFFFAOYSA-N 404.344 4.966 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 195733886 DLXOBPLHNHFFAP-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD COc1cc(CNCCc2ccc(Cl)s2)c([N+](=O)[O-])cc1OCC(F)(F)F 237196421 UMBRYJZZJTXIPL-UHFFFAOYSA-N 424.828 4.592 5 20 HJBD CCn1c(S[C@H](C)C(=O)N2C[C@@H](C)C[C@H](C)C2)nc2cc3ccccc3cc2c1=O 249689717 JQHYCMILFMOOBZ-YESZJQIVSA-N 423.582 4.555 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC[C@H](c4nc(-c5ccc(F)cc5)no4)C3)ccc2c1 301285985 ZHYGVVXCCSXTPR-INIZCTEOSA-N 419.416 4.716 5 20 HJBD CC(C)[C@]1(CO)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 426681526 BBVWLHZQOHQJQH-OAQYLSRUSA-N 418.877 4.521 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3c4ccccc4SC[C@@H]3C)cc2)c(C)c1[N+](=O)[O-] 430658195 XRISTLVRUPCZDW-AWEZNQCLSA-N 422.510 4.597 5 20 HJBD CCCc1c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccc(C)cc1 433118306 RJFBFFQAYHPAEJ-UHFFFAOYSA-N 419.485 4.632 5 20 HJBD CCCCN1CCC(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 442731658 SRFOHEMIUOUQEP-UHFFFAOYSA-N 413.543 4.740 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccccc2)c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 444035703 RKGBQXHETISAMB-MRXNPFEDSA-N 419.268 4.707 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1F 444331844 XSPQUOOKFRLKOO-UHFFFAOYSA-N 412.367 4.668 5 20 HJBD CC(=O)c1ccc(CC(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cc1 446429923 QHSYEMJPVTVTOO-UHFFFAOYSA-N 404.422 4.558 5 20 HJBD COc1ccc(CNC(=O)c2c(F)cccc2Sc2ccccc2)cc1[N+](=O)[O-] 463592709 UHVNWWNZWMYDDI-UHFFFAOYSA-N 412.442 4.824 5 20 HJBD COc1cc(CN(CCc2ccccn2)Cc2ccc(F)cc2)c([N+](=O)[O-])cc1F 464695500 ZRBNGWILYUTNKY-UHFFFAOYSA-N 413.424 4.522 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@H]1O 467757194 GWICDHFNLWGTHL-MCYKXBRJSA-N 420.575 4.889 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cccc(C[S@](=O)C2CCOCC2)c1 468584910 HARWMGCEWRAWSX-DHCLAZAGSA-N 414.527 4.538 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2ncccc2Cl)CC1 469974388 OZEOPQUDLOTSRT-UHFFFAOYSA-N 413.783 4.682 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 471905321 BDNCKDWVANLVOU-CTNGQTDRSA-N 421.497 4.571 5 20 HJBD Cc1nc2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)ccc2n1Cc1ccsc1 472812994 VBPGZGUNSFNRBR-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccccc1SCc1cn2ccccc2n1 473347740 HHDNESJRIAXLOF-UHFFFAOYSA-N 422.441 4.926 5 20 HJBD Cc1cc(OCc2nnc(-c3c(-c4ccccc4)noc3C)o2)c(F)cc1[N+](=O)[O-] 479193122 VOKYIWOBVWLABY-UHFFFAOYSA-N 410.361 4.635 5 20 HJBD COCC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C)c1ccc(C)o1 479744977 HNEYJRUFZPXYSF-QGZVFWFLSA-N 406.504 4.752 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1C 481497252 NQMFTLSUVNWAMP-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)s1 482142833 QEZOIWRDNDFOHM-CYBMUJFWSA-N 412.515 4.626 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC(F)F 487182611 BIWQUTQWRNDSLJ-UHFFFAOYSA-N 406.307 4.513 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488709417 BIOUTTBMVSEZAL-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488788698 YGXMPWCXICGDKG-CYBMUJFWSA-N 404.492 4.610 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1OCC)OCCO2 490634363 ZOPYUZREWREKPK-UHFFFAOYSA-N 418.471 4.519 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC=C(C(F)(F)F)CC1 496751207 DLFYYIMLRTWCSA-UHFFFAOYSA-N 405.376 4.542 5 20 HJBD CC(C)(C)[C@@H]1C[C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 497388639 OLFGZUUBYZRXGJ-RXVVDRJESA-N 411.502 4.530 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-n2ccnc2)c(Cl)c1 499035333 YUFRXSMRVHDXFG-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD CC(=O)Nc1sc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])nc1-c1ccccc1 501136587 SMKLNOILJBHTQE-UHFFFAOYSA-N 424.482 4.593 5 20 HJBD C[C@H](NC(=O)c1ccc(C(=O)N[C@@H](C)c2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 502782908 FBPPRKARYVVTCM-IRXDYDNUSA-N 417.465 4.577 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OCC(F)(F)F)c1 505535021 XSXDTJDLWZWENM-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD O=C(CC[S@@](=O)Cc1ccc(C2CCCCC2)cc1)Nc1ccccc1[N+](=O)[O-] 505768683 ZQVVKKRJCVRONR-GDLZYMKVSA-N 414.527 4.920 5 20 HJBD Cc1cn2c(n1)[C@@H](CNC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1)CCC2 505904510 RKYVVXMHNXVRQW-QGZVFWFLSA-N 416.547 4.545 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(CC3CC3)nn2-c2ccccc2)c1 507950356 OFNHJASYVSIURK-UHFFFAOYSA-N 408.483 4.707 5 20 HJBD C[C@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 512256878 COKTZYBTUZLGBR-CYBMUJFWSA-N 403.891 4.911 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c2)cn1 513026603 SAOJDFQOXPDXJQ-UHFFFAOYSA-N 411.845 4.808 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@H]3CCO[C@H](C(C)C)C3)n2)cc1[N+](=O)[O-] 514151266 JGMNKNSPPCTTPB-KSSFIOAISA-N 403.504 4.807 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](Sc1ncccc1[N+](=O)[O-])c1ccccc1 517805748 HBQLYXZDRJCMAH-IBGZPJMESA-N 409.467 4.779 5 20 HJBD CC(C)NC(=O)c1ccc(N2Cc3ccccc3-c3ccccc3C2)c([N+](=O)[O-])c1 522356510 TYEFBUVDANTDCM-UHFFFAOYSA-N 401.466 4.920 5 20 HJBD Cc1c(C[S@](C)=O)cccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 522644311 PNCWMIPWTBDWIN-PMERELPUSA-N 423.494 4.778 5 20 HJBD C[C@@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C[C@@H](C)S1 525274609 LYKSOILHGGYHCI-LPMFXHHGSA-N 419.934 4.754 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])cn2)cc1 525589559 XNMVOEMBZYYTQS-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD C[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 531262690 SMCROTWFOOCEGF-QGZVFWFLSA-N 417.465 4.657 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)cc1[N+](=O)[O-] 531836517 AIJUULZPOCXSHQ-UHFFFAOYSA-N 414.364 4.855 5 20 HJBD Cc1noc(C)c1CC(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149723 IAXFPKCJJKSAKQ-UHFFFAOYSA-N 414.487 4.555 5 20 HJBD CC(C)c1nnc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 537505769 GVQWZFNHSPDLKU-UHFFFAOYSA-N 404.430 4.566 5 20 HJBD Cc1ccc([C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)N2CCCC2)o1 537586584 MLRSQKSCLWMLDI-SFHVURJKSA-N 417.531 4.564 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1nc2c(s1)CCCC2 538190880 NFNBBTHUHKADOY-UHFFFAOYSA-N 419.572 4.793 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](Cc3ccccc3)c3ccccc3F)n2)cc1 540460297 HOTBRLOQSAFQBA-OAQYLSRUSA-N 418.428 4.858 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccnc2)Cc2ccccc2C)cc1[N+](=O)[O-] 542036592 QNAVLPHFYRVPLW-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2/C=C/c2cccs2)cc([N+](=O)[O-])c1OC 542184345 YFBNJERGHOVGGT-SCOAYWHSSA-N 416.499 4.772 5 20 HJBD O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]12)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543459368 RWOFCSXWKSDBQB-QXAKKESOSA-N 404.532 4.565 5 20 HJBD CN(C(=O)c1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1)c1ccccc1 544913599 IJBIGKOUQVBYFQ-UHFFFAOYSA-N 414.421 4.512 5 20 HJBD Cc1c(-c2nc(Cc3c[nH]c4cc(Br)ccc34)no2)cccc1[N+](=O)[O-] 547248482 MJZYMIWVLJYTHI-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2c(O)cccc2Cl)cc1[N+](=O)[O-])c1ccccn1 552781684 RRZURHZEVKHZNY-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD COc1cc(C)ccc1-c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 554831965 IPJMMXFTDZKEET-UHFFFAOYSA-N 404.220 4.700 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 557807081 BLHASPRUJMWZKE-UONOGXRCSA-N 409.408 4.947 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(-c3cc4ccccc4o3)cs2)c1 558804490 WSSRJIQQKRGIOW-UHFFFAOYSA-N 423.450 4.793 5 20 HJBD COCCN(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 567556024 JVFQRTTUELOERQ-LSDHHAIUSA-N 424.419 4.953 5 20 HJBD COc1ccc(Oc2ccc(S(=O)(=O)Nc3cc([N+](=O)[O-])ccc3C)cc2)cc1 573172985 PATBDTDXNCQXEE-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Br)c2cccnc12)c1cccc([N+](=O)[O-])c1 577589510 HJVKZRGFDWICTK-LLVKDONJSA-N 400.232 4.648 5 20 HJBD COC(=O)c1ccc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)o1 581480706 OKTSEGGMIPSFRA-UHFFFAOYSA-N 416.817 4.710 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC3(CCCC3)CC2)cc1[N+](=O)[O-])c1ccccn1 583170860 QJTHSOACEGKVML-QGZVFWFLSA-N 408.502 4.959 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](CC)c1nc(C(F)(F)F)cs1 589374991 FGVAWBYVIHIVIA-NSHDSACASA-N 402.398 4.905 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC(=O)c1ccc(-c2ccccc2)cc1 603575819 ULRKKMLLXKASAR-UHFFFAOYSA-N 402.450 4.883 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)s2)cs1)c1csc([N+](=O)[O-])c1 603752376 MSTUEIGNSVJDIM-UHFFFAOYSA-N 416.303 4.856 5 20 HJBD Cc1cc(-c2cc(C(=O)NCc3ccc([N+](=O)[O-])cc3)c3c(C)noc3n2)c(C)s1 603999602 RDOXDONGJRZSQX-UHFFFAOYSA-N 422.466 4.715 5 20 HJBD C[C@@H](N[C@H](C)c1c(F)cccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 604047860 OHTIYBBYIFJAQA-NXEZZACHSA-N 417.334 4.570 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604093289 FDAHKYMCBNYGQN-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD Cc1c(NC(=O)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)cccc1[N+](=O)[O-] 608993302 ZRFHOZFMMKXGAF-KRWDZBQOSA-N 410.257 4.815 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 620221525 MEOYELMUVGHWOT-UHFFFAOYSA-N 414.505 4.648 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(Cc2cccs2)oc1=S 727421172 IJEIMSKLBVFZFL-UHFFFAOYSA-N 404.517 4.634 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4cccc(N)c4)no3)cc2[N+](=O)[O-])n1 731800517 VRFYSVGIPHVCOV-UHFFFAOYSA-N 411.468 4.810 5 20 HJBD Cc1ccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cc1NC(=O)c1ccco1 734883692 OFXRASWHOWELBU-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)c1cccc(Cl)c1 741001841 GIDYOBMKBMUQPF-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Nc3ccc(F)cc3)c3ccncc23)c1[N+](=O)[O-] 741284624 OCUMIIUARHJKQL-UHFFFAOYSA-N 420.404 4.564 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@H](C)c1ccc(Br)s1 744057103 NNQJJNRGQNSUMB-SNVBAGLBSA-N 414.277 4.664 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745074140 NRKDABHUSAVCFU-RHSMWYFYSA-N 418.877 4.633 5 20 HJBD C[C@@H](Cc1ccccc1Cl)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754990984 XKESOLKPQBYMMM-VIFPVBQESA-N 415.646 4.511 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755457993 MJDUICNIYXJFEU-LIRRHRJNSA-N 414.893 4.601 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H]3C[C@H](C)O[C@H](C)C3)cc2[N+](=O)[O-])n1 756057218 SKTSFYQMMYIMEE-DABQJJPHSA-N 408.501 4.624 5 20 HJBD Cc1c(NC(=O)c2cccc([N+](=O)[O-])c2Br)cccc1C(F)(F)F 758680495 YRTUHHMAMLFMTF-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1cccc([N+](=O)[O-])c1Br 759340994 HKBKPGSGMABBPK-LSDHHAIUSA-N 403.276 4.814 5 20 HJBD O=C(CSc1nccc2ccccc12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760530408 GTARKTXQTBTLKJ-UHFFFAOYSA-N 421.434 4.634 5 20 HJBD C[C@@H](C(=O)OCc1csc(COc2ccc(F)cc2)n1)c1cccc([N+](=O)[O-])c1 763404337 QKNRAPGEFRRXAO-CYBMUJFWSA-N 416.430 4.616 5 20 HJBD O=C(NCc1ccc(-n2ccnc2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764006447 KCJQYKZYPGSLFL-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 770008998 OABCSSWVSJWQSK-MAUKXSAKSA-N 407.829 4.507 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2)on1 776139251 OWMDCDAHXPTBAI-OAQYLSRUSA-N 401.378 4.591 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777936345 LBBLRCSJNSWXEP-LLVKDONJSA-N 402.319 4.925 5 20 HJBD Cc1ccc(-c2c[nH]cc2C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 778427993 HCAVAVOVWUKZBH-LBPRGKRZSA-N 424.438 4.928 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1F 784152459 YSEWCAJBEJEMMA-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD O=C(c1cccc(COc2cc([N+](=O)[O-])ccc2Br)c1)N1CCCCC1 786747558 YFWAUQCZUFLPEK-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] 797205012 NREVKIUULWSLIV-QWHCGFSZSA-N 419.524 4.845 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(-c2noc(C(F)F)n2)cc1 798157831 SXLPGVZCTYHJTQ-UHFFFAOYSA-N 422.775 4.508 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCc1ccccc1NC(=O)OC(C)(C)C 800148914 STVHHEYCQVGWKQ-UHFFFAOYSA-N 417.437 4.961 5 20 HJBD Cc1ccc(Nc2nn(CN(C)CCc3cccc([N+](=O)[O-])c3)c(=S)s2)cc1 800866181 VVOLYPAWDLFSCO-UHFFFAOYSA-N 415.544 4.766 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(C/C(C)=C/c3cccc([N+](=O)[O-])c3)CC2)cc1 812777143 XWUTVZRMUHOUEM-UFIOJNINSA-N 410.514 4.842 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1cccc(OC[C@@H]2CCCO2)c1 864026598 SHHFXFWCHKLRGV-HNNXBMFYSA-N 407.264 4.527 5 20 HJBD CC(C)(c1nc(-c2cccc(CN3CCCCC3)c2)no1)c1ccccc1[N+](=O)[O-] 904434517 RYJIXEILVJQDNR-UHFFFAOYSA-N 406.486 4.957 5 20 HJBD O=C(CSc1ccc2ccccc2c1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914886375 ASDOKKZQMXEUJP-UHFFFAOYSA-N 421.434 4.634 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)ccc1F 918863319 GKWOJEYNFGWCFL-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD COc1cc2c(cc1NC(=O)CNc1cc([N+](=O)[O-])ccc1F)oc1ccccc12 921059466 YFDAKEVXYHAEKD-UHFFFAOYSA-N 409.373 4.693 5 20 HJBD CC(C)(c1ccccc1)c1nnc(-c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])o1 1117242829 VPCQYXUGYYVAQD-UHFFFAOYSA-N 407.348 4.912 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)o1 1251316759 YDOOFGPKXYSLLG-GJZGRUSLSA-N 408.458 4.864 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(CSc3nccn3C)o2)n1 1254858967 BJNYROKTRGWPOP-UHFFFAOYSA-N 411.443 4.547 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1 1319212796 PJQWSVLTZIWILS-UHFFFAOYSA-N 412.446 4.532 5 20 HJBD O=C(c1ccc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1)N1CCCC1 1319761117 YRPCENPAORLVAV-UHFFFAOYSA-N 423.494 4.919 5 20 HJBD Cc1cc(-c2nnc(CCCOc3ccc(Cl)cc3C)o2)c(N)c([N+](=O)[O-])c1 1323913021 NVSPIHQJGGWQGZ-UHFFFAOYSA-N 402.838 4.509 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 31726135 XLBCYKXZHZPIBG-INIZCTEOSA-N 423.256 4.537 5 20 HJBD COc1ccc([C@H](N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 42637267 WYHBPJUDNDRJHA-GAJHUEQPSA-N 419.481 4.618 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 57650349 JZXJOUURKHVMFZ-UHFFFAOYSA-N 406.423 4.555 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Br)cc1)C1CCC1 59810262 CQNNOSVSWWWVJF-IBGZPJMESA-N 403.276 4.557 5 20 HJBD Cc1ccc(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCOCC2)cc1[N+](=O)[O-] 60016313 NRBZNXUSCKDHKG-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 64963253 DNYNNPHOXSHOKF-SFHVURJKSA-N 414.458 4.770 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCc3sccc3C2)cc1 109725898 NYXWWNBHJYOPCX-UHFFFAOYSA-N 422.510 4.537 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCn3c2nc2ccccc23)cc1[N+](=O)[O-] 195693155 JIVMKACMIXPGNW-UHFFFAOYSA-N 410.499 4.743 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 301825582 MIZHUPRSZQURTK-KRWDZBQOSA-N 423.391 4.538 5 20 HJBD Cc1cc(N2CCC(C(=O)c3ccc(F)cc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302870121 XZZGKYOHVVVWJR-UHFFFAOYSA-N 420.444 4.599 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 303041574 PYGPXXSKJQPGSX-UHFFFAOYSA-N 411.502 4.839 5 20 HJBD CCN(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)[C@@H](C)c1cc2ccccc2o1 303445318 GVYJQLVUKYTHOM-ZDUSSCGKSA-N 405.410 4.818 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1ccc(Br)cc1[N+](=O)[O-] 429869187 FDOZTIVATUWNGC-UHFFFAOYSA-N 410.293 4.725 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 433115207 HZASTSLGTGFBPG-UHFFFAOYSA-N 412.490 4.945 5 20 HJBD O=C(N[C@H]1CCC[C@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435938938 SYZOXIPJZHSPDU-RYUDHWBXSA-N 406.379 4.671 5 20 HJBD CN(C)C(=O)c1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440413283 HQXBJPBMQTYUBC-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444009863 MYOCWAKMVCETSW-UHFFFAOYSA-N 400.503 4.736 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1sc(Br)cc1[N+](=O)[O-] 444833795 JJWMHWRXTFMZLL-VIFPVBQESA-N 417.281 4.525 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 445131295 DBIRNOURLUPTDU-NZQKXSOJSA-N 418.880 4.595 5 20 HJBD CC(C)Cn1cc(NC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)c(C(F)F)n1 445131919 FLDAHRFZLFPUDN-UHFFFAOYSA-N 410.424 4.724 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)n(C2CCCCC2)n1 461184909 ANAXSPUPQOSAFW-UHFFFAOYSA-N 402.520 5.359 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC1(c2cccc(Cl)c2)CCOCC1 463970497 LIEKTFOPINRNOU-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD O=C(CSCc1cccc(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464663709 XMABFOJCYDPPDP-UHFFFAOYSA-N 420.918 4.551 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)NCCc1ccccc1[N+](=O)[O-] 466148200 SFTYBCPJFQBCRZ-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)CN(C)c2ccccc2[N+](=O)[O-])c1 466618506 MDOBUYFBNCXJNC-UHFFFAOYSA-N 424.888 4.621 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(c3ncccc3Cl)CC2)cc1[N+](=O)[O-] 469947498 KKYFVELBUYQWKK-UHFFFAOYSA-N 401.894 4.961 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN(C)CC(=O)Nc1c(Cl)cccc1Cl 470170593 XQUWDANZCUKIOH-LCYFTJDESA-N 408.285 4.875 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 478579245 KDFBEOQMCCYKMZ-CTYIDZIISA-N 423.694 4.616 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1cccc(NC(=O)Nc2cccc(C)c2)c1 479397901 TWWYUOOLVISUIZ-UHFFFAOYSA-N 408.462 4.684 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)n1 482841371 OGXLUXVBNDYZQH-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cn1cc(C(=O)N2CCC[C@@H](c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 484433861 FZLQZLSLNAAYMU-MRXNPFEDSA-N 422.460 4.544 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 486091218 PGMKEXGSASKBPT-QWHCGFSZSA-N 409.305 4.875 5 20 HJBD O=C1C[C@@H]2CC[C@H](C1)N2C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 487459740 FSLRKNNLYMFIRU-GASCZTMLSA-N 416.886 4.736 5 20 HJBD Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1OC(C)C 488427024 WUOSWXMWEMAIHE-UHFFFAOYSA-N 400.478 4.889 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 489636768 SRDCEHIHDZAQPI-JKSUJKDBSA-N 422.403 4.992 5 20 HJBD CC(C)c1nc(-c2ccccc2NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n[nH]1 492613874 OPNGYNCVOOTMBF-ZDUSSCGKSA-N 411.487 4.623 5 20 HJBD C[C@H]1CCN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 494106540 KNLCRNYOEQMXER-LIRRHRJNSA-N 419.934 4.755 5 20 HJBD CCCN(CC(F)F)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 498475368 RSJPBSSQINWIJD-UHFFFAOYSA-N 411.836 4.978 5 20 HJBD C[C@@H](CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 502366081 LUAISOVQFIQXPM-AWEZNQCLSA-N 409.408 4.601 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2cnccn2)c1 502767807 QFJRLXHRQNFUNQ-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)N[C@@H](c1ccccc1)C1CCCC1 504552267 BLJZGQGJSACQTP-QHCPKHFHSA-N 404.470 4.506 5 20 HJBD O=C(Nc1cccc2c1OCCC2=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 504980943 NCRGCFLLNLNABT-UHFFFAOYSA-N 404.378 4.605 5 20 HJBD COc1ccc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@@H](C)C2CC2)c(OC)c1 510148050 WENVTTYORVCATC-ZDUSSCGKSA-N 417.437 4.584 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 512617550 NANNRDXCEUHBRO-QZTJIDSGSA-N 424.375 4.548 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(Oc2ccncc2)c(Cl)c1 512961881 VHWVCLVIBVPQOV-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 515098133 INKKAGPNKXANRC-STQMWFEESA-N 416.302 4.957 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ccc(OCc4cccnc4)cc3)no2)c1 517631732 YTZFVNPCUXHKIS-IZZDOVSWSA-N 400.394 4.789 5 20 HJBD COc1ccc(C[C@@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 518632848 YDFIMYIENHOGMQ-WMZOPIPTSA-N 422.485 4.669 5 20 HJBD O=C(Nc1nnc(Cc2ccc(F)cc2)s1)c1cc2cc([N+](=O)[O-])ccc2s1 520722981 XAJLWPJLEDWBAE-UHFFFAOYSA-N 414.443 4.643 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)C2CCC2)cc1SC 521534261 VMQMYGPKXOLAFG-IBGZPJMESA-N 404.463 4.736 5 20 HJBD CC(C)[C@@H]1CCCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522894716 VXFLMLORFFAWKG-INIZCTEOSA-N 411.289 4.738 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(N[C@H](C)c3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 524141290 JSXCSPPIMSNKEP-GFCCVEGCSA-N 413.865 4.716 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H](CCO)c3ccccc3)c([N+](=O)[O-])c2)cc1 525365526 IXASZUNVUQMVOJ-HXUWFJFHSA-N 419.481 4.734 5 20 HJBD C[C@H](O)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 533015117 KQAILEGWOGCOIK-UONOGXRCSA-N 420.918 4.632 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c(C)c2c1 533941553 LRBOAQFMUKBVFZ-ZDUSSCGKSA-N 407.426 4.559 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534063115 YZBYCHWLERPEFU-LBPRGKRZSA-N 406.847 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nc(-c4ccc(Cl)cc4)n[nH]3)co2)cc1 534792012 BWCYWXZBLHGYEY-UHFFFAOYSA-N 413.846 4.981 5 20 HJBD O=[N+]([O-])c1cc(CNc2cnn(-c3ccccn3)c2)ccc1Oc1ccc(F)cc1 535071983 SNYILVUDIPOHCU-UHFFFAOYSA-N 405.389 4.719 5 20 HJBD Cc1c(NC(=O)NCCc2cccc([N+](=O)[O-])c2)cccc1C(=O)Nc1ccccc1 535488726 JUJHMHXGITYMOF-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 537135536 HKJKGVNPQLHRTH-AEFFLSMTSA-N 406.891 4.620 5 20 HJBD O=C(Nc1c(F)cc(Cl)cc1F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 537148065 APQCWIIQMUKWRY-UHFFFAOYSA-N 410.682 4.720 5 20 HJBD C[C@H](OC1CCCCCC1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537153516 JZUBDJWSSPDXIP-AWEZNQCLSA-N 403.504 4.809 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cncc(Br)c1 540741710 LBZGPOMPBKIKLB-UHFFFAOYSA-N 410.293 4.897 5 20 HJBD C[C@H](C(=O)N(C)CCCc1cc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 541602759 VQLYYMXBCULWPA-HNNXBMFYSA-N 411.433 4.584 5 20 HJBD COc1cc(-c2nc(-c3cccc(-c4csc(C)n4)c3)no2)cc([N+](=O)[O-])c1OC 545687043 WMURCEGHLYBLQV-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc3nc(C(F)F)sc3c2)c1 552726402 GYXRVITTXJTWNF-UHFFFAOYSA-N 407.398 4.858 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(CCc4ccccc4)o3)co2)cc1 556279176 XCMOONPOHVSMPF-UHFFFAOYSA-N 408.439 4.710 5 20 HJBD Cc1cc2cc(CNC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])ccc2[nH]1 557165337 TYFSJZHKUYSSIQ-UHFFFAOYSA-N 415.449 4.894 5 20 HJBD Cc1nc2ccccc2cc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 557569148 DQPKOWUYORJDCB-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD COC1(C)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 557571536 YSDVLVYWSPEOPC-UHFFFAOYSA-N 404.850 4.682 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)ccc1OCC(F)(F)F 558762763 ZMKKXAXVYYAXES-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 569537235 BIMHKYDTPYLMJO-MRXNPFEDSA-N 421.453 4.601 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Oc2cccnc2)cc1 573570735 QWVZKTDSJRLLFD-CQSZACIVSA-N 402.410 4.754 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC(C)(C)[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-])c1ccccn1 575153184 OFAFXPJLEHEFRE-VPWXQRGCSA-N 408.502 4.814 5 20 HJBD CCC(CC)(OC)c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 580132956 LIYKOIUEKHOVHO-CQSZACIVSA-N 411.462 4.875 5 20 HJBD NC(=O)c1ccnc(N[C@H](c2ccccc2)c2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 589588780 IDGFEMZBLXJWJJ-MRXNPFEDSA-N 417.252 4.597 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccccc2)ccc1NCc1ccc(Cl)s1 603552768 NFGIIJABQFLNKM-UHFFFAOYSA-N 423.903 4.723 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@@H]1c1cccs1 603730857 TYUURICDRTWADH-CQSZACIVSA-N 400.378 4.575 5 20 HJBD COc1cccc(Oc2ccc(Nc3ccc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2)c1 603932592 USQOEKBQHMKEQP-UHFFFAOYSA-N 414.439 4.543 5 20 HJBD COc1ccc(Br)c(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 604542506 FLRQBOXWAGXHEZ-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3cccc(Cl)c3)cs2)cc1[N+](=O)[O-] 609010452 CAXNXQOOXIXYFS-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCc1cccc(Br)c1 609410403 QBUHPCVRTMAVHA-ZDUSSCGKSA-N 423.332 4.587 5 20 HJBD O=[N+]([O-])c1ccc(CCNCc2csc(COc3ccc(Cl)cc3)n2)cc1 609599693 RFKNUFFJODESGR-UHFFFAOYSA-N 403.891 4.616 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@@H](Cc2ccc(F)cc2)C1 609908860 CXJIXZHOLWBIGL-HNNXBMFYSA-N 411.458 4.562 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3cccc(Cl)c3)o2)c(Br)c1 609961739 CLOHKLKCZLFMNJ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 610187250 AKUABSWRSXKJHJ-CWTRNNRKSA-N 406.866 4.783 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 613296893 BOJRCFAWLGTFMK-QWRGUYRKSA-N 413.318 4.806 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](Cn2cnc3ccccc32)C1 619544417 JEFBCWUNUYYQKX-MRXNPFEDSA-N 424.526 4.609 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1c(Cl)cccc1Cl 726028098 AJIRLMSKVLTQEV-WDEREUQCSA-N 411.241 4.576 5 20 HJBD O=C(c1ccn(-c2ccccc2F)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728165622 LZFVVIAGUJRAHK-UHFFFAOYSA-N 414.824 4.630 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2cccc(CCCc3ccccc3)c2)c([N+](=O)[O-])c1 731626857 HVSKCJDDQOILEH-UHFFFAOYSA-N 418.449 4.600 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@H]1c1ccc(Cl)cc1 731921005 YEUNGQGJNQCFGJ-AWEZNQCLSA-N 416.833 4.586 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Cl 731999810 OOEDCVQIIPGRIO-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD O=C(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Nc1cccc2ccccc12 732231149 IJOKNLQBVGHBMU-UHFFFAOYSA-N 401.422 4.736 5 20 HJBD COCc1cccc(OC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 733072627 AFGXYOFULYSWRU-OAHLLOKOSA-N 407.426 4.529 5 20 HJBD O=C(COc1ccc(Oc2ccccn2)cc1)OCc1cc([N+](=O)[O-])ccc1Cl 734779518 GLKHEZPNYFVHOW-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD Cc1cccc(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)c1[N+](=O)[O-] 741819602 OLXPPWBLFPSEAZ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc(NC(=O)c2ccc(-c3cc(F)c(F)c(F)c3)cc2)c([N+](=O)[O-])c1 741890005 VBFNTAZMZPUJSQ-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 746078570 IKNKPZFJUYNGTE-LLVKDONJSA-N 421.331 4.890 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 749915549 ILFDWRDFYVURRX-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(F)c(F)c1 752333376 RAUKQKZFSOAUFM-HNNXBMFYSA-N 412.845 4.982 5 20 HJBD COc1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)[C@H](C)C2CC2)cc1 757255846 KKVYWNCPVSOKNY-CQSZACIVSA-N 417.893 4.767 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])s2)cs1 758391120 VYLMEIJPVTZUSC-UHFFFAOYSA-N 405.476 4.508 5 20 HJBD O=C(NCc1nc2c(s1)CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764097097 YNBJJKUCPJQWMU-UHFFFAOYSA-N 417.556 4.895 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Br)c(Cl)c1F 766387036 FUBLXTDEKVSQEF-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cccc(Cl)c1[N+](=O)[O-])CC2 776403708 CRWIBLYRXBNKJS-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2cc3ccccc3[nH]2)CC1 778665697 IEPLZYUZQBWSQJ-UHFFFAOYSA-N 415.396 4.697 5 20 HJBD Cc1cc(C(=O)CCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)s1 783387118 WDQKGZKXUKKFAF-UHFFFAOYSA-N 414.439 4.634 5 20 HJBD COc1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c(OCc2ccccc2)c1 783950466 ORXXMNXIVVSURS-UHFFFAOYSA-N 409.467 4.991 5 20 HJBD COCc1nc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c(-c2ccccc2)s1 799594588 DNEIXFPOMBWAOP-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H](C)Sc1ccc(Br)cc1 800149013 NJALWXNPFFUTNB-SNVBAGLBSA-N 413.268 4.924 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc([C@@H](F)c3ccc(C(F)(F)F)cc3)no2)cc1F 801001582 LATYKJYLQIQRKW-HNNXBMFYSA-N 415.274 4.774 5 20 HJBD O=C1/C(=C/c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC(=S)N1Cc1ccccc1 810212790 YLMYZBKAPUYNLD-ATVHPVEESA-N 421.503 4.581 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=S)Nc1ccc([N+](=O)[O-])cc1 811720339 KAQJSFNAMSSGKA-UHFFFAOYSA-N 414.256 4.704 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(C2CCCCC2)no1 813032150 NBMJQNVHRYUUMU-SECBINFHSA-N 413.261 4.823 5 20 HJBD Cc1c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(Cl)cc1 825198237 GHMHYQXWUNBPIF-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD O=C1Nc2cc(Cl)c([N+](=O)[O-])cc2/C1=C/c1ccc(OCc2ccncc2)cc1 915986885 HPVDVFFUVMUWHL-MFOYZWKCSA-N 407.813 4.715 5 20 HJBD C[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)CCC(=O)N2 917079544 QNUMBGNXPAMLDP-VIFPVBQESA-N 424.335 4.580 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC1CCN(c2ccc(C(C)(C)C)cc2)CC1 919792668 WCFOPOUBNKRJAN-UHFFFAOYSA-N 424.545 4.703 5 20 HJBD Cc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Br)c2c1[C@@H](C)CC2=O 1115940579 PRUIOXIOGXGZHG-JTQLQIEISA-N 404.216 4.575 5 20 HJBD C[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccc(Cl)c(F)c1 1116425407 ZWRLSAPXKMFPFU-SNVBAGLBSA-N 423.231 4.760 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccccc2)c2nccs2)c(Br)c1 1116646687 MHWKXWVCFAEETL-MRXNPFEDSA-N 404.289 4.693 5 20 HJBD CC(C)N(C)C(=O)C1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1323290740 HQUSAXXIOOZOJN-UHFFFAOYSA-N 419.909 4.587 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)CSc2ccccc2[N+](=O)[O-])ccc1F 1337626722 VCMICCVNDHAZPK-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(Cl)cc3)cs2)c([N+](=O)[O-])cc1OC 14698594 MQNPGHBAOQEIOY-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 25756470 OQNIBAUUJUUIKM-AWEZNQCLSA-N 401.488 4.699 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NCc2sccc2C)c([N+](=O)[O-])c1 26730456 RFJPNFTZDNNZHF-UHFFFAOYSA-N 410.451 4.594 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)cc2)cs1 29103096 CUTREDUJLAJBHT-ZDUSSCGKSA-N 410.499 4.584 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 52935100 PMZQIRWPCJDNFZ-QGZVFWFLSA-N 404.470 4.526 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccnc(Oc3ccc(F)cc3)c2)c1 303170019 YMBSLTOGXQUWQK-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 426179582 ILCCCXLWPQAFLF-MRXNPFEDSA-N 424.501 4.861 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CC2(CCC2)c2c(F)cccc21 429784728 LDCLBGIAIXSQIO-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)c2)oc1C 431460289 VHWHTUOEIXUHBS-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(NCCCCOc1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435939459 VKEKCDMHFBSRFD-UHFFFAOYSA-N 420.896 4.924 5 20 HJBD COc1cccc(Oc2ncccc2CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1 436391244 OPEZQUACSCWTET-UHFFFAOYSA-N 420.347 4.817 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)c(C)c2)s1 438910749 HVNKXHHMHXAKPD-UHFFFAOYSA-N 414.512 4.704 5 20 HJBD CC(=O)Nc1ccc(C)c2c1CCCN2C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 438942572 QMZMTDMQVAQBJP-UHFFFAOYSA-N 409.467 4.669 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1OCc1ccncc1 438975355 NVBLPONIRHXDRO-UHFFFAOYSA-N 421.453 4.953 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 439648001 NXPXOVAAEWTNSA-UHFFFAOYSA-N 407.474 4.984 5 20 HJBD Cc1cc(NC(=O)COc2ccc([N+](=O)[O-])cc2-c2cccs2)n(C(C)(C)C)n1 443400773 AKULHMWMOFEBDM-UHFFFAOYSA-N 414.487 4.601 5 20 HJBD O=C(NCC1CCC(C(F)(F)F)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444099725 DFYYJJUBIIGELU-UHFFFAOYSA-N 420.406 4.919 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 444912085 JXXSKOPMOGUAEX-MRXNPFEDSA-N 415.837 4.502 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1Cc2cc(Br)ccc2C[C@H]1C 446482835 XEJFSWRLOYLAFO-GFCCVEGCSA-N 404.264 4.644 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)[C@@H](C)c1ccc(Cl)c(Cl)c1 463061568 JCTLXMIRSVZCDR-JTQLQIEISA-N 404.271 4.586 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 463932181 LBYFPWTVZYBEQF-UHFFFAOYSA-N 414.811 4.733 5 20 HJBD O=C(Cc1cc(Cl)ccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464668016 YXWTYPQQNCRQPT-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD CC[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 465194164 QHGCQIXTAYANCD-LLVKDONJSA-N 407.801 4.534 5 20 HJBD C[C@H](O)C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccccc1 469141531 XPKLSQCCYRCEIJ-PKOBYXMFSA-N 420.465 4.672 5 20 HJBD O=C(NCC1(c2cc(C(F)(F)F)ccc2Cl)CC1)c1ccc(F)cc1[N+](=O)[O-] 469841576 CKQGAPWYIYURBW-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])Nc1ccc(Cl)cc1C(F)(F)F 471290555 AEUOOZHLFSOMFR-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(=O)Nc1cc(-c2ccccc2)ccc1Cl 472558649 XPLVAELQLBCBSN-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD CCN(Cc1ccc(CN(C)C)cc1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475218997 YLNCGHWCFXAGJP-UHFFFAOYSA-N 424.423 4.729 5 20 HJBD COc1c(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 475530673 LJSRIBBMLIDAAY-IBGZPJMESA-N 424.432 4.500 5 20 HJBD CCC(=O)c1ccc(NC2CCN(C(=O)[C@@H](CC)c3ccccc3)CC2)c([N+](=O)[O-])c1 479965328 PKCSMSXGBLKFCX-FQEVSTJZSA-N 423.513 4.784 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc3sc(C)nc3cc2Cl)cc1OC 484673826 ANJISAGKQGUQQZ-UHFFFAOYSA-N 421.862 4.826 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F 485611290 WAAWRTCFPGGHHS-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1 486226307 NDTNRFXWTCBWRF-ZDUSSCGKSA-N 406.529 4.546 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(C(=O)c3ccccc3[N+](=O)[O-])C2)n1 487241617 VQEOFCBXEUMWJQ-HNNXBMFYSA-N 423.494 4.747 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1ccc(Cl)cc1)C1CC1 488460492 QISZFISAMPJJAD-UHFFFAOYSA-N 420.918 4.792 5 20 HJBD COc1cc(CNc2cccc(CC(F)(F)F)c2)c([N+](=O)[O-])cc1OCC(F)F 491063019 CFFOSGGIEGUEBY-UHFFFAOYSA-N 420.334 4.964 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](CCCO)c1ccccc1 495361105 WDVBPPXKBHEESJ-LJQANCHMSA-N 402.516 4.587 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccnc1SCc1c(C)noc1C 495773900 FHEUYGJQZMUBEB-UHFFFAOYSA-N 412.471 4.756 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498159615 QQRFVHBXSZMXGA-SCTDSRPQSA-N 422.428 4.991 5 20 HJBD CCOc1cc(C(=O)N(Cc2cccc(Cl)c2)C(C)C)c([N+](=O)[O-])cc1OC 499871577 CSIJHTQZGNPLTD-UHFFFAOYSA-N 406.866 4.706 5 20 HJBD C[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Oc2ccccc2)cc1 507030049 NOVCENYSYOMTFZ-OAHLLOKOSA-N 412.467 4.964 5 20 HJBD CC1(c2ccc(Br)cc2)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 508367857 MZYXXWXGELVYAH-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD COc1cc(C(=O)NC[C@@H]2CC3c4ccccc4C2c2ccccc23)ccc1[N+](=O)[O-] 511046617 LLHRGSRFDPJWIV-FERLNZQHSA-N 414.461 4.631 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 513133260 FVITZKPQWUVIHY-IBGZPJMESA-N 420.391 4.606 5 20 HJBD COc1ccc(C[C@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 518632846 YDFIMYIENHOGMQ-FUHWJXTLSA-N 422.485 4.669 5 20 HJBD C[C@H]1CCC[C@H](OCc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)c2)C1 520141081 XVZIJUVEESFKEH-YOEHRIQHSA-N 412.442 4.671 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 521143934 BINBGOZYVPICHT-CQSZACIVSA-N 406.467 4.936 5 20 HJBD CCOC(=O)c1c(C2CC2)csc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522738554 HYAISIMFCCJDOY-UHFFFAOYSA-N 408.863 4.545 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)N(c1cccc(Br)c1)C1CCCCC1 523499516 VKOXKSMGWRFGHP-UHFFFAOYSA-N 406.280 4.675 5 20 HJBD Cc1c(NC(=O)[C@H](C)NCC2(c3cccs3)CCCCC2)cccc1[N+](=O)[O-] 523519628 UXSZODWQXJMUPY-INIZCTEOSA-N 401.532 4.783 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)C1CCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 524214377 JPANBEZSQNBQJF-FQEVSTJZSA-N 411.845 4.773 5 20 HJBD Cc1sc(NC(=O)Cc2c[nH]c3c(C)cccc23)nc1-c1cccc([N+](=O)[O-])c1 525482534 XHLKNYNAUBTQFG-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 530743614 DURNROKKKHHLJW-GFCCVEGCSA-N 403.891 4.976 5 20 HJBD COc1cc(Cc2nc(O)c3c(-c4ccc(F)cc4)csc3n2)ccc1[N+](=O)[O-] 531198174 KXKLXFXWTOIVDY-UHFFFAOYSA-N 411.414 4.711 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534989840 CEGXZIFRXQAXJX-NSHDSACASA-N 402.248 4.672 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@@]12CCc1cc(Br)ccc12 537409640 TYIPRRDYJCAUFB-OXQOHEQNSA-N 415.287 4.817 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(OCc3noc(C4CC4)n3)cc2)cc1OC(F)F 537834790 RYXRWVOQFDXQMP-UHFFFAOYSA-N 418.356 4.779 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1Cl 538211489 XMFBDPZEZOKFDS-VIFPVBQESA-N 402.665 4.844 5 20 HJBD C[C@H](NCCOc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccc(F)cc2)cc1 540374667 MDIHZUWEGNRVKO-INIZCTEOSA-N 423.444 4.716 5 20 HJBD CCOc1cc(NC(=O)c2ccc(-c3cccs3)o2)c([N+](=O)[O-])cc1OCC 542112997 JTCJWVFVVKKZTL-UHFFFAOYSA-N 402.428 4.966 5 20 HJBD Cc1cc(C)n(CCCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n1 542631323 CGDABZVFOSDNFK-UHFFFAOYSA-N 416.547 4.511 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(OC[C@@H]2CCCO2)c1 543120243 BAAZADKHWQKMIU-INIZCTEOSA-N 422.890 4.537 5 20 HJBD C[C@@H](C(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 543585221 CKEYZHRVZUJLRS-CYBMUJFWSA-N 413.424 4.864 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 545102565 KHINWVQLJNGAHJ-SDMNGIDPSA-N 416.231 4.647 5 20 HJBD CC(=O)c1cc(NC[C@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 553144956 MFEHEDCWGDJTDW-JLTOFOAXSA-N 405.857 4.695 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1OCCc2sccc21 554204784 KPQUYESZDAWYDL-BFUOFWGJSA-N 420.556 4.981 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(Cl)cc2)CCC1)c1cccc([N+](=O)[O-])c1 556870865 JAWJMYLRWPONEH-FQEVSTJZSA-N 410.905 4.783 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2Cc3cccc(Cl)c3C2)cc1[N+](=O)[O-])c1ccccn1 557959228 OXGJHSLZIPLHOT-AWEZNQCLSA-N 422.872 4.972 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)c1 558788073 FPTLGBQUTWNXPO-UHFFFAOYSA-N 402.756 4.942 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)C(F)(F)F)c1 569382976 ASEHNKBTMCCQOU-HNNXBMFYSA-N 402.756 4.680 5 20 HJBD Cc1ccnc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 571576228 YBTWAGCHOLKUAW-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD CCCOc1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c(OC(F)F)c1 573349238 ISYSWSZBQKAIDL-UHFFFAOYSA-N 410.402 4.602 5 20 HJBD CCS(=O)(=O)c1ccc([C@@H](C)Nc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc1 576132171 KLUUUTIQNKORQC-CQSZACIVSA-N 406.504 4.739 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OC(F)F)c(Cl)c1 591719861 FBQNSBYEWBENJX-QMMMGPOBSA-N 421.183 4.909 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cnn(Cc3ccccc3)c2)c([N+](=O)[O-])c1 603859413 RDKNEBQJFVPXPD-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2cc(C)ccc2[N+](=O)[O-])c2cccs2)cc1 603905555 GCEKVYMKRAWMOL-JOCHJYFZSA-N 410.495 4.812 5 20 HJBD C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1cccc(OCc2ccccn2)c1 603982165 FLJOHPBQPVGWBU-MRXNPFEDSA-N 423.494 4.538 5 20 HJBD COc1ccc(-c2nc(CSCCCOc3ccc([N+](=O)[O-])cc3)co2)cc1 604066702 OYPUNALPTOPGLS-UHFFFAOYSA-N 400.456 4.961 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cc(-c4cccs4)[nH]n3)cc2[N+](=O)[O-])CC1 604194235 GNZIDDLUNHCIRR-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CC(C)NC(=O)c1ccc(NCCc2c[nH]c3cc(Cl)ccc23)c([N+](=O)[O-])c1 604487111 CDNXHUGKNLTEKG-UHFFFAOYSA-N 400.866 4.522 5 20 HJBD CSc1ccc(C(=O)N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 604519199 SNJLQIKQDPRKQG-FXAWDEMLSA-N 400.500 4.557 5 20 HJBD O=C(Nc1ccccc1)N1CCCCC[C@H]1C1CCN(c2ccc([N+](=O)[O-])cn2)CC1 609443225 RVODFPJQBPXCSW-NRFANRHFSA-N 423.517 4.683 5 20 HJBD COc1cc(C(=O)Nc2cccc(NC3CCCC3)c2)c([N+](=O)[O-])cc1OC(F)F 609806061 JAMNBBISLQCIKT-UHFFFAOYSA-N 421.400 4.812 5 20 HJBD CC(=O)c1ccccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609853602 PEBALXRXNWRPFB-UHFFFAOYSA-N 419.224 4.542 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1cc(Cl)cc([N+](=O)[O-])c1 609854747 YNOXBHWYXOCFCD-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cc(Cl)cc([N+](=O)[O-])c1 609861416 HEXBBZUHTJRWIZ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 619527346 OQYJYXDMJVHHBL-UHFFFAOYSA-N 421.428 4.601 5 20 HJBD O=c1[nH]c2ccccc2n2c(SCc3nc4ccccc4c4ccccc34)nnc12 641881751 ZLILDOBTIXQQQE-UHFFFAOYSA-N 409.474 4.565 5 20 HJBD CCN1C[C@H](CN(C)C(=O)c2cc3ccccc3c3cccnc23)Oc2ccccc21 643128739 FBNKXMIKRJJBBT-IBGZPJMESA-N 411.505 4.748 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 726602908 VFJWZYMCGJVFLN-AWEZNQCLSA-N 416.840 4.817 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCO[C@@H](c4ccccc4Cl)C3)c2c1 728904104 LRMLVZGVDYHMSM-QGZVFWFLSA-N 405.863 4.556 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc(F)ccc1[N+](=O)[O-] 730502902 HXLHLJMYKUAQLH-QFIPXVFZSA-N 406.413 4.578 5 20 HJBD O=C(CSc1nc2ccccc2s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 741957873 OVYZHVGNVHOYPD-QGZVFWFLSA-N 417.537 4.571 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1cccc(OCc2ccccc2)c1 742394493 RDTVYCRCRURDQJ-UHFFFAOYSA-N 419.437 4.743 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(C)n1 755775917 XTYFZXXFXHBNKH-UHFFFAOYSA-N 424.844 4.765 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC3(CCC3)Oc3ccccc32)cc1SC 757803045 LLUBVCDOLHZIIJ-HNNXBMFYSA-N 414.483 4.502 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)c(Cl)c1 763553507 UKMGZWRQUAMZHD-UHFFFAOYSA-N 419.890 4.739 5 20 HJBD COc1cccc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)c1[N+](=O)[O-] 768093261 IARYNWBHVPTZRM-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])CC1 772481942 SILRUDCTEZEXLK-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD Cc1cccc(C)c1OCCC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134284 AKJDUEZLEFDWAF-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc21 782123400 IKSUGXZKKHTSHH-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD Cc1c(Br)cccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790550325 ABCRVVLYAOWKDA-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790563228 ZHQOXZTVUPGPDZ-SNVBAGLBSA-N 418.774 4.696 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c2ccccc2OC)cc1 790881487 FKZRPMFTVMLYPU-QFIPXVFZSA-N 424.428 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)[C@H]3CCc4cc(Cl)ccc43)co2)cc1 794772804 DTPLQAKXOSSOTF-MYUZEXMDSA-N 402.859 4.839 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1nc2ccccc2s1 798541838 WGQLANKAWSTWPQ-NSHDSACASA-N 403.485 4.723 5 20 HJBD Cc1ccccc1[C@@H](O)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799692478 JFVOFPNYOYFXFB-IBGZPJMESA-N 408.479 4.518 5 20 HJBD CCCc1nc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)co1 800798436 CATDKJJUIUYVDI-UHFFFAOYSA-N 420.377 4.528 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2ccnc3ccccc23)c1 801903747 YXHJUHVOSDATIJ-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD CCc1ccc2occ(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 803820008 FLMZBWSJAOOESF-LBPRGKRZSA-N 407.382 4.871 5 20 HJBD CC(C)(C)OC(=O)NCC1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809958226 NWHRTCSPVAXOOL-UHFFFAOYSA-N 418.321 4.638 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813785189 KBSOTBCBVXEHAW-BLMOFCDVSA-N 415.534 4.910 5 20 HJBD Nc1ccc(NC(=O)c2ccccc2-c2ncc(-c3ccccc3F)o2)cc1[N+](=O)[O-] 819892403 GUAJMKFJFDICTR-UHFFFAOYSA-N 418.384 4.890 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1OCC 897054173 WGWCQNORLBHIIR-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD O=C1/C(=C\c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC(=S)N1Cc1ccccc1 917360866 RFCWVYSDEGPEIG-LDADJPATSA-N 421.503 4.581 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3F)cs2)cc1 917685070 SGOUDGDQWMOJIV-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1C(F)(F)F 920010136 CCQCINLZYDXXLI-VIFPVBQESA-N 417.181 4.867 5 20 HJBD O=C(Nc1ccccn1)c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1 920855317 IROIHWNYCWUKNZ-UHFFFAOYSA-N 416.481 4.701 5 20 HJBD Cc1ccc(C(C)C)cc1OC(=O)c1ccc(Sc2nncn2C)c([N+](=O)[O-])c1 929060811 FVXQCAXSYYKZCU-UHFFFAOYSA-N 412.471 4.526 5 20 HJBD O=C(NCc1cccc(C(F)F)c1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 1116842619 CTBGMHPUTLTLAF-UHFFFAOYSA-N 403.307 4.551 5 20 HJBD O=C([C@@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318741158 RBIKYIYHWVDMDC-NRFANRHFSA-N 410.376 4.536 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1 1322151148 JNYCSFISPSWYME-UHFFFAOYSA-N 412.402 4.571 5 20 HJBD O=C(Nc1cc(F)c(Br)cc1Cl)N1Cc2ccc([N+](=O)[O-])cc2C1 1325145227 XTINDUGKJWLVCF-UHFFFAOYSA-N 414.618 4.698 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1341456597 VTDAJULSFKNRGA-UHFFFAOYSA-N 413.796 4.658 5 20 HJBD COc1ccc(Br)c(-c2nnc(/C=C/c3ccc([N+](=O)[O-])cc3)o2)c1 1345948335 CRZYAAFHMIXIJO-RUDMXATFSA-N 402.204 4.586 5 20 HJBD C[C@@H](c1nnc(SCc2cccc([N+](=O)[O-])c2)n1-c1ccc(Cl)cc1)N(C)C 3376552 LYGUEMUSLZFCCO-ZDUSSCGKSA-N 417.922 4.744 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)c1cc([N+](=O)[O-])ccc1N1CCOCC1 7843044 MUMOBLDPUNZTOD-UHFFFAOYSA-N 417.421 4.583 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(COc2ccc(F)cc2)o1 10900346 DNUOXPWLJGKQSY-UHFFFAOYSA-N 418.808 4.832 5 20 HJBD C[C@H](Sc1nnc(-c2ccc(F)cc2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 12677910 YEDKFAFGLAIHKA-VIFPVBQESA-N 422.825 4.557 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2)cc1[N+](=O)[O-] 15379597 XRCATKFDYNPRRN-UHFFFAOYSA-N 423.498 4.554 5 20 HJBD O=[N+]([O-])c1cc(NS(=O)(=O)c2cc(C(F)(F)F)ccc2Cl)ccc1Cl 16147582 LDBYZJHDJBWDCW-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD COc1ccc([C@@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(C)C)cc1 22139164 RALMRPGUTTWZGI-VWLOTQADSA-N 414.505 4.677 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 46653390 ODOREGRQZMLVMC-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nnc(-c2ccc3ccccc3c2)o1 59200505 SLGIWUQJLIODJD-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD Cn1cc(C(=O)N[C@@H]2CCC(C)(C)c3ccccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 63621111 GTVAHNOMKJZNDI-HXUWFJFHSA-N 404.470 4.538 5 20 HJBD COc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc(Cl)c1OCCC(C)C 71818353 QJYNVGCETYKMSZ-UHFFFAOYSA-N 418.877 4.885 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(-c3csnn3)cc2)CC1 108948939 KTHZBVWBIITGQP-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 138438771 HZQVUCSGYDEVCZ-CYBMUJFWSA-N 419.865 4.557 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(N(C)c2ccccc2)nc1 195630495 UCMQTKAPCIJJAQ-UHFFFAOYSA-N 404.470 4.809 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1cc(C)cc([N+](=O)[O-])c1 195690594 NMXZXSZCOHUIGY-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD COc1ccc(CCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)CC(C)(C)C)cc1 303722816 RYVZVEKZXYKLDE-UHFFFAOYSA-N 414.502 4.561 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1c(Cl)cccc1[N+](=O)[O-] 428482880 IMZPSJKATFPTFO-AULYBMBSSA-N 409.667 4.687 5 20 HJBD Cn1cc(C(=O)N2CC=C(c3ccc(Cl)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 434239012 ZCRKNKHVYLQEGK-UHFFFAOYSA-N 422.872 4.578 5 20 HJBD COc1cccc(C(=O)Nc2cccc(Cl)c2SCC(F)F)c1[N+](=O)[O-] 435857666 WTTQWQZCGWCFRF-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD CC(C)(C)c1csc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)n1 436424786 IPEUUYMKXBEUCC-UHFFFAOYSA-N 409.486 4.504 5 20 HJBD COc1cc(/C=C/c2ccc3c([N+](=O)[O-])cccc3n2)c(Br)cc1O 436565995 OQDIQVKOQKZYDY-AATRIKPKSA-N 401.216 4.790 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1O)[C@H](c1ccc(Cl)cc1)c1ccccn1 436977802 GNPJAXXFCIZRBT-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD COc1ccc(OCCSCc2csc(Cc3ccccc3)n2)c([N+](=O)[O-])c1 437295692 STCXRYGRHOMOKC-UHFFFAOYSA-N 416.524 4.963 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cn2cc(Cl)cc(Cl)c2n1 440688761 DPSKSSNYUNWVLX-UHFFFAOYSA-N 407.257 4.600 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(OC2CCCCC2)CC1 447670299 MOIGDFQXYHBKNL-UHFFFAOYSA-N 423.382 4.962 5 20 HJBD O=C(Nc1ccc(F)cc1OC(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460485308 DJJOKYKFJZUUMP-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CC[C@H]2CCC[C@@H]2C1 460547608 NBETZQZFXSAUEI-YRGRVCCFSA-N 402.438 4.791 5 20 HJBD C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 461308732 VLSDYEVMEUOTJC-AOMKIAJQSA-N 411.477 4.560 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccccn2)c(F)c1 462607592 QKVUNXAOKKVMBA-KRWDZBQOSA-N 423.444 4.915 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 464299344 STWKIWNNGIAQQR-ZHRRBRCNSA-N 411.477 4.560 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2OC(F)F)C(F)(F)F)c([N+](=O)[O-])cc1F 464485942 HGUXUFGJIWLEKS-HNNXBMFYSA-N 424.297 4.737 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 464520746 NZDCGRSYIBLBSD-HKARXFIJSA-N 416.481 4.996 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)c(Cl)cc2F)cc1[N+](=O)[O-] 466563443 BPVNVEIUZMIXTH-UHFFFAOYSA-N 419.261 4.921 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 468163960 JLPKAVOSUWPTAE-KRWDZBQOSA-N 419.485 4.720 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C)c(OC)c1 472477815 RHQJYWIMHSGAQS-CQSZACIVSA-N 418.465 4.682 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(Cl)cc3Br)n2)cc1 477519146 LIQDGJJTPVKSNE-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483087073 LECFMFIYRZEYLC-UHFFFAOYSA-N 421.482 4.733 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 484010143 NNHCFDXJRBOWCT-HOTGVXAUSA-N 412.490 4.512 5 20 HJBD CSc1cccc(C(=O)Nc2cnn(-c3ccc(C(F)(F)F)cc3)c2)c1[N+](=O)[O-] 485518619 PRCWEVDETPYBAA-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 489461682 YGDBMIWXWVWRHJ-UHFFFAOYSA-N 410.518 4.990 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccc(O)c2)cs1 489549620 ODHXSFBYQRWPCV-UHFFFAOYSA-N 401.469 4.788 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H](c1cccnc1)c1ccc(F)cc1F 496490989 HFZYBZGAHHNVCZ-NRFANRHFSA-N 411.408 4.828 5 20 HJBD O=[N+]([O-])c1cc2c(c(Cn3ccc(-c4cc(Cl)ccc4Cl)n3)c1)OCOC2 496933561 GSDCJCSJDAFNNW-UHFFFAOYSA-N 406.225 4.680 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(Cc2ccccc2F)s1 500267686 GBYJXEUUGDPORO-GFCCVEGCSA-N 417.487 4.901 5 20 HJBD CC(C)n1c([C@@H]2CCCN2CCC(=O)Nc2ccccc2[N+](=O)[O-])nc2ccccc21 501033042 RWWGFGLSPBXNPA-NRFANRHFSA-N 421.501 4.691 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccco2)n1Cc1ccccc1 504501824 DUQFPZAOXOCRFC-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccc(Oc2ccccc2)cc1 506288831 HLHCGHKPYQFHRH-OAHLLOKOSA-N 420.421 4.649 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1csc(Br)c1 509491962 JSQGEPVJFZPRKQ-UHFFFAOYSA-N 412.309 4.539 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2ccccc2-c2nnco2)cc1OC 513580166 LSSUZCWUSWSVFY-AWEZNQCLSA-N 413.430 4.657 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(F)cc1)c1cccs1 513813401 CXJQNLXUODYJOR-HXUWFJFHSA-N 416.499 4.934 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccccc2Cl)CC1 513890980 XSMSWGAANLTBBX-AWEZNQCLSA-N 420.918 4.799 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCO2)N(Cc1cccs1)c1ccc(F)cc1F 515690686 HQUUTVMHXMZCGK-UHFFFAOYSA-N 418.377 4.510 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 520310912 RMCILJMFCHRLET-JOCHJYFZSA-N 413.433 4.562 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 520589594 XTEGZALQROOFIN-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 522938493 DIHNJVWLYWDROI-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc(F)cc2)CC2CC2)cc1SC 524531465 RJLJHAJDMDXZCG-UHFFFAOYSA-N 404.463 4.517 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccccc1[N+](=O)[O-] 525469033 RNOATGKWTCMTHT-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1CCSc2ccc(Cl)cc21 533917337 QXOHPERVFQLMLN-KRWDZBQOSA-N 401.875 4.625 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 535525212 OLGTUQFUOGXXOE-UHFFFAOYSA-N 416.481 4.820 5 20 HJBD COc1ccc(CNC(=O)c2ccc(Oc3ccc(C(C)=O)cc3)cc2)cc1[N+](=O)[O-] 535532516 IDGRIFUZYWSHSK-UHFFFAOYSA-N 420.421 4.528 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCCc2cccs2)cc1[N+](=O)[O-])c1ccccn1 535815935 MGQFHPYARFBEMB-OAHLLOKOSA-N 410.499 4.587 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C3)cs1 537839014 QIYUDWAIEMYWCJ-UHFFFAOYSA-N 400.485 4.678 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N(CCc1cccc(F)c1)C1CC1 538322223 OAMUSCYULBKVOD-UHFFFAOYSA-N 405.429 4.643 5 20 HJBD CC(=O)c1oc2ccccc2c1NC(=O)[C@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 539377692 PGLQOONQJYDHEZ-KGLIPLIRSA-N 409.442 4.530 5 20 HJBD COCCOC1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 539828657 BTVQJFSIJZAOAZ-UHFFFAOYSA-N 420.893 4.668 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c1 541391465 RFBCRTUKCHSAKW-MRXNPFEDSA-N 415.465 4.643 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCn2ccnc2)CC1 542165523 ALNPSEZAIZZCJL-UHFFFAOYSA-N 416.547 4.626 5 20 HJBD COc1cc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)cc(Cl)c1OC 546534571 XOLLQXMURDMTFV-UHFFFAOYSA-N 421.812 4.780 5 20 HJBD C[C@H](c1nc(-c2ccc(C(=O)N3CCC[C@@H]3C)cc2)no1)c1ccc([N+](=O)[O-])cc1F 547262776 JBAYDSPVPUZTML-KBPBESRZSA-N 424.432 4.560 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)c1csc(-c2cccs2)n1 552714370 QBJLDLPGLJNZAV-UHFFFAOYSA-N 415.540 4.759 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 555008522 ADTWMTOKAKKALN-FUHWJXTLSA-N 407.829 4.601 5 20 HJBD Cc1ccc(CNc2ccc3c(c2)N(C(=O)Cc2ccccc2)CC3)cc1[N+](=O)[O-] 559348052 UWYDQSGAPFYOGY-UHFFFAOYSA-N 401.466 4.647 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1 561986524 UQLDAXVJVKVLGB-FQEVSTJZSA-N 417.465 4.712 5 20 HJBD CSc1cccc(N(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C2CCN(C)CC2)c1 567145244 LERRKKVORSGZJA-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H]3[C@H]3CCCO3)cc2[N+](=O)[O-])cc1 568424296 LCULGBJDPACDLG-AZUAARDMSA-N 412.511 4.838 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(Oc3cncc(Cl)c3)CC2)cc1[N+](=O)[O-] 573141669 RTOUBRSXIDCJHX-UHFFFAOYSA-N 417.893 4.624 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1ccccc1-c1nc(COCC(F)(F)F)no1 589082411 JLHBFZMLGCUNCK-UHFFFAOYSA-N 411.361 4.875 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 598404285 VCGJAHZKNSCSLX-GFCCVEGCSA-N 406.866 4.960 5 20 HJBD C[C@@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 603743440 UMDJFRKJLRVURI-NXEZZACHSA-N 400.237 4.719 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nc2ccc(C(F)(F)F)cc2[nH]1 603937955 BUHNKYPUXAQURM-UHFFFAOYSA-N 410.377 4.529 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCCC(C)(C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 604387326 UFACVJPROZLPLQ-UHFFFAOYSA-N 420.513 4.554 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(-c2ccccc2)cc1F 604431663 QIHHIANECCHSQE-UHFFFAOYSA-N 406.822 4.855 5 20 HJBD CCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)[C@H](C)c1cccc(C(F)(F)F)c1 604495542 BJGSYUOFFIEZET-CQSZACIVSA-N 409.408 4.949 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Br)ccc1F 609516126 IMPIKIFCFPZJJS-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccc(F)cc1 609853386 CMGGGJWCCOJVSF-UHFFFAOYSA-N 423.231 4.893 5 20 HJBD CC(C)(C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1 610042631 UUXZWPPDGXFBNN-GOSISDBHSA-N 404.413 4.668 5 20 HJBD O=C(NCCCc1ccc(O)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207072 RYHPZODHAVUSAV-UHFFFAOYSA-N 400.500 4.698 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1ccc([N+](=O)[O-])cc1F 612527364 ZJXAZDVGRHBXCE-CYBMUJFWSA-N 408.433 4.745 5 20 HJBD CC[C@H](C)c1nc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n[nH]1 617119751 HBDWXXMAWGHTRR-STQMWFEESA-N 417.535 4.752 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2Cc3ccccc3-c3ccccc3C2)cc1[N+](=O)[O-] 726196554 WUMFLGPALDKQBL-UHFFFAOYSA-N 403.394 4.634 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(F)c(Cl)nc1Cl 728798035 WVOCUTCQFAGGTP-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CS(=O)(=O)c1ccc(OCCNCc2c3ccccc3cc3ccccc23)cc1 730017385 FZHPHZODVAGCNM-UHFFFAOYSA-N 405.519 4.565 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-])c1ccccc1 734462326 CKHRVOXVGCTUBR-INIZCTEOSA-N 420.421 4.565 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1cc(C(F)(F)F)ccc1F 736420145 GHAQOZVEKHBHPR-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD Cc1nccn1-c1ccc(CNc2cc(Br)ccc2[N+](=O)[O-])cc1F 741750219 GXRKTTYTJBPRKM-UHFFFAOYSA-N 405.227 4.603 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 742766041 UTQMOQNUVLPORX-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746851584 WEJBRDHJEKWSKC-SNUQEOBHSA-N 408.376 4.604 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@@H](c1ccncc1)c1ccc(F)cc1 748574314 ZXDKNVLYAOXNQS-JOCHJYFZSA-N 409.417 4.654 5 20 HJBD Cc1cc(Cc2noc(Cc3cccc(NC(=O)OC(C)(C)C)c3)n2)ccc1[N+](=O)[O-] 762299331 QWIZWTIPFJBSEK-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD O=C(Nc1cccc(Cl)c1Oc1cccnc1)c1cc(F)c([N+](=O)[O-])cc1F 763426752 MDBCZJZCBQAQLS-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD C[C@H](NC1CCN(C[C@H]2CCCO2)CC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 765973101 CMCAZRKZDWCTQS-FUHWJXTLSA-N 415.559 4.617 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 766766435 DHVUDBRBOKILHV-HUUCEWRRSA-N 418.327 4.514 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767133503 DRGWOOZVAGJECS-AWEZNQCLSA-N 417.387 4.654 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 769363193 PXKRTNYXNJYWKG-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD CO[C@H]1Cc2ccc(Nc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])cc2C1 774669773 FUNRVEKJFCBDFZ-LBPRGKRZSA-N 403.307 4.501 5 20 HJBD CCOC(=O)Nc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137247 YSEZHTABATZJCI-HXUWFJFHSA-N 421.409 4.505 5 20 HJBD Cn1c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc(Cl)c1Cl 776139444 VEQAKLDNRJGMCA-MRXNPFEDSA-N 406.225 4.582 5 20 HJBD CSc1cccc(C(=O)OCC(=O)N[C@@H](C)c2cccc3ccccc23)c1[N+](=O)[O-] 777075854 ZUYICBCBPAJCRR-AWEZNQCLSA-N 424.478 4.504 5 20 HJBD Cc1ccc(-c2nc(N)sc2CC(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cc1C 782188780 XRMXKERXAPIKGY-CQSZACIVSA-N 411.483 4.764 5 20 HJBD CCOC(=O)/C(=C/c1cc(Cl)ccc1Br)c1ccc([N+](=O)[O-])cn1 794948423 FTLKFLBFIWSLLW-MDWZMJQESA-N 411.639 4.509 5 20 HJBD CCn1ncc2c(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cc(-c3ccccc3)nc21 800147964 VSGIUUWJVVROJS-UHFFFAOYSA-N 419.416 4.726 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 801093529 MSKAJIXQEQEZDG-UHFFFAOYSA-N 410.361 4.573 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 807898394 DYLZZMQHMBAOHV-HNNXBMFYSA-N 407.264 4.589 5 20 HJBD COc1cc(F)c([C@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 809971522 GHLTZPAGHMYEPI-VIFPVBQESA-N 403.237 4.909 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 816483919 JWRDGLCQJFNGQC-WFASDCNBSA-N 405.376 4.855 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)s2)c1 818115490 NEOFPHMXNKTUGK-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD O=C(CC[C@H]1Cc2ccccc2NC1=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920331320 XNBURNMRUNCNQD-IBGZPJMESA-N 416.433 4.758 5 20 HJBD Cc1ccc(O[C@@H](C)c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c(Br)c1 1117189608 CPGZSUMHAFWPKX-NSHDSACASA-N 404.220 4.856 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H](C)c2ccc(F)cc2OC(F)F)c([N+](=O)[O-])c1 1319900447 MZYNCNJMHPNCCT-NSHDSACASA-N 411.380 4.647 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(CSc3ccc(Cl)cc3)o2)ccc1-n1ccnc1 1322388363 FSDDSPLKOHUNJQ-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD CCOC(=O)N1[C@H](c2ccc(C)o2)CC[C@@H]1c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326068635 YUFLMQQWGMLOIJ-JKSUJKDBSA-N 412.402 4.581 5 20 HJBD O=C(O[C@H]1CCCC[C@@H]1C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1343293261 VLILNOQKUQLZLZ-JSGCOSHPSA-N 400.378 4.996 5 20 HJBD CCCCn1c(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc(C)c1C 23363086 KZEUWTYCOYNFEB-CYBMUJFWSA-N 410.927 4.981 5 20 HJBD COc1ccc(CN(CC(F)(F)F)C(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 24867511 CUHKKBMIPZVCFL-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD Cc1cc(Br)ccc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 55363050 IQPZRTSWBHYNQM-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)o1 64906596 LZEKILKJDQYSCG-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1ccc2ccccc2c1CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 108309052 GLTOWYOSQSWATG-UHFFFAOYSA-N 405.454 4.605 5 20 HJBD Cc1cc(N[C@H](c2ccc(F)cc2)c2cnn(C)c2)c(Br)cc1[N+](=O)[O-] 302633440 COVWOJSXEPFHDJ-GOSISDBHSA-N 419.254 4.740 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2ccc3c(c2)CCO3)n1 303427331 ZYDCOXLYKWWZEX-CQSZACIVSA-N 421.478 4.711 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cc(Br)ccn2)c([N+](=O)[O-])c1 432151055 PJOWVYYBXOKRBX-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD CC(C)S(=O)(=O)Cc1ccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1 433215439 YKWVEORWYIINIS-HXUWFJFHSA-N 402.516 4.753 5 20 HJBD O=C(NCCCSc1ccccc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435830376 FCWLMOLJNOYLRG-UHFFFAOYSA-N 424.472 4.872 5 20 HJBD O=C(Nc1ccccc1OC[C@H]1CCCCO1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437237325 ODANAQUYPKJMID-MRXNPFEDSA-N 424.375 4.814 5 20 HJBD O=C(N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437529504 XXDTTZBREPOOCQ-SAZUREKKSA-N 422.406 4.775 5 20 HJBD CSc1ccc(S(=O)(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 439241764 YSVURENZRDCOKQ-UHFFFAOYSA-N 414.508 4.712 5 20 HJBD Cc1cc(N2CCNC2=O)ccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 441340357 YQBWHDUHKGCSQZ-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD Cc1c([C@@H](NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)C(C)C)oc2ccccc12 445091846 LDHQZUVDMYGABM-FQEVSTJZSA-N 421.457 4.722 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@@H]3c3c(F)cccc3Cl)n2)c1 445579318 MLYVIWWPXQDAMY-STQMWFEESA-N 403.797 4.641 5 20 HJBD COc1cc(OCc2nc(C(C)(C)c3ccccc3Cl)no2)ccc1[N+](=O)[O-] 445681736 IXKFXZCYCCECMH-UHFFFAOYSA-N 403.822 4.545 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H](c2ccc(F)cc2)C(C)C)c([N+](=O)[O-])cc1OC 448509394 CZYNMXWQAVNNGH-FQEVSTJZSA-N 404.438 4.611 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](c2ccc(F)cc2)C(C)C)cc1OC 448510052 LIQTYCXQCRJBBL-HXUWFJFHSA-N 404.438 4.611 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@@H]4CCC[C@@H]43)n2Cc2cccs2)c1 461317188 WMRNSUMCDVYNDR-KXBFYZLASA-N 409.515 4.732 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1OCC(F)(F)F 462429026 QCLYKQWVPHGCHW-ZDUSSCGKSA-N 414.355 4.882 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2nccc(C)n2)cc1 462635969 CRQMEPHUCSFGCX-QGZVFWFLSA-N 406.442 4.693 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 464669283 BKGZEOXBHQZBPM-UHFFFAOYSA-N 403.866 4.888 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465692534 QBEPBNGGINKWKO-UHFFFAOYSA-N 421.453 4.608 5 20 HJBD CCC1(O)CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 467785344 GQHNXEZTVWRZEF-UHFFFAOYSA-N 420.918 4.777 5 20 HJBD O=C(CC1(CSc2ccccc2)CC1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 472527164 KRYXSXJBELMSOV-UHFFFAOYSA-N 414.483 4.657 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Nc2cccc(COC(C)(C)C)c2C)c([N+](=O)[O-])c1 474927412 ZRTTZLLBUGHUHK-OAHLLOKOSA-N 415.490 4.666 5 20 HJBD O=C(Nc1ccc(Oc2ncnc3cccc(F)c23)cc1)c1cccc([N+](=O)[O-])c1 480421474 RDWWKIVBNQLULY-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CCC[C@@H](N[C@H](C)c1cc(F)ccc1N1CCN(C)CC1)c1cccc([N+](=O)[O-])c1 482529764 BLPZOVJMHHMLQG-VGOFRKELSA-N 414.525 4.678 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2c[nH]c3ccccc23)CC1 484770203 IKRBYSNSDNKWDJ-UHFFFAOYSA-N 413.380 4.607 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC2(Cc3ccccc3)CCCC2)cc1OC 487369501 HTNKIOIYPFHYKH-UHFFFAOYSA-N 412.486 4.535 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@H]1CCCSC1)c1ccccc1 487937093 VFYBPOODSJIDES-AUUYWEPGSA-N 419.934 4.661 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)[nH]c2c1 489822227 DDYQQLLGXDSPSF-LIRRHRJNSA-N 410.499 4.624 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccc(C)cc2)C[C@@H]1C 490014857 QRXYDLLYUAVXGJ-WMZOPIPTSA-N 414.527 4.660 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(Cc3c(Cl)cccc3[N+](=O)[O-])C2)cc1 492418608 RWGRGDWEKKVVKU-MRXNPFEDSA-N 416.909 4.590 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Nc2ccc(C(F)(F)F)cn2)cc1 500580854 VNWABAHSEBEXAK-UHFFFAOYSA-N 417.347 4.587 5 20 HJBD CC(C)c1c(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccccc1Cl 508485395 QEANXTVMKYZADM-UHFFFAOYSA-N 424.848 4.686 5 20 HJBD COc1ccc(C2(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)CCC2)cc1 508917634 ICYLUTHUCYZVQO-UHFFFAOYSA-N 409.467 4.787 5 20 HJBD C[C@@H](NCc1c(F)cc([N+](=O)[O-])cc1F)c1cccc(NC(=O)c2ccccc2)c1 508966583 PCPYPBJBRFXQAK-CQSZACIVSA-N 411.408 4.976 5 20 HJBD Cc1nc2c3ccccc3nc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)n2n1 511057998 POJXZDVPXBOPKN-UHFFFAOYSA-N 409.471 4.574 5 20 HJBD COc1cccc(-c2nc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)cs2)c1OC 517797336 WNPHIQFHZLIFIS-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(NC(=O)c2ccccc2)c1 522740284 LZGHCOWOGOAKRZ-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(-c4ccc(Cl)s4)o3)o2)cc1 525520205 UIPSOIFOFVXTEJ-UHFFFAOYSA-N 421.847 4.702 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1cccc(-c2nnc3n2CCCCC3)c1 533219306 ZKEOKVXFEYQHRV-UHFFFAOYSA-N 407.474 4.591 5 20 HJBD Cc1ccc(OC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 533434020 DGCMXIHKCXEZJT-INIZCTEOSA-N 408.458 4.558 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccco1)c1ccc(F)cc1F 535448006 UZECZRDGSCDWPH-UHFFFAOYSA-N 411.364 4.723 5 20 HJBD C[C@H](N[C@H](C)CC(=O)Nc1ccc(OC(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 536807407 VBDHLWYZQPVLCO-OLZOCXBDSA-N 411.380 4.561 5 20 HJBD Cc1ccccc1OCCCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452004 VIGTZCVVTCREMS-UHFFFAOYSA-N 411.483 4.849 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1NCCCc1nc(-c2ccc(F)cc2)no1 542497762 DCAXDCDFUCMOLQ-PXNSSMCTSA-N 424.476 4.718 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C\c1ccc([N+](=O)[O-])cc1Cl 544044248 DCZUDLGVHFSEQR-YRNVUSSQSA-N 421.762 4.921 5 20 HJBD CCC(CC)(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 546771058 AYQRLXSOQKQPCC-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(CN3CCCC3=O)c2)no1 547136455 CTNPTTDUIVDYKA-AWEZNQCLSA-N 424.482 4.621 5 20 HJBD COC1(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])CCC(c2ccccc2)CC1 554020539 JMIMXHCLADWUFJ-UHFFFAOYSA-N 414.527 4.790 5 20 HJBD COc1cc(Cc2noc([C@@H](F)c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 561908678 QGVXFMRORCWEDE-INIZCTEOSA-N 411.311 4.655 5 20 HJBD CC(C)n1cc(N[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cn1 578290106 SFKABNRZUQKIQC-LJQANCHMSA-N 413.865 4.818 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc3nc(C(C)C)sc3c2)c([N+](=O)[O-])c1 604466804 IAENEYXARFVMQA-UHFFFAOYSA-N 414.487 4.777 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCC2(CC1)CC2)c1ccc([N+](=O)[O-])cc1Cl 609476986 QDQLPZNVVXOJLW-UHFFFAOYSA-N 413.861 4.517 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1c1nc2ccccc2s1 609783994 WWUWELNWXQNWJE-MRXNPFEDSA-N 401.875 4.764 5 20 HJBD CC(C)(O)COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 609851959 SGJGWYITOYIRKE-UHFFFAOYSA-N 422.437 4.789 5 20 HJBD COC[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(C(F)(F)F)c1 611396956 SPDAMUZAYKJVTD-SFHVURJKSA-N 421.375 4.746 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] 730643460 CGGIBZOALJYQQQ-LJQANCHMSA-N 410.813 4.785 5 20 HJBD O=C(CCOc1ccccc1Br)OCc1ccc(Cl)cc1[N+](=O)[O-] 734893143 KNGICJBOKXXTCS-UHFFFAOYSA-N 414.639 4.523 5 20 HJBD CC(C)CO[C@@H]1C[C@@H](OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1(C)C 739296922 PBPDXUPLBPKUQX-IAGOWNOFSA-N 404.488 4.715 5 20 HJBD C[C@@H](OC(=O)c1ccccc1C(F)(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744483633 MIKMQHLVDSGRAV-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1cc(F)cc([N+](=O)[O-])c1Br 754975443 WPALBLFNYIKCJX-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCOc1cc(COC(=O)c2csc(Cc3ccccc3F)n2)ccc1[N+](=O)[O-] 755551155 WYNGSHHUGOJVNG-UHFFFAOYSA-N 416.430 4.537 5 20 HJBD Cc1nnc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1[C@@H]1CCC[C@@H](C)C1 758166398 WEWKYVUHBZJOLG-LALPHHSUSA-N 421.498 4.504 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3cc(Cl)cc(Cl)c3)no2)C1 761558543 KVLGEGXHAPFCCI-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccncc1)c1cccc(OC)c1 765110799 NUADYMRXDWMKEN-FDDCHVKYSA-N 420.465 4.900 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CNc1cccc(Oc2ncc(Br)cn2)c1 769849135 BSDLJZMISLTQMG-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)nc2ccccc21 771404364 NGHHSOORPHMJIA-UHFFFAOYSA-N 422.391 4.832 5 20 HJBD CC(C)(c1noc([C@@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1)c1ccccc1Cl 771645621 ADNJYALQJHUWSK-KRWDZBQOSA-N 412.833 4.823 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 775527711 UAXQSJRHNWKXEI-AWEZNQCLSA-N 410.861 4.600 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 785491804 YVJUTDQYIYHWRY-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCC(F)(F)F)c(F)c1 800497875 UTWQCWNNRNBEIR-LLVKDONJSA-N 415.343 4.504 5 20 HJBD CCc1nc2cc(CNC3CCN(c4ccc([N+](=O)[O-])cc4)CC3)ccc2n1C1CC1 802308660 GQHPPKLFDZBMOM-UHFFFAOYSA-N 419.529 4.600 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1 810540036 AKYMBPWAWWITOO-UHFFFAOYSA-N 405.476 4.897 5 20 HJBD Cc1nc(C(C)C)oc1COC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 813224378 LASUVGYUCAVPRZ-UHFFFAOYSA-N 415.368 4.579 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCC[C@H](CNC(=O)C(F)(F)F)C1 813283018 GPJVNBQWLBJUCE-OAHLLOKOSA-N 414.427 4.524 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1c(Cl)cccc1[N+](=O)[O-] 813304815 LEARNBXIYKMCCQ-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD COCc1ncc(COC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 813997773 WGVDXMSNEBKGCU-UHFFFAOYSA-N 408.501 4.589 5 20 HJBD COc1c(-c2nc(-c3ccc(COc4ccccc4)cc3)no2)cccc1[N+](=O)[O-] 904445971 IYAVMLYOKZENFX-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD C[C@@H](NC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc2ccccc2c1 917263940 VPRMOSITNYEUOX-CQSZACIVSA-N 418.405 4.528 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(C(F)(F)F)cccc2s1 917352526 APHWGIWSXSAMGP-UHFFFAOYSA-N 410.377 4.542 5 20 HJBD CC(C)(c1ccccc1)c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1118066955 AKAKVJVIVHYFFV-UHFFFAOYSA-N 402.248 4.657 5 20 HJBD CC[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cc(F)cc(Br)c1 1324201268 TUUSWSPINHRWHF-KRWDZBQOSA-N 422.254 4.673 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCSc2ccc(Cl)cc2)o1 1331875040 KBJLDXKCHDTBAZ-UHFFFAOYSA-N 405.863 4.935 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(c2nc3ccccc3o2)CC1 1334512463 DZVYWQZIMGZCGI-UHFFFAOYSA-N 416.275 4.878 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10731956 WPTJIEGPHZRRQZ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1ccccc1-n1ccnc1S[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16678950 WUDDXWWZELMTOW-CQSZACIVSA-N 407.455 4.992 5 20 HJBD CC[C@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 23988992 ODFPTPVVLKFWES-STQMWFEESA-N 420.849 4.501 5 20 HJBD CN(CC(=O)Nc1ccc(SC(F)F)cc1)Cc1cc([N+](=O)[O-])ccc1Cl 24962391 XLDWKYVGIIIWAA-UHFFFAOYSA-N 415.849 4.633 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 65880342 RSIYMTSSTRESGX-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 108912208 YSARBOQWTVLNFA-UHFFFAOYSA-N 404.264 4.517 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 110841193 BRZXCWRWFBWCKG-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD O=C(CCc1ccc(Cl)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 195611915 PVOSQRXZWKQBBW-UHFFFAOYSA-N 400.784 4.772 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-n3nc(C)cc3C)c(F)c2)cc1[N+](=O)[O-] 195701387 INBWXAMBAWCKAD-UHFFFAOYSA-N 400.435 4.511 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2Br)ccc1[N+](=O)[O-] 225824495 JWIWERZNCYDTFF-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2)c1 301472720 QFLMDHVTTDYGAD-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD Cc1ccccc1C(Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccccc1C 302205940 DJYHRMKHXHJTRT-UHFFFAOYSA-N 416.524 4.878 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H](C(=O)c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 303738484 HNDFVAIWJKABKH-KRWDZBQOSA-N 412.461 4.767 5 20 HJBD Cc1c(CNCc2cc(Br)ccc2OC(F)F)cccc1[N+](=O)[O-] 326450906 OEIKDBRKMCNDMP-UHFFFAOYSA-N 401.207 4.557 5 20 HJBD O=C(NCc1cccc2ccccc12)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 410296281 KORPESNQWITNER-UHFFFAOYSA-N 404.344 4.619 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 428007026 SGLKNVFYATYUNY-UHFFFAOYSA-N 403.394 4.613 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@@H](c2ccccc2)c2ccccc21 436269725 YWIANFUJZSOVEM-IBGZPJMESA-N 410.433 4.573 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])CC1 436312064 JHOCWNABRGPZAO-UHFFFAOYSA-N 413.783 4.761 5 20 HJBD O=C(Nc1ccc2scnc2c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436373491 UKFCEYLYCYRPQB-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD CC[C@@H](Cc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436408262 FXXCUGIUMNJBCZ-INIZCTEOSA-N 406.432 4.711 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccc(Cl)cc2s1 436465684 XCDZUVPMRSHADO-UHFFFAOYSA-N 413.789 4.736 5 20 HJBD COc1cc(COc2ccccc2-c2nccs2)c([N+](=O)[O-])cc1OCC(F)F 438310494 BYWNCDFPZGBZTR-UHFFFAOYSA-N 422.409 4.950 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 439525947 HQBWPGOGZIESIR-UHFFFAOYSA-N 424.463 4.800 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 439918442 UPTKZQWECRZHEA-JXFKEZNVSA-N 417.437 4.517 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)n1 440802669 AJALPOBDLUKAIY-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCSc2ccncc21 441079179 LPTUFKKIYUFESQ-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3c4c(sc3n2)CCCC4)c(Br)c1 445647888 LOIGMOODEXXVRN-UHFFFAOYSA-N 420.288 4.537 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1ccc(-n3ccc(C(F)(F)F)n3)cc1)CCC2 447527297 DQLCUMLOGRKZDW-IBGZPJMESA-N 416.403 4.967 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)no1 462756227 MYRHJBIBRBPDIJ-OAHLLOKOSA-N 409.490 4.894 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OC(F)F 463966618 DAHWHPPQTKGNEN-UHFFFAOYSA-N 423.416 4.876 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@H](C)c2ccc(-c3ccccc3)cc2)cc([N+](=O)[O-])c1 464365078 KKADZODUDJSOFU-MRXNPFEDSA-N 418.449 4.882 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(S(=O)(=O)C(C)(C)C)cc1 468099216 DERQCBQOCVZPIR-UHFFFAOYSA-N 422.528 4.531 5 20 HJBD Cc1ccc([C@]2(C)CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 468828238 CXICAEQYUOGCEU-JOCHJYFZSA-N 407.495 4.831 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 469497716 MSECHAZQITUSLV-UHFFFAOYSA-N 414.461 4.844 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccco3)cc2F)cc1SC 470522697 YRTRHZKSLFHYQF-UHFFFAOYSA-N 402.403 4.977 5 20 HJBD Cc1cc(NC(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 473783057 CSXDOGFNSJUPOG-LLVKDONJSA-N 414.409 4.790 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)c1 477617426 RTXGINDGXKNHJY-DYESRHJHSA-N 423.513 4.817 5 20 HJBD Cc1cc(OCC(=O)N[C@H](c2ccc(F)cc2)c2cccs2)c(F)cc1[N+](=O)[O-] 479187782 KFHGIGKFZFCNCL-HXUWFJFHSA-N 418.421 4.528 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1nnc(COc2ccccc2)n1Cc1ccco1 481834884 ZBCZNHHMVKQCEK-UHFFFAOYSA-N 408.439 4.558 5 20 HJBD Cc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1-c1ncco1 483791376 LBPZPQRMUBGYRT-UHFFFAOYSA-N 422.441 4.634 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OC(F)F 484365772 HGIBWRDYTOEWEY-NSHDSACASA-N 420.368 4.784 5 20 HJBD CN(C[C@H]1CCCC[C@H]1O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484408062 ONIKWHNARGLDHY-DNVCBOLYSA-N 418.877 4.664 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(O)nc2ccccc12 485412382 PQWUOLMFJBOEMA-UHFFFAOYSA-N 411.483 4.527 5 20 HJBD CSc1cccc(C(=O)N[C@H](c2c(F)cccc2F)C(F)(F)F)c1[N+](=O)[O-] 486420658 VAYOBHCUFUCPRR-CQSZACIVSA-N 406.332 4.628 5 20 HJBD Cc1cc(Br)c(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 498217861 XQBZNDGCSHMFFH-UHFFFAOYSA-N 419.153 4.642 5 20 HJBD CCOc1cc(C(=O)N2CC3(CCCC3)c3c(F)cccc32)c([N+](=O)[O-])cc1OC 500124975 UFYHHCCIHUKSEO-UHFFFAOYSA-N 414.433 4.613 5 20 HJBD O=c1ccccn1C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccccc1 500479621 BOROXSRHNDUIGO-NRFANRHFSA-N 415.449 4.548 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2OC)ccc1OCCC(C)C 501466039 HSTSXYCWROJZBY-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2c(F)cccc2F)c([N+](=O)[O-])cc1OC(F)F 507232651 PAHUHEXMEOLSJF-JTQLQIEISA-N 402.344 4.676 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 508325356 JKOIYOWEPSZCTG-UHFFFAOYSA-N 400.504 4.563 5 20 HJBD COc1ccc(-c2cc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)sc2C)cc1 513026050 NPATVIMBIKMQMJ-UHFFFAOYSA-N 410.495 4.955 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 517771341 PCKFPBIFJFRVCO-IVZQSRNASA-N 423.444 4.892 5 20 HJBD COc1ccc([C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N2CCCC2)cc1 518272966 ZDHVRLLSEPAQSG-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3nc4c(s3)C[C@H](c3ccccc3)CC4)ncnc2s1 518550619 ITQCZTYYBAGLPO-CYBMUJFWSA-N 423.523 4.941 5 20 HJBD COc1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)c1 522873304 UHBDUANSWCVQFO-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD CCOCCCn1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc2ccccc21 524393225 VCFUDBVIXKJRAL-UHFFFAOYSA-N 424.482 4.838 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)o2)cc1 525084320 PHGZFCOVFBGFBW-JOCHJYFZSA-N 422.462 4.945 5 20 HJBD COc1cc(OC)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 531271027 ZQWXJNOOSUVAHH-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)CSc3ccccc32)cc1SC 532827467 RMTIVZPUFRBPIR-GFCCVEGCSA-N 404.513 4.714 5 20 HJBD COc1cccc(C[C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 533251875 YUIXDDIFFPNRJT-IBGZPJMESA-N 407.470 4.508 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCCc1cccc([N+](=O)[O-])c1 533959861 NFXPYGREFLDERR-INIZCTEOSA-N 424.526 4.972 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc21 536324622 MGDBXPKRTDGWAX-UHFFFAOYSA-N 404.348 4.630 5 20 HJBD C[C@H](NCCOc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 537027462 YAHUABGQGKKZBR-KRWDZBQOSA-N 405.454 4.577 5 20 HJBD CCCCN(CCCO)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 538279286 HSLIDQDBJBHNRH-UHFFFAOYSA-N 406.866 4.665 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3ccc([N+](=O)[O-])c(OC(F)F)c3)o2)c1 539996999 NLHSICDDGGFOIA-UHFFFAOYSA-N 423.397 4.926 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cnccn1 540169210 AHYQGCBKSCUSRO-LBPRGKRZSA-N 402.813 4.820 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540566950 JCTVJSZXPRVTTQ-RXVVDRJESA-N 423.856 4.570 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)cc(Br)c1O)c1ccc([N+](=O)[O-])cc1F 544552353 RHPJGHIWBPQRKF-ZETCQYMHSA-N 417.618 4.598 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(Br)ccc3F)no2)cc1 551106222 NODOKEBTHXUCCV-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 553380012 BGLNJTDWUGQWHC-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD CC[C@@H](C)N[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 554632448 MCLKEWSFODLIDO-RHSMWYFYSA-N 405.520 4.548 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccccc2Cl)no1 561685924 HXGZGLMYBZIQCF-NSHDSACASA-N 418.862 4.666 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 582087138 WVILXCFWHVWLCS-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC[C@@H](CO)CC1 583956844 XXOAZRFRMHLEQI-CQSZACIVSA-N 420.918 4.634 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CC=C(c3c[nH]c4ncccc34)CC2)c1 590162969 HTWKMUBEMHUUEK-UHFFFAOYSA-N 402.376 4.779 5 20 HJBD O=C(CCCc1c[nH]c2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742190 NTFYUSDMACTGLL-UHFFFAOYSA-N 419.403 4.990 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 603943234 GHWSYOKYNJNBBA-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1cccc(COC2CCCCC2)c1 604465553 UBRAUPQBPIRXSG-UHFFFAOYSA-N 404.488 4.553 5 20 HJBD CC(C)(C(=O)Nc1nc2ccc(Oc3ccccc3)cc2s1)n1cc([N+](=O)[O-])cn1 608802590 FUXVFVCSBYXMMB-UHFFFAOYSA-N 423.454 4.567 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccc(F)cc2)c2ccncc12 609032717 DIYGXWGBNOHAGA-UHFFFAOYSA-N 406.373 4.665 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610035743 KWISJXVTZMKQJF-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCOc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 610967172 QODLDJUGPDDCRR-INIZCTEOSA-N 418.453 4.592 5 20 HJBD O=C(Nc1cccnc1OCc1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 681963400 HDMRSFCXUZWCEH-UHFFFAOYSA-N 421.456 4.908 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](O)c3ccc(OC(F)(F)F)cc3)o2)c1 726606075 SHXUZNIOWUYDRG-SFHVURJKSA-N 422.359 4.577 5 20 HJBD O=C(CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)Nc1cccc2ccccc12 732231171 JYGGSOZFPNBFOF-UHFFFAOYSA-N 401.422 4.736 5 20 HJBD O=C(/C=C/C1CCOCC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 732628996 SOILHUHIWNXFNK-VOTSOKGWSA-N 416.861 4.749 5 20 HJBD CCc1ccc(-c2ccc(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)cc1 745594749 KTERPZGPUBBDMA-UHFFFAOYSA-N 419.393 4.824 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)CC[C@H]1c1ccccc1 746337449 ORDZWIYAUNBFPA-WIYYLYMNSA-N 407.514 4.851 5 20 HJBD C[C@@H](Oc1ccccc1)c1nc2ccccc2n1C[C@H](O)c1cccc([N+](=O)[O-])c1 749663236 OELBROKGEDVSJW-ZHRRBRCNSA-N 403.438 4.818 5 20 HJBD O=C1[C@@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCCN1Cc1ccccc1 760253978 JVNNGIMAYCGWDQ-FQEVSTJZSA-N 423.494 4.674 5 20 HJBD C[C@H](CSc1ccc(F)cc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760531688 GBNWRYMIDMLEDC-CYBMUJFWSA-N 416.430 4.861 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC[C@@H](O)c1ccc(Cl)c(Cl)c1 760721924 OQZRLDGFKCKIBO-MRXNPFEDSA-N 411.285 4.680 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(N2CCOC2=O)cc1 768098076 QYZZWFMKCIWPBE-NVXWUHKLSA-N 415.515 4.773 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1F 770255659 AWULZDRTXVTFAL-UHFFFAOYSA-N 416.272 4.655 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@H](C(F)(F)F)C1 776140558 ZSGPMMDWJVDONB-QIIPPGSGSA-N 408.376 4.991 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)c(N3CCCCC3)c(F)c2)c1[N+](=O)[O-] 776892645 SMKSFAXJUQOXBM-UHFFFAOYSA-N 407.442 4.838 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1 777983115 PEODYWJKUHTVNR-UHFFFAOYSA-N 407.373 4.672 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCc2cc(Cl)cc(F)c21 782124096 RKYNZYOQCUCOOB-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(C)(NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCOCC1 785604850 AINDNCCXRHFYSY-UHFFFAOYSA-N 423.307 4.912 5 20 HJBD O=[N+]([O-])c1ccc(Br)c(OCc2nnc(-c3ccccc3Cl)o2)c1 786745675 MUFMEBZMOKKRNJ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H]1CC=C(c2ccc(F)cc2)CC1 790922486 MMRWFKLXFIJUIQ-MRXNPFEDSA-N 406.816 4.821 5 20 HJBD Cc1cc(-n2ccnc2)ccc1COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 791357702 WZCFZBAGJBFVJS-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)[nH]1)c1cc(Br)cc([N+](=O)[O-])c1Cl 807193245 VTWPMOQQMNYRBR-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 808628474 KXSDQIGYBRNURE-GFCCVEGCSA-N 414.259 4.723 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CCOCC1)Nc1cccc([N+](=O)[O-])c1Cl 809919494 ACIFECRNIFTXBR-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD C[C@@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 813454679 WGBZLVHYBICVME-KGLIPLIRSA-N 414.333 4.628 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)c1 816763582 XQUOCKZWSSOZOY-MRVPVSSYSA-N 419.812 4.875 5 20 HJBD C[C@H](OC(=O)c1cc2ccc(Cl)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 914923966 JAPFXUNAWZXENG-VIFPVBQESA-N 422.224 4.567 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1[N+](=O)[O-] 915283903 ZLEIIKPMZXBWAW-UHFFFAOYSA-N 409.345 4.654 5 20 HJBD CC(C)n1ncc2c(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)cc(-c3ccco3)nc21 1117226674 WPMMAHJECDSJJQ-UHFFFAOYSA-N 416.397 4.897 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1OC 1322144162 HVUOOVAIVGZJGY-HNNXBMFYSA-N 413.474 4.569 5 20 HJBD COCCn1nc(C)c(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1C 1325421844 HZAYRYRPCQIPIT-UHFFFAOYSA-N 404.854 4.580 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 1326022965 GOYWFMIKHLVMIF-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nnc(CSc4ccc(Cl)cc4)o3)cn2)cc1 1326131240 FZLPFAGLWWTQST-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 8531626 SKOZNSMORYWELN-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD C[C@@H](OC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1)c1cccc([N+](=O)[O-])c1 22042803 OOAXWKVNMHDTMA-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3NC)CC2)cc1 22131112 MGTKRIXJGLUGFE-UHFFFAOYSA-N 411.502 4.520 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1cccc(Cl)c1 22253167 MWYALXXZOUDYAZ-CYBMUJFWSA-N 423.925 4.859 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])s2)cc1 31931063 PUNVOMHFOGCYQA-UHFFFAOYSA-N 411.483 4.698 5 20 HJBD CCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](C)c1cccc(OC)c1 50311935 MJKACKIRJLGRGL-AWEZNQCLSA-N 411.483 4.950 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64935203 STVRSPWTQPHKQV-UHFFFAOYSA-N 422.510 4.609 5 20 HJBD C[C@@H](SCC(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OC(F)(F)F)cc1 65280134 APTSIOUMNXTTRD-GFCCVEGCSA-N 414.405 4.951 5 20 HJBD CCCCOc1ccc(NC(=O)c2cc(OCC)c(OC)cc2[N+](=O)[O-])c(C)c1 65729590 YBORXLUWKXLKBW-UHFFFAOYSA-N 402.447 4.742 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC)cc1Cl 71983599 ISFMCGGSAXHFEI-UHFFFAOYSA-N 405.882 4.787 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3nc4ccccc4[nH]3)cc2)c1 97543596 CXUUJUDLXAIUPK-UHFFFAOYSA-N 418.478 4.790 5 20 HJBD COc1ccc([C@H](NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cc1 214008952 YPJMMRHEQJIECA-YRPNSPIISA-N 406.413 4.662 5 20 HJBD COc1ccc2cc(CNc3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)ccc2c1 301112196 OOFGQCBOAGBMKW-UHFFFAOYSA-N 405.454 4.605 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(N2CCCC2)c1 301581582 TYFXQWAYTJMQAG-QGZVFWFLSA-N 408.502 4.604 5 20 HJBD Cc1nnc(Sc2ncc([N+](=O)[O-])cc2Br)n1[C@@H]1CCC[C@H](C)C1 301845603 SVHRFPIAMKIWPQ-GXSJLCMTSA-N 412.313 4.555 5 20 HJBD CCOc1cc(NC(=O)Cc2coc3cc(C)c(C)cc23)c([N+](=O)[O-])cc1OCC 302892806 IQDOWGDYIPRBES-UHFFFAOYSA-N 412.442 4.936 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCO[C@@H]1CCCc2ccccc21 303556336 HRUJSEGYUPEFQY-IIBYNOLFSA-N 414.527 4.676 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 429825239 XRCKEWBHAXQYKT-CYBMUJFWSA-N 417.181 4.781 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 431855185 ADERWYUKHMVBHX-UHFFFAOYSA-N 405.292 4.684 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 432732019 VQJZDPIMFAJLAQ-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD COc1cccc(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c1[N+](=O)[O-] 435669599 OCEJHUFXSKYJBR-IBGZPJMESA-N 415.449 4.647 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436097571 CPCLFANZVHIZOU-UHFFFAOYSA-N 411.461 4.591 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccccn2)c1 437459414 DABMQKVOUYYFQA-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 443963577 UCJOYOFMEIEQEF-ZDUSSCGKSA-N 422.812 4.529 5 20 HJBD O=C(Nc1cccc(OCCF)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444235580 XXORYRIBSAUISZ-UHFFFAOYSA-N 412.367 4.560 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)N1CCC[C@@H]1c1cccc2ccccc12 446893402 FNZXZWXORXEALL-OAQYLSRUSA-N 415.453 4.671 5 20 HJBD COc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(OCc2cccnc2)c1 447798320 VJLBTIXHVQRYKH-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(Sc2ccc(Cl)cc2)CC1 448450484 AMGTYELICUHHIJ-UHFFFAOYSA-N 416.890 4.521 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(OC(C)C)c(OC)c1 462420337 VCMJBZQKSOIVTB-AEFFLSMTSA-N 414.502 4.837 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 463998496 OSRVMCLNMZYWLP-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2cccnc2)cc1 467210589 CWOZVVPXMBWIBW-AWEZNQCLSA-N 410.861 4.871 5 20 HJBD C[C@@H](O)CC(C)(C)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 467480198 AJRQPBJFGZFTAH-CYBMUJFWSA-N 406.866 4.567 5 20 HJBD Cc1nc(CN2CCN(c3ccccc3[N+](=O)[O-])CC2)oc1-c1ccc(Cl)cc1 468293352 XITRLIOGWJSHFE-UHFFFAOYSA-N 412.877 4.534 5 20 HJBD Cc1nnc(-c2ccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc2)o1 468660876 SPMCCPAWFWEHRY-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD O=C(Nc1cc(C2CC2)[nH]n1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468794222 BZXRBCFJDKITRE-UHFFFAOYSA-N 414.874 5.252 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3nc4cc(C(F)(F)F)ccc4o3)CC2)cc1 483218130 GJASFZROJKSRIW-UHFFFAOYSA-N 421.375 4.798 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1csc(Br)c1 484130271 MACKHOUIVAOVHN-UHFFFAOYSA-N 415.334 4.803 5 20 HJBD Cc1csc(N(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C2CC2)n1 485514032 FTHJNVWZOMRYBK-UHFFFAOYSA-N 409.467 4.748 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)COc2ccc([N+](=O)[O-])cc2)cc1 496593548 PXQNLGJLFIVZTJ-XMMPIXPASA-N 419.481 4.569 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 499510901 YGTIOTSYPAWTKP-KRWDZBQOSA-N 420.453 4.560 5 20 HJBD Cc1ccc([C@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N2CCCC2)cc1 500147462 QJQNCOZAYJCFJE-QFIPXVFZSA-N 422.554 4.953 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 509236930 QLZFDNUGCLLQQH-INIZCTEOSA-N 412.490 4.566 5 20 HJBD CNC(=O)Nc1cc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)ccc1F 512909504 ZVXJMWDMYWCJNI-UHFFFAOYSA-N 423.448 4.865 5 20 HJBD CC1CCN([C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2cccs2)CC1 516715661 AOBQASWQGMHNRL-QGZVFWFLSA-N 422.938 4.904 5 20 HJBD CNc1ccc(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)cc1[N+](=O)[O-] 522548442 VSBQYFZEMPMPEM-UHFFFAOYSA-N 405.458 4.732 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1cccs1)Cc1cccs1 533218950 UOOLCJQZXIQXBI-UHFFFAOYSA-N 401.513 4.667 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Cl)cc(Br)c1 533327535 ZKKMBPWQPKWXQX-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CCOc1ccc(NC(=O)CN2CCCC[C@H]2c2cc3ccccc3[nH]2)c([N+](=O)[O-])c1 533520479 ABHAFEVDKYMYQA-NRFANRHFSA-N 422.485 4.641 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccnc1-c1ccccc1 534371329 YSBPBUHVTADUGD-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD O=C(c1cnc([C@@H]2CCCO2)s1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 534817374 YWYAKGURIHTSJV-SFHVURJKSA-N 409.467 4.750 5 20 HJBD C[C@H](Nc1cc(NC(=O)CN2CCCC[C@H]2C)ccc1F)c1ccc([N+](=O)[O-])cc1 539647315 FHGYYDNIJHSQRI-CVEARBPZSA-N 414.481 4.720 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 539910242 PUZNPGMDCCOXDH-UHFFFAOYSA-N 406.866 4.841 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)c1ccc(C(F)(F)F)cc1 542454468 AMHUKBOGNYKISC-GFCCVEGCSA-N 411.380 4.525 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)cc1 543393334 ATYDLBOFJZCYLA-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 543467162 BLOSWZIRMFSRFW-KUHUBIRLSA-N 424.432 4.641 5 20 HJBD O=C(NCCC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 546162907 WHSKHIZEVHJKPE-KRWDZBQOSA-N 400.500 4.825 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4cccc(-c5cscn5)c4)no3)n2)cc1 547265264 AUAKNMCQKUGTJJ-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cs1 554823416 DRXPILKUBYJVOS-UHFFFAOYSA-N 400.441 4.717 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC=C(c2ccccn2)C1 560795588 MQLOZDDFEJLUJK-UHFFFAOYSA-N 400.438 4.663 5 20 HJBD O=C(Nc1nc(CC(F)(F)F)cs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 562889789 NRXNKYODEMSFRC-UHFFFAOYSA-N 414.390 4.532 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3c3cccnc3)c([N+](=O)[O-])c2)cc1 564434878 URJXXXXQOZJIMG-HXUWFJFHSA-N 402.454 4.892 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 577665668 CATBFQYOPKRYCP-LEWJYISDSA-N 423.469 4.953 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1cccc(Br)c1 583593163 NHDQXOHDFOAEIG-INIZCTEOSA-N 408.227 4.865 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4c[nH]c5cc(F)ccc45)no3)cc12 584198196 ZJRKVRQENLIDIU-UHFFFAOYSA-N 410.408 4.943 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cc([N+](=O)[O-])c1C 588771745 RMKYDGJPBDCPQI-HNNXBMFYSA-N 407.426 4.590 5 20 HJBD CC(C)[C@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 590525632 STNDVIMUABBBMF-OAQYLSRUSA-N 406.548 4.500 5 20 HJBD COc1ccc2oc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c(C)c2c1 603742468 XAEGWQYNWUKLGC-UHFFFAOYSA-N 422.359 4.863 5 20 HJBD COc1ccc(C[C@@H](NCCc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 603872700 FEDLDMGVWADMAI-XMMPIXPASA-N 406.482 4.728 5 20 HJBD O=C(Nc1ccnn1Cc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])cc1F 603951843 LNFBZUDMTXZWEU-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD O=C(Nc1cc(-n2nnnc2C2CC2)ccc1F)c1cc2ccccc2c2cccnc12 607713701 HKMILFGNWZSVFF-UHFFFAOYSA-N 424.439 4.633 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)C1CC1 608940862 GRQSRXJQYMLTPZ-OAHLLOKOSA-N 418.449 4.885 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccc(C(F)(F)C(F)(F)F)cc1 609233760 INJYFAGPKLERJD-UHFFFAOYSA-N 403.307 4.608 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cccc1OCC(F)(F)F 609785204 QLATTXLKNWPAOJ-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD O=C(Nc1ccn(CC(F)(F)F)n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207452 INMFISJKKHTDAT-UHFFFAOYSA-N 414.409 4.641 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)s2)cs1 611996003 YSBNNEUTISJIPG-UHFFFAOYSA-N 412.496 4.542 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)cs1 611997604 WKLOHSYLRBIOQB-CYBMUJFWSA-N 413.524 4.996 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nnc(-c3ccccc3Br)o2)c(F)c1 618964860 LKPMSIQTYVFCRX-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])n1 727707833 LYXPZYIFBRWWJF-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD O=C(Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(-c2ccc(F)cc2)cc1F 730031703 YEDVBJYBBSFNCS-UHFFFAOYSA-N 421.363 4.577 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1ccncc1 735967590 GNCZJOPLASKSSL-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1ccc([N+](=O)[O-])cc1Br 739565524 HVAFRGSZFLAXMT-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC/C(=C\C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1cccc(Cl)c1 745755028 SFVPRJJKWUXAOS-SDNWHVSQSA-N 402.834 4.532 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1 749055283 OEFSKTOWNZEZBA-IBGZPJMESA-N 401.378 4.591 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(-n2nccc2C(F)(F)F)c1 749121659 ZLNFKXFVMUKTRF-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)c(C)c2)s1 751199669 PNLKBJLZSWIMDK-YHYXMXQVSA-N 412.496 4.866 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 759587165 HGPUBNPOYQZPNT-GOSISDBHSA-N 402.328 4.955 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 759947721 LCKHKKNHFHBNIX-OAGGEKHMSA-N 411.380 4.554 5 20 HJBD O=C(O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(C2SCCS2)cc1 759949574 QLFDZUKYLYCSKU-CVEARBPZSA-N 419.528 4.611 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1NC(=O)OC(C)(C)C 764194488 ZYMXAMCLOFTJAK-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(=O)Nc1ccc(F)cc1Cl 765529066 NQVFCKVXGAXXHH-JSGCOSHPSA-N 422.840 4.526 5 20 HJBD COc1cccc(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)c1[N+](=O)[O-] 766891735 LHKHXTIYPFDAEM-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD CC(=O)[C@@H](Cc1cccc(Cl)c1F)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 768857619 DUNGIGQGDYCODV-CQSZACIVSA-N 418.179 4.537 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)C[C@@H]1CCCO[C@H]1c1ccccc1 769211693 LMBWBUMBCVLTGC-PXNSSMCTSA-N 419.319 4.957 5 20 HJBD Cc1ccc([C@H](O)c2ccccn2)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 773609838 QPGVTJLADLAZRJ-QFIPXVFZSA-N 405.454 4.557 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@@H](c2ccc(Br)cc2)C2CCC2)c1 775202633 IBYSHVDDUBTLSC-RTBURBONSA-N 405.292 4.522 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135639 HSLAWGBQKBJZBF-IBGZPJMESA-N 421.434 4.961 5 20 HJBD O=C(CCN[C@@H](Cc1ccccc1)c1cccs1)Nc1cc([N+](=O)[O-])ccc1F 782531403 VNHQEFMGFLGTAC-IBGZPJMESA-N 413.474 4.698 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C\c3cn(-c4ccc(F)c(Cl)c4)nn3)nc2c1 782889543 HYGCHAAZYQEHAN-WLRTZDKTSA-N 420.187 4.846 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2OC(F)F)cc1[N+](=O)[O-] 784256291 GKQDOUKTNZJSQO-LBPRGKRZSA-N 422.384 4.504 5 20 HJBD CN(C)C(=O)Sc1cccc(COc2cc([N+](=O)[O-])ccc2Br)c1 786746943 OIBISUBZWMAPTG-UHFFFAOYSA-N 411.277 4.710 5 20 HJBD CC(C)OCc1c(C(=O)OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])oc2ccccc12 791568161 CIUBBJQJHVPNSA-UHFFFAOYSA-N 414.370 4.531 5 20 HJBD Cc1c(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 798215118 SQDUFDMJEMWFEK-UHFFFAOYSA-N 417.727 4.760 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)[C@@H](O)c1ccccc1 811538708 SXNCCOBKYBUJIP-YCRPNKLZSA-N 408.479 4.598 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(C/C(C)=C\c3cccc([N+](=O)[O-])c3)CC2)cc1 812777144 XWUTVZRMUHOUEM-VIESLWHTSA-N 410.514 4.842 5 20 HJBD Cc1ccc(OC(F)F)c(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813051625 OWFTYYKFXZHQLI-UHFFFAOYSA-N 405.184 4.741 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1OC(F)F)c1ccc(N)c([N+](=O)[O-])c1 815588372 MHQAJTJMYXYFMB-MRVPVSSYSA-N 406.216 4.936 5 20 HJBD CC(C)c1ccc(-c2nc([C@H]3CCCN3C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 904704003 PSCBEVSRUQZASJ-OAHLLOKOSA-N 402.451 4.840 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 914743465 ADANFEQBYFCQBX-JKNNBXRXSA-N 410.314 4.634 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 1116177429 IOVDDEARNRYBFC-UHFFFAOYSA-N 403.410 4.896 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(-c3ccc(Br)s3)o2)c1 1117202072 BLTSYAKKQAGLAW-UHFFFAOYSA-N 421.276 4.736 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1ccc(Br)c([N+](=O)[O-])c1 1318160461 LYFQNJCMJYACBA-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(O)(Cc2ccccc2F)CC1 1320933548 IBVXEHODSXLRLK-UHFFFAOYSA-N 414.480 4.737 5 20 HJBD C[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(OCc2ccccc2)c1 1326397528 VDIAISQUZOSZDD-KRWDZBQOSA-N 417.465 4.960 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cs2)c1 7505853 XSIDFHOOOYLCOH-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(Nc1ccccc1SC(F)F)c1ccc(Br)c([N+](=O)[O-])c1 14603257 XVBLJZFTKMLCJQ-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD C[C@H](OC(=O)COc1ccc(C(=O)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 21226068 VEXXKTDUZZELCS-INIZCTEOSA-N 405.406 4.509 5 20 HJBD Cc1cccc(-n2ccnc2SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1C 21609336 VYZUHECNVHNJGL-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD Cc1cc(OCC(=O)N2CCc3sccc3[C@H]2c2cccs2)ccc1[N+](=O)[O-] 53853930 OJJIRIAIPNCQOC-FQEVSTJZSA-N 414.508 4.579 5 20 HJBD Cc1csc(CCCCNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)n1 72089437 AEQADBJASFYGAH-UHFFFAOYSA-N 411.483 4.905 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 150114627 QCZAUFVYCYPHPK-GOSISDBHSA-N 410.499 4.679 5 20 HJBD Cc1sc2nc(SCC(=O)c3cccc([N+](=O)[O-])c3)n(C(C)C)c(=O)c2c1C 216817219 CSYDGWAWFOMONB-UHFFFAOYSA-N 417.512 4.539 5 20 HJBD CC(C)(C)c1csc(CCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 236715923 SYMVDDMIWLZCOR-UHFFFAOYSA-N 402.545 4.805 5 20 HJBD C[C@@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 410316979 ACPYZVDOTRJBPJ-MRVPVSSYSA-N 421.183 4.909 5 20 HJBD O=C(O)[C@@H]1[C@H]2CC[C@@H](C2)[C@@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426780370 RBPLQMZAAFORAX-LHHVKLHASA-N 416.861 4.629 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)c1[N+](=O)[O-] 435532910 SHDCZIRFSQNBCD-NRFANRHFSA-N 402.406 4.869 5 20 HJBD COc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 435818883 UAEXOYSYCSJUAK-UHFFFAOYSA-N 414.795 4.874 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441070925 VCRWHFZBKXTTID-CYBMUJFWSA-N 411.483 4.884 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)n1 444022302 KHXCFSMJPSLPLJ-UHFFFAOYSA-N 406.445 4.699 5 20 HJBD Cc1cc(C)cc(C[C@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444103897 WBODXWBZPIQBQH-INIZCTEOSA-N 402.469 4.798 5 20 HJBD COc1cccc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 444264257 CGVMGUOVGLXAGX-MRXNPFEDSA-N 422.485 4.554 5 20 HJBD Cc1ccc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)cc1N1CCCC1 445816252 UTFLGNRVZAENEO-UHFFFAOYSA-N 400.385 4.694 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC[C@H]1CCO[C@@H]1c1ccccc1 447681157 WPJNTHLMUDQPGN-MLGOLLRUSA-N 417.334 4.834 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3c(Cl)ccc(F)c3C)cc2)c(C)c1[N+](=O)[O-] 448520161 MNMQBBSFMOSJMH-UHFFFAOYSA-N 416.840 4.810 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccc(Cl)cc3)o2)c(Br)c1 461416437 MWJMOHNOOIRWKZ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1nc2c(C(=O)Nc3ccc([N+](=O)[O-])cc3C(=O)c3ccccc3)cccc2o1 470730475 UGMDIYPQXKVOPT-UHFFFAOYSA-N 401.378 4.528 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Br)cc1C(F)(F)F 479038706 DTDXAWRPVVTURN-UHFFFAOYSA-N 421.144 4.696 5 20 HJBD CC[C@@H](c1ccccc1)N1CC(=O)N(Cc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1=O 479178843 QHRNWFIOSNUGAR-KRWDZBQOSA-N 421.375 4.529 5 20 HJBD COc1cc(CNCc2cccc([N+](=O)[O-])c2C)ccc1OCc1ccc(F)cc1 480462642 CPFZDLZGBFRWJP-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c2C(C)C)cc1 481858979 NOEFBQGZWJENDO-UHFFFAOYSA-N 410.499 4.943 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(Cc2ccccc2)no1 483634424 CSXCLMPPAGIIOH-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD CSc1cccc(C(=O)Nc2ccc3cccc(Br)c3n2)c1[N+](=O)[O-] 486432618 LEZIFOMKKBGPHR-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 488702069 ZUVRXBAADJVRDN-UHFFFAOYSA-N 404.470 4.653 5 20 HJBD C[C@@H]1CN(c2ncnc3cccc([N+](=O)[O-])c23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488712162 JMBSUSHSJYMHQP-PXAZEXFGSA-N 418.375 4.523 5 20 HJBD CCN(Cc1ccccn1)[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 489424386 RVYAISGVOZHMFL-QFIPXVFZSA-N 404.470 4.500 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 494079922 PMLBNOZTRYJBEE-CQSZACIVSA-N 411.487 4.694 5 20 HJBD Cc1ccccc1-c1ccc(CN(C(=O)Cn2cc([N+](=O)[O-])nc2C)C(C)C)s1 498397335 QHZDNXQLDFUHOD-UHFFFAOYSA-N 412.515 4.574 5 20 HJBD O=C(CCN(Cc1cccc(-c2cccnc2)c1)C1CC1)Nc1ccccc1[N+](=O)[O-] 501006746 JOHBQZZVMXQJOS-UHFFFAOYSA-N 416.481 4.650 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccsc2)s1 504092086 GGPNCZLMMMXWLF-UHFFFAOYSA-N 406.514 4.929 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c(C(C)=O)c2)cc1OC 506218317 HVHMEQGGJNQDEI-CYBMUJFWSA-N 404.438 4.982 5 20 HJBD COc1cc(-c2noc(CSc3cccc([N+](=O)[O-])c3)n2)ccc1OC(F)F 509701818 WSNYBHREEHRPJK-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](c3cccc(OC(F)(F)F)c3)C3CC3)[nH]c2c1 511564690 QPTLTMZXXOIVTI-GOSISDBHSA-N 406.364 4.611 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 512062321 ZCCQZIDAIIYJPS-UHFFFAOYSA-N 403.423 4.705 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(C/C=C\c2ccccc2[N+](=O)[O-])CC1 512551442 DNVWWPKUNKIXPR-DAXSKMNVSA-N 414.893 4.501 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1OCC 512941154 QSVWTYKKYCRJBL-UHFFFAOYSA-N 420.893 4.750 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc3n2CCCCC3)cc1OC 513578457 CWOPEFAMDBJQOW-CQSZACIVSA-N 420.535 4.639 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)N1CCN(c2cccc([N+](=O)[O-])c2)CC1 513966076 LBTISWUVRXXSAX-UHFFFAOYSA-N 408.483 4.677 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 515531139 CGGCBVIFHDPUMR-CVEARBPZSA-N 415.490 4.760 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])s1 517368652 YSQDCWRWDAVJBW-ZDUSSCGKSA-N 402.863 4.894 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 519041884 KLEFCUQJIQKMQW-UHFFFAOYSA-N 413.846 4.716 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1[C@@H]1CCCc2ccccc21 520477051 MFZPFRKCMKGAGC-FOIQADDNSA-N 422.510 4.836 5 20 HJBD COc1cc(CN[C@H](C)Cc2cccs2)ccc1OCc1ccc([N+](=O)[O-])cc1 521829318 YZAVWNNYJCJWJW-MRXNPFEDSA-N 412.511 4.965 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2cn3ccsc3n2)cc1 522738752 SFYXHFJTJAGMGC-UHFFFAOYSA-N 412.858 4.806 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 522866651 MHSYVNYCPKZYTD-UHFFFAOYSA-N 401.488 4.738 5 20 HJBD Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n1[C@@H]1CCC[C@H](C)C1 534606262 LDSFFMMLYCTDNH-BLLLJJGKSA-N 414.491 4.588 5 20 HJBD CCOc1ccc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 539199617 IXNUYVHMAXGLOE-JTBRQZTESA-N 408.857 4.967 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 543469880 XOTDBJHICZEZBB-AWEZNQCLSA-N 408.433 4.676 5 20 HJBD C[C@@H](C(=O)N1Cc2cc(Br)ccc2C[C@H]1C)c1ccc([N+](=O)[O-])cc1F 544410882 DSOZTQODDOYVFC-VXGBXAGGSA-N 421.266 4.573 5 20 HJBD CC(C)(C(=O)Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1F 545823608 HTJOWVMXQZAHBK-UHFFFAOYSA-N 415.446 4.686 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@@H]2c2c(F)cccc2Cl)n1 547084963 KLEINWXDJZJKSW-RYUDHWBXSA-N 402.813 4.696 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1 547262778 JBAYDSPVPUZTML-UONOGXRCSA-N 424.432 4.560 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3ccc(C(F)(F)F)cn3)no2)c1 551338338 URJTUCFZXMCIBD-UHFFFAOYSA-N 418.253 4.668 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1cccc(COC2CCCCC2)c1 561169559 JJWKDRMVCDVUED-UHFFFAOYSA-N 424.906 4.898 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 562391077 MPISAQXJQZSODP-QRQCRPRQSA-N 408.429 4.752 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)c1 569022591 KQXRTKRZSOFDOY-CQSZACIVSA-N 411.483 4.623 5 20 HJBD CCc1ccc([C@H](NC[C@@]2(CO)C[C@@H]2c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 577684906 ZSHLFPQRWJVXSZ-GMKZXUHWSA-N 406.482 4.595 5 20 HJBD C[C@@H]1c2cc3c(cc2C2(CCCC2)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1)OCCO3 590943044 UVUXNANTMZGZMV-OAHLLOKOSA-N 423.469 4.787 5 20 HJBD CCc1cccc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 603645864 XELJLMGOUQPTEK-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 604076362 XSWXRFLVLAHNAB-IAGOWNOFSA-N 420.469 4.690 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604124377 CVLOPRYPDQSAJN-KSFYIVLOSA-N 405.454 4.753 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCOc2c(-c3ccccc3)cccc21 608785858 YIYNKAWJXNXNRM-NRFANRHFSA-N 403.438 4.585 5 20 HJBD CC(C)c1ccc(C(C)(C)NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 609189394 RZOMISLZYOTYEH-UHFFFAOYSA-N 409.530 4.986 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 609263022 GLPFZIKQLNBUJO-PBHICJAKSA-N 418.877 4.778 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@@H](C)Oc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609509203 SMXOKMWQZNIRDI-CVEARBPZSA-N 418.453 4.591 5 20 HJBD CC(=O)c1cccc(O[C@H](C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609761753 HNFSRHCAMPPYPK-MRXNPFEDSA-N 420.421 4.996 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 609860061 OJUCDKOYEMEFDF-LBPRGKRZSA-N 421.316 4.817 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)c2ccccc2S1 610038434 BNKJGOVEVOBHSS-NSHDSACASA-N 407.289 4.888 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Oc2cccc(Cl)c2Cl)nn1 610225855 VLMJMSQDMLCJHI-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccnn1C 616014571 RKPOITUXCHVBHK-LJQANCHMSA-N 424.526 4.649 5 20 HJBD COc1ccc([C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 616177157 UGWOZRQLJUWYPH-QFIPXVFZSA-N 405.454 4.501 5 20 HJBD C[C@@H]1CC[C@H](C(F)(F)F)CN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618689818 LDZREFSUQSBAGZ-ZBFHGGJFSA-N 422.403 4.977 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 726949072 VYKAPJRRJJXNAC-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cn1cc(C(=O)Nc2cccc(OC(=O)c3cc4ccccc4c4cccnc34)c2)cn1 727669572 ZWPJHURMERVLKI-UHFFFAOYSA-N 422.444 4.593 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1)c1ccsc1 728887150 TXCCEWKWKHVZFQ-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD CC[C@@H](C)[C@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 733141168 ZIZNWIQRQBRERC-OLZOCXBDSA-N 403.866 4.665 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(N2CCOC2=O)cc1 733325619 NNOPTKAOJHCCQB-AWEZNQCLSA-N 424.482 4.526 5 20 HJBD Cc1cc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)ccc1OCC(F)(F)F 738297156 OQBZYAMUNYLJNL-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 741002519 REJNJRVSKDLWTB-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD CC(C)OC(=O)CS[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 741476882 VHRIFMHRBCBMGK-SFHVURJKSA-N 422.890 4.613 5 20 HJBD CC(C)CC1(C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CCCC1 756569670 JHAXBEYZEZVDEC-UHFFFAOYSA-N 416.396 4.702 5 20 HJBD O=C(CCCCc1nc2ccccc2s1)NCc1cc([N+](=O)[O-])ccc1Cl 756988794 KAYHTTOPASGVQV-UHFFFAOYSA-N 403.891 4.887 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc2ccccc2c1 762447349 PFYLEBBNIJMDLR-UHFFFAOYSA-N 424.478 4.680 5 20 HJBD O=C(OCc1ncc(-c2cccc(Cl)c2)o1)c1ccc([N+](=O)[O-])c2cccnc12 766224305 VGJMEGVOOCKABQ-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1SCc1cc(F)ccc1[N+](=O)[O-] 768410753 CMDKYSQSNHNMTP-UHFFFAOYSA-N 402.451 4.525 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 768953584 WDAQMSUYDDENSQ-GFCCVEGCSA-N 420.922 4.995 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)nc1-c1ccccc1 775284046 GSHNTJCTOCJRIZ-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)c2ccccc2Br)c1[N+](=O)[O-] 789102171 QSZXIOIJLQPFAA-SNVBAGLBSA-N 424.272 4.507 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790904857 KHGIBBRBBBUPBS-KRWDZBQOSA-N 406.841 4.692 5 20 HJBD CC(C)CN(C(=O)c1ccnc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 791696290 UAJCZUUGBQAJKT-UHFFFAOYSA-N 418.425 4.694 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c([N+](=O)[O-])c1 792873647 DHFVBUARNLNYLL-CQSZACIVSA-N 423.269 4.915 5 20 HJBD CN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)C1CCC(F)(F)CC1 807877669 VJUXWCIKMSOLRW-UHFFFAOYSA-N 411.630 4.661 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 809404392 JGDSSAHJQQEVGZ-UHFFFAOYSA-N 419.191 4.599 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3cc([N+](=O)[O-])ccc3F)cc(-c3ccco3)nc21 809785214 SUVWWAAEQAVPMZ-UHFFFAOYSA-N 409.377 4.572 5 20 HJBD O=C(Oc1cccc(Oc2ccccn2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 916861000 IPGRTSAKCZONHF-UHFFFAOYSA-N 405.410 4.602 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1N1CCCC1=O 1116080608 SVMKTGBXLLYZMC-UHFFFAOYSA-N 417.421 4.766 5 20 HJBD CC(C)N(CCc1ccccc1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 1319536376 KXKISYQHWFZNRD-UHFFFAOYSA-N 409.255 4.590 5 20 HJBD C[C@@H](Oc1ccccc1C(F)(F)F)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1322777325 HPRYFMGYEDOOQQ-LLVKDONJSA-N 409.320 4.716 5 20 HJBD CN(Cc1ccccc1)c1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1 1323740758 DXVYVMOODZXDRD-UHFFFAOYSA-N 416.481 4.821 5 20 HJBD COCCCN(Cc1ccncc1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1324443439 SJQMXUMYJJVNIX-UHFFFAOYSA-N 415.877 4.942 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nnc(COc4ccc5ccccc5c4)o3)cn2)cc1 1326219112 ANFHQBCXJNVYBC-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD COc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3OC)o2)ccc1OCCC(C)C 1346055532 RSCRSKFJXTZSQD-UHFFFAOYSA-N 413.430 4.754 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2n1 4562834 GFROGTWIEKJWME-JTQLQIEISA-N 402.863 4.619 5 20 HJBD C[C@H]1c2cc3c(cc2C2(CCCC2)CN1C(=O)Nc1cccc([N+](=O)[O-])c1)OCCO3 10465767 DTAZVZYNHITBDL-HNNXBMFYSA-N 423.469 4.787 5 20 HJBD COc1cc(CN(C)C(=O)c2cccc(C)c2[N+](=O)[O-])ccc1OCc1ccccc1 18134606 AZDPQVCGFKPSJU-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1)c1cccs1 21141090 VJCXNLILWPRAEB-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 25521352 DOAZSPTUPSGSCT-UHFFFAOYSA-N 423.900 4.907 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-c4cnco4)cc3)n2)cc1[N+](=O)[O-] 58072138 LVDYRTHFTCWBFL-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COC(=O)c1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])sc2c1CCCCC2 59253567 WIIUPEPQXYAEEU-UHFFFAOYSA-N 420.512 4.686 5 20 HJBD COc1ccc(Cn2cnc3scc(-c4ccc(C)c(C)c4)c3c2=O)cc1[N+](=O)[O-] 60815732 XKGRHQONHLXJDL-UHFFFAOYSA-N 421.478 4.707 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)no1)C(=O)c1csc([N+](=O)[O-])c1 63633758 QMKHGFJTOHRMJX-UHFFFAOYSA-N 417.462 4.935 5 20 HJBD COc1ccc([C@@H](NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C2CC2)cc1 64898324 OKZSNHHLAJSRFN-IBGZPJMESA-N 409.467 4.608 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)C1 107957561 UVKQOUUQHUGZCO-IAGOWNOFSA-N 413.543 4.946 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(c2cccc(Br)c2)CCC1 108799914 HGXQURJQBVLTQI-UHFFFAOYSA-N 404.264 4.867 5 20 HJBD CN(C)CCOc1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 236980255 UKHCFIJHFBSBTN-UHFFFAOYSA-N 411.527 4.554 5 20 HJBD CC(C)[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 302054925 QCNORLPUXJMWBD-KRWDZBQOSA-N 400.859 4.600 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)Oc1ccccc1Br 303426390 CAMXYRJFKZAVDM-CQSZACIVSA-N 421.291 4.562 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 303862855 MFLUJKANJXNUNV-UHFFFAOYSA-N 417.893 4.595 5 20 HJBD COc1ccc(CN[C@@H](CCC(=O)O)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 426765370 NDMVICQIPKLMTO-HNNXBMFYSA-N 413.257 4.606 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@@H]1[C@@H]1CCCCC1=O 426891523 PWVMZZXGEBPNGS-BHYGNILZSA-N 422.909 4.546 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])cc1F 436160916 ASEJJXSBKFXXKT-UHFFFAOYSA-N 422.460 4.850 5 20 HJBD C[C@@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 437627344 TVHBXLMGHGEKIC-SECBINFHSA-N 420.290 4.621 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N(CC(F)(F)F)c1ccccc1 439260790 PWCLSKZMPKBOEI-UHFFFAOYSA-N 401.344 4.866 5 20 HJBD COc1cc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OC1CCCC1 443947117 NVEMEXZRRYXIHA-UHFFFAOYSA-N 413.474 4.863 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)s1 444048887 KOJCZTUQTWNYOG-ZETCQYMHSA-N 404.673 4.955 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(c1nnc(-c3ccccc3)n1Cc1cccs1)CC2 461486725 HRSXJZIFBYXEQE-UHFFFAOYSA-N 417.494 4.526 5 20 HJBD CCc1cc(O)nc(-c2cccc(NC(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)c2)n1 462419348 BZSNKKCVQSRMBB-MRXNPFEDSA-N 420.469 4.527 5 20 HJBD Cc1ccc(C)c2c(C)c(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)oc12 464646248 XFAOUMAYTHMXEK-UHFFFAOYSA-N 408.454 4.950 5 20 HJBD C[C@H]1CCCN1[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 464838259 NMIAJNYLJDCMPT-SCLBCKFNSA-N 418.291 4.521 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(OC)cc1OC1CCCC1 466495664 FKFQTEIIMLTKDN-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](OCc2ccccc2F)C1 470643712 XMTIATYEAQOIPO-KRWDZBQOSA-N 401.438 4.564 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 473507301 JMNPEUDPWGOFGR-INIZCTEOSA-N 419.416 4.716 5 20 HJBD COc1ccc(CN[C@@H](c2ccccc2)c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 475763963 VVSXHBZUMLAZEN-NRFANRHFSA-N 416.437 4.533 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)n2)cc1 477130853 BXUXBQOUGBUCIN-UHFFFAOYSA-N 411.468 4.569 5 20 HJBD CCOC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 477611980 QSGJDMKHFOUICR-CQSZACIVSA-N 420.918 4.898 5 20 HJBD Cn1nc(C2CCCC2)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486130209 ZKZRNUVHWBKMQX-UHFFFAOYSA-N 420.469 4.817 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)c4ccc([N+](=O)[O-])s4)cc32)c1 490246213 OVJWDHCMIYHFJG-UHFFFAOYSA-N 407.451 4.920 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2/C=C\c2ccccc2)cc1[N+](=O)[O-] 505351721 JRXFUOMRJPVWEY-ZMOVQZPESA-N 412.511 4.541 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 505982143 PQDYZQLAFLBBDF-MRXNPFEDSA-N 422.460 4.964 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COc2c(Cl)cncc2[N+](=O)[O-])cc1 506555953 RCPMEUAMFFFVNL-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(CCC(F)(F)F)cc1 507541695 FLEBKSRRGMRFDQ-UHFFFAOYSA-N 404.291 4.943 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 511252199 WPRREMWFVRWNNZ-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD COC(=O)c1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 515287750 QOAWXCPJVOJTMY-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD C[C@H](NC(=O)N[C@H](COc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 521697299 SFYSFRGYYMSUSY-HTAPYJJXSA-N 405.454 4.775 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)cc1F 524658309 QPWRLBHSWZHOOY-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD Cc1cn2c(CN(C)C(=O)c3cc4ccccc4c4cccnc34)c(C)nc2s1 534054048 QMGMEGCSRYFKDW-UHFFFAOYSA-N 400.507 4.986 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 540446723 XIXNKMVAFGGOCN-JKSUJKDBSA-N 414.767 4.527 5 20 HJBD COc1ccc(NC(=O)c2ccccc2SCc2csc(C)n2)cc1[N+](=O)[O-] 541067381 ZUZOMWGRXQNJRD-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD CN(Cc1ccccc1)Cc1cccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1 541287587 WCWCTFVGGFLWLA-UHFFFAOYSA-N 415.493 4.820 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(C(C)(C)C(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541568262 JAWWPBTZXIXQBB-NRFANRHFSA-N 407.470 4.715 5 20 HJBD C[C@@H](C(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1F 541592226 VPHHEKGCEATYSI-HWPZZCPQSA-N 402.319 4.786 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 542528509 UAZKKCNWSUUZDD-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)c1 545853754 KSOLMFVAZGJZAI-IEXSPKMKSA-N 413.817 4.636 5 20 HJBD COc1ccc(C)cc1Cc1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 546953321 FBHKRYPCPVKVOQ-GFCCVEGCSA-N 403.822 4.679 5 20 HJBD O=C(NCc1cc2ccccc2o1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548359083 NVBVSEZOTBSFDU-UHFFFAOYSA-N 404.372 4.636 5 20 HJBD COc1cc(C(=O)N[C@@H](CCC(C)C)C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 578690803 KHRZJVGJJSVQAK-INIZCTEOSA-N 402.438 4.786 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 582079606 QAXSRBMPVRNKJZ-BBATYDOGSA-N 407.264 4.748 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(Br)cccc3s2)c1 582318286 LBMSOEDJQALLEH-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)N[C@H](c1ccccc1)C1CC1 598931392 MOZNWKMCODTXJR-JOCHJYFZSA-N 408.479 4.970 5 20 HJBD C[C@@H](Cc1cccs1)Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-] 603579658 RYQGCRDEGJDENY-AWEZNQCLSA-N 417.512 4.500 5 20 HJBD O=C(Nc1nc2ccc(OCC(F)(F)F)cc2s1)c1cc(F)cc([N+](=O)[O-])c1 609441513 WNNJNGIGVMGIGW-UHFFFAOYSA-N 415.324 4.537 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 609505071 JGOJWIYPPSFBCE-SJORKVTESA-N 416.359 4.542 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCOc1ccccc1-c1ccccc1 609784944 PTEGFRIJYKKJBS-UHFFFAOYSA-N 410.857 4.653 5 20 HJBD O=C(c1cccc(COc2ccc([N+](=O)[O-])cc2Br)c1)N1CCCCC1 609962695 PTDCWGSHQGURFE-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD Cc1cccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2ccccc2[N+](=O)[O-])c1 609977760 NEQPDFMDWVHNON-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)c1 610272220 JGTTYMPSRDZYCN-UHFFFAOYSA-N 408.401 4.693 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cnn1CC(C)C 611216326 NSDFLGOEBMZQOH-UHFFFAOYSA-N 421.501 4.874 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3cccs3)Cc3cccs3)o2)cc1 611551482 BFQLPAKWNOQFMX-UHFFFAOYSA-N 412.496 4.970 5 20 HJBD CN(C)c1ccc(CCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728166297 AHWIESBTIRUDMH-UHFFFAOYSA-N 401.894 4.690 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2cccc(-c3nc(C)cs3)c2)cc1SC 728474586 WBYWCVDAJLFGLY-UHFFFAOYSA-N 416.480 4.976 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCCC[C@H]1c1nc(-c2ccccc2)no1 731298723 MBDGFUBDFFZVSA-KRWDZBQOSA-N 412.833 4.666 5 20 HJBD O=C(CCCOc1ccccc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445569 LNXANEHWDMVAHZ-UHFFFAOYSA-N 416.817 4.806 5 20 HJBD CC(C)(C(=O)OCc1cc(-c2ccc(Cl)cc2)no1)c1ccc([N+](=O)[O-])cc1 740394644 JTUWXVBGMARUKG-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(CCc1ccccn1)Cc1ccc(F)cc1 750186171 LFZAYYSNPNNBJX-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1cccc(Cl)c1[N+](=O)[O-] 755795222 FHKUVNPKVAQKHH-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD Nc1ccc(-c2noc(-c3ccc(C4SCCCS4)cc3)n2)cc1[N+](=O)[O-] 761252389 FJWWPBSCKVCWDI-UHFFFAOYSA-N 400.485 4.763 5 20 HJBD CCCn1cc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)ccc1=O 767885575 WBRLYHRLNHMYJD-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2C/C=C/c1ccccc1[N+](=O)[O-] 769488981 UWEAELOUMSRZOE-WWYVRZJZSA-N 401.507 4.518 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 769887859 LRSKIMVGJAPJQP-INIZCTEOSA-N 412.486 4.829 5 20 HJBD Cc1noc(C)c1CCOc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 774718988 OBYAATOTEKDQOP-UHFFFAOYSA-N 406.394 4.595 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1(c2cc(F)cc(C(F)(F)F)c2)CC1 782229060 QIYRBSZRPKSNBW-UHFFFAOYSA-N 404.266 4.701 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)cc1[N+](=O)[O-] 782518924 GLWUQHYOTOEKIL-UHFFFAOYSA-N 409.345 4.519 5 20 HJBD CCOc1c(Cl)cccc1CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 791811579 ITCSBQFSAJHDBJ-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 792634638 FNISMXJCXMTWIG-UHFFFAOYSA-N 414.201 4.688 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 794197782 CKDFWGALYFUVRT-UHFFFAOYSA-N 401.762 4.977 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 796929142 WCXIIPMOZSXZDA-UHFFFAOYSA-N 414.458 4.849 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1cc(Cl)ccc1[N+](=O)[O-] 803229556 HPEKIHUXWJRYAB-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD Nc1ccc(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)c([N+](=O)[O-])c1 804446930 RHLGIROKRJOKPX-UHFFFAOYSA-N 421.432 4.523 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc1[N+](=O)[O-] 807733359 SVXHKCCIKYTTBK-UHFFFAOYSA-N 408.342 4.611 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809922902 TWLKTMICMBFIIJ-KRWDZBQOSA-N 416.909 4.624 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3cc(C)nc4ccc([N+](=O)[O-])cc34)CC2)cc1 812482924 SYEZNMMFRZRLNM-DEOSSOPVSA-N 421.497 4.800 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(CNCc3csc([N+](=O)[O-])c3)ccc21 820803208 VAKPDMJXBMNPFL-UHFFFAOYSA-N 403.504 4.634 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 841671526 SYUSAIRLYUPTMB-UHFFFAOYSA-N 421.453 4.906 5 20 HJBD COc1ccc(OC(=O)CCC(=O)c2ccc(-c3ccccc3)cc2)c([N+](=O)[O-])c1 916986353 FIJLPSJMSANFIR-UHFFFAOYSA-N 405.406 4.839 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCC(F)(F)F)cc1 918875594 SCBXVDAFNRPMHD-GFCCVEGCSA-N 415.389 4.760 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)cc1)c1ccccc1 920810319 UZFMEAWGUBJOLQ-MRXNPFEDSA-N 415.453 4.756 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CSCc2coc(-c3ccccc3)n2)o1 1117215189 UMXWAUMLIXUEQK-UHFFFAOYSA-N 424.438 4.645 5 20 HJBD O=C(Nc1nnc(-c2ccncc2)s1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 1253124685 XXXNHHIBVIJYNS-UHFFFAOYSA-N 418.438 4.504 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1Cc2ccccc2C2(CCC2)C1 1326155138 UUHAJBCXGVGLPD-UHFFFAOYSA-N 408.501 4.619 5 20 HJBD COc1cc(OCc2nnc(-c3cc4c(s3)CCCCC4)o2)ccc1[N+](=O)[O-] 1341556566 GBRNNTLVCXQTPV-UHFFFAOYSA-N 401.444 4.563 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)cc1 1790313631 CFZCZPZRKKWFOF-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)sc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17956809 PHCNQTNUYJRCKO-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c(OC)c1 43331499 GGWARKWOMZTRBU-INIZCTEOSA-N 405.838 4.634 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53509782 NZWWZUMUZNOABX-KKSFZXQISA-N 402.454 4.750 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)C1CCN(c2ccccc2[N+](=O)[O-])CC1 108835007 KOYOVURVQVTEKX-UHFFFAOYSA-N 414.531 4.565 5 20 HJBD CC(C)(C)Oc1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)nc1 426569386 ZLHHKXHLCUNICW-UHFFFAOYSA-N 412.449 4.971 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@@H](c2cccc(F)c2)C1 428710194 SAYVCHJAFQHQSU-CQSZACIVSA-N 422.406 4.728 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 430526601 SKHUHVJOBLNTLH-UHFFFAOYSA-N 403.866 4.508 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436250865 ZHBZOTPIVJOWSR-UHFFFAOYSA-N 400.478 4.993 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437131142 ZIEUVKBSCHASSR-SFHVURJKSA-N 401.344 4.528 5 20 HJBD C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816290 BNPYFKAELKCLTM-ZBEGNZNMSA-N 409.364 4.950 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CNC3(c4ccc(Cl)c(Cl)c4)CC3)o2)cc1 438748359 XTQSQXYWAXBVFA-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccc(COc2ccc(F)cc2)o1 440619061 WLZSMYVBOMOBJZ-UHFFFAOYSA-N 407.357 4.706 5 20 HJBD O=C(N[C@H]1[C@@H]2c3ccccc3C[C@H]21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441056242 BDUXYGSNZCQNQS-ZPMCFJSWSA-N 402.475 4.814 5 20 HJBD Cn1nc(C2CCCC2)cc1NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444302845 KVUNBRJYOVGHME-UHFFFAOYSA-N 422.435 4.603 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccco3)nn2C(C)C)cc1SC 447862971 WUSIJGQVUKFCPI-UHFFFAOYSA-N 416.459 4.615 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(C)(C)c1ccccc1 465940931 VHXJMXJNYDQJRZ-KRWDZBQOSA-N 411.502 4.514 5 20 HJBD COCCC[C@@H](CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 480325533 DHWPCFOODRLMPS-HNNXBMFYSA-N 421.881 4.589 5 20 HJBD CNC(=O)c1ccc(N2C[C@H](C)C[C@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 482093315 IRRYNALIKNZODA-PXAZEXFGSA-N 407.392 4.561 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccc1OC 482121194 IEFZNDNKPVIOLS-CALCHBBNSA-N 411.502 4.752 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)c2ccc(F)cc2O1 484869522 UAONSLZTRUFQTP-HNNXBMFYSA-N 423.238 4.529 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2NC(=O)N2CCCC2)cc1[N+](=O)[O-] 485123941 KYATVYFPSGLSQJ-UHFFFAOYSA-N 410.474 4.772 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])s1 486212172 HPCTUXFZFBWQGR-CYBMUJFWSA-N 414.512 4.962 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(C)c(F)ccc2s1 489910034 YLPDIFLVOFILLR-UHFFFAOYSA-N 421.475 4.643 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 489935546 KUMONWPEFDVHPH-LURJTMIESA-N 413.299 4.580 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)cc(Br)c1F 490502026 WHWJWODADMNSJC-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD Cc1c(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)cccc1C(=O)Nc1ccccc1 498923870 LKMWIOTVILCPRE-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H]2CCCC23CCOCC3)c2ccccc2)c([N+](=O)[O-])c1 503411565 HLUOFHVVCCYJHX-FCHUYYIVSA-N 423.513 4.522 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)Nc1ccc([N+](=O)[O-])cc1Br 503573790 NGQHDWFNKUGITA-QMMMGPOBSA-N 421.217 4.906 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 504376336 JILCBQLYQIKWLN-KBPBESRZSA-N 413.440 4.620 5 20 HJBD CCOc1cc(CN(C)c2ccc([N+](=O)[O-])c3cccnc23)ccc1OC(F)F 506055308 CEAFIPHACSMLDQ-UHFFFAOYSA-N 403.385 4.780 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3cccc(C(=O)Nc4ccccc4)c3)cc2N1 507040346 ULHAFZCBBSHVGR-UHFFFAOYSA-N 403.394 4.524 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(C(F)F)c1 507158608 SLDDSQFFYZPTGW-UHFFFAOYSA-N 403.307 4.992 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@@H]1CCc2ccccc2C1 511931727 JXFBHUAMNHDFQS-JOCHJYFZSA-N 415.493 4.836 5 20 HJBD C[C@H](Nc1ccc(-c2nc(COc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 518856961 YVIYYRSJCPPYQO-HNNXBMFYSA-N 417.425 4.792 5 20 HJBD CSCc1nc2ccccc2n1CC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 518892974 HUVLOWSSBALRMF-UHFFFAOYSA-N 424.526 4.783 5 20 HJBD O=C(Nc1ccc(Br)c(C(F)(F)F)c1)c1cc(F)cc([N+](=O)[O-])c1 521835879 XGFJPZXOEFCSKI-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(c1csc(Cc2ccccc2)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525085093 SFEHTMQFDUJIKV-FQEVSTJZSA-N 411.508 4.530 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525405268 BDVDFMQHACVMQO-OAHLLOKOSA-N 405.454 4.852 5 20 HJBD Cc1nnc(-c2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)c2)o1 532087191 NQSWRVWCZDAZKG-UHFFFAOYSA-N 414.421 4.800 5 20 HJBD COc1ccc(OC)c(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c1 533219162 CDCNSUBXXUGUDP-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD CCn1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nnc1-c1ccccc1 535511351 RUHZABUMPJSDKL-UHFFFAOYSA-N 407.455 4.821 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)ccc3OC[C@@H]3CCCO3)co2)cc1 536301480 COOYAWBZNVUEAQ-SFHVURJKSA-N 413.405 4.559 5 20 HJBD CC(C)Cn1cc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(C(F)F)n1 536410682 ZYEHIUATGPIZGI-UHFFFAOYSA-N 419.335 4.630 5 20 HJBD Cc1ccc([C@@H](NC(=O)CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cccs2)cc1 537076569 JDPYXYIGMAOWBY-KSFYIVLOSA-N 409.511 4.521 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 538834224 BWSZUJHMEYVUJJ-UHFFFAOYSA-N 405.479 4.712 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3coc(-c4cccs4)n3)no2)cc(C(F)(F)F)c1 545005823 GOMCJMUUTITIEC-UHFFFAOYSA-N 422.344 4.971 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nc(-c2ccc(C(C)C)cc2)no1 545250922 MKSNHRDNRMWHNK-UHFFFAOYSA-N 424.457 4.738 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1=O 546243116 PSNZIGUPMPJMDB-NVXWUHKLSA-N 420.469 4.603 5 20 HJBD CSc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)c(Cl)c1 546516633 GGRVTFDEFXWFKB-UHFFFAOYSA-N 414.830 4.941 5 20 HJBD CC(C)(C(=O)NCCCCc1nc(-c2cccnc2)cs1)c1ccccc1[N+](=O)[O-] 550247631 DZFQQBAMILQGFM-UHFFFAOYSA-N 424.526 4.530 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 556207846 LIYMXBNWQUTXSB-SSEXGKCCSA-N 422.506 4.819 5 20 HJBD COc1cc(Cc2noc([C@H](Oc3ccccc3)c3ccccc3)n2)ccc1[N+](=O)[O-] 561905177 DGMNHOINLFRULL-JOCHJYFZSA-N 417.421 4.746 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN2CC3(CCC3(F)F)C2)cc1 570371896 YPIMEWUSNFXHKR-UHFFFAOYSA-N 410.424 4.679 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N[C@H](Cc3ccc(Cl)cc3)c3ccccn3)c12 580127962 JYUFYGUNAKZKOG-GOSISDBHSA-N 405.845 4.982 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 589541724 KCGLUPANBYLBST-AWEZNQCLSA-N 412.433 4.858 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)c2cccs2)c1 608808765 CBQZBEQMUZGKBZ-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@@H](c2ccccc2F)C1 608963192 NWLSYQZAFFOJTC-JOCHJYFZSA-N 422.412 4.740 5 20 HJBD CCOc1cc(NC(=O)N(C)C[C@H](C)c2ccccc2)c([N+](=O)[O-])cc1OCC 608993896 NHARZMZCPVCYPA-HNNXBMFYSA-N 401.463 4.660 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3[C@@H]4CCO[C@H]4C3(C)C)cc2[N+](=O)[O-])cc1 610154582 FRXNDAIBVVTVIF-PWIZWCRZSA-N 412.511 4.598 5 20 HJBD O=C(Nc1c(F)cccc1-n1cccn1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 730197561 MEOIFAFWXUJJQW-UHFFFAOYSA-N 417.400 4.916 5 20 HJBD C[C@H](OC(=O)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 731103683 ZIZYJAAHXSDLSB-ZDUSSCGKSA-N 418.877 4.580 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)c2nc3ccccc3s2)CC1 734114111 LAIYCZJDBRUDCL-HNNXBMFYSA-N 424.526 4.932 5 20 HJBD CC(C)CNC(=O)N1CCC[C@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 741362097 GWJCXZXVHGUNLD-SFHVURJKSA-N 419.525 4.509 5 20 HJBD Cc1cc(C(=O)N2CCCN(C(=O)OC(C)(C)C)c3ccccc32)ccc1[N+](=O)[O-] 741739001 UGAYMZILIXGCOB-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD C[C@@H]1CC[C@H](CCC(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)O1 744031625 ONGBWOLHLCPJGA-RHSMWYFYSA-N 423.421 4.621 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1ccc([N+](=O)[O-])cc1F 744833523 YKJJSGAXKUBXGG-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)[C@@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745548921 OJSZAODSENKTIC-FZKQIMNGSA-N 404.850 4.558 5 20 HJBD Cc1c(C(=O)Nc2nc3c(C)ccc(C)c3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607289 ACQLEDIIWWWHKK-UHFFFAOYSA-N 400.416 4.599 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cc(Cl)ccc1[N+](=O)[O-] 749145781 JIQXISXFRVSAMI-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(OCc1csc(Cc2ccc(Cl)cc2)n1)c1c(F)ccc([N+](=O)[O-])c1F 752560529 XQXKUOVSYXTKAT-UHFFFAOYSA-N 424.812 4.931 5 20 HJBD O=C(OCc1ccc(OCc2ccncc2)cc1)c1cc([N+](=O)[O-])cc(Cl)c1F 754981797 JUEGPCSUXAVNMW-UHFFFAOYSA-N 416.792 4.718 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 755058842 FJCYNDGDOOYFME-VXGBXAGGSA-N 417.368 4.639 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc21 758174708 OWYCVCFUNMWQHZ-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC[C@H](O)c1cccc(OCc2ccccc2)c1 760704217 SGIDYTUPQCRBTC-QOCFUJJPSA-N 404.466 4.510 5 20 HJBD CN1CC[C@@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 762623071 HVHCWJXWMKCTQG-DJJJIMSYSA-N 412.292 4.823 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 764220517 DYJBUYITWALMGG-JTQLQIEISA-N 404.879 4.728 5 20 HJBD O=C(Oc1cccc(NC(=O)c2ccco2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781477947 JVJPJXMKCGWBLX-UHFFFAOYSA-N 424.412 4.746 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 785382069 TYTFXFXICICOSR-UHFFFAOYSA-N 423.322 4.646 5 20 HJBD CC(=C/c1cccc([N+](=O)[O-])c1)/C=C(/Cl)c1nc(O)c2ccc(C(=O)O)cc2n1 787169973 SLRCPZFSAAOHPE-UZWVFUBISA-N 411.801 4.625 5 20 HJBD COc1ccccc1-c1nc(COC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cs1 796440014 RAQDLZKTBJYYOF-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD COc1ccccc1CONC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 799215301 UGIRJMHEDZSGKB-UHFFFAOYSA-N 409.398 4.649 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(N2CCCNC2=O)cc1 812489649 ZIFBCBALMLFQJP-LBPRGKRZSA-N 408.380 4.706 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cc12 812814892 SWNSMWGCJPWRAU-UHFFFAOYSA-N 415.450 4.572 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc([N+](=O)[O-])c(Cl)c1 813305299 YWRWXEMSAAISTD-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 813305982 JTKDCBIFRDTVPQ-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD CC(C)(C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1ccccc1[N+](=O)[O-] 816481895 MAUILISUZMXOJE-AWEZNQCLSA-N 409.269 4.819 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017783 MZIUAZOYENRNEQ-HUUCEWRRSA-N 416.499 4.771 5 20 HJBD CCOC(=O)/C=C\c1ccc(NCc2ccc(Br)c([N+](=O)[O-])c2)cc1 863994600 GEJFHTWVDMEVIA-POHAHGRESA-N 405.248 4.546 5 20 HJBD CC(C)c1cc(C(=O)OCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c2ccccc2n1 914897777 LKTIBZILEIPIRQ-UHFFFAOYSA-N 418.409 4.673 5 20 HJBD C[C@@H](OC(=O)CCCc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 915585742 HONOANWFEREYCQ-CYBMUJFWSA-N 424.375 4.507 5 20 HJBD Cc1sc(NC(=O)c2cnc(Cl)c(Cl)c2)nc1-c1cccc([N+](=O)[O-])c1 917792095 VTNVZLXFPUBWPS-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)c2cccnc12 920010354 QHAMXWWXXTXBDT-UHFFFAOYSA-N 420.469 4.640 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nnc(C2CCCCC2)s1 920804788 AMYZGGZSBIVXDA-SNVBAGLBSA-N 410.883 4.553 5 20 HJBD COc1cc(CN(C)Cc2cc3ccccc3[nH]2)c([N+](=O)[O-])cc1OCC(F)(F)F 1116444728 FFOIOMZVPBVDFG-UHFFFAOYSA-N 423.391 4.658 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1csc(-c2ccco2)n1 1116476152 ULBCPKDCAJLRPH-GOSISDBHSA-N 407.407 4.653 5 20 HJBD Cc1nc2ccc(S(=O)(=O)Oc3ccc([N+](=O)[O-])cc3-c3cccs3)cc2o1 1324089907 WOIKRPCIBAUORY-UHFFFAOYSA-N 416.436 4.541 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(-c2cccnc2)n1Cc1ccccc1 6531657 CXIKNIMEXALLGW-UHFFFAOYSA-N 403.467 4.589 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(NC(=O)c2ccccc2)cc1 7394365 DZERQEGXBCKUMP-UHFFFAOYSA-N 410.813 4.762 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(N2CCCCC2)cc1 11247232 NRFCTNURIYKGNO-UHFFFAOYSA-N 411.505 4.784 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 15653703 NEUCNJITIVOAPL-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)cc(Br)c1O 18064331 FTYGOQXFHSZRHL-WZUFQYTHSA-N 424.638 4.685 5 20 HJBD O=C(CSc1nc2ccccc2n1C(F)F)Nc1cc([N+](=O)[O-])ccc1Cl 25979539 NOOZMPLVGZCTJQ-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1C 50335689 HXYMZWMDVKGESY-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4cc([N+](=O)[O-])ccc4C)CC3)c2c1 56520266 IQWNQEBRWAXHLG-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD CCn1c(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)nnc1-c1cccc(C)c1 97213063 RRHCIDLGBCUKPE-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)c(C)c1 109640630 VYUUNODNBSGOEC-AWEZNQCLSA-N 411.487 4.627 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNc1ncc([N+](=O)[O-])cc1Br 301546366 PLYVJIJEDIFCEL-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD CCOc1cc(NC(=O)Cc2coc3c(C)c(C)ccc23)c([N+](=O)[O-])cc1OCC 302890321 FBSQPQKPXUDRNY-UHFFFAOYSA-N 412.442 4.936 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)CCNc3ccccc3[N+](=O)[O-])ccc2C)c1 303940539 DZZXYSLQOQIEGO-UHFFFAOYSA-N 406.442 4.840 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Br)cc([N+](=O)[O-])c1 326424249 VTCVSQCNWIXQLR-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1c([C@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])oc2ccccc12 429868294 NXHUKRJRMBDGPX-NSHDSACASA-N 403.232 4.903 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CCN(Cc4ccccc4)CC3)C2)c2ncccc12 431487344 NFEXWLOGAIKWOS-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)c1[N+](=O)[O-] 433873135 OZPBZHLULATUOO-IBGZPJMESA-N 404.426 4.707 5 20 HJBD CCOc1cccc([C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436237679 HLZJWQRXEIRGAV-CYBMUJFWSA-N 422.431 4.849 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@H](C)CO1 437031194 HLVZDCHRLNOQQQ-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD CCOc1ccc(-c2nc(CN3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)co2)cc1 437579880 MPDYUQCCZQWYCR-UHFFFAOYSA-N 423.469 4.692 5 20 HJBD CCOc1cc(NC(=O)N[C@](C)(CC)c2nc(C)cs2)c([N+](=O)[O-])cc1OCC 437608909 ZDQYNSMZTJXRGV-LJQANCHMSA-N 422.507 4.604 5 20 HJBD O=C(Nc1cccc(OCCF)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444231899 KRMPPCKUGHVWKQ-UHFFFAOYSA-N 412.367 4.560 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C/c3ccc(OCc4cccnc4)cc3)n2)s1 445477781 APPKECZNMADVLZ-WEVVVXLNSA-N 406.423 4.851 5 20 HJBD C[C@H](Sc1cc(Cl)ccc1Cl)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 445582097 GOPGNZBINAZCQZ-ZETCQYMHSA-N 400.247 4.538 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21 446089887 GRSOBQZIZJKCEI-OAHLLOKOSA-N 411.458 4.973 5 20 HJBD Cc1ccc([C@]2(C)CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)cc1 447693953 GBPXZMASPMBOFY-AREMUKBSSA-N 414.480 4.933 5 20 HJBD Cc1ccccc1-c1nc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cs1 460350619 WDDXQYFOUOYVRB-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccccc2Sc2ccccc2)cc([N+](=O)[O-])c1 461066973 NXVHRTIFOATLKD-UHFFFAOYSA-N 408.435 4.785 5 20 HJBD COc1ccc(-n2c(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])nc3ccccc32)cc1 461242916 IFBBBRPIDNUQRJ-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1nnc(-c2cc3c(s2)CCCC3)o1)C1CC1 461367463 BZGINDAQPQGWIB-UHFFFAOYSA-N 410.499 4.750 5 20 HJBD COC[C@H](C)[C@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283277 DKMNCDVGBLZUSK-GJZGRUSLSA-N 405.520 4.626 5 20 HJBD CC[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465180480 ATXUXRTYDQSEQU-SKDRFNHKSA-N 419.450 4.818 5 20 HJBD Cc1ccc(NC(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 473680267 VCANZAZTHSMPIH-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD O=C(Nc1nc(-c2ccc(Cl)s2)cs1)c1n[nH]c2ccc([N+](=O)[O-])cc12 476537838 OKUKREFAJQIIJV-UHFFFAOYSA-N 405.848 4.562 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cccnc2OCC(F)F)s1 479893370 IIEUESAXSWDUIV-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD CSCC(=O)Nc1ccc(Oc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1 485418901 QUIVKMQWGKQHFA-UHFFFAOYSA-N 413.430 4.890 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(-c3ccco3)cc2F)cc1[N+](=O)[O-] 487566507 DFDHVKHWLQYSRC-UHFFFAOYSA-N 416.430 4.874 5 20 HJBD COc1ccc(CNc2nn3cc(-c4ccc(Cl)cc4)nc3s2)cc1[N+](=O)[O-] 489316476 FTEGYJHJWACYGY-UHFFFAOYSA-N 415.862 4.640 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 491095750 WWNWBRIMQPPGFF-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 495535693 WCCPQBPCXTWCFU-LLVKDONJSA-N 403.410 4.830 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(CCc1ccccc1)c1ccccc1 497592290 MQFMIVXJPAXDFP-UHFFFAOYSA-N 416.886 4.686 5 20 HJBD O=C(NCC1(c2ccc3c(c2)OCO3)CCCCC1)c1c(Cl)cccc1[N+](=O)[O-] 497664463 MADJYOUCRDWLDY-UHFFFAOYSA-N 416.861 4.609 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 497874523 JUTZFLQOZRFLJY-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498514824 QQNTVLOSBNSOGY-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2)C2CCC2)c([N+](=O)[O-])cc1OCC(F)F 505586041 HFNCRYZCBNICLX-NRFANRHFSA-N 406.429 4.878 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OCC(F)(F)F 506820597 HWXXGEJUPHFPND-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)c(C)c1 507460189 MHZIBSHLYZLSBT-UHFFFAOYSA-N 407.470 4.851 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1N=C(c2cccs2)C[C@@H]1c1ccco1 509091219 WOBOAVVQXOTOSC-MRXNPFEDSA-N 413.480 4.719 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCOc2c(-c3ccccc3)cccc21 509830174 DIPMOOCFDBKODE-NRFANRHFSA-N 417.465 4.927 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC(F)F)c(F)c1 511213617 HPYNEOKSKFLAGN-UHFFFAOYSA-N 414.405 4.767 5 20 HJBD CCCN(C/C=C\c1ccccc1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 512547911 URWIYMKEEHSUHG-WQLSENKSSA-N 407.514 4.625 5 20 HJBD Cc1c(NC(=O)N[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 512918754 SPZZOCMQDYEWQQ-CQSZACIVSA-N 419.306 4.930 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2ccccc2NC(C)=O)cc1OC 513615947 WDRJNLQJLYMJPJ-CQSZACIVSA-N 402.447 4.566 5 20 HJBD O=C(CCc1nnc(-c2ccsc2)o1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 521360826 GVHDOPKDMFLLKI-UHFFFAOYSA-N 412.471 4.615 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)cc1 523892589 ZKNMLVBXOXRCEJ-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD O=C(NCC1(c2cccc(C(F)(F)F)c2)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 524056753 ACXQYSJMPUFGAO-UHFFFAOYSA-N 404.344 4.821 5 20 HJBD CC(C)(CNC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1Cl 524383529 HZTXYCVSYUHBFB-UHFFFAOYSA-N 415.921 4.559 5 20 HJBD COc1ccc(CSCC(=O)Nc2sccc2C(=O)C(C)(C)C)cc1[N+](=O)[O-] 525350208 LXZHPXSCZNIGSU-UHFFFAOYSA-N 422.528 4.766 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 536141613 SMDQZMQRTXNYDB-UHFFFAOYSA-N 405.502 4.512 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N(C)C)c(Cl)c1 537135369 VQCHUWIWJVQQND-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD C[C@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccc(N2CCCC2)c1 540588247 YYSJARLSPKRDIK-KRWDZBQOSA-N 416.481 4.748 5 20 HJBD COc1ccc(NC(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)cc1[N+](=O)[O-] 542964911 QRQVMWBLYNFYLZ-ZDUSSCGKSA-N 401.316 4.558 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Br)ccc1Cl 543149301 MCXUYTJAZGASRP-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)C[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F 544444878 XVLQWSUJUKHFTH-CABCVRRESA-N 411.433 4.771 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(COc4ccc5ccccc5c4)no3)c2c1 545643637 KDCYLMTVQQVYRV-UHFFFAOYSA-N 414.377 4.631 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C(F)(F)c3ccc(F)cc3)no2)ccc1N1CCCC1 546370516 PKNFYURZJGHUET-UHFFFAOYSA-N 404.348 4.524 5 20 HJBD O=[N+]([O-])c1cc2c(NC3(Cc4ccc(F)cc4Cl)CCOCC3)ncnc2s1 549254170 SSCHSOVAWZQCFD-UHFFFAOYSA-N 422.869 4.596 5 20 HJBD Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1[C@H]1CCC[C@@H](C)C1 550824091 DBQFXKOTKUBUNC-WBMJQRKESA-N 414.491 4.588 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(S[C@@H](C)c2ccc(OC(F)(F)F)cc2)n1 561150057 XFOXKTYVQYBMOB-VIFPVBQESA-N 402.350 4.528 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)c1 569022590 KQXRTKRZSOFDOY-AWEZNQCLSA-N 411.483 4.623 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nc(C)cc(C)n3)cc2)c1 575997138 SHNGPMNSXCEYCZ-UHFFFAOYSA-N 424.482 4.804 5 20 HJBD COc1cc(OC)cc(Oc2cccc(CNC(=O)c3c(C)cccc3[N+](=O)[O-])c2)c1 581624060 RCOALZJTJONVPG-UHFFFAOYSA-N 422.437 4.643 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1 583591949 LTTHJRMWBZSAAV-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD Cc1cc(N2CCC(CC(=O)NC3CCCC3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 590134343 LGIOHYPUXPLNCR-UHFFFAOYSA-N 413.440 4.587 5 20 HJBD Cc1ccccc1OCCCC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])cn2)cc1 603861636 NKTKWTJWPSHPRO-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1(c2ccc(Br)cc2)CCCC1 609980151 IRGVXNUIIWLJHB-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 610101560 YXURHPXHHGDTCY-FQEVSTJZSA-N 420.513 4.988 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610105568 LMHDELYWXHMRMU-UHFFFAOYSA-N 420.307 4.522 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610605372 KKYVBLARGDFHBR-VXGBXAGGSA-N 412.330 4.705 5 20 HJBD Cc1nc(NC(=O)c2cnc(-c3ccc(C(F)(F)F)cc3)s2)ccc1[N+](=O)[O-] 610774750 GGXSTXFEZBTDME-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD O=C(Nc1cccc(-c2cnn[nH]2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 615509233 IOGRNQKCHQSRFW-UHFFFAOYSA-N 409.471 4.667 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2sccc2[C@H]1c1cccs1 619567136 UPCYCQNRYPEZCK-SFHVURJKSA-N 400.481 4.514 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 727868346 MFABLOJOCAMOSM-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)/C=C/Sc1ccccc1 728083896 WIXIZHWKJGQCTP-VAWYXSNFSA-N 424.482 4.688 5 20 HJBD O=C(c1csc(-c2ccccn2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166139 BMZXUOYPVMCXCL-UHFFFAOYSA-N 414.874 4.824 5 20 HJBD COc1cc(CN2[C@H](C)CO[C@H](c3ccccc3)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 731892622 HXOPUJKSTXXTOY-JZKQVHKSSA-N 422.428 4.555 5 20 HJBD Cc1cc(Cl)ccc1Oc1ccc(COC(=O)Cn2cc([N+](=O)[O-])nc2C)cc1 734894912 REHNNJBWSQDHBY-UHFFFAOYSA-N 415.833 4.597 5 20 HJBD CN(Cc1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)OC(C)(C)C 736006638 MMSNKFZUHQCCBX-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1cc(Cl)ccc1[N+](=O)[O-] 744444803 BPOBAIYARIKTPP-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD CN(C(=O)c1cccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1)c1ccccc1 746071653 KONFDHXGTODIGR-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD COCCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 751080407 UURKRFPIBMGUMH-LBPRGKRZSA-N 414.355 4.603 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCCC[C@@H]3C[C@H](O)c3cccs3)nc2c1 751481078 MTYUSKYCVKPWHD-PBHICJAKSA-N 401.488 4.666 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccc1OCc1cccs1 751661867 DNNUJNXTPNDFBY-UHFFFAOYSA-N 410.407 4.734 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1F)c1ncoc1-c1cccc(C(F)(F)F)c1 752202651 YNNGRAVJVDTQGC-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 755669764 AWOZYZRFBSUDQB-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cnc(Cc2ccccc2)s1 760536769 XXOGHIPWFXIXPN-UHFFFAOYSA-N 421.434 4.654 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761002235 MKJAFXMBYJLKOL-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD O=C(c1cccc([C@H]2CCOC2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 761668471 CUUZJZYZLVRLAZ-KRWDZBQOSA-N 400.862 4.809 5 20 HJBD O=C(Nc1cccc(-c2cn[nH]c2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 762312228 HGQAKWFDTUJXQE-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)o2)cc1C 763719357 ZXBPGBRGTFGYMI-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD O=C(Cc1coc2cc(F)ccc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137925 OMCRHRIFEXVRQN-QFIPXVFZSA-N 406.369 4.750 5 20 HJBD Cc1c(C(=O)N2CCC[C@@H](c3ccc(F)cc3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 778507487 LVSOHTKBWFWFAZ-OAHLLOKOSA-N 415.421 4.669 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C2CC2)cs1 781184692 NRFJFDGWPWVHIV-SNVBAGLBSA-N 401.469 4.970 5 20 HJBD Cc1c([C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1-c1ccccc1 786925402 HFKGLXGJEKZFOM-ZDUSSCGKSA-N 404.392 4.959 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)c1F 788134302 VFUVBAMOLWSICF-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790745008 KBXCBUHCUYIVAF-UHFFFAOYSA-N 422.840 4.509 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2cc(F)cc(Br)c2)C2CC2)c([N+](=O)[O-])c1 792879722 JAAHUGDHXODANY-QGZVFWFLSA-N 424.226 4.646 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1c(C)nc2ccc(Br)cn12 793523710 BOSRYZIFUGTLMH-UHFFFAOYSA-N 423.654 4.528 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 801796440 OGQSNRHGSLPJJW-UHFFFAOYSA-N 411.389 4.759 5 20 HJBD COCc1ncc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)s1 809362618 FXUCKADPUCTNJC-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD CC(C)(CCc1noc(-c2cccc(-n3nccc3-c3ccccc3)c2)n1)[N+](=O)[O-] 812811741 HSNNNXXZSFVMJW-UHFFFAOYSA-N 403.442 4.577 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NOCCC1CC1 817388493 XKNKYAWGUGZBAN-LGMDPLHJSA-N 423.494 4.983 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1NCc1ccc([N+](=O)[O-])cc1 824084333 BTULQNIOGJOWIA-SLFFLAALSA-N 403.523 4.643 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(-c2ccc3c(c2)OCCO3)s1 897505817 YMUQYXGDWYVEBN-UHFFFAOYSA-N 418.377 4.625 5 20 HJBD COc1ccc(-c2ccc(CNCCc3coc(-c4ccccc4)n3)o2)c([N+](=O)[O-])c1 1251727360 SJQUOXCZOXMUIR-UHFFFAOYSA-N 419.437 4.851 5 20 HJBD O=C1O[C@@H](c2ccccc2)Cc2cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)ccc21 1257813678 JRXSYSACKCPTBG-HXUWFJFHSA-N 413.389 4.766 5 20 HJBD COc1cc(Cl)c(Br)cc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 1324011176 CPDZWNRRRJLYKK-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1occc1C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5397607 XAINVWMLLMUSSY-GOSISDBHSA-N 414.801 4.686 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 14376711 OAIJJKMGEAQPIN-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1 32821623 VMTSMQLXFXVRLB-QFIPXVFZSA-N 420.469 4.547 5 20 HJBD COc1ccc(NC(=O)CCN(C)Cc2ccccc2-c2ccccc2)c([N+](=O)[O-])c1 60356514 MQCMAMRHBXCHKN-UHFFFAOYSA-N 419.481 4.731 5 20 HJBD CCOc1ccc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)cc1[N+](=O)[O-] 72024824 NWGKITFZQNIEBD-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD Cc1c(C(=O)Nc2cccc(CSc3ccccn3)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 110110770 AFDJNQMNZMUEQY-UHFFFAOYSA-N 424.438 4.751 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3nc(-c4ccccn4)cs3)cs2)c1 238006932 QZEIPCHYBQWOCF-UHFFFAOYSA-N 423.523 4.569 5 20 HJBD COc1ccc(Oc2cc(CNc3ccc([N+](=O)[O-])c4cccnc34)ccn2)cc1 301060964 DOQCFTKKEQRHSZ-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@@H]1NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 429379757 DFZBZKQRJDOIKL-SFHVURJKSA-N 415.291 4.647 5 20 HJBD O=C(N[C@@H]1CCSc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435815001 CHYKIZQPSQVTRK-GOSISDBHSA-N 422.456 4.926 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435833302 FACBPCWTIATTQU-UHFFFAOYSA-N 405.457 4.919 5 20 HJBD COCCCCN(Cc1ccccc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443871449 XXVTXVSZMVWSLD-UHFFFAOYSA-N 410.392 4.683 5 20 HJBD Cc1cnc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)o1 443932785 BVADPUFGKXXSCD-UHFFFAOYSA-N 412.452 4.904 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](c2c(F)cccc2F)C1 444335482 AASQXFKCBQFOMO-OAHLLOKOSA-N 404.416 4.589 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1sc2cc(Cl)ccc2c1Cl 444635629 LGBCLTHVLDRLQT-UHFFFAOYSA-N 421.265 4.923 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1sc(Br)cc1[N+](=O)[O-] 446106571 BNCHRHXJCHALGP-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD Cc1ccc(N[C@H]2CCCc3ccc([N+](=O)[O-])cc32)cc1NC(=O)CN1CCCCC1 447603640 YDLZAZOWAIBNKJ-QFIPXVFZSA-N 422.529 4.817 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2ccc(C(F)(F)F)cc2)nc1 460156746 WKAHIAQETJDSGP-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 470125256 WNZRKDJHTSNXOF-ZDUSSCGKSA-N 415.833 4.926 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](c2cccc(F)c2)C1 478270679 RXZSIZUTJBEHCV-DOTOQJQBSA-N 418.490 4.539 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 479151335 DOSSYQRVVUWDHM-UHFFFAOYSA-N 418.881 4.693 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 480032360 WHNZZDDHDLAGCE-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CCn1c(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nnc1-c1ccc(C)cc1 481362463 VVLMPYRDOUEMHE-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc(-c2ccccc2F)co1 482128371 QKZAXFFKDSDUBP-UHFFFAOYSA-N 413.408 4.588 5 20 HJBD COc1ccc([C@@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)C(F)(F)F)cc1 482316655 IPCSHJUJUSTGKP-GOSISDBHSA-N 423.391 4.581 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCc2c(Br)ccc(Cl)c21 484330810 OAPJKYRXIQZLRR-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD COc1cccc(CC2(C(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)CCCCC2)c1 486729546 LCXAZRCBKFTINO-UHFFFAOYSA-N 408.498 4.681 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])[nH]c1-c1ccccc1 488285410 XHOWRWHXNDZDTP-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD CCS[C@H](C)c1noc(CSc2nc3cc4ccccc4cc3c(=O)n2C)n1 488769221 RGLBUBOZOXHUJL-GFCCVEGCSA-N 412.540 4.576 5 20 HJBD Cc1cnc(OCc2nc(O)c3c(-c4ccc(C)s4)csc3n2)c([N+](=O)[O-])c1 502094191 CYSPBGABPJLLEZ-UHFFFAOYSA-N 414.468 4.624 5 20 HJBD COc1cc(C(=O)N(C)C2CCC(C(C)(C)C)CC2)c([N+](=O)[O-])cc1OC(F)F 502956161 NHDSJPKYHHVFQB-UHFFFAOYSA-N 414.449 4.882 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)Cc2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 506008921 FVMVABLARSZOQD-OAHLLOKOSA-N 408.433 4.574 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1SCc1c(F)cc([N+](=O)[O-])cc1F 506368305 ICIZEKOYPQZCCY-UHFFFAOYSA-N 420.441 4.664 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1 506642144 JPMMEWSZGBPAEQ-UHFFFAOYSA-N 404.426 4.511 5 20 HJBD CN(C)Cc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 518768940 UATIXLPWQYZGPG-UHFFFAOYSA-N 404.514 4.714 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 518906013 QGJOCJQVTOCBHB-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 523616478 WSLDDYGJVFSCKW-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 523823842 ZEXBAXIXVJDPMP-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD O=C(Nc1cc(F)c(F)c(F)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 524960642 QJGDSCQOXSGBOD-UHFFFAOYSA-N 401.344 4.877 5 20 HJBD COc1cc(C(=O)N(C)[C@H](c2ccccc2Cl)C(C)C)cc([N+](=O)[O-])c1OC 524983182 OQCXZAUMVHGCOG-SFHVURJKSA-N 406.866 4.735 5 20 HJBD COc1cc(C(=O)N(CCc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 525502005 DVKGEUKEBHXKTC-UHFFFAOYSA-N 406.438 4.502 5 20 HJBD COC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C(C)C 525580630 FSAPGBPEJDRXFJ-KRWDZBQOSA-N 408.907 4.800 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Cl)cc1Br 536295893 SDJUCTHZVKUQNR-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(F)c(COC)c1 538520620 MZUMHZNVGSPFFD-UHFFFAOYSA-N 406.479 4.703 5 20 HJBD COc1ccc(-c2ccc(CNc3nnc(CCc4ccccc4)o3)o2)c([N+](=O)[O-])c1 539694023 PAMWMWLQRDIRET-UHFFFAOYSA-N 420.425 4.644 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])C2CC2)cc1 542197995 DYWPDDVXFOFZHA-UHFFFAOYSA-N 406.891 4.540 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccnc(C2CC2)c1 543078341 MXEJNQCJARJWPX-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD CC[C@H](CC(F)(F)F)NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 545462615 RYEKNSVUGBRUIG-LLVKDONJSA-N 419.450 4.972 5 20 HJBD O=C(Nc1ccnc(N2CCc3ccccc3C2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 561112317 WNNXCJIWQPHRFN-UHFFFAOYSA-N 414.421 4.551 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCc2nc(-c3ccccc3Cl)sc2C1 569527035 IHNLHXJJEBUZDE-UHFFFAOYSA-N 414.874 4.962 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@@H](C)CCc2ccco2)c([N+](=O)[O-])c1 600036556 SDGBTVONJSNRTE-HNNXBMFYSA-N 422.437 4.639 5 20 HJBD Cc1sc(NC(=O)c2cnc3c(cnn3C(C)C)c2)nc1-c1cccc([N+](=O)[O-])c1 603997494 KYYCNPIJTRMXAP-UHFFFAOYSA-N 422.470 4.605 5 20 HJBD CC[C@H](NC(=O)CNc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)cc1 604006120 FBZBAIUGRLOWLF-AWEZNQCLSA-N 413.808 4.529 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 608835810 UJUQWWCLDQVKDL-OAQYLSRUSA-N 404.495 4.936 5 20 HJBD CC(C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(F)c1 609911886 DHTLAFJFGVMEPH-UHFFFAOYSA-N 416.396 4.896 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)c2ccccc2)cc1 610046785 HDKWOYBBJQXEBP-UHFFFAOYSA-N 417.465 4.600 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO[C@H](c2ccccc2)C1 611540368 NPSLBPCMQGYPQK-IBGZPJMESA-N 400.500 4.701 5 20 HJBD CC(C)[C@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccnn1C 615981041 AZGLAXBPDHPQPP-FQEVSTJZSA-N 423.473 4.682 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CC[C@@H](c4ccco4)C3)n2Cc2cccs2)c1 619854161 VPIZXCDNBLEKMI-MRXNPFEDSA-N 421.482 4.550 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 734405482 OCTISLPAQMSDEW-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 734890519 NDOXAXYSOYOYJG-UHFFFAOYSA-N 420.830 4.820 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@@H](c3ccccc3Br)C2)c1 735490866 SWMPVKKDXOHRST-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2sc(Cc3ccccc3)nc2C)c1 739589721 OSHWDROXTSILHY-CQSZACIVSA-N 412.467 4.877 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)OCc3ccc([N+](=O)[O-])cc3F)cs2)cc1 746953994 MTCXWMKKBBLJTN-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 748198123 JEQVJBOXIXAOPB-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2COc2cccc(C=O)c2)cc1[N+](=O)[O-] 752743881 AIRASQWSUGBHHY-QGZVFWFLSA-N 418.449 4.786 5 20 HJBD O=C(Nc1cccc(Cl)c1O)c1cc(Br)cc([N+](=O)[O-])c1Cl 759706988 CVTJTYXRARIHMA-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1cc(Cc2noc([C@H]3CCN(c4ccc(C(C)C)cc4)C3=O)n2)ccc1[N+](=O)[O-] 762301905 HFHPMMCEBSNHCB-LJQANCHMSA-N 420.469 4.521 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1F 763926192 BNOYIDSQPMARGJ-UHFFFAOYSA-N 400.454 4.775 5 20 HJBD CCc1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 764304542 BIERLNCLRXAHSU-UHFFFAOYSA-N 422.235 4.697 5 20 HJBD COc1ccc(CCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137104 XYPVBRHLPPOPLD-HSZRJFAPSA-N 406.438 4.654 5 20 HJBD CCCOc1cccc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776217257 GYOOCKJYHSZROP-HSZRJFAPSA-N 406.438 4.654 5 20 HJBD O=C(OCc1ccc2ccccc2n1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781258159 QOSDSGWCPVWUIS-UHFFFAOYSA-N 416.383 4.865 5 20 HJBD O=C(OCc1nnc(-c2ccccc2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781261136 XEXFGLUMFLTSCV-UHFFFAOYSA-N 413.458 4.557 5 20 HJBD O=C(CCN(Cc1cccs1)Cc1cccs1)Nc1cc([N+](=O)[O-])ccc1F 782470311 UIBPHZBIYYSAOT-UHFFFAOYSA-N 419.503 4.888 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2cccc(OC(F)(F)F)c2)C2CC2)c([N+](=O)[O-])c1 796291884 XAMCVYSXZBYBRD-QGZVFWFLSA-N 411.336 4.643 5 20 HJBD Cc1cccc(-c2cnc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])s2)c1 805357518 XCINSKIHHBLCOX-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CCCc2sccc21 811217877 FCSKYHQFFLRIRL-INIZCTEOSA-N 400.481 4.943 5 20 HJBD COc1cc([C@@H](C)N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 815561607 KJRRMYFERKVRPO-JKSUJKDBSA-N 422.485 4.571 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 915180812 LCFKOSFZJGJSFO-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCc1ccccc1Br 917069035 JWKSZMRCANBGHA-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cc(Cl)ccc1Cl 919213470 KVKMXJNDDORLGP-VIFPVBQESA-N 423.208 4.832 5 20 HJBD Cc1ccc([C@H](C)Nc2ccc(S(=O)(=O)Nc3ccccc3)cc2[N+](=O)[O-])s1 919722610 LKUJSSLTXKOOOF-AWEZNQCLSA-N 417.512 4.939 5 20 HJBD CC(C)Oc1cc(Br)cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1 1322659983 HEEKKKCJRVKLTD-UHFFFAOYSA-N 404.220 4.862 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1ccc([N+](=O)[O-])c(Cl)c1 1327888209 RZOXSYJGFGHBMJ-YQQQUEKLSA-N 413.905 4.564 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cc2c1C 9551918 OIDOVIMKJVQXGR-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(COc2ccccc2)cc1 10964645 UXPJYDHKPIZYIA-UHFFFAOYSA-N 420.421 4.587 5 20 HJBD COc1ccc(-c2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1OC 11458519 QLTHFLNXRCJFSR-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD CNC(=O)Nc1cccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 29157006 CMZRNQSTUJNODK-UHFFFAOYSA-N 416.503 4.737 5 20 HJBD Cc1cc(NCC2(c3ccc(F)cc3)CCOCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60861259 HTLGOGNDLISZPX-UHFFFAOYSA-N 422.460 4.660 5 20 HJBD CC(C)c1nc2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)ccc2o1 106059832 FLCYCAOJCFTNRQ-NSHDSACASA-N 402.838 4.952 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(C(F)(F)F)(C(F)(F)F)C1 303699212 RDYOLJHMVSSJPV-VIFPVBQESA-N 424.301 4.523 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1ccc(Cl)cc1[N+](=O)[O-] 428192172 AVRULJLXJQTZEM-GFCCVEGCSA-N 413.680 4.588 5 20 HJBD CCCCn1ncc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1CC 429087096 IWEKKFMHYBJUHV-UHFFFAOYSA-N 413.503 4.554 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(Cc1ccco1)Cc1cccs1 432354634 ZAWDWGZUECHUAR-UHFFFAOYSA-N 421.272 4.854 5 20 HJBD CCn1c(SCc2nc3cc([N+](=O)[O-])ccc3o2)nnc1-c1ccc(Cl)cc1 434796353 UERMHYVQAOCRII-UHFFFAOYSA-N 415.862 4.960 5 20 HJBD Cc1nn(CC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1-c1ccccc1 435869766 LCUHCPOJEQRRFZ-UHFFFAOYSA-N 404.470 4.743 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)c(Br)c1 437221978 QHPUVNGWUJQABV-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 438947596 BNSBZPPWILTXTQ-NQIIRXRSSA-N 400.450 4.850 5 20 HJBD Cc1sc(S(=O)(=O)Nc2ccccc2N[C@H](C)c2ccccc2)cc1[N+](=O)[O-] 443536298 YLTAXABQZCQCGJ-CYBMUJFWSA-N 417.512 4.939 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)[C@@H](C)c1ccc(F)cc1 445829082 YCWMWUIYHSZHIX-LBPRGKRZSA-N 409.255 4.649 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nnc4n3CCCCC4)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461715801 BQKLJKZFMQRZCN-CQSZACIVSA-N 423.439 4.624 5 20 HJBD CCc1cc(O)nc(-c2cccc(NC(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)c2)n1 462419347 BZSNKKCVQSRMBB-INIZCTEOSA-N 420.469 4.527 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 462689046 CSADKEXWZHGQCA-MRXNPFEDSA-N 410.495 4.758 5 20 HJBD C[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1cccc(Cl)c1 462732706 CXZNLDAIWVORKX-CYBMUJFWSA-N 403.891 4.929 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CN(C)Cc2ccccc2)CC1 462988410 JGOIWBFZYCTVNC-HSZRJFAPSA-N 423.557 4.534 5 20 HJBD C[C@H](Sc1nc2sc3c(c2c(=O)n1C)CCCC3)c1ccccc1[N+](=O)[O-] 463000094 CLITYOYXQAZRAO-NSHDSACASA-N 401.513 4.635 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCc2nnc(C(C)C)s2)cc1[N+](=O)[O-] 464745874 NTDPRSHDMVCAGL-UHFFFAOYSA-N 408.549 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc([C@@H]3CCOC3)no2)cc1Sc1ccc(Cl)cc1 466989829 PNTXRXVPRYLLRT-GFCCVEGCSA-N 403.847 4.953 5 20 HJBD CN(Cc1cccc(Cl)c1Cl)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467214379 DJYZLUHKFOZWGN-UHFFFAOYSA-N 402.665 4.897 5 20 HJBD CSCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 467792690 JTLFSSDWUPOQQF-UHFFFAOYSA-N 407.923 4.685 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N(CCCCO)C1CC1 468034342 UWWHALZSJBYIGQ-UHFFFAOYSA-N 420.918 4.777 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 471519054 XBENNOXRAMJPLB-UHFFFAOYSA-N 407.923 4.831 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N(C)C2CCCC2)cc1OC 475188669 DJDNSSYANRTRNK-UHFFFAOYSA-N 413.474 4.633 5 20 HJBD CCOc1cccc(NCc2cccc(-c3nc(C(=O)OC)cs3)c2)c1[N+](=O)[O-] 477805462 YZKHDSXXTCPHQR-UHFFFAOYSA-N 413.455 4.516 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1 480617551 DVQMPKCXKJGQQZ-ZDUSSCGKSA-N 413.499 4.546 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC 485759317 YFACQHGHKMLBKE-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2c2ccc(C(N)=O)cc2[N+](=O)[O-])nc1-c1ccccc1 486925991 HZMCICZCQNTARE-SFHVURJKSA-N 420.469 4.814 5 20 HJBD Cc1cc(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)ccc1[N+](=O)[O-] 490022155 ALDDKCDAQMFIBT-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C 495370296 FMVNAVWKWCQKLW-ZKNHNOBHSA-N 423.582 4.508 5 20 HJBD CCCn1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1C1CC1 499614657 RAABGDFNAXMHEY-UHFFFAOYSA-N 411.487 4.763 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 504726686 UIYHSUSGUZWJIK-CYBMUJFWSA-N 409.305 4.658 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccccc2n1CC 506209812 LXHIXRRVLJDELE-AWEZNQCLSA-N 412.515 4.958 5 20 HJBD CCOc1cc(NC(=O)NCc2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC 521818679 FLOAJCOQLPNQJI-UHFFFAOYSA-N 411.817 4.506 5 20 HJBD COc1ccc([C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])c2ccccc2Cl)cc1 523535392 NOUIKDGJPPVFAH-HXUWFJFHSA-N 411.845 4.557 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1)c1ccccc1 525662210 HIPXZRSZGGAITR-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc(COc2ccccc2)cc1 536881377 KPFKWCLSBNDYCB-MRXNPFEDSA-N 421.453 4.622 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@H]1NCCc1nc2ccccc2n1C(F)F 537982239 QFXKBIJIPCXRDE-NVXWUHKLSA-N 414.456 4.883 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(Cc2ccco2)Cc2ccc(C)s2)c1 538414540 LNBKWTFBTIBXOR-UHFFFAOYSA-N 414.483 4.864 5 20 HJBD COc1ccc(-c2ccc(CNc3nnc(Cc4cccc(F)c4)o3)o2)c([N+](=O)[O-])c1 538592247 SJOFXRWJEGKBMA-UHFFFAOYSA-N 424.388 4.588 5 20 HJBD CC[C@@H](Nc1ccc(C)c(NC(=O)CN2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 538635146 VALWUDSDBUJRLN-OAQYLSRUSA-N 410.518 4.891 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 539077347 ALCMWZNLNBKOSC-AWEZNQCLSA-N 420.307 4.654 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 542526926 GYNSWBJJAMZEBQ-VIFPVBQESA-N 406.826 4.648 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1ccc([N+](=O)[O-])cc1Cl 542927009 DJFQRKQMNQBNQE-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)cc1 545786520 QTIXIOKDDJZPQQ-MARXPDLDSA-N 422.416 4.579 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccccc1 549470259 BDBGDIDEELLAIZ-QHCPKHFHSA-N 419.481 4.577 5 20 HJBD CCc1ccc([C@H](Nc2ccc3ccn(C)c(=O)c3c2)c2ccc([N+](=O)[O-])cc2)o1 551571989 PQLPHBUCECLPIU-JOCHJYFZSA-N 403.438 4.804 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2scnc2C)cc1[N+](=O)[O-] 564524424 HMACTEZDQJMOPT-UHFFFAOYSA-N 413.480 4.565 5 20 HJBD CCNC(=O)c1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 569422815 YBCYRSXPGPOKAF-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)c(C)cc1Br 574434165 GZLYRSQWBJTERA-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD CCOc1cc(CN[C@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 576804750 VYOGQVYKYZHLOI-QGZVFWFLSA-N 407.470 4.818 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 578100843 FULWSUHLUQCUFN-GFCCVEGCSA-N 412.833 4.564 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Oc2cccnc2)cc1 589091483 JSWBYLGWMADSPI-CQSZACIVSA-N 411.845 4.855 5 20 HJBD COc1cc(CNc2ccc3c[nH]nc3c2)ccc1OCc1ccc([N+](=O)[O-])cc1 600859475 UBWQXBMQOOJFFK-UHFFFAOYSA-N 404.426 4.671 5 20 HJBD CCN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)[C@H](C)C(C)C 604470758 NFUSMLVLQMMUOJ-GOSISDBHSA-N 420.513 4.883 5 20 HJBD O=C(CSc1ccc2ccccc2c1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609151484 RBWSHGJRCYQNLV-UHFFFAOYSA-N 404.451 4.665 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 609192376 VELQFACALZATND-RMLUDKJBSA-N 413.318 4.632 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])s1 609645679 METREIYHRHKISF-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(Cn2ccnc2)cc1 609762845 XSIGMXHKSSLDTP-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCS[C@@H](c2ccccc2)C1 609791869 VJHGIZWEAKMNGH-GOSISDBHSA-N 411.508 4.649 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cnc2ccccc2c1C(F)(F)F 610046842 WTVYUSVIXFURTK-UHFFFAOYSA-N 417.387 4.915 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1nccs1 610049499 WUNRYLXHINDXMQ-GXZWQRSESA-N 416.547 4.589 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 610304863 UZAOMULQDVKMSC-BTYIYWSLSA-N 420.444 4.889 5 20 HJBD O=C(Nc1ccc(Cn2cncn2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611200087 FHDZSSUNHKBGDC-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 611203353 JZQMWNMRHCJDEL-FQEVSTJZSA-N 417.550 4.780 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1c(Cl)cccc1Cl 726028434 DJHYGSVNOWOJCS-JTQLQIEISA-N 412.225 4.615 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3cccc(CC[C@H](O)c4ccccc4)c3)cc2N1 727829709 VHLWFVGRYPNJFJ-QHCPKHFHSA-N 417.465 4.889 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)[C@H](O)c3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 732053307 LEDJXHRXEMEULR-NYHFZMIOSA-N 423.444 4.829 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445730 WLGKJJXQDBNHCK-HNNXBMFYSA-N 410.426 4.571 5 20 HJBD O=C(Nc1ccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1)OCC(F)(F)F 744357086 GFOLHMDSSPBRDK-UHFFFAOYSA-N 417.727 4.611 5 20 HJBD Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2Br)c2ccccc2n1 745073034 FXLQCCCUSDFQMD-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD C[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Cl)cc1Cl 753919337 HOLKCXYLEPHOTQ-JTQLQIEISA-N 400.237 4.720 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC1CCCC1 754072131 PSHFPYJJCYPYHG-ZDUSSCGKSA-N 402.422 4.806 5 20 HJBD O=C(NCC[C@H](O)c1ccc(Cl)c(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Cl 758831237 WXSAYPQLIDTJPE-HNNXBMFYSA-N 418.664 4.800 5 20 HJBD Cc1coc(-c2ccc(C)c(N[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2)n1 759644979 SGVRVQUNSUXHFY-ZDUSSCGKSA-N 414.849 4.959 5 20 HJBD CCCOc1cc(NC(=O)c2nc(Cl)ccc2F)c([N+](=O)[O-])cc1OCCC 759779835 RBTFOFPQAWBODY-UHFFFAOYSA-N 411.817 4.612 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2ccc(C3SCCS3)cc2)n1 761970470 STTYJGDYSQFLRO-UHFFFAOYSA-N 414.512 4.778 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@H]2CCCN2C(=O)OC(C)(C)C)no1 763339218 HYFGMWOPGORNGI-IUODEOHRSA-N 420.491 4.903 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1cc(Br)cc([N+](=O)[O-])c1 770159710 RZDQVOBWHHOTJO-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD COc1ccc2nc(C3CC3)cc(C(=O)N3CCc4cc(C)c([N+](=O)[O-])cc43)c2c1 773993750 MGPYACPDMXUYOE-UHFFFAOYSA-N 403.438 4.540 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-n2ccnc2O)c1 776837301 SMJPFIIKRVIAQX-UHFFFAOYSA-N 412.471 4.631 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](Nc1ccc(OCc2noc(C3CC3)n2)cc1)C1CC1 777688164 LUSNCWYDCPCHDK-HXUWFJFHSA-N 420.469 4.867 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])n2)s1 781571843 MRFHAWZVOZQKTQ-UHFFFAOYSA-N 418.456 4.568 5 20 HJBD Cc1c(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 782518247 AVEIGQMOEJLBHN-UHFFFAOYSA-N 423.372 4.828 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)cc1 787048515 BHNAZZIASCDPDD-HNNXBMFYSA-N 405.454 4.686 5 20 HJBD CSc1cccc(-c2nc([C@@H](NC(=O)OC(C)(C)C)C(C)C)no2)c1[N+](=O)[O-] 789765520 SWJAOIBGTYXIBF-ZDUSSCGKSA-N 408.480 4.589 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)OC(C)(C)C)c1 798542189 JSTGZOZBABCPRK-UHFFFAOYSA-N 415.446 4.901 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cnn(-c2cccc(C(F)(F)F)c2)c1C 800148821 DRQPVVXQCVJBAW-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCCN(c2nc3ccccc3s2)C1 813245813 KTYCIULIJNIPFZ-GFCCVEGCSA-N 416.890 4.713 5 20 HJBD C[C@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1csc(-c2c(Cl)cccc2Cl)n1 814045979 DXMNMIITONUCSO-SECBINFHSA-N 403.287 4.603 5 20 HJBD CCn1ncc2c(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cc(-c3ccccc3)nc21 825488218 UCODJPFGTJMJPH-UHFFFAOYSA-N 423.379 4.557 5 20 HJBD CCC[C@@H](C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866539846 QIMQJNAPMJEWEX-ACJLOTCBSA-N 404.850 4.907 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cc(F)cc(F)c2)s1 875036513 CYTZSXXIOKGZKH-UTCJRWHESA-N 401.394 4.572 5 20 HJBD COc1ccc(CSCC(=O)Oc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 914712393 RHQNOJOPGOBEBF-UHFFFAOYSA-N 402.255 4.749 5 20 HJBD CC(C)c1ccc2cc(C(=O)OCc3nc(-c4cccc([N+](=O)[O-])c4)no3)[nH]c2c1 914799333 XIASZSSGDRTUPW-UHFFFAOYSA-N 406.398 4.607 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(-c2cnco2)cc1 920146533 IBQJYOOWLYKSBC-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD COc1cc(-c2nnc(-c3sc(-c4ccccc4)nc3C)o2)c([N+](=O)[O-])cc1OC 1257827419 NIOLLTGODFXJOJ-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c(C)c1 1322154232 ZKTSXODTIRAKIL-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD O=C(NC1(c2ccc(NC(=O)c3cccc(F)c3[N+](=O)[O-])cc2)CCC1)C1=CCCC1 1790698483 VUWCYGMIIDOYIX-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)cc2)cc1 10631209 MLYPAGVCYKHYRJ-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 32517195 XBJTWONZNNRZOY-UHFFFAOYSA-N 402.410 4.910 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50333535 IUGWNPGNBVAKON-UHFFFAOYSA-N 410.455 4.709 5 20 HJBD O=C(Nc1nc2ccc(OCC(F)(F)F)cc2s1)c1ccc([N+](=O)[O-])cc1F 54775487 RUEZYFITCSKZDU-UHFFFAOYSA-N 415.324 4.537 5 20 HJBD Cc1cc(C(=O)N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)ccc1[N+](=O)[O-] 60867455 FRNWCKZEAOPFHN-FQEVSTJZSA-N 418.428 4.563 5 20 HJBD CN(Cc1cscn1)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 63590634 HCSZGOIFBXWVDU-SFHVURJKSA-N 416.890 4.517 5 20 HJBD C[C@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1 71817023 LFKLMTMBHLKJRN-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)Cc2ccccc2F)c1 237499809 UVTZVPHFJCEGIT-MRXNPFEDSA-N 407.445 4.766 5 20 HJBD Cc1cc(N[C@H](C)c2cccc(N3CCOC3=O)c2)c(Br)cc1[N+](=O)[O-] 302627686 BJGFAHLGHWYONM-GFCCVEGCSA-N 420.263 4.795 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Oc2cc(C)ccc2Cl)c([N+](=O)[O-])cc1OCC 410176202 IUCFNONHCQVRNS-CYBMUJFWSA-N 422.865 4.760 5 20 HJBD CCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)Cc1ccsc1 426304498 SQPRFRDWZKQJKI-CYBMUJFWSA-N 401.513 4.873 5 20 HJBD COc1ccc(CNC[C@@H]2CCC(F)(F)C2)cc1OCc1ccc([N+](=O)[O-])cc1 430038717 KTMPOPDILYRMGX-QGZVFWFLSA-N 406.429 4.707 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1noc(-c2ccc(Cl)cc2)n1 430444289 ACJMXZQYFUQYDU-JTQLQIEISA-N 412.789 4.535 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1 430546639 SIIIVIYSLWXKCL-UHFFFAOYSA-N 409.467 4.574 5 20 HJBD CCOc1cc(NC(=O)c2ccc3nc(C)sc3c2)c([N+](=O)[O-])cc1OCC 432718299 NIPBLYLQQUIYTH-UHFFFAOYSA-N 401.444 4.563 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(NC(=O)c3ccncc3)cc2)c2cc([N+](=O)[O-])ccc21 433162175 TWHPLKLZJYOCML-JOCHJYFZSA-N 416.481 4.754 5 20 HJBD COc1cccc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)c1[N+](=O)[O-] 435552752 WFTOUQZALGKUEJ-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD CC[C@H](Cc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436418482 PCISMQPZVKZLNE-QGZVFWFLSA-N 406.432 4.711 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1 437372836 LYMWMWRMWFRVJG-FXAWDEMLSA-N 420.871 4.620 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437405658 MZIMMMSAOVKTTM-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 439868146 WDMGKDBYCRZLRJ-ZDUSSCGKSA-N 409.202 4.598 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 440365272 HKWGYMYNZIXTAI-UYAOXDASSA-N 407.470 4.702 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)N[C@H]1CCCc2ccc([N+](=O)[O-])cc21 447539603 HEIIPXXAEIISMG-HXOBKFHXSA-N 422.529 4.579 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@@H]1c1ccccc1 462438238 CKRIVNGWISYCPE-XXBNENTESA-N 403.482 4.597 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@@H](C)N(C)Cc3c([N+](=O)[O-])ncn3C)c2)cc1 470158011 AXDQDYDMDICLNT-QGZVFWFLSA-N 408.502 4.976 5 20 HJBD CCCN(CCOc1ccccc1[N+](=O)[O-])Cc1nnc(-c2ccccc2Cl)o1 471166256 TXJQBJWKNVKOPQ-UHFFFAOYSA-N 416.865 4.589 5 20 HJBD Cc1ccc([C@@H](NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 471901631 UBUGFCNDXFDXBE-HSZRJFAPSA-N 418.497 4.710 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(F)c(NC(N)=O)c2)cc1[N+](=O)[O-] 478044837 HSYIIULPERQJNX-UHFFFAOYSA-N 420.466 4.615 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccccc2C(F)(F)F)c(F)c1 478067079 NZZRRFWRNVCZLJ-UHFFFAOYSA-N 424.310 4.531 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](c2cccc(F)c2)C1 478270682 RXZSIZUTJBEHCV-WBVHZDCISA-N 418.490 4.539 5 20 HJBD COc1cc(C(=O)Nc2nocc2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 485488819 GBYYXRAEQBKQAR-UHFFFAOYSA-N 403.778 4.598 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 485504251 JAXQKPZFZFKKLM-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1ncc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)cn1 485945786 QIJMYZZTEQZCKB-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD CSc1cccc(C(=O)NC[C@@H]2CCN(c3cc(Cl)ccc3C)C2)c1[N+](=O)[O-] 486478296 KJUDGZSEERGXGH-AWEZNQCLSA-N 419.934 4.535 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)s2)c(C)c1 487755423 QLMPCMAXUBJXNY-UHFFFAOYSA-N 420.397 4.584 5 20 HJBD COc1ccccc1[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccco1 489788073 GMKSVKRUSSCWBO-HXUWFJFHSA-N 409.442 4.520 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cs1 490821942 BYYWEWHHOIGQTP-UHFFFAOYSA-N 422.510 4.901 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc(-c2ccco2)n1 492572304 IKXJVHLPLDESTL-UHFFFAOYSA-N 417.512 4.989 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cn2)cc1 498152617 DXRCLPLFFUTIJQ-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 499302562 VRRYNYTXZQUHAO-SNVBAGLBSA-N 414.792 4.691 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC)c(F)c2)cc1 500058083 WXQGURDQGGDOHJ-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1 502516711 ZRHKWZZWNJIHGW-UHFFFAOYSA-N 424.501 4.684 5 20 HJBD CSc1ccc(C(=O)NCc2ccnc(Oc3ccc(F)cc3)c2)cc1[N+](=O)[O-] 503343229 VTLCJZLEAXORTK-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CCCN(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)[C@H](C)CC(=O)Nc1ccccc1 504717353 SAYWWSQJERQBBQ-QGZVFWFLSA-N 412.490 4.873 5 20 HJBD O=C(c1ccccc1)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 506647288 GJPNIFDCMQTTJD-UHFFFAOYSA-N 407.495 4.813 5 20 HJBD Cc1onc(-c2cccnc2)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 512723874 ZEZONNRBSBBYMB-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD O=[N+]([O-])c1cccc(OC[C@H](O)CNc2ccc(-c3nc4ccccc4s3)cc2)c1 519202972 IWDSXJGWRMDFAQ-GOSISDBHSA-N 421.478 4.723 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cccc(C)c3[N+](=O)[O-])c2)cc1 520679952 DWGGNUIENUHDBP-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD COc1ccc(CC(=O)N2CC[C@H](C)Sc3ccc(Cl)cc32)cc1[N+](=O)[O-] 524245445 XUCROXUVBSVBFY-LBPRGKRZSA-N 406.891 4.717 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1ccc([N+](=O)[O-])cc1Cl 524473876 PUYTUUAARUQUNE-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)c1 525142017 GSXAOAJQNKJLLT-HXUWFJFHSA-N 418.428 4.563 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1ccc(F)cc1)C1CCCC1 531794576 YHNPPPUDHKROMR-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2ccc(Cl)cn2)cc1 534753139 CXISYJHIZPKXFC-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD COC[C@@H](C)n1c(C)cc(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 536469293 YSQGOUBFAYDAGG-GFCCVEGCSA-N 414.380 4.501 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OC)c(F)cc1F 539600972 FMGSYMIFBFZVIR-UHFFFAOYSA-N 424.425 4.804 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccc(COC3CCOCC3)cc2)cc1[N+](=O)[O-] 540153400 JPIRKIHDGZYCKG-KRWDZBQOSA-N 414.502 4.540 5 20 HJBD Cc1ccc([C@@H](C)NCc2cc(Cl)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 540203588 MSVLRSPJIOSJIL-DNVCBOLYSA-N 404.894 4.965 5 20 HJBD O=C(Nc1c(O)c(Cl)cc(Cl)c1Cl)c1c[nH]c2cc([N+](=O)[O-])ccc12 541892070 XMHSGARHKNMBKX-UHFFFAOYSA-N 400.605 4.994 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(C)c(C(=O)N(C)C)c2)c2ccc([N+](=O)[O-])cc2)o1 544384767 BCWHEUWBBYWXJD-QFIPXVFZSA-N 407.470 4.962 5 20 HJBD Cc1cccc(C)c1[C@H](C)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 544822444 IZYPPLZTNIEAPJ-INIZCTEOSA-N 406.486 4.564 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)c1 544995132 LOTVXQOSPDMYRF-UHFFFAOYSA-N 420.347 4.925 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccccc3-n3cccn3)no2)c1 550982886 HUZUZEDEOLRDPF-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H](Sc2cccc(Cl)c2)C1 567134315 LDORUCHNEHYVOT-AWEZNQCLSA-N 401.875 4.736 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNC[C@H]2CCCSC2)cc1 580003019 CFMQFAXTWOHQBY-QGZVFWFLSA-N 408.527 4.680 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(OC(F)F)ccc3F)no2)cc1 585727521 RIFSOLGJQNTRNW-UHFFFAOYSA-N 401.247 4.525 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCc2sccc2[C@H]1c1ccccc1 603913787 HTPBPOCXVHJETB-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608808063 NMYFFALWDHFGAA-SNVBAGLBSA-N 400.765 4.564 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2cccnc2)cc1 610040400 UXWFIFRXFRJFDR-KRWDZBQOSA-N 419.481 4.724 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)c2ccoc2)c1 610094812 JKFVGFLBJWCHDP-UHFFFAOYSA-N 422.441 4.585 5 20 HJBD COCc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1F 611204595 BKTKNXPMOGZGRJ-UHFFFAOYSA-N 418.490 4.845 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(OCc3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 611265255 LUTZAQAKSVRFMZ-UHFFFAOYSA-N 414.477 4.853 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1ccc(F)cc1Cl)c1ccc([N+](=O)[O-])cc1 611560710 YVMWVKAZMPYAPI-IBGZPJMESA-N 416.884 4.778 5 20 HJBD COCC[C@H](Cc1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 612074300 CUZBKFTULHDXOI-OAHLLOKOSA-N 418.515 4.600 5 20 HJBD Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)nc1 616171802 CNGXEFQJGFMMJQ-UHFFFAOYSA-N 424.888 4.836 5 20 HJBD CO[C@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 733330167 QJXJHIQFVXQEBW-MRXNPFEDSA-N 404.772 4.707 5 20 HJBD CC(C)[C@@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 733668320 HRQUKUZSLMGDOW-KRWDZBQOSA-N 424.284 4.696 5 20 HJBD Cc1c(Br)cccc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 744156750 KIJGRSNGEPQVHN-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCOc1cc(NC(=O)/C=C/c2cnc(C(C)(C)C)s2)c([N+](=O)[O-])cc1OCC 744356912 JLDRKMDPVILJPC-CMDGGOBGSA-N 419.503 4.798 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)OC(C)(C)C)c1 744357583 OKSIMTGRWOONHJ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N(Cc1ccco1)Cc1ccco1 754965175 IMRWRALJJMGHDF-UHFFFAOYSA-N 423.194 4.525 5 20 HJBD CCCCOc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754994258 QWOATNVFKMJYTQ-UHFFFAOYSA-N 411.227 4.928 5 20 HJBD O=C(Nc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1cc(F)c([N+](=O)[O-])cc1F 755190432 WJAYGZCYARJYST-GFCCVEGCSA-N 415.421 4.854 5 20 HJBD CCOc1cc(COC(=O)c2csc(-c3ccc(F)cc3)n2)ccc1[N+](=O)[O-] 755518105 CVESTWATTUPNEE-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])c2ccccc2)cc1 758117137 ZLAHPNDQFKBRGH-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)c1F 764542184 UNTLNDXLTYXBJW-LJAQVGFWSA-N 418.490 4.876 5 20 HJBD Cc1cc(C)c(NC(=O)COC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)c(Cl)c1 769892377 ZHQITUAUFDRLRG-UHFFFAOYSA-N 404.850 4.586 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 770183502 LFZXLGPUGMDPMR-HXUWFJFHSA-N 423.494 4.601 5 20 HJBD O=[N+]([O-])c1ccc(SCc2ccccc2CSc2ccc([N+](=O)[O-])cn2)nc1 772860751 FVVPANIGJKXYQS-UHFFFAOYSA-N 414.468 4.878 5 20 HJBD Cc1ccc([C@H](C)NC/C=C/CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775549985 SPKCUGCSWDFJKY-FBLDJFESSA-N 412.490 4.677 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3ccc(SC(F)F)cc3)[n+]2[O-])c1 781548254 YGDYVCFMUIBSNU-QPJJXVBHSA-N 401.394 4.775 5 20 HJBD C[C@@H](C[C@H](O)c1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799686644 WWVQQAKEOQTKMQ-JXFKEZNVSA-N 422.506 4.988 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 800994103 RTRDACMWZGZXJC-UHFFFAOYSA-N 422.407 4.567 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1F 801539190 CQCSVNCDZILZBV-HXUWFJFHSA-N 408.408 4.754 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1csc(COc2ccc(F)cc2)n1 885627625 XRXUUYIEABGFJE-UHFFFAOYSA-N 407.810 4.675 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 915308774 RZTGCZAWYZDGOI-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(-c2ccncc2)n(C2CC2)c1=S 919950693 PLDLKLHBCMGEEB-UHFFFAOYSA-N 424.530 4.587 5 20 HJBD COc1ccc(-c2cc(NC(=O)CCOc3ccccc3[N+](=O)[O-])ccc2OC)cc1 1319941233 SZBFXCIKVRNGGB-UHFFFAOYSA-N 422.437 4.687 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2)cn1 1344693555 SMMCICWFOSUTFD-UHFFFAOYSA-N 404.426 4.522 5 20 HJBD CC(C)[C@@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccc(F)cc1 10084190 XIBXHJGPNBPXHR-GOSISDBHSA-N 419.503 4.949 5 20 HJBD CN(CC(=O)Nc1ccc(Cl)cc1Cl)Cc1cc([N+](=O)[O-])ccc1Cl 16312764 GLKBIVUZRYRQKP-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD C[C@H](NC(c1ccccc1)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 16483787 IBEQLLMNSZLLMB-HNNXBMFYSA-N 409.873 4.954 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(=O)c1ccc([N+](=O)[O-])c(C)c1 18004426 GMQNPGHIKIHMGN-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(-c4ccc(Cl)cc4)o3)o2)cc1 28624313 DCQOUIKZBQQCJW-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 47323287 OWIHWCYKXJANPW-INIZCTEOSA-N 406.442 4.760 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1CCCN(Cc2ccccc2)C1 72086673 WUNMKDJSURXLRN-GOSISDBHSA-N 422.510 4.569 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccccc3)n2Cc2ccco2)c(Cl)c1 301261466 GGGLZNUNSDUFAJ-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD C[C@@H](c1cccs1)N(C)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 302854625 FYMCYOTUZJHXJW-NSHDSACASA-N 401.410 4.697 5 20 HJBD O=C(N[C@@H]1CCN(c2ccccc2Cl)C1=O)c1cc2ccccc2c2cccnc12 303543517 YZDYYCCGUCVSEX-HXUWFJFHSA-N 415.880 4.577 5 20 HJBD Cc1c(Br)cccc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426101359 BJNRSBWXFOPMES-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(OCC3CCOCC3)cc2)cc1[N+](=O)[O-] 428898164 IEBSOQFGAFKEAF-MRXNPFEDSA-N 413.474 4.591 5 20 HJBD Cc1cc(C)c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c(Br)c1 431972628 LBIMGUKKPSJHBZ-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(OC2CCCCC2)CC1 437158225 XPGSWYPQANOSGO-UHFFFAOYSA-N 400.397 4.568 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccccc1)CC(F)F 437163560 DQFGJNNMZGLUHZ-UHFFFAOYSA-N 403.410 4.626 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437397743 PSCPSXDRDKYZSU-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OCc2ccccc2)cc1 440576736 JQCKZCSAHGUHOK-UHFFFAOYSA-N 413.433 4.999 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@]2(CCCN(Cc3ccccc3)C2)C1 443954105 AFHHMKTWMUXBFR-DEOSSOPVSA-N 422.529 4.732 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 445473334 RAZSDPBWWRUPBL-UHFFFAOYSA-N 400.460 4.672 5 20 HJBD CCSCCCc1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 445749658 PZFRODHAXRKPLN-AWEZNQCLSA-N 413.503 4.899 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(CC(F)(F)F)c1 446038725 HZTJFCRSGMCUNM-UHFFFAOYSA-N 417.181 4.643 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc(F)c(F)cc1F 446427458 FQYGFJZIYXEUJC-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD Cc1cc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nn1C1CCCCC1 461089243 NVMVRDPXGZEOLV-UHFFFAOYSA-N 418.472 5.083 5 20 HJBD COc1ccc(CNCc2cccc3cc[nH]c23)cc1OCc1ccc([N+](=O)[O-])cc1 466922390 XTSLTDRZDMCMEG-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)Nc3ccc([N+](=O)[O-])cc3)C2)nc2ccccc21 467226852 CXMOJTGFETYSLS-MRXNPFEDSA-N 407.474 4.937 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 473114554 VOOQIVUBKZUREO-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c2ccc(F)cc2O1 479311925 GINTXGUBQDBPSO-HNNXBMFYSA-N 412.339 4.785 5 20 HJBD Cc1cc(C)c(-c2nnc(SCc3cc([N+](=O)[O-])cc4c3OCOC4)o2)c(C)c1 483297935 OAAQOFYMTJZKPQ-UHFFFAOYSA-N 413.455 4.729 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483426824 GXIIZAMXQUZYKP-VBKZILBWSA-N 417.893 4.698 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2ccccc2Cl)cc1SC 484257013 SFMYAUQRGLWZET-UHFFFAOYSA-N 408.907 4.686 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H](c1ccccc1)C(F)(F)F 486674512 IQBPDJRFWFRGBF-CYBMUJFWSA-N 418.824 4.803 5 20 HJBD CC[C@@H](NC(=O)NCc1ccc(-c2csc(C)n2)o1)c1cccc([N+](=O)[O-])c1 489136214 JBRYSJCUIAFGOU-MRXNPFEDSA-N 400.460 4.570 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc1C 497541743 ZJOMOALIGZSZBS-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD CCc1ccc([C@@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N(C)C)cc1 500271871 XUNZXWCHJWKAAV-OAQYLSRUSA-N 410.543 4.673 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 500852221 RJBBEIJILAKKFF-AWEZNQCLSA-N 404.426 4.623 5 20 HJBD CN(CC1CCOCC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 504186009 UOOCOSBNWSKSIK-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cscn2)c1 505052500 ULEVLNPDJNXKCM-ZDUSSCGKSA-N 415.496 4.750 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 508727932 GLTFIMBHMDGEOL-CQSZACIVSA-N 421.419 4.919 5 20 HJBD COc1ccccc1-c1nnc(SCc2cc([N+](=O)[O-])ccc2OC(C)C)o1 511095934 MHDYQJCNFWLKPO-UHFFFAOYSA-N 401.444 4.733 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)c2)cc1 511756503 NADKEJVZSHAIGL-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N1CCC(F)(c2ccccc2C(F)(F)F)CC1 513083901 RWMGPQPTBQBKOH-UHFFFAOYSA-N 400.376 4.604 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1nc(-c2ccccc2)no1 514703996 UAJMLPLRPKSNAU-CQSZACIVSA-N 414.490 4.990 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(-c4ccccc4Cl)o3)o2)cc1 518125307 KSHBRODGYHLOOH-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)Sc2ccccc2)c([N+](=O)[O-])cc1OCC 521936211 WNZLACYKKJXLPH-IBGZPJMESA-N 404.488 4.902 5 20 HJBD Cc1csc(Sc2c(Cl)cccc2NC(=O)c2cc([N+](=O)[O-])cn2C)n1 522258123 PMTNMJRXCIVGDI-UHFFFAOYSA-N 408.892 4.755 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCc2c(Br)cccc2C1 523353548 ATNHAQAYJCULFR-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1(c2cccc(Cl)c2)CC1 523496358 SFSJUFFTBQHKGA-GFCCVEGCSA-N 409.269 4.517 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccc(F)cc1F 524023363 XJVAQEHCQXNAQH-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cc2)n1 534254812 BSAQPDMMONACAY-UHFFFAOYSA-N 419.466 4.886 5 20 HJBD CCN(C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C1CCCCC1 534792712 BHNDOXWJEFVCLU-UHFFFAOYSA-N 403.504 4.664 5 20 HJBD COc1ccc(OCc2ccccn2)c(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 539103550 GTESIBHYNORQRK-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 540615460 NWUGDBREHWFTPZ-MRXNPFEDSA-N 402.447 4.533 5 20 HJBD C[C@@H](C(=O)N(Cc1ccco1)c1ccc(F)cc1F)c1ccc([N+](=O)[O-])cc1F 541611090 GDJBVZLNYKSMKV-GFCCVEGCSA-N 404.344 4.942 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCOc2c(Cl)cccc21 542222234 FPEVSPGJKVTMQB-ZDUSSCGKSA-N 413.282 4.634 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2F)C(C)C)c([N+](=O)[O-])cc1OC 542861745 VOMYVARXSUZXJH-IBGZPJMESA-N 424.856 4.922 5 20 HJBD Cc1cccc(NC2CCN(C(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])CC2)c1 543290740 FDJAADHTJDRVKS-UHFFFAOYSA-N 419.934 4.752 5 20 HJBD C[C@@H](C(=O)Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1ccc([N+](=O)[O-])cc1F 544420937 NAESUTZWVWKIRE-LLVKDONJSA-N 422.338 4.681 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)CC1 544485377 ZREDZPDBIFJQFP-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1ccc(Sc2ccc(-c3nc(Cc4cc(C)on4)no3)cc2[N+](=O)[O-])cc1 547137384 IESKGLOBSJZHCD-UHFFFAOYSA-N 408.439 4.992 5 20 HJBD Cc1c(Cc2noc(-c3ccc(NC(=O)CC(C)C)c(F)c3)n2)cccc1[N+](=O)[O-] 547194199 BYWUFZLCVZNCCB-UHFFFAOYSA-N 412.421 4.668 5 20 HJBD O=C(CCc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc(Cl)cc1 547198972 OSTDIXIBXTVUAI-UHFFFAOYSA-N 410.817 4.519 5 20 HJBD CSc1cccc(C(=O)N2CCCC[C@@H]2c2nc3ccccc3n2C)c1[N+](=O)[O-] 548272421 WCYWYSGPWVOYFT-QGZVFWFLSA-N 410.499 4.571 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCn2c(cc3ccccc32)C1 557991457 WJHZUPCZBWMRRA-UHFFFAOYSA-N 418.478 4.719 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 562110370 MBFISLHWWVJVQV-GOSISDBHSA-N 423.391 4.781 5 20 HJBD Cc1cc(OCc2nc([C@H](F)c3ccc(C(F)(F)F)cc3)no2)ccc1[N+](=O)[O-] 574239533 SCHVZVDGZHFMGF-MRXNPFEDSA-N 411.311 4.943 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 574855243 VNPUFBBAOLWGCG-OFJJUDJNSA-N 414.527 4.918 5 20 HJBD C[C@@H](C(=O)Nc1ccc2cccc(Br)c2n1)c1cccc([N+](=O)[O-])c1 577810259 XYBMIQNZGQPLJZ-LLVKDONJSA-N 400.232 4.648 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609684894 RXGXMHUVKLIJDW-BEIWTESXSA-N 423.494 4.785 5 20 HJBD COc1ccccc1[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 609786506 MHZYYTWAEBUUSA-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2)c1 613175654 YXDGLWCUKRGNJP-IBGZPJMESA-N 405.454 4.667 5 20 HJBD COc1cc(COc2ccc(Br)cc2)c([N+](=O)[O-])cc1OC(F)F 619247620 DEUMYCOBYBERCE-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD CCC(=O)COC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 727185036 QXSQEXNHYOPPQW-RVDMUPIBSA-N 410.451 4.658 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cc([N+](=O)[O-])ccc1Cl 727988503 RAOKBXQAIDHPMV-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CC(C)(NCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc(Br)cc1 728899944 ZACVPUDYIWLSFX-UHFFFAOYSA-N 415.291 4.703 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)c2)n1 729336135 CLJYCJDJSDQDFE-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CN(C[C@@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)C(=O)OC(C)(C)C 729392458 PMPLVGMEFMPALG-KRWDZBQOSA-N 419.525 4.717 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc(F)ccc1[N+](=O)[O-] 729421470 XUSFORLDZNWOJO-OAHLLOKOSA-N 415.421 4.804 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1ccc(Br)c([N+](=O)[O-])c1 731992265 HMHRWOHMYJYMBC-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C1NCCCN1c1cccc(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 742423326 PBAXOCDWQHPSDR-UHFFFAOYSA-N 414.771 4.930 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC(c2cc3ccccc3[nH]2)CC1 744045442 ZXNGGSAFJDHJLO-UHFFFAOYSA-N 406.486 4.674 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@H](c3ccccc3)C(C)C)CC2)ccc1[N+](=O)[O-] 744175215 POCHWQQAQIBQBX-QFIPXVFZSA-N 412.486 4.555 5 20 HJBD Cc1c(COC(=O)CCNc2ncc(C(F)(F)F)cc2Cl)cccc1[N+](=O)[O-] 745110317 GICSQZQQTGPQHI-UHFFFAOYSA-N 417.771 4.516 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1)c1ccccc1 746236334 YLDZHMNXUQPZGK-OAHLLOKOSA-N 409.417 4.925 5 20 HJBD NC(=O)C1CCN(c2ccc(NC(=O)c3c4ccccc4cc4ccccc34)cc2)CC1 746517665 BECIPOYNMJYRTE-UHFFFAOYSA-N 423.516 4.947 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cccc(Cl)c3[N+](=O)[O-])s2)cc1 750078487 GRZRXZJJNPQVCJ-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)Sc1ccnc2cc(Cl)ccc12 750162794 GOMJBUPELUAUBZ-LLVKDONJSA-N 418.858 4.891 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H](c1cccc(Br)c1)C(F)(F)F 750222123 FIGKDNRDCNUPIV-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD C[C@H](OC(=O)C1(Cc2ccccc2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 758141416 DUVAZYBSDDUVRJ-HNNXBMFYSA-N 407.426 4.662 5 20 HJBD O=C(N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1Br 759053697 IMFMTBFZTKPFQT-OAHLLOKOSA-N 419.250 4.862 5 20 HJBD CN(C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccc(Cl)nn1 760537649 PECIFRKROVUKAA-UHFFFAOYSA-N 419.224 4.761 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H](C)Sc2nc3ccccc3s2)cc1[N+](=O)[O-] 762571543 VQEVFGGOIDHWRP-QWHCGFSZSA-N 401.513 4.871 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764220558 GMBMDYSIRAGCEB-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD CCc1nc(C)c([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)s1 764261806 RLWSVDVWQBULRN-LBPRGKRZSA-N 410.517 4.579 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC(O)(c2ccccc2)CC1 768588852 BVOCXIQGIPXBBD-UHFFFAOYSA-N 418.449 4.511 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 768596695 FBCQWDPQNJZMML-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD C[C@@H](C[C@@H](O)c1ccccc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774666776 LAELAGXCQWIUPO-IINYFYTJSA-N 405.323 4.568 5 20 HJBD C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132019 HCDIINOTQOYEPP-MWTRTKDXSA-N 418.449 4.579 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 782172413 KOTVBPNXZXRVPX-NSHDSACASA-N 411.683 4.714 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)OCc1ccccc1[N+](=O)[O-] 782422091 CHQJANVZXHZCOD-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(Cl)c1C 783269334 MOSOBTSZUCVSIK-LLVKDONJSA-N 411.241 4.702 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc([N+](=O)[O-])c1C 784944438 VHTXISZRNWQDPT-NSHDSACASA-N 417.805 4.533 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2F)nc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 787685366 MGZQTEYLKCRRJO-UHFFFAOYSA-N 422.416 4.774 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc([N+](=O)[O-])c(Cl)c1 791868650 CNWQSIQQIPJUEJ-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 792593485 HVRMGYWKVAHQMB-NRFANRHFSA-N 415.405 4.613 5 20 HJBD Cc1cccc(Nc2nn(CN(C)CCc3cccc([N+](=O)[O-])c3)c(=S)s2)c1 800866103 SBQJHYJLKAAERX-UHFFFAOYSA-N 415.544 4.766 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 804196861 BRBZPRIWHWKZKM-GFCCVEGCSA-N 414.330 4.912 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 807940763 INQIGALRIPRRHI-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@H](c1c(F)cccc1F)C(F)(F)F 809922407 OVZVHEZWSKHXEO-CYBMUJFWSA-N 409.698 4.952 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812928507 XEXUPBVYYSBGGQ-ZDUSSCGKSA-N 401.633 4.809 5 20 HJBD O=C(NCCc1ccccc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812952172 PUJLOEPPVLKAHK-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C1N[C@H](c2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)Nc2cc(F)c(F)cc21 877859218 ODCCLWXDFMCSSR-LJQANCHMSA-N 415.327 4.659 5 20 HJBD CC(C)[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1ccccc1Cl 915382307 XAGWYZMJWIWVNQ-SFHVURJKSA-N 415.833 4.550 5 20 HJBD COc1ccc(/C=C2\C(=O)Nc3ccccc32)cc1OCc1ccc([N+](=O)[O-])cc1 917030883 MHKIOORGDGPTBU-UNOMPAQXSA-N 402.406 4.675 5 20 HJBD CCc1ccc([C@H](NCc2ccc(Br)o2)c2ccc([N+](=O)[O-])cc2)o1 1255460423 GQBSOWDNORNQQQ-GOSISDBHSA-N 405.248 4.985 5 20 HJBD Cc1cc([C@@H](C)N[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(C)o1 1320393921 ORLGVQNKIGSBHO-OLZOCXBDSA-N 414.487 4.606 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(C3(c4ccc(Br)cc4)CCC3)o2)c1 1326095523 MECBCLQEAHTPIO-UHFFFAOYSA-N 400.232 4.877 5 20 HJBD COc1cc(C(=O)OCc2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 10209153 XNHDLNWSAFDUTQ-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD O=C(COC(=O)c1sccc1-c1ccccc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 10986779 QXXLIDIDSBFVRG-UHFFFAOYSA-N 416.842 4.772 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCC2)[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 12104548 CPGOMWUKLMSZKQ-NSHDSACASA-N 423.926 4.608 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCC(F)(F)F)c1 31607895 LXIFULXBOHGELY-NSHDSACASA-N 400.378 4.655 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 56313155 GNOJWQODYLWJNG-GFCCVEGCSA-N 414.405 4.964 5 20 HJBD COc1ccc(NC(=O)CCN2Cc3ccccc3-c3ccccc3C2)c([N+](=O)[O-])c1 60361409 NJRHBROTAFLMMO-UHFFFAOYSA-N 417.465 4.615 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OC(F)F)c3ncccc23)cc1[N+](=O)[O-] 195589989 DZKRQRZCMNLBKE-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD C[C@@H](Cc1cccc(Br)c1)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 195678229 KZCZBVGTXUACSG-STQMWFEESA-N 423.332 4.585 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(-n2cccn2)c1 237511202 IEJTXEIGAFWUFB-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD O=C(c1cc(N[C@H](c2cccc(F)c2)c2ccccn2)ccc1[N+](=O)[O-])N1CCCC1 301889584 NMXHTPMRUZTKPP-JOCHJYFZSA-N 420.444 4.566 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1CCc2sc(-c3csc(C)n3)cc2C1 301948330 BLHKOTGHGAAZDS-UHFFFAOYSA-N 401.513 4.797 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1OC 409679088 OTTJQIDYCQCOPE-HNNXBMFYSA-N 401.463 4.973 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCC[C@H](c4nc(-c5ccc(F)cc5)no4)C3)ccnc12 432324065 SQZSJYULMKDOIV-HNNXBMFYSA-N 419.416 4.716 5 20 HJBD Cc1ccc2nc(C3CC3)cc(C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)c2c1 433488560 ONXAJBDPCKEFDO-UHFFFAOYSA-N 419.437 4.742 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 437115724 MAUSAMDTWSWRDN-OALUTQOASA-N 413.543 4.953 5 20 HJBD CCOCc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 440427299 NCBVSVZOXOVDCD-MRXNPFEDSA-N 420.469 4.952 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1OCc1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444238073 MYHZTTCUGBFDDL-ZEQRLZLVSA-N 412.489 4.549 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444799263 HNZJGPQOYWPENI-INIZCTEOSA-N 419.762 4.527 5 20 HJBD CNC(=O)c1ccc(N2CC[C@@H](C)[C@@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 446879224 LHVIXZVSEFLWPN-KZULUSFZSA-N 407.392 4.561 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(=O)NC(C)C)ccc1Cl 462435575 GYHRRLVVBBQJNX-HNNXBMFYSA-N 417.893 4.594 5 20 HJBD COc1ccc(Oc2cc(CNC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)ccn2)cc1 463975895 VTJUUJDYYSQEDU-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cc(F)cc(Br)c1 466552196 AZPYFRFMNNXLPV-KRWDZBQOSA-N 422.254 4.543 5 20 HJBD CC(C)(CCCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468326097 KUSNPPFZZJLNBR-UHFFFAOYSA-N 422.934 4.928 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2cccc(Cl)c2)C1 468851564 FCICRJIVWWXGDB-MRXNPFEDSA-N 402.859 4.677 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)N2CC(Oc3ccc([N+](=O)[O-])cc3)C2)c1 470362432 NQHQQNBXUGWRIQ-UHFFFAOYSA-N 419.437 4.566 5 20 HJBD Cc1cc2sc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)nc2cc1F 478060950 RCOQVTPMMSSKPC-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc2C)n1 478486391 GIWMKUROFJYCNM-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3cc(C)c([N+](=O)[O-])cc3F)cs2)cc1 479167486 UJNXNTPKQXIQLX-UHFFFAOYSA-N 415.446 4.567 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479789494 RBLMHRSCMHPTRM-QLJPJBMISA-N 416.783 4.773 5 20 HJBD CCN(C[C@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 480744055 SWFRBDLIXPEAQW-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD COc1ccc(Br)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 482836097 CNDHUVMVHOJNJG-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 482960316 HPUGURJZFUVZBW-CQSZACIVSA-N 410.392 4.688 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(F)c(F)cc2N2CCCCC2)cc1[N+](=O)[O-] 484889000 BIUIWPZWKHYNQW-UHFFFAOYSA-N 405.401 4.514 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2NC(=O)c2ccccc2)c1[N+](=O)[O-] 485748090 IPYXVULRTWOUFP-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CSc4ccc(Br)cc43)cc2N1 486499832 QIYVISDQANPGPP-AWEZNQCLSA-N 420.288 4.501 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3ccc(Oc4ccc(F)cc4)nc3)n2)cc1 486975318 YIILURMWGKJJGC-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 487736817 DJZMFNKCLFHMMB-UHFFFAOYSA-N 411.502 4.784 5 20 HJBD COc1ccc(OCc2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)cc1 492110875 VAQWPQMZIWOFQR-UHFFFAOYSA-N 413.499 4.664 5 20 HJBD CCN(Cc1ccncc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 494947913 RZUFIFICLXGLJS-UHFFFAOYSA-N 424.888 4.918 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccccn2)c1 499014279 OXZDKFCIFHDPPL-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD COC(=O)Cc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 500758148 SYJIOVBXCLZKBH-UHFFFAOYSA-N 400.818 4.836 5 20 HJBD O=C(Cc1ccccc1)Nc1cc(NCc2c(F)cc([N+](=O)[O-])cc2F)ccc1F 506247914 VQGLLMNWRYKVBF-UHFFFAOYSA-N 415.371 4.805 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)N1CCCc2c1cccc2[N+](=O)[O-] 513837138 UUIXPVAJHKXOQS-UHFFFAOYSA-N 413.886 4.894 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)cs1 515642062 MOOYWIXTMAGEJA-AWEZNQCLSA-N 411.483 4.673 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c12 516780792 KTTVKNCKLBXXSC-UHFFFAOYSA-N 418.409 4.546 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522741177 CDPSZIOYRRXYHO-HXUWFJFHSA-N 402.878 4.943 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCOCC4)cc3C)o2)c([N+](=O)[O-])c1 532732008 GCOHRXXAVKGAHE-UHFFFAOYSA-N 423.469 4.621 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 533369669 ZZWXITYOSHPUQZ-HNNXBMFYSA-N 416.840 4.817 5 20 HJBD CCS[C@@H](C)c1noc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 536301469 BLLJXMKQPFWDBC-NSHDSACASA-N 406.489 4.880 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2c[nH]c4cccc([N+](=O)[O-])c24)C3)cs1 537930735 IIYFJQPPCJHIPF-UHFFFAOYSA-N 424.507 4.768 5 20 HJBD C[C@@H](c1cccnc1)N1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 539841059 NZUFOPYMTXWOHW-KRWDZBQOSA-N 408.527 4.597 5 20 HJBD CCOc1cc(NC(=O)[C@@H](CC)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 540615458 NWUGDBREHWFTPZ-INIZCTEOSA-N 402.447 4.533 5 20 HJBD CN(C)CCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 542661207 PNJOFPNRQPHIOW-UHFFFAOYSA-N 419.591 4.681 5 20 HJBD CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544621551 CSMKLIYSCUPCFZ-RDTXWAMCSA-N 407.829 4.771 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cc([N+](=O)[O-])c1 545055443 VDTHXZDBBQQEKK-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545869453 AYWYZIHVSKJUPI-UHFFFAOYSA-N 414.355 4.569 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 550859682 PIESBUOUPVKQIN-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc2c(c1)nc(C(C)(C)C)n2C)c1ccc([N+](=O)[O-])cc1 552285274 GSHDQOXPFMSVEM-HXUWFJFHSA-N 418.501 4.714 5 20 HJBD COCCC[C@H](CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Cl)cc1 553605121 OXLREUGJVGLRCT-MRXNPFEDSA-N 417.893 4.755 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCCC1=CCCCC1 588915103 JVEVIAMKOWSNLN-OAHLLOKOSA-N 415.515 4.878 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3CCc4nc(C)ncc4C3)cc2[N+](=O)[O-])cc1 603633270 XFRSGKQHDDOEAM-IBGZPJMESA-N 420.538 4.800 5 20 HJBD C[C@@H](c1ccccc1F)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737072 SZDQGOMRANCWSG-NSHDSACASA-N 400.328 4.508 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 604179734 IBUMXKMQWSACFK-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1nncn1C 609222727 FUQWVEPOKMRDFO-HNNXBMFYSA-N 409.490 4.664 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccccc3Cl)o2)c(Br)c1 609962103 VYFRMBAGTIEDDE-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1F 611204236 GQOBJZBKCRPXJA-UHFFFAOYSA-N 417.462 4.979 5 20 HJBD COc1ccc(-c2ccc(CN3CCCC[C@@H]3c3noc(C4CC4)n3)o2)c([N+](=O)[O-])c1 611263537 SKBNEHUTDNXGGG-GOSISDBHSA-N 424.457 4.851 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccccc1)c1cc2ccccc2o1 619230494 CQNPNBGJFJPDSD-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 728567629 XDECDHZVFKCNCS-UHFFFAOYSA-N 407.386 4.759 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])ccc21 731985882 GINHCRVRNIHTPT-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD COCc1cccc(OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)c1 733072641 BIBSTEOOLNLTTI-UHFFFAOYSA-N 409.394 4.761 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C3(c4ccccc4C)CC3)CC2)ccc1[N+](=O)[O-] 744202213 YKWSUCYEXOJFOV-UHFFFAOYSA-N 424.497 4.546 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 744615893 BIJBERZIMRIMSE-JKSUJKDBSA-N 423.469 4.633 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1 746061630 WUPGROFPMXDLPE-SNVBAGLBSA-N 419.846 4.938 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 747346020 OEPABBNASDEGMU-UHFFFAOYSA-N 415.446 4.996 5 20 HJBD Cc1cc(C)nc(NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 747450046 ZHGCCIMMWIDLDN-UHFFFAOYSA-N 424.844 4.765 5 20 HJBD CC(C)(C)c1csc(C2CCN(CCC(=O)Nc3ccc([N+](=O)[O-])cc3)CC2)n1 750067713 QNRCUQDQKUTUPZ-UHFFFAOYSA-N 416.547 4.557 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 751063690 XQQBUOPBTBCPNT-SNVBAGLBSA-N 420.288 4.800 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(Br)ccc1Cl 751629210 WVHBVLDANBTQDH-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cccc21 754014030 LGMAHOMJXZAWIZ-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756989983 SJXKRXHBJXLLSJ-UHFFFAOYSA-N 424.284 4.816 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764194131 UWTNKDFFWOQBKK-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCN(CCc1cccs1)Cn1nc(-c2ccc([N+](=O)[O-])cc2)n(CC)c1=S 764945639 QLFZUSQCVZAUJR-UHFFFAOYSA-N 417.560 4.593 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SC[C@@H](O)c3ccc([N+](=O)[O-])cc3)n12 776272015 QDNAFTAYJNXNHC-GOSISDBHSA-N 408.483 4.542 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)OC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 781458431 KNPDYWQKEPQBFT-CQSZACIVSA-N 400.434 4.558 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 785772312 ACRRHQTVKGWGOI-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD CCN(C(=O)Cc1ccc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1)c1ccccc1 788728544 OEZNVPOWAMVIQS-KRWDZBQOSA-N 418.497 4.946 5 20 HJBD C[C@@H](NCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1SC(C)(C)C 788741658 UBSCTTFHNJNDQJ-OAHLLOKOSA-N 422.572 4.610 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(C(F)(F)F)cn1 798673231 HYNWBFCJKOBAAO-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 809280184 KYSIHAFZICOMMV-OAHLLOKOSA-N 407.264 4.589 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(OC)c1 809920519 UJWULXMYSDVKAZ-OAHLLOKOSA-N 405.838 4.634 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813117478 WDPFSQRESZQWEU-KRWDZBQOSA-N 420.227 4.955 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@H]2COCC[C@@H]21 813250307 LYTSGHOTTIJIJK-NUJGCVRESA-N 421.280 4.546 5 20 HJBD COc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1OC1CCCC1 814573822 LEBNCXKKPCSPCC-UHFFFAOYSA-N 413.474 4.982 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(Br)cc1Cl 917069637 WKMFIHOBWABZNB-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD C[C@@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 917940655 KLGKREBTRFIPKX-QGZVFWFLSA-N 404.470 4.670 5 20 HJBD C[C@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 919084288 WEVKKBHNLHWCDC-INIZCTEOSA-N 420.421 4.530 5 20 HJBD COc1ccc(-c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)cc1Br 1117267526 TVQJQUYHLYHSQW-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD COc1ccc(CCc2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)cc1 1118127270 NLPRDZSGEIJHSC-UHFFFAOYSA-N 408.439 4.562 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1322933111 BVHVROIWHMNTPV-UHFFFAOYSA-N 414.421 4.563 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(CCSc3ccc(F)cc3)o2)ccc1N1CCCC1 1326256023 VQEWCJXCADZAFK-UHFFFAOYSA-N 414.462 4.719 5 20 HJBD CCn1c(SCC(=O)Nc2ccc(C)cc2C)nc2cc3ccccc3cc2c1=O 7577785 WUFWWHRDKRWKDT-UHFFFAOYSA-N 417.534 4.917 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nccn2-c2ccc(Cl)cc2)cccc1[N+](=O)[O-] 24319309 CZSZGEVXQFDADX-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD Cc1cccc(Nc2nnc(SCCC(=O)Nc3ccc([N+](=O)[O-])cc3)s2)c1 26365783 ZUTIIXGEDRNFMS-UHFFFAOYSA-N 415.500 4.619 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32804665 DDAZOBWDMOVNHZ-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc(Cl)cc1[N+](=O)[O-] 33195125 OELWEZHVXKXLHG-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD CCCCSc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)s1 44030244 DCQMPTDURQKRHS-UHFFFAOYSA-N 409.518 4.681 5 20 HJBD CN(Cc1ccccn1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 57228622 IFIUFDDDGJKDAV-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)n1 63162596 VZZUFOFWJXVNLZ-UHFFFAOYSA-N 414.512 4.875 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2cc(OC(F)F)ccc21 65847474 XLCXZNLWLQSHDF-LBPRGKRZSA-N 408.426 4.656 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(Br)o3)n2)cc1[N+](=O)[O-] 71814884 XWPABRMKVIPTQG-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 72058125 YIDZRHWUBXDMSI-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)N(Cc1ccccc1)c1ccccc1 72074258 IPMGBMASNBSCFL-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)ccc1[N+](=O)[O-] 108669477 MEGKMCRRYXFFQI-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD Cc1nsc(C)c1-c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 426601398 ULRAECRFGZTREB-UHFFFAOYSA-N 407.455 4.997 5 20 HJBD O=C(c1c[nH]c2ccc(Br)cc12)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427580133 XLXNWOASXPEVSD-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CC1(C)Cc2ccc(Br)cc2[C@@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 429283737 IFHHPELYNPYPRP-SFHVURJKSA-N 416.275 4.912 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433843339 TTWBQQQAAPIRLX-UHFFFAOYSA-N 422.445 4.646 5 20 HJBD CN(Cc1cccc(Cl)c1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435818020 QIMAIEYFIOEWPJ-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD CCCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@H](c1ccc(F)cc1)c1cccnc1 435870371 FKWBHQZSLIILET-OAQYLSRUSA-N 423.444 4.779 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C[C@H]1C 443915333 BAWHCIDFSFCSNE-VQIMIIECSA-N 412.490 4.724 5 20 HJBD Cc1cc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1Cl 444320903 UYWAUTSGPSBUNX-UHFFFAOYSA-N 412.823 4.851 5 20 HJBD COc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1OC(C)C 444325384 NMABUUFMNNGTRD-UHFFFAOYSA-N 420.440 4.869 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)[C@H](C)c1ccc(F)cc1 445829080 YCWMWUIYHSZHIX-GFCCVEGCSA-N 409.255 4.649 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cccc(OCc4ccccc4Cl)c3)[nH]c2c1 446851139 HENHYTJWWAHURJ-UHFFFAOYSA-N 422.872 4.993 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 461325215 LUAFYYKVCXMRKF-LEWJYISDSA-N 407.470 4.512 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 462924334 KEYIMBROYPJWDJ-IBGZPJMESA-N 420.513 4.645 5 20 HJBD CC(C)(C)[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465548239 RFXVSNRUXQJLIQ-OAQYLSRUSA-N 411.502 4.935 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccccc1COCc1ccccc1 466158217 PTVTXAZJTLEPAY-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2OCC2CCC2)cc([N+](=O)[O-])c1OC 468181510 BLWUTVXJDNBNRX-UHFFFAOYSA-N 420.849 4.697 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 468278761 VAWVJQWQVFHLPD-UHFFFAOYSA-N 419.403 4.775 5 20 HJBD Cc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Br 470043636 FWYDIAUOCAOJPZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3nc(C)co3)ccc2C)c([N+](=O)[O-])cc1OC 470096687 ZVWPMEHFOAXROM-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD CC(C)(C(=O)NCc1ccnc(Oc2ccccc2F)c1)c1ccc([N+](=O)[O-])cc1 470557036 SNFKNPWUSZPCEQ-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc2nccnc2cc1F 470982916 CQXXYLWXATWXSA-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD Cc1ccc([C@H](NC(=O)COc2c(Cl)cccc2[N+](=O)[O-])c2cccs2)cc1 471294971 BKMIHPXXDYQUIH-IBGZPJMESA-N 416.886 4.903 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(CCCO)[C@@H]1CCc2ccccc21 475201414 GCDXGWQQRGZYLI-QGZVFWFLSA-N 423.391 4.517 5 20 HJBD Cn1cc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c(-c2ccc(Cl)s2)n1 475818745 ZSHZKLCUYLADKK-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2c(F)cccc2Cl)cc1[N+](=O)[O-] 477517904 FGGBIVTUTLJPTJ-NSHDSACASA-N 412.870 4.507 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CCCCCC2 480845119 LTPJEHDSZIYSDO-UHFFFAOYSA-N 421.544 4.701 5 20 HJBD Cc1ccc(F)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1Br 484676148 GPZJQYUNSAAAAA-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1nc(-c2ccccc2)oc1C 485282669 FBENIVDRBXHORK-OAHLLOKOSA-N 400.456 4.543 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 486328659 MPMOQNTVYDXXAS-GFCCVEGCSA-N 415.231 4.756 5 20 HJBD CCCc1[nH]nc(C(=O)NCC2(c3cccc(C(F)(F)F)c3)CCCC2)c1[N+](=O)[O-] 500948809 KNHCNBFYCXOFOS-UHFFFAOYSA-N 424.423 4.531 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)s1 502511363 OXBLDOBHSAGYPR-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD O=C(c1csc(-c2ccc(F)c(F)c2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 503619667 PFVXFFHJDFMJNM-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2ccc(F)cc2)CC1 507196212 LRURXXVHGDXUEC-OAHLLOKOSA-N 410.449 4.800 5 20 HJBD Cc1noc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n1 512593711 XEADAPFCARBALQ-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD Nc1c(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)cc(F)cc1[N+](=O)[O-] 518412665 OIFFBLNQFHNTGW-UHFFFAOYSA-N 410.336 4.516 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3[nH]c(-c4ccc(F)cc4)nc3c2)c1 518636651 GZZIEAVPMZHHNI-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCc3sc(C)nc3C)c([N+](=O)[O-])c2)cc1 520827552 LUPJSNPHQRSEPN-UHFFFAOYSA-N 410.499 4.883 5 20 HJBD COc1cc(CNCc2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC(F)(F)F 520965709 VQJFLZJSUHFVRX-UHFFFAOYSA-N 422.762 4.627 5 20 HJBD CN(C)[C@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1c(F)cccc1F 522369494 DYWOLJDZLAMJRS-LJQANCHMSA-N 401.413 4.526 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 523203264 QVRCXYRNQDOEAV-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD CC1(C)[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@@H]2CCO[C@H]21 524946558 CWPYGRVICPKPHZ-BMGDILEWSA-N 421.291 4.520 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 535011780 VBSPZGUMSXEXDK-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 535696913 RHNFTDOBHWRXON-UHFFFAOYSA-N 404.401 4.626 5 20 HJBD C[C@H](Oc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cn1)c1ccccc1 536549478 ANDWAQCPAPVQIM-KRWDZBQOSA-N 417.465 4.935 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(F)c(Cl)cc1Cl 537040449 AECMAFVLDZOVMB-VIFPVBQESA-N 411.220 4.942 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)c2ccc(F)c(Cl)c2)cc1[N+](=O)[O-] 538434704 RSMHBPVIPVCJSX-UHFFFAOYSA-N 401.619 4.735 5 20 HJBD Cc1ccc([C@H](C)NCc2nc(-c3cccc(Br)c3)no2)cc1[N+](=O)[O-] 539380740 RJRDTHMUGPQRJB-LBPRGKRZSA-N 417.263 4.567 5 20 HJBD C[C@H](N[C@H](C)CCc1ccccc1[N+](=O)[O-])[C@H](OC1CCOCC1)c1ccccc1 540149556 RXXOGEOZTUTAFU-IMWIBFENSA-N 412.530 4.831 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1ccc(Cl)cc1[N+](=O)[O-] 541240383 DJIXSYLAGOWVEE-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3CN3CCCC3)no2)ccc1OC(F)F 545537811 XAGYUDOUDGQLJK-UHFFFAOYSA-N 416.384 4.509 5 20 HJBD COc1ccc2cc([C@H](C)C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)ccc2c1 546029785 DQYWKQRFIPBNGI-AWEZNQCLSA-N 422.437 4.660 5 20 HJBD CCCc1c(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cnn1-c1cc(C)ccn1 546216443 CXWZHUNQULWUQX-UHFFFAOYSA-N 408.462 4.697 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 552431539 PMHLDWMOSBKGET-UHFFFAOYSA-N 412.489 4.933 5 20 HJBD C[C@@H](C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1cccc([N+](=O)[O-])c1 560140925 AZHLWEXRLCRRCR-GFCCVEGCSA-N 401.875 4.792 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1Cc1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 569289944 YBDKXHPASAOBRK-UHFFFAOYSA-N 405.410 4.725 5 20 HJBD CCCn1ncnc1CNc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 572896866 NUTVWYZREBWNRV-UHFFFAOYSA-N 420.395 4.971 5 20 HJBD CN(c1ccccc1)c1ccc(CN2CCC[C@](O)(C(F)(F)F)CC2)cc1[N+](=O)[O-] 583506652 UFVNLUXDIYFKLH-HXUWFJFHSA-N 423.435 4.642 5 20 HJBD COc1ccccc1NC(=O)[C@H](C)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609769626 UWBRJYMANJWGET-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2)nn1 610329672 VKMFUCKODCHADM-UHFFFAOYSA-N 421.457 4.928 5 20 HJBD COc1ccc(-c2ccc(CNCc3c(F)c(F)cc(F)c3F)o2)c([N+](=O)[O-])c1 610583487 ZZSHAPQDJXOBRA-UHFFFAOYSA-N 410.323 4.710 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)nn1 610633717 KWOJQUGWSQRIBV-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD CCc1nn(C)c(CC)c1CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 611108249 OIUWNFJZAIGACV-UHFFFAOYSA-N 412.465 4.674 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCC2)C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207353 ITVHRQYDNDVLPD-OAHLLOKOSA-N 404.532 4.851 5 20 HJBD CN(Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)C[C@H]1CCCO[C@@H]1c1ccccc1 611266713 BNZHWMMSZUFJGY-HYBUGGRVSA-N 420.513 4.595 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 611356384 ZFZMWSVDWBHSHE-IRXDYDNUSA-N 418.559 4.908 5 20 HJBD C[C@@H](C(=O)NC(C)(C)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1F 612457929 VYIQHVYADZBNFI-LLVKDONJSA-N 409.255 4.651 5 20 HJBD COC(=O)c1ccc(OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)cc1[N+](=O)[O-] 613110605 RGEQCPRTJIPRCC-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCc2ccc(F)cc2)CC1 613189587 DFCFHIVIHJWZCO-IBGZPJMESA-N 414.477 4.510 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2SCc2ccccc2)c(C)c1[N+](=O)[O-] 726498179 HYUUNEATBIVZTG-UHFFFAOYSA-N 410.499 4.729 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 731991287 YDPTZEJEQZKZSQ-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3cc(C(F)(F)F)ccc3F)[n+]2[O-])c1 733206555 NPBIILQTYCSPKZ-XQRVVYSFSA-N 405.307 4.619 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC 750290277 VNOCPFGSZCBTIQ-GFCCVEGCSA-N 424.906 4.834 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3Br)CC2)cc1 751069016 WECLIRCFUPYFKB-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(Nc1cc(-c2ccccc2)nn1-c1ccccc1)c1cc(F)ccc1[N+](=O)[O-] 751073624 JORYXUYHTSPCFW-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD Cc1cccc(NC(=O)[C@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1C 751633611 FGNTXKVSHAMBJA-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD CN(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 758267205 NEHGLHFCYNFYFV-APWZRJJASA-N 418.856 4.547 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3cccc([N+](=O)[O-])c3Br)ccc2n1 758365845 YPUISIQQSXVHJJ-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CSc1ccc(-c2nc([C@H](NC(=O)OC(C)(C)C)C(C)C)no2)cc1[N+](=O)[O-] 762027686 PEZMMNISVKBDDD-CQSZACIVSA-N 408.480 4.589 5 20 HJBD COc1cc2nc(/C(Cl)=C\c3ccc([N+](=O)[O-])c(Cl)c3)nc(O)c2cc1OC 763174259 JJQPTVCEAHYNRT-WUXMJOGZSA-N 422.224 4.651 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1)C1CCCCC1 763428019 UJLCDHAYCKZODN-UHFFFAOYSA-N 417.412 4.530 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1 764304802 WBFGYZCVHPMGJQ-CYBMUJFWSA-N 410.301 4.977 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])nc2ccccc21 770883970 ICQFWBNXHBYIMT-UHFFFAOYSA-N 422.391 4.832 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC1CCCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 778192838 HOZAOSFAPHNLFC-UHFFFAOYSA-N 405.794 4.730 5 20 HJBD CC(C)(C)OC(=O)N1CC=C(CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 778202648 FNTNENBRNBRKMD-UHFFFAOYSA-N 413.474 4.512 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 780391646 CVJJZTMCIVBOOT-CABCVRRESA-N 408.298 4.540 5 20 HJBD COc1cccc([C@@H]2[C@H](c3ccccc3)CCN2C(=O)c2cc([N+](=O)[O-])ccc2N)c1 787263390 MAQRJRBTDLQEKS-NZQKXSOJSA-N 417.465 4.557 5 20 HJBD COc1cc(COC(=O)CCc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 792750439 NCJIZJVKWNDNDW-UHFFFAOYSA-N 415.776 4.534 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)N[C@@H](c1ccccc1)c1cccs1 795262129 SLORWGCEAARIMU-IBGZPJMESA-N 420.849 4.733 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1cccc(Cl)c1[N+](=O)[O-] 803381778 FBJQHBBZTUFQMR-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(NC(=O)C2CC2)cc1 812875213 PIZCREYJEANSQB-SNVBAGLBSA-N 422.268 4.741 5 20 HJBD COC(=O)c1ccccc1-c1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813247012 VONNAMMMEOURAS-UHFFFAOYSA-N 410.813 4.954 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1ccc(Br)c([N+](=O)[O-])c1 916490353 DPSZXVGAHQBRIN-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD C[C@H](OC(=O)c1ccc(CNC(=O)c2cccs2)cc1)c1cccc([N+](=O)[O-])c1 919281911 IWXQOMIJRDRGCA-AWEZNQCLSA-N 410.451 4.504 5 20 HJBD CC[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)cc1 1116540741 VLRMTMVGHFOOTL-HNNXBMFYSA-N 400.328 4.556 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(COc3ccccc3-c3ccccc3)o2)cc1F 1349923708 UUBYXTXIIHUNQZ-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(N2CCCC2)n1-c1ccccc1Cl 6994321 VEYAHNQPTCMYDZ-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl 8248985 NGIZIQZRXNRVGL-JTQLQIEISA-N 400.237 4.638 5 20 HJBD C[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccccc1Cl 16054182 KZHCLNOSFUORGK-SNVBAGLBSA-N 407.904 4.655 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048817 LEQJOEGHOIVHGS-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD CN(CCC(=O)Nc1ccc(Cl)cc1F)Cc1cc([N+](=O)[O-])ccc1Cl 26924317 GXGHSWKVBFNGEF-UHFFFAOYSA-N 400.237 4.501 5 20 HJBD Cc1ccc(NC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 33158569 XZHVUJUZOLIGBY-UHFFFAOYSA-N 400.822 4.568 5 20 HJBD Cc1ccc(-c2cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c3c(C)noc3n2)cc1 54887984 LUOUALJIRQTJPE-UHFFFAOYSA-N 414.421 4.618 5 20 HJBD O=C(NC[C@H]1CCN(c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 106028550 JFRBTVRZSOZJCR-GOSISDBHSA-N 416.481 4.595 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)Cc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 108818586 RCTSWCYQFGUFIV-CVEARBPZSA-N 422.460 4.663 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc1-c1ccccc1 215674454 ZZAMSVFJBUASQZ-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 410218919 OLXVXQPQPRFKET-UHFFFAOYSA-N 408.461 4.643 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)[C@H](c1ccc(C)cc1)c1cccnc1 430707333 XJWHNUYIPJKZKD-XMMPIXPASA-N 419.481 4.624 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])C(C)C)cc1OCC 435664244 LUGBBOMEBAGHPA-HXUWFJFHSA-N 416.474 4.528 5 20 HJBD CC1(C)CC(=O)c2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc2C1 436064849 KAHZZGYNPYJGPR-UHFFFAOYSA-N 417.490 4.545 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c(C(F)(F)F)c1 437349833 WVPKRPSMLLDXNS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cn1c([C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)nc2ccccc21 437593679 UPJZSJLCGDMKCR-HXUWFJFHSA-N 417.469 4.516 5 20 HJBD C[C@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1c1ccc(C(F)(F)F)cc1 437705635 BDJRRFKNQPUQOD-ORAYPTAESA-N 408.376 4.602 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 438558483 JWEPNWOZOAVRAZ-UHFFFAOYSA-N 423.391 4.775 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@@H]1CCCS1 439459687 HCQNPDAYRBONFS-KRWDZBQOSA-N 410.854 4.691 5 20 HJBD O=C(NCC1(c2ccc(F)cc2F)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444287138 JFMKBURBAVVEKF-UHFFFAOYSA-N 422.406 4.560 5 20 HJBD CC[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(Cl)c1 445251512 ILUNDXGNVGYNOH-JTQLQIEISA-N 403.685 4.953 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(O)nc3)no2)ccc1NCc1ccccc1Cl 445434084 NPPXBEOCXWDRII-UHFFFAOYSA-N 423.816 4.678 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(CSCc2ccc(OC)c([N+](=O)[O-])c2)n1 445784031 RDYHSDQUDOTVEJ-IBGZPJMESA-N 415.471 4.546 5 20 HJBD Cc1sc(CCN[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)nc1-c1ccccc1 447578396 FFMXKNLUUUDDJZ-QZTJIDSGSA-N 411.531 4.539 5 20 HJBD CC(C)CCOC1CCN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CC1 447678213 YPVKOCTWIOERNR-UHFFFAOYSA-N 411.371 4.675 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3C(F)(F)F)n2C)cc1 448097740 QTPBUUBVMQUMOI-UHFFFAOYSA-N 424.404 4.710 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 448432412 WNRHKQYYOKIBAT-OAHLLOKOSA-N 422.489 4.720 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])C1 461031199 ZIHFIHFITTVEMD-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(C3CC3)n2C2CC2)nc1OCc1ccccc1 462446661 RHEWHXYGRUWKKS-UHFFFAOYSA-N 409.471 4.524 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=C(c2c[nH]c3ccccc23)CC1 462902013 JRCZNQNWVMELIH-GOSISDBHSA-N 403.482 4.961 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C 463974200 VTNWRJXVLIZRPA-UHFFFAOYSA-N 409.845 4.685 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)N[C@H](C)CCc1ccccc1[N+](=O)[O-] 468373111 LWYQNMBPHUJZHY-MOPGFXCFSA-N 424.545 4.523 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 470415810 RTKWLOJORPCUHT-QGZVFWFLSA-N 424.501 4.577 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@]1(c2ccccc2)CCCCC1=O 477655170 RISUPEQGUHCPPC-HSZRJFAPSA-N 405.454 4.720 5 20 HJBD COc1ccc([C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2C)c2ccc(F)cc2)cc1 478801586 ATQQQTJZFJNUQO-QFIPXVFZSA-N 423.444 4.640 5 20 HJBD CCOc1ccccc1[C@@H](CC)Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-] 480507738 COEYLVGROXVCCY-QGZVFWFLSA-N 408.458 4.521 5 20 HJBD C[C@H](NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1)c1ccc([N+](=O)[O-])cc1 480671302 XOMVMBYZLASZEO-AWEZNQCLSA-N 421.419 4.569 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc(C3CC3)nc2-c2ccccc2)cc([N+](=O)[O-])c1 482214157 PWQIIKRTPLEVJR-UHFFFAOYSA-N 423.450 4.635 5 20 HJBD CCc1c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)cnn1C(CC)CC 482724728 TWUFININMSJCMM-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3ccc(Oc4cccnc4)c(F)c3)n2)cc1 486934338 HFUWYUXRXMTUIN-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)NC2CC2)c1 488256249 XLSMJMYVNWTKJM-UHFFFAOYSA-N 419.890 4.505 5 20 HJBD CC(C)n1ncc(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)c1-c1ccccc1 488798297 KFGSNELDBWHKLF-UHFFFAOYSA-N 400.822 4.651 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(-c3ccncc3)cc2)c([N+](=O)[O-])cc1OC 491172142 BOQVVTBRNVVRPL-OAHLLOKOSA-N 421.453 4.555 5 20 HJBD CC[C@@H](NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1F 505465137 RHWQSLQNWRDJKQ-OAHLLOKOSA-N 416.371 4.766 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC[C@@H]2CCCO2)cc1 509247326 PFZLNXDEBQBZPF-NRFANRHFSA-N 413.474 4.595 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2cc(OC(F)F)ccc21 509659559 XLCXZNLWLQSHDF-GFCCVEGCSA-N 408.426 4.656 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)NCc1ccc([N+](=O)[O-])cc1 510496773 PTDMVRJBHRVTHG-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1 511591152 FGWYOLCEMRPDHJ-UHFFFAOYSA-N 415.858 4.839 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 512246648 XBYCJQLXCVSBDC-UHFFFAOYSA-N 411.302 4.906 5 20 HJBD Cc1c(C(=O)Nc2ccc(C(C)C)cc2C(C)C)nnn1-c1cccc([N+](=O)[O-])c1 512396394 NAHNSAJXSVQQEA-UHFFFAOYSA-N 407.474 4.983 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(C)c(NC(C)=O)c2)cc1OC 513602750 JIPBGSWMYNPRFJ-AWEZNQCLSA-N 415.490 4.907 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c1 513897191 MDQZAUURIQLQIA-CQSZACIVSA-N 420.918 4.901 5 20 HJBD CCOc1ccc(Oc2cc(CNc3nc4sccn4c3[N+](=O)[O-])ccn2)cc1 515502973 VDTLBBMIWILLQC-UHFFFAOYSA-N 411.443 4.502 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)CSc3nncs3)c3ccccc3n12 515608544 PBRJJDJWWYMUHT-UHFFFAOYSA-N 405.508 4.531 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(Cl)cc1 516892978 NUDFRFLAQWQSAM-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD CCN(Cc1ccc2ccccc2c1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 517911663 MNVUYYHYJAGTDV-UHFFFAOYSA-N 404.875 4.612 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccc(OC(C)C)cc1C(F)(F)F 517922983 DGUCZEWFTGOCMY-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD O=C(NC[C@H]1CCCCN1Cc1cccc(Cl)c1)c1ccc(Cl)cc1[N+](=O)[O-] 518319443 JZDBCBSHDXTAAF-QGZVFWFLSA-N 422.312 4.686 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 522894792 CAFLGEIPVYETDP-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD O=C([C@@H]1C[C@@H]1c1c(F)cccc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 523844667 QRPLRBWUMKHMTM-QWHCGFSZSA-N 414.330 4.568 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c2sc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)cc12 533147516 CCJCOSHZIUGXAQ-UHFFFAOYSA-N 424.457 4.778 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 536508592 QWBVUIVNLIAJQZ-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD Cc1cccc(C)c1OC[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)(C)C 538321848 CKHSXPJEFDBVQK-IBGZPJMESA-N 424.501 4.845 5 20 HJBD COc1cc(CN(C)C2CCC(OC)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 538727172 YYQTZBOSFRMUJJ-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD CCOc1cccc([C@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539430698 OFWCWMZFWNGGMP-IEBWSBKVSA-N 400.500 4.780 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 540542702 GPKNDVVMOAKTKG-HKUYNNGSSA-N 422.453 4.634 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2C)C1 541877637 PJHBJVNJSUSGRC-IBGZPJMESA-N 400.500 4.619 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 542092873 LDVMRGLNMVXTJM-UHFFFAOYSA-N 415.456 4.588 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542526764 UPTHGWJTPUNOAX-JTQLQIEISA-N 411.227 4.637 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 544399898 SXQPIBPBZCVYON-MRXNPFEDSA-N 407.445 4.948 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1c1nc(-c3ccc([N+](=O)[O-])cc3)no1)O[C@@H](C)C2 546258217 OKJRLUHVRKIVLL-IWEFOYFVSA-N 407.426 4.638 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2OC(F)F)cc1SC 546527470 XITOQRYEECFVHV-UHFFFAOYSA-N 418.805 4.832 5 20 HJBD CCn1ncc2c(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc(-c3ccccc3)nc21 546964587 CJQJSQNGZAIPNO-UHFFFAOYSA-N 412.409 4.743 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 549066568 CEOSAMJIROLGOZ-UHFFFAOYSA-N 408.433 4.811 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3ccc(-n4cnc5ccccc54)cc3)ncnc2s1 553008205 AWWROBXSQLBWPA-UHFFFAOYSA-N 402.439 4.551 5 20 HJBD COc1cc(C(=O)O)cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1OC 556322471 YYMGRLBQPGEHHN-UHFFFAOYSA-N 414.439 4.651 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1F 564498928 SAFYAUJDUDWHEK-SECBINFHSA-N 415.646 4.570 5 20 HJBD CC(C)c1[nH]nc(C(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)c1[N+](=O)[O-] 565850669 TUZNMVCLXUDMEW-UHFFFAOYSA-N 410.396 4.513 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1sc2ccccc2c1Br 568454447 OOPLXERNVPCULD-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)sc1C(F)(F)F 571586180 IWYAKIQHTDEXQM-UHFFFAOYSA-N 424.198 4.702 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cc(Cl)ccc3OC3CCCC3)n2)nc1 578555218 LUAOWMUVHXSYKX-UHFFFAOYSA-N 400.822 4.605 5 20 HJBD CC(C)(C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1ccccc1[N+](=O)[O-] 590424417 VFMULMBGHDSICJ-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD C[C@H](NC(=O)N[C@H](COc1ccccc1F)c1ccccc1)c1cccc([N+](=O)[O-])c1 604126132 JFLINJJALFRNLO-HRAATJIYSA-N 423.444 4.914 5 20 HJBD COCCN(C(=O)C[C@@H]1CCCC[C@@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 604446194 ZJQGPAOWHIGDPR-RDJZCZTQSA-N 417.531 4.914 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 604456807 AUTLXZSGEVLLHS-NRFANRHFSA-N 412.392 4.595 5 20 HJBD COc1cc(CNCC(C)(C)c2ccccc2)c([N+](=O)[O-])cc1OCC(F)(F)F 604532453 UPCZVWAOROLIMG-UHFFFAOYSA-N 412.408 4.612 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 609981708 VYEDJQRQFGMHNI-FUHIMQAGSA-N 410.518 4.695 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@@H](C)c1ccccc1OC 610038695 AICOWMQSBQHWDT-ZDUSSCGKSA-N 421.291 4.979 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 610122089 QTLSVWMAEJQOMP-MRXNPFEDSA-N 409.511 4.546 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@H](C(C)(C)C)C(F)(F)F)cc2[N+](=O)[O-])CC1 610252752 RGWNQSTUDKMLDI-QGZVFWFLSA-N 401.429 4.538 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 725935214 HTWYHMATKURCPL-UHFFFAOYSA-N 420.412 4.915 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)sc2C)s1 728837440 ISWFMMITBAJPLN-UHFFFAOYSA-N 404.429 4.557 5 20 HJBD COc1cc(/C=C(/C(=O)OCc2c(F)cccc2[N+](=O)[O-])c2ccccc2)ccc1O 728995328 VWJBPFSQQHYAFN-SFQUDFHCSA-N 423.396 4.732 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccn1Cc1cccs1 735601321 RAHRVNKESZDSDI-UHFFFAOYSA-N 409.423 4.518 5 20 HJBD CCOc1cccc(NC(=S)/C(=C(/O)c2ccc([N+](=O)[O-])cc2)[n+]2ccccc2)c1 743052339 KKLGJPBMKCRWJL-UHFFFAOYSA-O 422.486 4.604 5 20 HJBD C[C@H](OC(=O)CC1C[C@@H]2CC[C@H](C1)N2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 748233999 AWQRDGXMPFAQSO-UNPWZGGWSA-N 418.490 4.767 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(N(CC2CC2)C(=O)OC(C)(C)C)CC1 750836127 YOMSPWHMHFFHFU-MRXNPFEDSA-N 403.523 4.767 5 20 HJBD O=C(Nc1cc(Br)c(F)cc1Cl)c1cc(F)c(F)cc1[N+](=O)[O-] 751351501 JYQZAWVLCDVPTE-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD COc1cc(CN[C@@H](C)c2nc3ccccc3s2)c([N+](=O)[O-])cc1OCC(F)F 754714627 WCLPKLGVERDGBV-NSHDSACASA-N 423.441 4.708 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2Cl)c1 764234436 NDNCZFTVMLSEJI-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN(CCCOc2ccccc2)C2CCOCC2)c1 766930513 QSVAIPFGOGIHNZ-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 769877268 PRDSKNCLNKGWSO-NRFANRHFSA-N 416.905 4.759 5 20 HJBD Cn1c(SC[C@@H](O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(C(C)(C)C)cc1 776270210 ASHYXVYMLLGBAO-GOSISDBHSA-N 412.515 4.514 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1 777956451 GZNDTWLHOPSFJD-UHFFFAOYSA-N 407.373 4.530 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 779013884 NFYQZSWZMKDIIP-SJLPKXTDSA-N 419.525 4.763 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)ncc1Cl 782563203 VETSETHUAYDXIU-KRWDZBQOSA-N 404.209 4.638 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(NC(=S)Nc2ccc(Br)cc2)c1 783728259 ZKSUANZQSIDJQS-UHFFFAOYSA-N 418.219 4.768 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](C)N(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 785542516 DMNNQYWRJMPFEW-CZUORRHYSA-N 403.276 4.684 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 788597927 WPUAWJMRTZERRQ-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD CC(C)(NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 789694697 GOKDQJZMORKLQM-UHFFFAOYSA-N 402.756 4.812 5 20 HJBD C[C@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 790743454 YCYZSEDJWQBPEI-NSHDSACASA-N 404.781 4.889 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1F 796444401 OVBNQHMTYZPAEO-ZDUSSCGKSA-N 413.405 4.674 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 804415373 LTZWUQBUVURILG-OAQYLSRUSA-N 416.433 4.717 5 20 HJBD C[C@@]12CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C[C@@H]1C2(Cl)Cl 804707345 XXPRMPCEBXIXKQ-GXSJLCMTSA-N 420.165 4.664 5 20 HJBD CC(C)N(Cc1cccs1)S(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 809810166 MVXNFXBGJFEZFQ-UHFFFAOYSA-N 409.316 4.562 5 20 HJBD CC(C)(CCc1noc(CCCC(=O)c2ccc(Cl)c(Cl)c2)n1)[N+](=O)[O-] 812815565 QBNJIBDVCKLWFK-UHFFFAOYSA-N 400.262 4.570 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)c1ccco1 812854730 WCAIXJDMVBOLGL-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD CNC(=O)Oc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 813790265 MEZLMKKZVPDKIM-UHFFFAOYSA-N 401.806 4.839 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NOCC1CC1 817334711 OXMQOTUYJCIDCE-LFIBNONCSA-N 409.467 4.593 5 20 HJBD O=C(NCc1ccc(F)cc1)c1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864005427 WBEYJPYECBAXIO-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 915869714 DUSKKINOUNFLMY-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)ccc1F 918849803 LOBFMJAKZJXEFM-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1cccc(-n2nc(C)cc2NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 1117696507 GXUKHTQTGVGWCQ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(-c2nnc(-c3cc(-c4ccccc4)on3)o2)c1 1261569205 XSSPRIKAMXWIDJ-UHFFFAOYSA-N 400.297 4.568 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2cccs2)CC1 1319624506 NANKYFGKQGUMSL-UHFFFAOYSA-N 404.513 4.503 5 20 HJBD C[S@](=O)Cc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1321851145 SYYAWQFTYMEGAJ-NDEPHWFRSA-N 418.902 4.677 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1323691558 SLGNNGIOKQQYHM-CYBMUJFWSA-N 424.444 4.795 5 20 HJBD CCOc1cc(NC(=O)Cc2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OCC 10962105 NLALNEVYPGJHDR-UHFFFAOYSA-N 413.257 4.880 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(NC(=O)c3ccncc3)c2)cc1[N+](=O)[O-] 32451737 TXOUKWLFBBYNKY-UHFFFAOYSA-N 418.453 4.792 5 20 HJBD Cc1c(C(=O)N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cccc1[N+](=O)[O-] 59301424 LMOPZDCGWBQKNA-HXUWFJFHSA-N 418.428 4.563 5 20 HJBD C[C@@H](Nc1ccccc1OC[C@H]1CCCCO1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 63641356 TUNQAPZFRXKWJZ-CRAIPNDOSA-N 424.457 4.766 5 20 HJBD CN(Cc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CCCCC1 71794850 QCQWJDKTGFQYJI-UHFFFAOYSA-N 412.446 4.520 5 20 HJBD C[C@@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1 71817024 LFKLMTMBHLKJRN-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD Cc1ccc([C@H]2CCCN2c2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)s1 301288235 MLQLCONWXQHRFS-OAHLLOKOSA-N 416.890 4.506 5 20 HJBD Cc1cnc(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)c([N+](=O)[O-])c1 301449262 PFIFELYSXKIVGD-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD CCOc1cc(C(=O)NC(c2cccs2)c2cccs2)c([N+](=O)[O-])cc1OC 303749230 LCNXTCXKRXIRQP-UHFFFAOYSA-N 418.496 4.645 5 20 HJBD COc1cccc(C(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)c1[N+](=O)[O-] 435812550 XVZMGSKKSJIIKU-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD O=C(Nc1cccc(-c2ncc[nH]2)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436324726 LVIIPLZZJXXDQH-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD O=C(Nc1ccc(C(=O)NC2CCCC2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437148261 CCRVIMNZDBTJOL-UHFFFAOYSA-N 421.375 4.538 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2-c2ncc3n2CCCC3)c1 439294639 GDRBJJUTGOQBPE-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD O=C(c1cc(O)nc2ccc(F)cc12)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440599077 NZNPUXALFLKEBL-UHFFFAOYSA-N 417.396 4.835 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCC2)C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441047596 DHCZTJSSOFWJFE-MRXNPFEDSA-N 412.511 4.968 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443944118 GDCQEOXMAANBNC-ZDUSSCGKSA-N 403.504 4.770 5 20 HJBD Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444321390 GSGYVQPTRHPYGP-ZDUSSCGKSA-N 420.488 4.571 5 20 HJBD COCc1cccc2sc(NC(=O)c3cccc4c(=O)c5ccccc5[nH]c34)nc12 445172448 KKXXKQBIQPMGHO-UHFFFAOYSA-N 415.474 4.690 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCSC(C)(C)CC2)cc1[N+](=O)[O-])c1ccccn1 447640481 KGCFSWMZCFVTRP-HNNXBMFYSA-N 414.531 4.521 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C)C)cc1C(F)(F)F 462424528 BLZVQKWDRXXKEX-AWEZNQCLSA-N 409.408 4.887 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)CN(C)c2ccccc2[N+](=O)[O-])cc1 464029241 XEYVUBKTHXYJJD-QGZVFWFLSA-N 419.481 4.584 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H](CSc2ccccc2)C1 464353329 GHCATSZSNHNBEK-LSDHHAIUSA-N 420.918 4.656 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@@H](CO)c2cccc(Cl)c2F)s1 466383447 MSEKBVZNDLFIGO-INIZCTEOSA-N 406.866 4.939 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1cc(F)cc(Br)c1 466586995 RGTWIMWXQJVPMS-INIZCTEOSA-N 422.254 4.543 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1Br 466885622 VUXYFNXNCGQJCZ-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc(C(F)(F)F)cs1 470566151 OMMDWTUWEHIPEL-JQWIXIFHSA-N 401.410 4.516 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)cc(NCC(F)(F)F)c1 473245514 PIFFOKYVXOLIJT-UHFFFAOYSA-N 405.735 4.543 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 475636063 FJRRHWLMPGLBLE-FXAWDEMLSA-N 401.438 4.735 5 20 HJBD O=C(N[C@H]1CCCC[C@H]1Cc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 476466264 XEIVJIQZYIUIGM-VXKWHMMOSA-N 421.541 4.729 5 20 HJBD Cc1c(NC(=O)c2cn(C3CC3)c3cc(Cl)c(F)cc3c2=O)cccc1[N+](=O)[O-] 476784805 HMRARHCSGXLUTQ-UHFFFAOYSA-N 415.808 4.598 5 20 HJBD COc1ccc(-n2c(C)nnc2SCc2cc([N+](=O)[O-])ccc2OC(C)C)cc1 477062441 BDZDHOUOMIAQSJ-UHFFFAOYSA-N 414.487 4.572 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCCc2ccccc2C1 477173778 YTASXBADAUBQCI-UHFFFAOYSA-N 404.416 4.548 5 20 HJBD COc1ccc(CNC(=O)c2sc(C3CC3)nc2-c2ccccc2)cc1[N+](=O)[O-] 480582277 PPORKJRDAUEIMQ-UHFFFAOYSA-N 409.467 4.534 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(Cc3cccc(F)c3)CC2)cc1SC 484684304 UDJYFVISRPCKHH-UHFFFAOYSA-N 418.490 4.559 5 20 HJBD CCSCc1cccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 485889449 OJQARTKJPARHDJ-UHFFFAOYSA-N 401.307 4.924 5 20 HJBD C[C@](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])(C1CC1)C(F)(F)F 485913571 KDBKQMABOPNAIT-IBGZPJMESA-N 408.376 4.635 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(C(=O)C(C)(C)C)cc2)cc1[N+](=O)[O-] 487965423 SQECTLKCXZZDCK-UHFFFAOYSA-N 416.499 4.704 5 20 HJBD COC(=O)c1oc([C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1C 488772080 WVRTURURHNPHAN-CYBMUJFWSA-N 408.479 4.628 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1COc2ccccc21 489077851 VRDCPPKSQLEXEC-KBXCAEBGSA-N 400.500 4.637 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N(CC)CC)c1 502496098 HHZBIGKCQPNUSE-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD CC(C)(C)[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 506994239 GCLDKNFGSVVJCY-SFHVURJKSA-N 420.307 4.673 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1 508283981 DDUMGWHZANWCMK-ZIAGYGMSSA-N 415.877 4.904 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n1 509228845 XSMDONNWDDUVJQ-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 511572440 NWNIKDCGZPONAO-MRXNPFEDSA-N 422.268 4.512 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nc([C@H](F)c2ccc(C(F)(F)F)cc2)no1 512032023 PWLGXNPUJNHBSQ-MRXNPFEDSA-N 410.327 4.710 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1F 512480452 RDLZJAAOEXLUBF-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD COc1cccc(C2=CCN(Cc3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])CC2)c1 512710356 RASHAQUJDPRVJD-UHFFFAOYSA-N 420.412 4.503 5 20 HJBD CCOc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1Cl 513616639 FHEZNQRHLCRKLK-UHFFFAOYSA-N 402.756 4.596 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1-c1ccccc1F 514943092 OSMGRWXZLAGHRA-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc2cc(Cl)ccc2s1 516397100 AMHFQGHXPVSTIP-JTQLQIEISA-N 407.904 4.655 5 20 HJBD CC(C)[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc2c(c1)OCCO2 516716287 JLRRXZDJEDRHCB-GOSISDBHSA-N 405.838 4.538 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(CSc2ccccn2)c1 520573599 SMKAUZFPZQRCCJ-UHFFFAOYSA-N 408.483 4.946 5 20 HJBD CC(C)Oc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 521526540 VKKUDGNOGOQLNN-UHFFFAOYSA-N 412.364 4.808 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 522170901 PEEYFEDUVUCRPE-NRFANRHFSA-N 424.888 4.937 5 20 HJBD COc1ccc(CCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 522708607 ABSGLZKPEWENIH-UHFFFAOYSA-N 407.426 4.760 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522740433 XYGSSGWAODYJDA-HNNXBMFYSA-N 401.894 4.804 5 20 HJBD COc1cc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 523496200 IUDUQVNPFLLJIE-OAHLLOKOSA-N 400.475 4.546 5 20 HJBD C[C@@H](Oc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1)c1ccccc1 533464737 YHMGWDDNTYUFHZ-QGZVFWFLSA-N 417.465 4.935 5 20 HJBD CC(=O)N(c1nc(COc2ccc([N+](=O)[O-])cc2C)cs1)c1ccccc1F 533688811 MMTSBVSOOINJCB-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccccc1CN(C)Cc1ccco1 538788166 NIWXALDWKQHUHZ-UHFFFAOYSA-N 421.497 4.675 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1c[nH]c2cc(F)ccc12 539374360 REYOIDIUIRGEPI-UHFFFAOYSA-N 401.463 4.688 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1ccc([N+](=O)[O-])cc1Cl 542160343 QFSFNIACIUTURN-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H](C)c1ccccc1C(F)(F)F 542567393 YEMZBVAATQMHGZ-STQMWFEESA-N 420.391 4.945 5 20 HJBD Cc1cccc(C(=O)N2CC=C(c3cccc(Br)c3)CC2)c1[N+](=O)[O-] 545107687 IQOMZLCIYXGWES-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccc5ncccc5c4)no3)cs2)c1 545638245 UBUWSRDGNWRPOH-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CCc1ccc([C@@H]2CCCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 557147931 IJGOGLMTMWLWSU-JOCHJYFZSA-N 421.541 4.780 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3OC(F)F)cc2[N+](=O)[O-])C1 559304529 GVQOEJHJEFMHTJ-ZIAGYGMSSA-N 419.428 4.931 5 20 HJBD CCOC(=O)c1cnc2ccccc2c1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 567314811 VXJNKZMLNIJFCW-UHFFFAOYSA-N 419.393 4.554 5 20 HJBD C[C@@H](CF)NC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 589084454 CSPCNYZUVYVQJX-JTQLQIEISA-N 402.369 4.853 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 589116143 XTFXCBGEOVSLJN-BETUJISGSA-N 420.453 4.526 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccccc3Br)o2)c([N+](=O)[O-])c1 608837010 JDKKTQICVWIVAP-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cccc([N+](=O)[O-])c2C)cc1 609130371 ZFPWJFWHIUQYCI-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(NCCc2ccccc2)cc1 609477091 LFSAVKJWTLSTLW-UHFFFAOYSA-N 414.465 4.843 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2ncc3n2CCCC3)cc1 609784242 XVNCTTUNBHIORG-UHFFFAOYSA-N 410.861 4.629 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1Br 610037842 AKMGEMSECUHHPN-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 610199243 FZHQGDRUZHLNLI-QAPCUYQASA-N 402.878 4.996 5 20 HJBD O=C(NCCCCNc1ccccn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611203473 FDTZGZPLHRKTOY-UHFFFAOYSA-N 414.531 4.647 5 20 HJBD C[C@@H](OC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 729650398 DBTLSCQTVYGRBB-MRXNPFEDSA-N 404.422 4.789 5 20 HJBD CN(C)c1ccc(N2CCC(Nc3ccc(Br)cc3[N+](=O)[O-])CC2)cc1 742417342 OAHVLFJIWSCTKD-UHFFFAOYSA-N 419.323 4.504 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Nc1cc([N+](=O)[O-])ccc1F 744357747 RLSOEYHLJAEGQK-UHFFFAOYSA-N 424.251 4.677 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 747514866 HDQGWRCKVFXRPA-VQISRLSMSA-N 423.252 4.576 5 20 HJBD C[C@H](C(=O)Oc1cccc(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755705382 ATAIKDYXLXZWRW-LBPRGKRZSA-N 404.394 4.790 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 763424126 QHJBHCYTJOEIKW-HXUWFJFHSA-N 416.355 4.540 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2ccc(C)cc2)o1 765527000 IKOMJAJQBCWMDA-DOTOQJQBSA-N 409.442 4.826 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1N[C@H]1CCC(F)(F)C1 771174865 NOQSYMOIHOVJGH-ZJUUUORDSA-N 406.336 4.702 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 777210921 DYIKWOXDHAXUNL-NJDAHSKKSA-N 423.469 4.620 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 778790916 QQFWFXVZINGBRY-GFCCVEGCSA-N 413.411 4.853 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1cc([N+](=O)[O-])ccc1Cl 786024078 ISWJEAGXPPUMKS-ZDUSSCGKSA-N 413.680 4.588 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Cl)cc1Br)c1ccc([N+](=O)[O-])cn1 794948170 DSRBGWJLPLYKJB-NTUHNPAUSA-N 411.639 4.509 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@H](c3ccccc3Br)C2)c([N+](=O)[O-])c1 795086501 RVHXGKKEVHIUFY-IBGZPJMESA-N 419.275 4.528 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(C(F)(F)F)cn1 798668886 OOZPBDBEAAOIFQ-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1F 802908916 ATLPCKSGKMVGHF-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD O=C(OCc1cncc(-c2ccsc2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 816254585 NMQSLMBRDYPXST-UHFFFAOYSA-N 423.494 4.678 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCCCC[C@@H]1Cc1ccccc1Cl 818350308 WUCCJDFMWDQXPE-CQSZACIVSA-N 405.857 4.597 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(CN3CCCCC3)n2-c2ccccc2)o1 825157956 FHKTYEVECXUKGS-SDNWHVSQSA-N 411.487 4.517 5 20 HJBD COc1cc(NCc2c3ccccc3cc3ccccc23)ccc1S(=O)(=O)N(C)C 864009772 VFGZTGXUYCKRAR-UHFFFAOYSA-N 420.534 4.864 5 20 HJBD O=C1N[C@H](c2c3ccccc3cc3ccccc23)Nc2ccc(N3CCOCC3)cc21 917501231 LATSIQOYDHCNBG-RUZDIDTESA-N 409.489 4.684 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1 1321460329 IKAGIHLUEXDLKT-UHFFFAOYSA-N 419.409 4.732 5 20 HJBD COc1ccc(-c2nnc(CCCOc3ccc(Cl)cc3C)o2)cc1[N+](=O)[O-] 1323913055 OPKDSHYBHFENPC-UHFFFAOYSA-N 403.822 4.627 5 20 HJBD Cc1cc(C)cc(OCc2nnc(Cn3c4ccccc4c(=O)c4ccccc43)o2)c1 1326485864 GTSAWNPIHMMZCR-UHFFFAOYSA-N 411.461 4.782 5 20 HJBD CCOc1ccc(NC(=O)CSc2nc3cc(Cl)ccc3o2)c([N+](=O)[O-])c1 6892411 MZZPVIWDMDGDEH-UHFFFAOYSA-N 407.835 4.519 5 20 HJBD Cc1cc(C(=O)Nc2ccc(NC(=O)C3CC3)cc2C(F)(F)F)ccc1[N+](=O)[O-] 12226376 TXIZZCCBDBLIEE-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc2ccccc12 15741506 YXLPWKURYSMBTM-QGZVFWFLSA-N 403.482 4.842 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 16700259 CYSRNSDGINFPNZ-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD COc1cc(C(=O)OCc2cc(C)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 24415535 MSTGKNNUEGUTLX-UHFFFAOYSA-N 418.789 4.703 5 20 HJBD O=C(Nc1ccccc1Br)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 42191692 LJQUVZWWUMYZCY-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3Cc4ccccc4[C@H](c4ccccc4)C3)n2)c1 43801599 GMXFFTFURRXWDD-QFIPXVFZSA-N 412.449 4.793 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 55620807 UREORELKOKKZIB-UHFFFAOYSA-N 412.364 4.885 5 20 HJBD COc1ccc([C@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cc1 72028629 YPJMMRHEQJIECA-SZNBMPDZSA-N 406.413 4.662 5 20 HJBD COc1cc(CN[C@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OCC(F)(F)F 237416048 MORYSGGISUONNS-INIZCTEOSA-N 410.392 4.712 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCc2cccc(F)c2)cc1 237754070 DBECROOBJRUKBL-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(C(F)(F)F)(C(F)(F)F)C2)c(Br)c1 301410070 XMVRKGDARWKHAS-UHFFFAOYSA-N 407.108 4.678 5 20 HJBD Cc1cc(N2CCC[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)c2cc([N+](=O)[O-])ccc2n1 302105160 XBYMWCVJTUZXFP-HZPDHXFCSA-N 414.506 4.581 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2c2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 302213830 ZITSAUMOAPCQQA-HNNXBMFYSA-N 408.545 4.699 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 303495895 QQGHWDRDTRGFIS-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303946237 ZNUJMOPSTYJWTD-XJKSGUPXSA-N 411.458 4.876 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1cc(Br)cc([N+](=O)[O-])c1 431765555 MWVLVRBZBOBJCU-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD Cc1c(NC(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)cccc1[N+](=O)[O-] 432733456 FLZSDIPUQNCZSX-KZULUSFZSA-N 423.391 4.916 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CCn1ccnc1)Cc1cccc(Cl)c1 436019158 MDEUBIXUPPTMCB-UHFFFAOYSA-N 424.844 4.534 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 437136255 YUFJAZBDXSTTPE-UHFFFAOYSA-N 421.501 4.526 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1nc(C2CCC2)cs1 442082755 UWGXKRDHEURZPT-UHFFFAOYSA-N 400.382 4.659 5 20 HJBD O=C(Nc1cc(F)cc(Cl)c1O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444328584 IWLDMZPMUKVHCH-UHFFFAOYSA-N 418.758 4.710 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3n[nH]c(-c4ccccc4)c3Br)n2)s1 445471059 ZBXVVBDZVFBFKH-UHFFFAOYSA-N 418.232 4.526 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)CCc2cccc([N+](=O)[O-])c2)cc1 446012664 SUXHCEDCAKUEGL-UHFFFAOYSA-N 404.466 4.765 5 20 HJBD CN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H]1CCSc2ccc(Br)cc21 460168574 WMWBXMISBCZBEU-MRXNPFEDSA-N 421.316 4.595 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)c1 464696281 MKKNZPMHYBGURV-JXFKEZNVSA-N 400.500 4.523 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] 469410065 MSRFAJNAFZDXHM-INIZCTEOSA-N 424.526 4.972 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 470123994 GIDNZQBILHGYJY-QGZVFWFLSA-N 411.393 4.543 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nnc(Cc4c(F)cccc4Cl)o3)[nH]c2c1 473791235 XJXLPVPSJYDELG-UHFFFAOYSA-N 419.825 4.530 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 474773002 ZVJRJUPHTQYDKZ-UHFFFAOYSA-N 422.485 4.871 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 477838195 OUYAYRWNTKEPFX-NRFANRHFSA-N 400.500 4.777 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 484877537 FVPQMAFTMYVSLF-UHFFFAOYSA-N 415.352 4.981 5 20 HJBD COCCc1ccccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485699100 VEDSBYKLWOIACU-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486079533 XMHMKHOKJPSBFW-RTBURBONSA-N 415.287 4.652 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(CC(F)F)c1ccc(F)cc1 490349569 OWUUBGNRJRAQAD-UHFFFAOYSA-N 422.281 4.587 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CC(F)(F)C3)o2)c(Br)c1 491592715 YCRHHSJEEZWNMZ-UHFFFAOYSA-N 401.207 4.752 5 20 HJBD CC(C)(NCc1cn(-c2ccc(Cl)cc2Cl)nn1)c1ccccc1[N+](=O)[O-] 492315838 YSOXWWVEYYDTNH-UHFFFAOYSA-N 406.273 4.507 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccc(Br)cc2)cc1[N+](=O)[O-] 493311959 VSONGQIBTFFHDP-ZDUSSCGKSA-N 406.280 4.568 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1 496521257 WWWTXDMFAOBGGC-QHCPKHFHSA-N 419.481 4.813 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(OC(F)F)c1 498304911 RUZAUQHTDOYRNF-LLVKDONJSA-N 419.409 4.811 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2ccc(F)cc2)cc1OC 499239090 ZSRSHHVFAQTFBN-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@](C)(c3ccccc3)C2)cc1SC 499906199 PFPWBPRHIPHDPK-OAQYLSRUSA-N 400.500 4.519 5 20 HJBD CCOc1cc(F)ccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 502058499 ZYYDLLLLGNQNDV-UHFFFAOYSA-N 415.343 4.592 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1noc(-c2ccccc2)n1 503600940 ATINSSMMNVAWEU-CYBMUJFWSA-N 412.471 4.638 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1/C=C\c1ccccc1 505360133 QDYJUEFYWFSYML-BIGNBACZSA-N 405.498 4.516 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H](c4nnc5ccccn45)C3)s2)cc1 506128890 MXDYLZOYFWWVEF-QGZVFWFLSA-N 419.510 4.746 5 20 HJBD O=C(Nc1ccccc1)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 506706523 HPFKLVMVBRSRCK-UHFFFAOYSA-N 405.454 4.706 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(Cc2cccc(F)c2)o1 511093227 QHIGYUKOIKNTCG-UHFFFAOYSA-N 403.435 4.787 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2O[C@@H](C)C(F)(F)F)c1 516681191 GTEJOOSDGLUWRA-JTQLQIEISA-N 400.378 4.899 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 516716371 NTAOTWMCJWYUCP-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccc(OC(F)F)cc2)s1 520715135 IWAJIRLRNVKBHM-UHFFFAOYSA-N 404.394 4.855 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@@H]2C)c1 521080089 JOVMNPKOSCVLLO-SGTLLEGYSA-N 406.891 4.572 5 20 HJBD CC(C)[C@H](C)N(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CC1 522893680 ICNCTCVKPINVIJ-NSHDSACASA-N 411.289 4.736 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 524256579 LIXCXGUIQOQHKI-PMERELPUSA-N 422.506 4.819 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Oc1cccc(F)c1 530326722 ADHLQWKYDVDSFH-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD COc1ccccc1C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)C 531368896 GWXCDHRTOZYYMS-BEFAXECRSA-N 410.474 4.571 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(C(F)(F)F)cc2)c(Br)c1 534633378 VKRXRXIJKCWFMK-CQSZACIVSA-N 405.170 4.522 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(C)n2[C@@H]2CCC[C@H](C)C2)cccc1[N+](=O)[O-] 535335450 IGUXXPDUSPHGMB-DUVNUKRYSA-N 417.535 4.674 5 20 HJBD Cn1cc(CNc2ccc(-c3cn4ccccc4n3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 537720430 PCRQLWDRYXIVGR-UHFFFAOYSA-N 424.464 4.922 5 20 HJBD C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 538758880 QUERKAIWPYFEIS-HJFSHJIFSA-N 415.540 4.649 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)CC(=O)N(C)Cc2ccccc2Cl)cc1[N+](=O)[O-] 539119988 HVQHDUFLTLZJRI-HOTGVXAUSA-N 403.910 4.644 5 20 HJBD Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])nn1-c1ccc(Cl)c(Cl)c1 539665802 HAJXJYCKYRCOIS-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(Cl)cc1 542223103 YWMMYNJGHJITSP-UHFFFAOYSA-N 413.886 4.969 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(c1cccc(F)c1)C1CCOCC1 544053058 NXTRRTPMFFXQFH-UHFFFAOYSA-N 424.881 4.692 5 20 HJBD Cn1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)c2ccc(Cl)cc2)n1 545870192 BOKAPKYDPSTIOJ-KRWDZBQOSA-N 408.792 4.785 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cncc(C(F)(F)F)c3)n2)cc1[N+](=O)[O-] 566935655 BEXKAABTJOJXTA-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NCc1nnc(-c2ccccc2Br)o1 578475388 LZZITXVDBPULQM-UHFFFAOYSA-N 403.236 4.582 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNC1(Cc2ccc(F)cc2Cl)CCOCC1 578888668 KGKQGSZWIXZNRX-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD COc1cc(-c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc(Cl)c1OC 581690962 GOEHZAIPYVCTLI-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1ccc([N+](=O)[O-])cc1Br 588080424 OUGJDUHFCVNEIZ-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603659715 QPLSQELQUQBPOX-UHFFFAOYSA-N 422.388 4.687 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3-n3ccnc3)cc2[N+](=O)[O-])C1 603883319 WVZLLOKLYWTDNK-IAGOWNOFSA-N 419.485 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@@H](c4cc5ccccc5[nH]4)C3)n2)cc1 603890137 RLKICGFLLXGTCG-QGZVFWFLSA-N 403.442 4.506 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H](c4ncc[nH]4)C3)cc2[N+](=O)[O-])cc1 609025078 GFKUBDXWXJITDR-KRWDZBQOSA-N 422.510 4.797 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](c1cccnc1)c1ccc(F)cc1F 609522385 GIVXQHSAXTUDFX-LJQANCHMSA-N 417.437 4.971 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])cc1Br 610039030 SKVARLSNLQMXGY-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccccc1C(F)(F)F 610041977 QOLRJGOFKDITRE-VIFPVBQESA-N 417.181 4.867 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610388125 OFALIRGDYUNHFZ-UHFFFAOYSA-N 411.355 4.995 5 20 HJBD Cc1nc(NC(=O)c2nc(-c3ccccc3)oc2-c2ccccc2)ccc1[N+](=O)[O-] 610774757 NRWZGCKSDYCDHV-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3ccc(Cn4cnc5ccccc54)cc3)c2c1 611709152 NVMJWWOYZJGKIW-UHFFFAOYSA-N 410.437 4.548 5 20 HJBD COc1cc(CNc2ccc(C(F)(F)C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 613659784 YYBXNPJZGOWZDU-UHFFFAOYSA-N 406.307 4.878 5 20 HJBD CCOc1cc(NC(=O)c2cccc(OCC(C)C)c2)c([N+](=O)[O-])cc1OCC 619213072 KCHGIPJSXFIJET-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD Cc1nc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])nn1-c1c(Cl)cccc1Cl 728412984 HOQGKPMUJZTTSO-JTQLQIEISA-N 421.240 4.709 5 20 HJBD O=[N+]([O-])c1ccc(OCc2noc(Cc3ccc(Br)cc3)n2)cc1Cl 731939658 SCMVVIXUKINRCA-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD C[C@@H](Nc1ccc(OCC2CC2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 733321911 ZRVLFZLZBYEZPW-GFCCVEGCSA-N 423.391 4.842 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)c3cc(F)ccc3[N+](=O)[O-])C2)nc2ccccc21 733959437 TXNDZVUJJSUYKM-HNNXBMFYSA-N 410.449 4.684 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cc2c1C 735163121 RWYYGXNTPXJHOS-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD COc1cc(N2CCC(OC(=O)Cc3cccc4ccccc34)CC2)ccc1[N+](=O)[O-] 739380286 LRHOEVKJAQDLEF-UHFFFAOYSA-N 420.465 4.511 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 745565383 MGVKPSCKNCNWDQ-ZDUSSCGKSA-N 424.375 4.723 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 746255447 ZBZAVLBEBYFMTB-NQIIRXRSSA-N 414.477 4.760 5 20 HJBD Cc1c(C(=O)N2c3ccccc3S[C@H](C)[C@H]2C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 750877735 KUHHXLXGIQBAMM-CHWSQXEVSA-N 401.444 4.649 5 20 HJBD Cc1cc(Cl)ncc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750913228 CKOGUZLYDBXJMA-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2cc(Br)ccc2n1 751061378 AYIUGPBEWGUXNO-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CC2(CCCC2)c2c(F)cccc21 751126493 LKEHVRIJHXXJFC-UHFFFAOYSA-N 419.250 4.969 5 20 HJBD O=C(c1csc([C@@H]2CCCO2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 756617043 KCLAKJLQHZSOPN-INIZCTEOSA-N 407.879 4.613 5 20 HJBD CN(Cn1c(=S)oc2ccc([N+](=O)[O-])cc21)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 758263550 RLLZGSMQYDADTA-BEFAXECRSA-N 417.462 4.821 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)o2)cc1C 758912092 SYDJNFNFHMWAIJ-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCc2c1cccc2C(F)(F)F 759147107 MQULWLSSJXKOLQ-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD COC1CCC(CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CC1 759876308 CYJBZRYWCUDRMR-UHFFFAOYSA-N 424.457 4.574 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cncc(-c2ccc(N(C)C)cc2)c1 760612784 NEIQXYHBGHHKMQ-UHFFFAOYSA-N 410.861 4.937 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(F)c(Cl)c1F 760640424 XLAUIHNBYPXMQI-SFHVURJKSA-N 404.756 4.868 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1c[nH]c2cccc([N+](=O)[O-])c12 762900381 STQBMZOBLIXVCS-UHFFFAOYSA-N 405.288 4.593 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)NCCSc2ccc(Cl)cc2)C2CC2)cc1 763309007 WZRUBWBOWBMBEO-UHFFFAOYSA-N 421.975 4.879 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C 765524075 IBCCIWUBPCNQGZ-HKUYNNGSSA-N 412.486 4.659 5 20 HJBD O=[N+]([O-])c1cc(COc2ccc(-c3noc([C@@H]4CCCO4)n3)cc2)ccc1Cl 768376573 JRRCYAYATKEHSK-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C3)cs1 772855695 HYUKSUWEPOATFO-UHFFFAOYSA-N 419.915 4.940 5 20 HJBD Cc1coc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3I)cc12 775447362 PRHWYQLFJIVZAV-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD Cc1ccccc1OCCCC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132050 HRKGZVTXWTUVFC-HSZRJFAPSA-N 406.438 4.790 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@]12CCc1ccccc12 776134289 PCQSHIIBDUOFEE-FJIJXJHWSA-N 400.434 4.527 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 778544000 AUTKQRDRTFUCCF-UHFFFAOYSA-N 412.439 4.927 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)c1F 790531133 WNHPULIQPAXOQC-LJAQVGFWSA-N 412.442 4.602 5 20 HJBD C[C@@H]1CCC[C@H]1CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 799061686 NQZJJTSJXRXZSR-KGLIPLIRSA-N 415.877 4.667 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2csc(C)n2)cc1 915143541 GIYNMKDHXPFIGJ-UHFFFAOYSA-N 400.412 4.518 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 915165881 QQQCDJWEPNONTM-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nc(-c3ccc(F)c(C)c3)no2)c1 918922134 XCKXNIVVAPLMNF-LLVKDONJSA-N 417.418 4.732 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@@H](C)N4Cc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 1116330985 MMQGPRCCVSELBW-NWDGAFQWSA-N 410.499 4.507 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)cs1 1117207513 BWKNGTCWVJRRMH-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD COc1ccc(CSCc2nnc([C@H](C)Oc3ccccc3F)o2)cc1[N+](=O)[O-] 1322038132 XLAWMKGBKOXEBJ-LBPRGKRZSA-N 419.434 4.699 5 20 HJBD C[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Oc2cccnc2)cc1 1323220677 WOAUPPGCFPGUPR-HNNXBMFYSA-N 404.426 4.569 5 20 HJBD C/C=C(\C)C(=O)Nc1ccc(C2(NC(=O)CCc3cccc([N+](=O)[O-])c3)CCC2)cc1 1791216694 IHSLXEWAKQXNIH-IJUHEHPCSA-N 421.497 4.628 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)nc(C)n2-c1ccccc1 15628093 ZPYGTWAYBRODND-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)cc1 23119351 RBNNSBRYYMNWDM-VXGBXAGGSA-N 409.305 4.715 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(C)s3)cs2)cc1OC 28249531 CCZIRKKAUWDDNM-UHFFFAOYSA-N 419.484 4.748 5 20 HJBD Cc1c(C(=O)N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cccc1[N+](=O)[O-] 59301423 LMOPZDCGWBQKNA-FQEVSTJZSA-N 418.428 4.563 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(OCc2ccccc2)CC1 64898244 WEJJOPMFGLUVNO-UHFFFAOYSA-N 423.494 4.540 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1cccs1)Cc1cccs1 65719579 VAPPHKYEBPGWTP-UHFFFAOYSA-N 411.508 4.902 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)C1 107957563 UVKQOUUQHUGZCO-DLBZAZTESA-N 413.543 4.946 5 20 HJBD CSc1ccc(-c2csc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)n2)cc1 109394720 URRAMKXEVWTFMK-UHFFFAOYSA-N 419.459 4.597 5 20 HJBD COc1ccc(CNCc2nc(C)c(C)s2)cc1OCc1ccc([N+](=O)[O-])cc1 237253367 KUZLCVLRNFLZDL-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(c2ccc([N+](=O)[O-])cc2Br)CC1 302844043 FPEDZPYMHHQECH-UHFFFAOYSA-N 407.239 4.596 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1Cc2ccccc2-c2ccccc2C1 303153994 OERJPVCBPDOZAF-UHFFFAOYSA-N 414.870 4.620 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@@H](C)Cc1ccsc1 426324715 RRQOUTDRJMBSQY-LBPRGKRZSA-N 416.421 4.691 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CC[C@H](CSc2ccccc2)C1 430623422 GUPMVVLZVSCYDR-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD Cc1cnc(C2CCN(C(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])CC2)s1 432485586 MHTRQFJOUKFEDB-UHFFFAOYSA-N 411.936 4.511 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 433134499 KYJYESAPEDFSSX-NSHDSACASA-N 416.231 4.503 5 20 HJBD C[C@@H](CC(=O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 433937310 SFSWKSAXQNDYNQ-AWEZNQCLSA-N 410.451 4.730 5 20 HJBD CC(=O)[C@H](Cc1ccc(F)cc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436129492 MGRWAQBXEKHJNE-NRFANRHFSA-N 424.472 4.657 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCn2cccc2[C@@H]1c1ccccc1 436136186 GPOJEDCXDXTKCF-VWLOTQADSA-N 419.484 4.728 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)s1 444110369 JJLCKUWRVGZUTM-NSHDSACASA-N 424.320 4.854 5 20 HJBD CCC(CC)[C@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCO1 444293237 UILRNJOMIWXAQZ-MGPUTAFESA-N 410.489 4.534 5 20 HJBD Cc1ccc([C@]2(C)CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 447698546 LRKCQEHDOPUTMY-HHHXNRCGSA-N 410.517 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 462772116 HCLABSDYHWAOOT-RBUKOAKNSA-N 420.513 4.754 5 20 HJBD C[S@](=O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1 462790925 WJCISEAATRPIHT-VKGTZQKMSA-N 418.902 4.718 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 464659947 HLKAJCJXEUACHP-UHFFFAOYSA-N 411.383 4.516 5 20 HJBD CCSCC[C@H](C)NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 464715556 TYKGEDSURGTMCI-NSHDSACASA-N 411.574 4.772 5 20 HJBD CO[C@@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(C)(C)C 465021408 SSJQYAFYEXQARL-NRFANRHFSA-N 408.502 4.598 5 20 HJBD CN(C(=O)c1cc(COc2c(Cl)cccc2Cl)on1)c1ccc([N+](=O)[O-])cc1 468553861 MJJNTHUYVCKNDR-UHFFFAOYSA-N 422.224 4.745 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNC1CCN(c2nc3ccccc3s2)CC1 468604106 XEQHRTJZAGCNIB-DTQAZKPQSA-N 408.527 4.866 5 20 HJBD C[C@H](NC(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1)c1cccc([N+](=O)[O-])c1 469398218 SXQUORJZAMKZBL-AWEZNQCLSA-N 401.413 4.823 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)c12 470697753 AKATVEMWNBYOLN-UHFFFAOYSA-N 411.437 4.672 5 20 HJBD CC[C@@H](Cc1ccccc1Br)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 470959623 LXUKYBAZVZJHPA-LBPRGKRZSA-N 411.683 4.762 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@@H](c1cccc(Cl)c1)C1CCCCC1 472409076 LYSZWTORCAVKJA-HXUWFJFHSA-N 404.898 4.581 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 473638497 CFKOKSWZXUJRKS-VQIMIIECSA-N 400.453 4.887 5 20 HJBD COc1c(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 475315155 JAWHJBMEEUCKLN-CQSZACIVSA-N 409.467 4.585 5 20 HJBD Cc1cn(-c2cccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)c(O)n1 475514472 QCHRKVSRWJVYAR-UHFFFAOYSA-N 407.455 4.530 5 20 HJBD COC(=O)C[C@@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1cccc2ccccc12 477135264 IOFSZBCETQGVCT-LJQANCHMSA-N 421.453 4.791 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2(c3ccccc3)CCCC2)cc1SC 484367615 KFADBPWESJTNAD-HNNXBMFYSA-N 414.527 4.956 5 20 HJBD O=C(N[C@@H]1CCOc2ccc(F)cc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485689794 FWGMJOMCLJPDPT-HXUWFJFHSA-N 422.412 4.567 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1C 485857480 UYGYXRNGYAQESH-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486079532 XMHMKHOKJPSBFW-RBUKOAKNSA-N 415.287 4.652 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(NC(=O)c3cccs3)cc2)cc1[N+](=O)[O-] 488008196 LAXRLSOTGLJVTP-CQSZACIVSA-N 409.467 4.708 5 20 HJBD CCn1cc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)CC2)cn1 489517702 JFMRHGWFCFYZLV-UHFFFAOYSA-N 416.547 4.579 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC[C@@H](C(F)(F)F)c2ccccc21 492291869 MXAJEBQNJKALSO-MRXNPFEDSA-N 419.403 4.891 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OCC(F)(F)F)cc1 498170318 XDLIHXKATGUYRP-GFCCVEGCSA-N 419.359 4.575 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C1CC1)[C@@H](C)c1cccc(C(F)(F)F)c1 502858932 FVUVVXYMZYYJSJ-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD CCC[C@@H]1C[C@@H](C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 503135118 BBPNNMNXRMJLKE-MAUKXSAKSA-N 424.457 4.574 5 20 HJBD CCCN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)[C@H]1CCCN(Cc2ccccc2)C1 505001262 QQIIEMIKMZQPFI-FQEVSTJZSA-N 420.513 4.593 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc4c(c3)COC4)cc2[N+](=O)[O-])n1 509937629 FOXFQIHSPKWLHI-UHFFFAOYSA-N 413.480 4.793 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1F 510228811 NFYQAKKDAPJYOF-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510823142 ZMLXBGFHRLDNQA-UHFFFAOYSA-N 403.744 4.653 5 20 HJBD O=C(NC1CCCCC1)C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 512346344 MLWRKOSPESBDOG-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(NC(=O)Nc2ccccc2)s1 512950140 XRSJTRAQFVFNRS-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD CCc1nc2cc(NC(=O)c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)ccc2o1 515937739 LRPPGULZUCGACG-UHFFFAOYSA-N 408.458 4.787 5 20 HJBD Cc1ccc(CN(c2ccc(F)cc2)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])o1 520859606 ZNUPZRHLSPEJFX-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD O=C(Nc1cccc2[nH]ccc12)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 524059639 YORRFCNRIMZXEU-UHFFFAOYSA-N 416.224 4.821 5 20 HJBD O=C(Nc1ccc(-c2ncon2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 524235142 NGYAHQBDKAINFU-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@@H](C)c2ccccc2C(F)(F)F)cc([N+](=O)[O-])c1 525585131 UNXLBWLOGLWMSA-LLVKDONJSA-N 410.348 4.533 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534247570 ZXLSVLSAOONEOB-PMERELPUSA-N 419.462 4.636 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1cccc(-c2ccoc2)c1)c1ccccc1[N+](=O)[O-] 534788976 DEGBPDVGPSVJLS-HXUWFJFHSA-N 422.437 4.668 5 20 HJBD Cc1cc(NC(=O)c2sc(COc3ccc(F)cc3)nc2C)ccc1[N+](=O)[O-] 539652968 UDTPGZXSDAOUMW-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@H]2c2cccc(Br)c2)n1 546947473 IPNLWWUAMXSRRQ-LSDHHAIUSA-N 400.232 4.602 5 20 HJBD COc1ccc(CNC2CC(C(F)(F)F)C2)cc1OCc1ccc([N+](=O)[O-])cc1 558118457 RDDWGXIMZBPKOJ-UHFFFAOYSA-N 410.392 4.613 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN(Cc2ccc(F)cc2)C2CCOCC2)c1 559186572 RWXFFIVMGLOFEP-UHFFFAOYSA-N 412.383 4.934 5 20 HJBD Cc1n[nH]cc1C1CCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 567067714 KQKIRRBDPWRBOV-UHFFFAOYSA-N 410.449 4.937 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(-c2ccccn2)cc1 574850121 HXZIHKLQAKYUGZ-UHFFFAOYSA-N 412.243 4.601 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(c3cc(C(F)F)nc4ccc(Cl)cc34)CC2)n1 588833643 DFSQBRAKUFFYNQ-UHFFFAOYSA-N 407.808 4.772 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H]3Cn3cnc4ccccc43)cs2)c1 589207403 UMFZLYBCVLLQTD-IBGZPJMESA-N 419.510 4.733 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1nccs1 603619268 CKGGOUYDLGCCAH-UHFFFAOYSA-N 402.863 4.593 5 20 HJBD CCCCN(C(=O)c1cc(SC)ccc1[N+](=O)[O-])C1CC(C)(C)NC(C)(C)C1 604440891 QDVXLPBJXPUFGT-UHFFFAOYSA-N 407.580 4.868 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@H](c3ccc(F)c(F)c3)C2)c([N+](=O)[O-])c1 608973444 DCAUPZJYRHQLJP-QFIPXVFZSA-N 424.403 4.682 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609723892 KDFZZWOVMSJXDR-KRWDZBQOSA-N 418.877 4.780 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609855172 NONCMWNCIULQKE-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCc2ccccc2F)CC1 611255078 MPOSQXXGGCNZMX-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD CCc1ccc(-c2nc(-c3ccccc3NC(=O)c3ccccn3)no2)cc1[N+](=O)[O-] 612927979 UBUZNDDLHVYCPG-UHFFFAOYSA-N 415.409 4.522 5 20 HJBD CCOc1cc(NC(=O)c2cc3cc(C)ccc3nc2C)c([N+](=O)[O-])cc1OCC 619565773 OEIWRINXUCTETR-UHFFFAOYSA-N 409.442 4.810 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(OCC(F)(F)F)c1 727054217 YQTNAGXDXZFVRZ-LLVKDONJSA-N 401.362 4.622 5 20 HJBD C[C@@H](OC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F)c1cccc([N+](=O)[O-])c1 730744830 QWBSHEPLYXSOTB-SNVBAGLBSA-N 422.775 4.685 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc2ccccc2oc1=O 735252068 ZGZGPMPEHUCSFC-UHFFFAOYSA-N 402.362 4.746 5 20 HJBD Cc1cc2oc(=O)cc(COc3ccc([N+](=O)[O-])cc3Br)c2cc1C 737399736 RYRUOJSMMPKOPD-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567516 AWZKPJKIXQQASB-UHFFFAOYSA-N 424.375 4.841 5 20 HJBD Cc1c(C(=O)N2CCC[C@H]2c2ccc(Cl)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618438 FQJRUAQNJGVMDM-INIZCTEOSA-N 403.822 4.751 5 20 HJBD Cc1ccccc1[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C1CC1 755006745 GGHVFHANFNKVOP-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1OC(C)C 756920227 BDKMJRUAULRIMI-CYBMUJFWSA-N 421.881 4.604 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757952679 NWSDUBRHKIQXCN-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)c1[N+](=O)[O-] 758414179 ADPNFNURUSMXOK-HNNXBMFYSA-N 409.417 4.507 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 758576003 IXEMSDDPMMWCJG-UHFFFAOYSA-N 405.248 4.838 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@H](c4ccc(C(F)(F)F)cc4)C3)o2)cc1 767874942 GILQFUXKBTYQQO-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD O=C(OC[C@H]1CCO[C@@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 769361080 GVLFMYZTPFXYLM-VQIMIIECSA-N 410.451 4.653 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770010137 YXMPPAZQDAMWMV-UHFFFAOYSA-N 413.865 4.735 5 20 HJBD O=C(OCc1nc(-c2c(F)cccc2F)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 770013098 MHZISIFSPKQNEE-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)cc2)n1 770062178 MYLLVOSIBZLYLP-UHFFFAOYSA-N 416.409 4.683 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nc2ccccc2s1 770492451 HFAZLYPXFDONNR-SSDOTTSWSA-N 412.290 4.520 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 771769244 VBCFYRCIBVXEPT-UHFFFAOYSA-N 424.335 4.718 5 20 HJBD CC(C)c1cccc(C(=O)O[C@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])n1 774374213 WKCKRTFSWBGPCB-QGZVFWFLSA-N 421.291 4.921 5 20 HJBD CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCc1nc2ccc([N+](=O)[O-])cc2[nH]1 775182087 ZWAWGSUABWNPTP-UHFFFAOYSA-N 424.892 4.723 5 20 HJBD Cc1cccc(C(=O)OCC(=O)c2cc(C)n(Cc3ccc(F)cc3)c2C)c1[N+](=O)[O-] 777746855 FSCUMANILKVTAH-UHFFFAOYSA-N 424.428 4.549 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 781776693 VUTNUOKOTNNHQO-UHFFFAOYSA-N 419.525 4.907 5 20 HJBD Cc1ccncc1[C@@H](C)N[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 783000478 VZCSYYHLOORHDB-VFNWGFHPSA-N 424.888 4.982 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(C)c1 785949134 RLEGPMSDDDIXSL-UHFFFAOYSA-N 418.877 4.678 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])CC2)c1 790559632 OUDPBZGPBYXMGI-UHFFFAOYSA-N 418.852 4.644 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)O[C@@H](c1ncccn1)C1CCCC1 793172002 HZDWRLJRSHWXAR-MRXNPFEDSA-N 420.263 4.544 5 20 HJBD Cc1nc(SC(F)F)sc1Cc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 809434675 CJYMKIADELZORY-UHFFFAOYSA-N 412.443 4.547 5 20 HJBD CCCc1c(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1cc(C)ccn1 809918282 RZHKZLWUMQTFRP-UHFFFAOYSA-N 414.853 4.734 5 20 HJBD CC(C)[C@@H]1C[C@H](CC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 811218872 GOYVUAZTOMLMFA-KDOFPFPSSA-N 404.488 4.603 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(Br)cc2C(F)(F)F)c(F)c1 864016672 IRPXOQQENJWQLT-AWEZNQCLSA-N 423.160 4.661 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cc(Cl)ccc1[N+](=O)[O-] 914743821 KQKJVHQHOUJBIE-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD O=C1Nc2ccccc2[C@@]12CCN(Cc1ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o1)C2 1320774586 GZIAFIMYHUYDIV-QFIPXVFZSA-N 423.856 4.604 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCc2ccc(Br)s2)o1 1322777730 JULIZHIIRJYGLS-UHFFFAOYSA-N 424.276 4.556 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1323252313 KTDQSPFVSIXIDU-UHFFFAOYSA-N 420.400 4.534 5 20 HJBD C[C@H]1CCc2sc(-c3nnc([C@@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)o3)cc2C1 1341556800 LVSNENVUKYLEKS-ZBEGNZNMSA-N 410.455 4.697 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 5746030 BLWDCONTKZXSSI-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD Cc1nc(SCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)n(C2CCCCC2)c1C 10349928 VXNGDABTZFFCFR-UHFFFAOYSA-N 402.520 4.668 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2nc3sccn3c2[N+](=O)[O-])cn1 52429605 UJVPYCRQWKWHNI-UHFFFAOYSA-N 411.443 4.502 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 54839543 RPAHZFWCRYZVDU-HNNXBMFYSA-N 410.499 4.929 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(COc2ccc(Br)cc2)o1 59274526 XPEABMSAVDLVCJ-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cccc([N+](=O)[O-])c3C)c2)c1 59885874 MZEUTIDGXFKSRD-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)s2)cc1 71880018 PBSCKXYDABWHJO-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD Cc1cnn(CC2CC2)c1NC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 110104299 UDOZCOHFQHYECW-UHFFFAOYSA-N 402.520 4.900 5 20 HJBD O=C(O)c1cnc(/C=C/c2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 110307943 JEBOXNDETWDXOU-ZZXKWVIFSA-N 421.228 4.942 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(Br)cc(Br)c1 222997363 KKPORLUZQPDLQR-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC(C)C[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 302801787 ZULCOQJBELNTQA-FQEVSTJZSA-N 409.490 4.567 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCc3ccccc3N2C(=O)c2ccccc2[N+](=O)[O-])cc1 303184777 ZAJJRWGUSCJSBY-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccc(F)cc1)C1CC1 426286754 ROXWSRDBJLPWBQ-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD CN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2cc(Cl)ccc2s1 435984922 VNPNAQVKLDUGBU-UHFFFAOYSA-N 402.863 4.709 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccccc1)CC(F)F 437163596 FFHKMFSKETUGMF-UHFFFAOYSA-N 403.410 4.626 5 20 HJBD CCOc1cc(/C=C/c2ccc([N+](=O)[O-])cn2)ccc1OCc1ccc(C(=O)OC)o1 439529882 XCOAKYFPOOCRQK-GQCTYLIASA-N 424.409 4.518 5 20 HJBD O=C(Nc1cccc(-c2ncco2)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444243924 QIYSBTSFJQEMIL-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD O=C(Cc1noc(/C=C\c2ccccc2[N+](=O)[O-])n1)Nc1ccc(Cl)cc1Cl 445376951 XVJNYEXFXDMNSW-YVMONPNESA-N 419.224 4.636 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 448105420 RYUAURVYLWLKJG-OAHLLOKOSA-N 412.298 4.752 5 20 HJBD COC[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1cccc(Cl)c1 461736926 VFPXETWBHDTVPH-LJQANCHMSA-N 405.882 4.556 5 20 HJBD Cc1cc(Sc2nnc(-c3cccnc3)n2Cc2ccccc2)c(F)cc1[N+](=O)[O-] 461737263 WEXVZFSPEMGKDX-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2cc(C)c([N+](=O)[O-])cc2F)CC1 461937863 CMZHCHDJTTVYGB-UHFFFAOYSA-N 405.495 4.625 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2n[nH]c(-c3cc(Cl)ccc3Cl)n2)c1 463168381 YVHGVAYAWRLZHI-UHFFFAOYSA-N 424.269 4.661 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 463949078 ILQDMMWVMFLKDQ-HNNXBMFYSA-N 402.426 4.586 5 20 HJBD C[C@@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H]1c1ccccc1 464517465 FJMPPHZDGWNLIC-BFUOFWGJSA-N 407.392 4.635 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cc2cccc([N+](=O)[O-])c2[nH]1 473410049 RJDPIDLBIMFYKL-IBGZPJMESA-N 406.829 4.644 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC(C3CCCC3)C2)cc1[N+](=O)[O-] 477921734 OVLQXSDCHATOSM-UHFFFAOYSA-N 424.522 4.810 5 20 HJBD COc1ccc(N(CCC(C)C)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 477938318 OMBGCXHCASQZKR-UHFFFAOYSA-N 409.442 4.550 5 20 HJBD Cc1nc(CCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)sc1C 478784853 CHPRXTADKPOHCB-UHFFFAOYSA-N 412.471 4.825 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(CCN3CCCC[C@H]3C)CC2)cc1[N+](=O)[O-] 479539111 CONQXXVZQUSTLW-RTBURBONSA-N 416.566 4.650 5 20 HJBD C[C@H](NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1)c1cccc([N+](=O)[O-])c1 480698267 MFQAHARSYCPCKY-AWEZNQCLSA-N 421.419 4.569 5 20 HJBD CCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1Cl 482741092 LUMIGSNOWQAPGV-GFCCVEGCSA-N 416.840 4.878 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cc1[N+](=O)[O-] 482945129 NNSBBAUIVXCZOX-IRXDYDNUSA-N 404.470 4.962 5 20 HJBD COc1cccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 483869623 QRYNLVGYTMTOIY-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD CCc1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cnn1-c1cccc(C)c1 486848698 YDJWWIXEOOORBK-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccc(C)s2)C(C)C)c(C)c([N+](=O)[O-])c1 486884313 ULTFHOCAJBBJLU-UHFFFAOYSA-N 405.476 4.502 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H]3CCN(CCc4ccccc4)C3)o2)c1 488463323 YMIYLOVJWUFSFZ-HXUWFJFHSA-N 405.498 4.509 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488875760 LJOOWTUYDUKINO-CYBMUJFWSA-N 404.492 4.610 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)cc1 489070262 HMQSCWKAISEDBA-OAQYLSRUSA-N 418.497 4.817 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498424107 SHQBUWWCACGTFA-OAHLLOKOSA-N 401.894 4.684 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2CN2CCSCC2)cc1[N+](=O)[O-] 499353196 VVCCWDQJDLNPOE-UHFFFAOYSA-N 413.543 4.693 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 499986602 KMCKDOHBWMNAQQ-UHFFFAOYSA-N 404.398 4.679 5 20 HJBD Cc1nc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)c(C)o1 500249186 KBJJTODIMDCFPO-UHFFFAOYSA-N 420.263 4.554 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 514528536 UECFLOVKECLWPW-UONOGXRCSA-N 410.499 4.705 5 20 HJBD COc1ccc(Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)c(Cl)c1OC 516609609 WIRXQJFUIVVAOE-UHFFFAOYSA-N 415.789 4.652 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC(C)(C)[C@@H]3c3cccs3)cc2)c(C)c1[N+](=O)[O-] 516888165 RNHRPNQBDJPWOJ-FQEVSTJZSA-N 424.526 4.741 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(-c3ccccc3OC)c2)cc([N+](=O)[O-])c1 517673206 JBGPNSBDEPBAKC-UHFFFAOYSA-N 420.421 4.699 5 20 HJBD COc1cc(CN[C@@H](C)Cc2ccc(O)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 520958947 ZNVNSRVGSRYXAC-KRWDZBQOSA-N 422.481 4.609 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 522876019 OGKVNBFHHAPLKL-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)[C@H]1c1ccccc1 523491581 YNFFTJLSQFIPFT-SJKOYZFVSA-N 421.316 4.676 5 20 HJBD CSc1ccc(C(=O)NCCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 531512847 BXUOAENMGCHUKP-UHFFFAOYSA-N 407.904 4.552 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccn(Cc3ccncc3)n2)cc1[N+](=O)[O-] 531917591 WEWNOLBMDPVDQG-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD COc1cc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc(OC)c1OC 532261613 XPTZYTQMPZIQRP-NSHDSACASA-N 417.443 4.524 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2cn3cccnc3n2)c1 534275022 UEQUTHOMRJVDDD-UHFFFAOYSA-N 419.466 4.669 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(F)(F)F)nc1 537096075 GFIJVMXIPWLZMI-UHFFFAOYSA-N 415.393 4.684 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCOc2cc(F)ccc21 539766287 SKWVURPFMUZRJG-IBGZPJMESA-N 401.438 4.568 5 20 HJBD C[C@@H](C(=O)N1CCC(Oc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 541601144 OJNPDJZMRBDKET-CYBMUJFWSA-N 406.841 4.561 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)CSc3ccc(Cl)cc32)c([N+](=O)[O-])cc1OC 542346177 PNBAKGNOMVEFPK-NSHDSACASA-N 422.890 4.654 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 543163057 XYVZCCPQRRUYDF-CQSZACIVSA-N 419.934 4.818 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cnn1-c1cccc(F)c1 543338899 LQNBFZIYKSJFQO-UHFFFAOYSA-N 419.416 4.673 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc(-c2cn3ccccc3n2)cc1)c1cccc([N+](=O)[O-])c1 552062399 WFWZBQIZTPUOGC-HSZRJFAPSA-N 424.464 4.845 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1 552613438 KTHDHJUUGBYJQK-UHFFFAOYSA-N 422.485 4.548 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](c3nccn3C)C3CC3)cc2[N+](=O)[O-])cc1 552933362 MFVSYDLVAUMUAU-FQEVSTJZSA-N 422.510 4.669 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 555008523 ADTWMTOKAKKALN-SJLPKXTDSA-N 407.829 4.601 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C(C)(C)C)cs1 559611244 MGKJVGRGBJKTBX-SECBINFHSA-N 401.410 4.859 5 20 HJBD Cc1cc(NCc2ccc(OC3CCN(C)CC3)cc2)c2cccc([N+](=O)[O-])c2n1 561350924 SEAGJKGQGCKOPX-UHFFFAOYSA-N 406.486 4.537 5 20 HJBD Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N(Cc1cccs1)Cc1cccs1 564357176 MDIDHJQQDLSJKV-UHFFFAOYSA-N 411.512 4.720 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3c[nH]c4ncccc34)c(C)s2)c1 569006340 PHBLBYJGLYNQFB-UHFFFAOYSA-N 423.454 4.554 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(F)(F)F 572436014 HFCXCVJWRFOMHG-LBPRGKRZSA-N 407.348 4.518 5 20 HJBD COc1cccc(C2(c3noc(-c4csc(-c5cccc([N+](=O)[O-])c5)n4)n3)CC2)c1 576265510 PFILVNIEOXDFQG-UHFFFAOYSA-N 420.450 4.857 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 581422112 SUGPIRXIYFKHRA-CYBMUJFWSA-N 420.309 4.765 5 20 HJBD C[C@H](Sc1nc(-c2ccc(Br)cc2)n[nH]1)c1ccccc1[N+](=O)[O-] 583355704 DWIBUEHGSNMYNX-JTQLQIEISA-N 405.277 4.996 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2cccc3ncccc23)no1 589153354 VKFUWESRFTXWDR-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1cccnc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 590347779 UUQLZFHTICJBCA-XLIONFOSSA-N 422.872 4.541 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCc2sccc2[C@@H]1c1ccccc1 603913789 HTPBPOCXVHJETB-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(CN3CCCCCC3)CC2)cc1[N+](=O)[O-] 604264813 PRBBVSWLGPLMQP-UHFFFAOYSA-N 401.551 4.621 5 20 HJBD CCOc1cc(NC(=O)N2CCC3(CCCCC3)CC2)c([N+](=O)[O-])cc1OCC 608840571 MHSMEVTYSLNHPT-UHFFFAOYSA-N 405.495 4.970 5 20 HJBD CC[C@H](Cc1ccccc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609034064 SYDPCGJCAVYWMU-OAHLLOKOSA-N 412.433 4.843 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3C[C@@H](C)Oc4ccccc43)cc2[N+](=O)[O-])C1 609512110 FQBWBMGALDQQNO-BRWVUGGUSA-N 409.486 4.505 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(-c2nccs2)c1 609723586 JBTWQKYOINHYKQ-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 610038076 UDCDHXBWXKRNGI-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1ccc([N+](=O)[O-])cc1 610060664 IQNCYQIHFWCMBQ-UHFFFAOYSA-N 404.470 4.780 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(COC(C)(C)C)cc2)cc1SC 610624680 UHMWERLHCNXGFY-UHFFFAOYSA-N 404.488 4.893 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611201605 SJTRMUHAFPLULZ-UHFFFAOYSA-N 401.373 4.536 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1nc(-c2ccccc2)cs1 731414370 CBIRWQZTKBFKJS-UKTHLTGXSA-N 411.826 4.716 5 20 HJBD O=[N+]([O-])c1cc(NCc2nc(Cc3cccc(Br)c3)no2)ccc1Cl 731495621 QXWSMCZHKVRYQW-UHFFFAOYSA-N 423.654 4.597 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 731535014 JJPJPQPHSBZXAL-SFHVURJKSA-N 424.284 4.879 5 20 HJBD CN(C(=O)c1cccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1)c1ccccc1 734780642 ZFUWCRXCIPEQKJ-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD Nc1ccc(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)cc1[N+](=O)[O-] 735478387 NNHQHVPGEQXLPZ-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD Cc1cc(C)n(-c2ccc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)n1 744048204 PSWZLRZJGSMSFE-UHFFFAOYSA-N 419.359 4.773 5 20 HJBD Cc1ccsc1[C@H]1C[C@@H]1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 747746878 NJUFYJBKPARWAO-OALUTQOASA-N 422.462 4.926 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1ccccc1)Cc1ccco1 750602544 GDGBBWSYTYZEGN-UHFFFAOYSA-N 415.243 4.793 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(CC(C)C)C[C@@H](O)c1ccc(F)cc1 754457843 XTAOMYBPSBPYKB-LJQANCHMSA-N 403.454 4.574 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756931830 QHNAQCWYTOXCMJ-UHFFFAOYSA-N 405.882 4.563 5 20 HJBD Cc1ccc(C[C@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)cc1 756964876 HAXUQBCYACGCNU-NRFANRHFSA-N 424.888 4.735 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccccc2c1 762431323 ILOHEAQNJYTROO-UHFFFAOYSA-N 410.451 4.656 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(-n2ncc3ccccc32)cc1Cl 766368324 NLMRYVNZCHLMPA-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(-c2ccccn2)n1-c1ccccc1 768413553 ZTORJEXGESRVLL-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H](F)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 769159215 BEVXAYKMTHVVTF-SFTDATJTSA-N 422.412 4.837 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC[C@H]1NC(=O)OC(C)(C)C 769424756 OJZQMULLWBTSED-CRAIPNDOSA-N 415.490 4.590 5 20 HJBD O=C(CCC(=O)N1CC[C@@H](n2cncn2)C1)c1ccc2ccc3cccc4ccc1c2c34 777224593 MCQHTULOQQNSNR-HXUWFJFHSA-N 422.488 4.612 5 20 HJBD C[C@H]1CC[C@@H](C(F)(F)F)CN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 780607017 AKDAOUUPLDHZBZ-DTWKUNHWSA-N 410.190 4.552 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccccc1C(=O)c1ccc(F)c(F)c1 783399923 BAAIECSNQULQCJ-UHFFFAOYSA-N 418.302 4.635 5 20 HJBD CC(=O)c1cc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)ccc1C 789402237 CIJHFEQJRQWSQL-UHFFFAOYSA-N 418.405 4.577 5 20 HJBD CSc1ccccc1CN(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 791841879 GDOMELACSRIAHR-UHFFFAOYSA-N 410.293 4.743 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc([N+](=O)[O-])c1C 792532278 RVYDKXXMAIJIIU-UHFFFAOYSA-N 419.821 4.530 5 20 HJBD O=C(Cc1c[nH]c2ccc(F)cc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811216608 LVNKTOOUJXLQMK-UHFFFAOYSA-N 411.414 4.625 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813214264 UYOKZTJUWTYTMR-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2C(C)C)cc1[N+](=O)[O-] 815406878 YWCJJOFKGCBZJC-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD CCn1ncc2c(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 822218268 CXQQTXJDUCGSRQ-UHFFFAOYSA-N 421.844 4.932 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccc(F)cc2)c1Cl 822218618 VFYZMBQHKLSMRO-UHFFFAOYSA-N 416.840 4.810 5 20 HJBD CCc1cccc(N2C(=O)/C(=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)NC2=S)c1 917602907 MCEJCVIXHWBMKS-UYRXBGFRSA-N 419.462 4.679 5 20 HJBD CSc1ccc(-c2nnc(-c3ccc(F)cc3Br)o2)cc1[N+](=O)[O-] 1117253304 HEGXTFDHQZVMEZ-UHFFFAOYSA-N 410.224 4.935 5 20 HJBD O=C(Nc1nc(-c2c[nH]c3ccccc23)cs1)c1c[nH]c2cc([N+](=O)[O-])ccc12 1254799219 GXWZQYVZOWTILH-UHFFFAOYSA-N 403.423 4.933 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1ncc(C(F)(F)F)cc1Cl 9160149 VQNVYGCLXLRVGK-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(=O)c1ccc(Cl)cc1 10723086 ISRPLYUXUMOIJH-OAHLLOKOSA-N 419.864 4.623 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccc(Br)cc1 11275617 SOUMQGLHMABEIJ-UHFFFAOYSA-N 422.278 4.661 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)cc1 11466446 JVOUXEXAHRRJCE-MRXNPFEDSA-N 420.421 4.500 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 23742660 BMESJWLIUCKFCT-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD COc1cc(C(=O)Nc2nc3c(ccc4ccccc43)s2)c([N+](=O)[O-])cc1OC 36726861 USKSLSDBDDSLIA-UHFFFAOYSA-N 409.423 4.627 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 46183248 JQWFJXRXGLMRAS-LLVKDONJSA-N 407.879 4.716 5 20 HJBD CCCN(C(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 56192876 JMNZGAFEDTVWAV-HNNXBMFYSA-N 419.441 4.535 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 107685323 GBWWFLUEHYDSSA-IBGZPJMESA-N 414.820 4.937 5 20 HJBD Cn1ccnc1C(=O)c1ccc(NC(c2cccs2)c2cccs2)c([N+](=O)[O-])c1 301331838 ANRZIJORNQBTIK-UHFFFAOYSA-N 424.507 4.884 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H](C)Cc1ccsc1 426313453 UOKBFBZVYCVPBN-QGZVFWFLSA-N 404.535 4.696 5 20 HJBD Cc1ccc(NC(=O)N(C)[C@H](c2ccc(Cl)cc2)c2cnccn2)cc1[N+](=O)[O-] 429217366 SGGAAVUXNQVBLJ-LJQANCHMSA-N 411.849 4.600 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccnc2c([N+](=O)[O-])cccc12 432342773 NNSGFFUQJJULRT-INIZCTEOSA-N 419.485 4.572 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 433258439 HAAJPKKASUFTCE-UHFFFAOYSA-N 411.487 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)cc1 436396095 YCOOHEFELQKPNR-LSDHHAIUSA-N 404.264 4.652 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2ccccc2Cl)cc1 439234501 HTDXBYSQJZWXOU-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD C[C@@H]1CN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c2cccnc2O1 441053926 UUZZOFNZNNBECW-CQSZACIVSA-N 407.451 4.569 5 20 HJBD CC[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(C)c1 444166051 JASJSKJEXVTHKD-GOSISDBHSA-N 400.478 4.577 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](Cc3ccc(Cl)cc3)c3ccccn3)[nH]c2c1 444679329 MNXPYAFLIIOSED-LJQANCHMSA-N 407.861 4.593 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nn(-c2ccccc2)cc1OCC(C)C 444735334 ZIXUCZHHLNSBLF-UHFFFAOYSA-N 408.458 4.684 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Br)s1 444761102 LZNCHLHKVJLNQC-JTQLQIEISA-N 408.277 4.925 5 20 HJBD CCN(Cc1ccccc1)C(=O)Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 445781864 CFSWGWLRJZCHSE-UHFFFAOYSA-N 422.485 4.534 5 20 HJBD COCCOc1ccc(Sc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447682509 ZKNXUCVKIIQZSN-UHFFFAOYSA-N 424.347 4.820 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)cc1 460171562 WWPCKEPCUUHFMT-UHFFFAOYSA-N 406.438 4.757 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N(C)[C@@H](C)c1nc2ccccc2s1 460222855 AFSBZXOFPSZSDH-ZDUSSCGKSA-N 408.487 4.680 5 20 HJBD C[C@@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1ccc(C(F)(F)F)cc1 461397367 AURLIQOURJGINM-ZDUSSCGKSA-N 408.376 4.528 5 20 HJBD Cc1cc(N[C@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)c(F)cc1[N+](=O)[O-] 461942316 BJKGXWITFOMIOI-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD O=C(Cc1ccc(Cl)cc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464665906 SACNAADSFMYOCF-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)cc1 464772712 GCQYSZZBZYALIR-INIZCTEOSA-N 408.458 4.558 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(C)[C@@H](c1ccc(Cl)cc1)C1CCCC1 466517971 XKYDPFHQVPOTHI-HXUWFJFHSA-N 404.898 4.533 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 466844218 VLWTWPAUXMFCSO-KRWDZBQOSA-N 414.527 4.943 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])Nc1c(Cl)cccc1C(F)(F)F 471300989 RKQPBUNXSANMPA-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ncoc1-c1ccc(F)cc1 471368643 GTTHUDWWGIZYRD-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 472946745 CRPNDYJEYGKROC-QGZVFWFLSA-N 407.474 4.540 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])C1 477340916 RRLNNNXHCPDLPP-GASCZTMLSA-N 424.526 4.963 5 20 HJBD C[C@H]1CN(c2ccccc2NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@H](C)O1 478191080 RZNBUJKLSUNRHX-HOTGVXAUSA-N 424.526 4.944 5 20 HJBD CCOc1cc(N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)ccc1[N+](=O)[O-] 481048984 MLVXHTVOBFWCIO-CQSZACIVSA-N 404.772 4.811 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(C)cccc2s1 481098247 AVALYUYVVATREF-UHFFFAOYSA-N 403.485 4.504 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCOc2cc(Cl)c(Cl)cc21 484646336 XLQIWCUOAZRDPF-UHFFFAOYSA-N 419.167 4.542 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC(C)C)c2ccccc2C)cc1OC 485672749 YMGGSXCILGUXTB-SFHVURJKSA-N 400.475 4.828 5 20 HJBD CC1(C)CCC(C)(C)c2cc(C(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)ccc21 487016710 ZGVPPBOVESAGFC-UHFFFAOYSA-N 410.518 4.618 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492959111 JDKBKOOFRZTLFJ-RBUKOAKNSA-N 404.388 4.908 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCn3cccc3[C@H]2c2ccccc2)cc1[N+](=O)[O-] 493034354 QKKMLHJBZJGRIK-VGOFRKELSA-N 404.470 4.581 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCO1 494429606 LLHWSSQZIKFBML-HNNXBMFYSA-N 417.893 4.548 5 20 HJBD C[C@H]1CCc2nc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)sc2C1 496517835 OMPVMNHKOYNHCO-JTQLQIEISA-N 400.485 4.552 5 20 HJBD COCCn1nc(C)c(-c2cc(-c3ccccc3)c3cc([N+](=O)[O-])ccc3n2)c1C 497840448 CZGOJGRKZRSXPR-UHFFFAOYSA-N 402.454 4.937 5 20 HJBD CC(C)(C)C1CCC(O)(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 500887240 HKVXIWZFKQMEQO-UHFFFAOYSA-N 403.548 4.775 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1OCC 508053470 PMPHDAFNBQPJQI-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1ccc([C@@H](CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)N2CCCC2)cc1 509462658 IIDAOXZBGZVLMW-HSZRJFAPSA-N 424.545 4.660 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1CCCN(C2CCC2)C1 511267982 KANUQCDJUBWTKD-IAGOWNOFSA-N 419.591 4.726 5 20 HJBD CN(CCCOc1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 512357378 VGGGCJBVQQAMEM-UHFFFAOYSA-N 419.481 4.748 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1OC 513209819 LKVZTZUUMNMFCU-HUUCEWRRSA-N 412.490 4.755 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1ccccc1F)C1CCCC1 520010928 WMQQMPPSEZRMEA-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 521858517 RWVDPADQDHGKMA-CABCVRRESA-N 417.252 4.830 5 20 HJBD C[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 523260392 ANVZVIRKKDHWGQ-DYVFJYSZSA-N 404.850 4.728 5 20 HJBD COc1ccc(CN(CC(=O)Nc2c(C)cccc2[N+](=O)[O-])Cc2ccccc2)cc1 533487450 KQCIVDLARHLWIK-UHFFFAOYSA-N 419.481 4.553 5 20 HJBD Cc1cc(N(Cc2cccnc2)Cc2ccco2)nc(-c2ccc([N+](=O)[O-])cc2)n1 534061839 YPIPUOGJFGIINA-UHFFFAOYSA-N 401.426 4.555 5 20 HJBD Cc1cc(NC(=O)c2ccccc2CCC(F)(F)F)n(-c2ccc([N+](=O)[O-])cc2)n1 534757867 NKOKMBHJTHHGPF-UHFFFAOYSA-N 418.375 4.836 5 20 HJBD COc1ccc(-c2nc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)sc2C)cc1 535372183 BMDFGGUREFOUQQ-UHFFFAOYSA-N 422.466 4.698 5 20 HJBD CCCC(=O)Nc1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C(C)=O)c1 535480170 MOQBIADUGHRGQR-UHFFFAOYSA-N 423.425 4.770 5 20 HJBD C[C@H](Oc1ccc2c(c1)CCC2)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538255134 RKUIGHNWHGZLOW-AWEZNQCLSA-N 423.494 4.637 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@H](C)c1cc([N+](=O)[O-])ccc1C 539079114 HZHKGYPWYLHLBG-CQSZACIVSA-N 420.307 4.654 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC1CCOCC1 543441652 IZHZVLOQYTZOKV-OAHLLOKOSA-N 416.449 4.590 5 20 HJBD COc1cc([C@@H](C)NC(=O)CSc2ccccc2[N+](=O)[O-])ccc1OCC(C)C 544083438 CCNXLUYXSLLCMY-OAHLLOKOSA-N 418.515 4.608 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccs1 544286886 PMBKPYRMTYARSE-CYBMUJFWSA-N 424.931 4.666 5 20 HJBD C[C@@H](NC1(CNc2ccc([N+](=O)[O-])cc2OC(F)F)CCOCC1)c1ccccc1 544846938 AZPZOTUYQGPBAD-OAHLLOKOSA-N 421.444 4.508 5 20 HJBD COc1ccc(CC(=O)N2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 547426803 UXPYUOOAIPYWQC-QGZVFWFLSA-N 422.403 4.919 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3cccc(C(F)(F)F)c3)C3CC3)n2)c1 563459225 OHEAIMCGSSTFFL-GOSISDBHSA-N 418.375 4.905 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C2CC2)no1 567147465 NKWLCGLUOKRWIH-OXJNMPFZSA-N 420.469 4.595 5 20 HJBD O=[N+]([O-])c1cc2c(N[C@@H]3CSc4ccc(Br)cc43)ncnc2s1 568023064 QYINPLRXCQMUFX-SNVBAGLBSA-N 409.290 4.621 5 20 HJBD O=C(NCc1ccc2c(c1)CCO2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 570586823 WGXAXAVIWHPJPU-UHFFFAOYSA-N 406.463 4.611 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1C1CC1 578676017 QRWLQHBKDWVNLD-SNVBAGLBSA-N 421.295 4.963 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Cl)cc1-c1cccc(Cl)c1 582884991 IHCOSBIJUZIKMV-UHFFFAOYSA-N 405.241 4.794 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 584498875 KEIWDFAVAPGLCU-UHFFFAOYSA-N 405.410 4.814 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 603757780 GTYNZOGCZPVLNP-UHFFFAOYSA-N 404.470 4.834 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(CC1CC1)C1CCCCC1 609021934 QCFUHRCCPHVTBR-UHFFFAOYSA-N 400.397 4.721 5 20 HJBD CC(C)(CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1Cl 609075149 LVDGDXKNUXGBRV-UHFFFAOYSA-N 401.894 4.874 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 609374145 IKGASQVPGXYVFF-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(C[C@H]1CCCO1)c1nc2ccccc2s1 609853841 XYXUHEGCDDDEQR-OAHLLOKOSA-N 417.874 4.684 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 609976249 BXNZWYKNNADDIH-HRCADAONSA-N 402.878 4.729 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)Cc2ccccc2C)cc([N+](=O)[O-])c1OC 610171535 WOKOPTQKKNIWKL-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCCO[C@@H](c2ccccc2)C1 618584841 OTWRWWZGJUHCAF-OAHLLOKOSA-N 417.334 4.612 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2sc(C)nc2C)cc1 729206379 DWUASUUNRMXNDI-UHFFFAOYSA-N 414.439 4.826 5 20 HJBD CCNC(=O)CN(CC)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 730098267 YVKRZWDVYYJALU-UHFFFAOYSA-N 414.505 4.532 5 20 HJBD O=C(OCc1coc(-c2ccc(Br)cc2)n1)c1ccc([N+](=O)[O-])c(F)c1 731518308 IQDOZMNXAWKSBF-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 739474614 NLRZZFAXGJKSKF-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cn(C)nc1-c1ccccc1Cl 741935548 FCLQVRPSOSXPIK-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc12 742502874 KWFGYXZOICSUSX-UHFFFAOYSA-N 406.895 4.592 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1 744357080 GCTYDVDICKLDDV-UHFFFAOYSA-N 422.347 4.842 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 746075928 LIYZJFFUIOCTRI-SECBINFHSA-N 408.197 4.870 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Oc2ccc(Sc3ccncc3)cc2)c(Cl)c1 749701597 PVHCBCGPONRUQC-UHFFFAOYSA-N 422.871 4.562 5 20 HJBD C[C@H](NC(=O)Nc1cc2ccccc2nc1Br)c1cccc([N+](=O)[O-])c1 760167710 XIARQBPZRCTYOG-NSHDSACASA-N 415.247 4.788 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2n1 760839217 SSZBZSYQHXFJTM-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@H](C)C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 761876810 ZDSHQKDGZRHQBY-PBHICJAKSA-N 406.504 4.568 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 764351539 QNUXICIWKNPYNL-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)c2)n1 764877577 LMHZJYYUFZBDNY-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nc2ccccc2n1C(F)F 764978267 VOVWYEFHGJGMLJ-AWEZNQCLSA-N 403.385 4.652 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2ccc(OC)cc2)n1 765527128 RDQXLURSOYPOGD-INIZCTEOSA-N 410.426 4.571 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@@H]3[C@@H](O)c3ccccc3)cs2)c1 769236709 CDKMZSVUXDTRAH-RTWAWAEBSA-N 409.511 4.806 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 770009005 OABCSSWVSJWQSK-YJBOKZPZSA-N 407.829 4.507 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 770050786 MSFOOMVQEWZJHA-UHFFFAOYSA-N 403.154 4.535 5 20 HJBD Cc1cccc2c(CC(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c[nH]c12 776137129 NCZUTLMZFXYCTE-HSZRJFAPSA-N 401.422 4.655 5 20 HJBD O=C(Nc1ccc(OC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1)c1cccs1 777880816 XTLUOEPPMLYHGD-UHFFFAOYSA-N 418.377 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C[C@H]1Sc2ccc(Cl)cc2NC1=O 778766249 FJYQAHLAOSXGPK-PIGZYNQJSA-N 420.874 4.664 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 782124203 UEGNVIIKUPDZMZ-UHFFFAOYSA-N 423.263 4.797 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(C(=S)Nc3ccc(Oc4ccccc4)cc3)CC2)n1 782384312 AVMYRBRNIRIYQF-UHFFFAOYSA-N 423.498 4.618 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1ccc2oc3ccccc3c2c1 800052110 HANQRFKCDQXWMJ-UHFFFAOYSA-N 400.387 4.743 5 20 HJBD O=C(OC[C@@H]1CCN(c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 810917377 KNGAZEJGHFJFOW-MRXNPFEDSA-N 416.452 4.504 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)Nc1cccc([N+](=O)[O-])c1Cl 813246027 UAYDOVJCCQHXTN-UHFFFAOYSA-N 422.666 4.982 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cccs1 814774973 AQQMOPXJFVHANH-GOSISDBHSA-N 420.849 4.733 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cc1[N+](=O)[O-] 915152463 ZVPQPMOJDWSJAJ-UHFFFAOYSA-N 419.409 4.732 5 20 HJBD O=C(NCc1ccc(C(=O)N2CCCC2)cc1)c1cc2ccccc2c2cccnc12 915839021 OSZHSMCTNHDKHL-UHFFFAOYSA-N 409.489 4.554 5 20 HJBD COc1ccc([C@H](NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c2ccc(F)cc2)cc1 1318829956 YPIFUHOPIOZROK-JOCHJYFZSA-N 421.428 4.557 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1Cl)N1Cc2ccc([N+](=O)[O-])cc2C1 1319135534 WEYYGSPSDACLEH-UHFFFAOYSA-N 408.841 4.836 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cs1 1324553785 UPDXJGMOYFNHCF-UHFFFAOYSA-N 418.478 4.571 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1-n1cccc1 11492248 MVJKFDBIKINZKP-OAHLLOKOSA-N 418.409 4.662 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 24997788 NNRFGYXWJRUPCG-STQMWFEESA-N 422.890 4.608 5 20 HJBD CSc1ccc(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1 43857359 YMEIBRZZTAVXHN-QGZVFWFLSA-N 417.487 4.521 5 20 HJBD C[C@@H](CCc1ccccc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52932543 ALDCRHCLWISMDN-ZWKOTPCHSA-N 418.497 4.914 5 20 HJBD Cc1cc(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)ccc1[N+](=O)[O-] 78509856 XPKWNPQHQCPMQF-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD Cn1c([C@@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccccc2)nc2ccccc21 116147618 AYLVICXHARMHCU-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)c1 147834442 NNOJYKXDICZLFA-GOSISDBHSA-N 402.859 4.838 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc([N+](=O)[O-])ccc1Cl 217731298 MHTSCUDRXKPQRR-CQSZACIVSA-N 417.893 4.744 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)cn2)n1 237792697 LUBILXAPFGUGGP-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](c3cccs3)N3CCCC3)cs2)c1 238007670 HLLROMFGSASTDU-SFHVURJKSA-N 414.556 4.707 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 303623836 PSVQQALMCSDMBZ-HNNXBMFYSA-N 418.877 4.929 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](Cc1ccccc1)c1cccs1 303689806 VAPZDDSYEXTNOZ-FQEVSTJZSA-N 409.511 4.949 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CC3c4ccccc4C2c2ccccc23)c1 409939689 SQAHIHJVPKTJME-RZJRRJPXSA-N 414.461 4.631 5 20 HJBD O=C(O)[C@H](Cc1ccccc1[N+](=O)[O-])NCc1ccc(-c2ccccc2Cl)s1 426812286 XTBNXLVJLPEXEP-KRWDZBQOSA-N 416.886 4.762 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2OC)cc1Cl 429779170 KYMGYKLIURGYEI-UHFFFAOYSA-N 405.882 4.787 5 20 HJBD Cc1c(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)oc2ccc(F)cc12 436317468 MGKMQDXBTGOQRE-UHFFFAOYSA-N 418.399 4.944 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437744185 CYJJCQOHEKRNGN-UHFFFAOYSA-N 412.471 4.718 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc(C(C)(C)C)cs1 437997866 MIPPFYPJWLHEMV-ZDUSSCGKSA-N 402.520 4.784 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 443957222 KTIOUUHZMHQNCJ-XLIONFOSSA-N 410.518 4.728 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444038738 QHEHEOOXEROITQ-PSLXWICFSA-N 420.896 4.841 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3cccc(OCc4cn5ccccc5n4)c3)n2)s1 445478807 DTNSQYKWHFRXTP-UHFFFAOYSA-N 419.422 4.600 5 20 HJBD C[C@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)[C@H](OC1CCOCC1)c1ccccc1 447588942 VYDDHNFKINONNK-DPSWKAHMSA-N 410.514 4.887 5 20 HJBD C[C@H](NS(=O)(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(Cl)c2ccccc12 462676501 KKBOUXJXCSENLL-ZDUSSCGKSA-N 404.875 4.582 5 20 HJBD C[C@@H](c1ccsc1)N1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 463091544 JMQOJLWNSIEQMU-KRWDZBQOSA-N 401.535 4.969 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCN1C 467801001 PNNQKBGMIZXBIH-ZFWWWQNUSA-N 419.934 4.612 5 20 HJBD C[C@H](CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 468279351 XGKWOEIWNQFYOY-GFCCVEGCSA-N 405.376 4.557 5 20 HJBD COCCCC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 471329360 HOCTXASHYRMHSC-KRWDZBQOSA-N 410.392 4.901 5 20 HJBD CSc1cccc(C)c1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 472238370 DSKLDAIUDQNJPC-UHFFFAOYSA-N 410.499 4.739 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1Cl 472272905 FJZDMPDQMDRKAI-GOSISDBHSA-N 402.237 4.816 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCC2(CCOCc3ccccc3)CC2)cc1[N+](=O)[O-] 472768277 IWBIGICHNYRTGY-GOSISDBHSA-N 411.502 4.651 5 20 HJBD C[C@H](NC(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 473786370 RUOYZNITCVQJKM-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 475566575 TYJKRJJQUCMESY-YJBOKZPZSA-N 407.829 4.656 5 20 HJBD COc1cccc(N2CC[C@H](NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)C2)c1 477214138 FITNDGKCNCKTLU-INIZCTEOSA-N 409.511 4.700 5 20 HJBD COCCC1(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CCCC1 485714159 BPUUWXDTJJIZNK-UHFFFAOYSA-N 412.486 4.501 5 20 HJBD Cc1nc(N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c2cc(-c3ccccc3)sc2n1 486033167 YHQHTOIJLHPEJF-UHFFFAOYSA-N 420.498 4.613 5 20 HJBD CCc1cc(=N[C@@H](C)c2nc(C(F)(F)F)cs2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 486503450 CAEPSXZFJKYUAY-JTQLQIEISA-N 423.420 4.685 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C2CCC2)cc1 488615104 QAAMPIQKRQNWJY-UHFFFAOYSA-N 414.483 4.770 5 20 HJBD C[C@H]1CN(c2ncnc3cccc([N+](=O)[O-])c23)C[C@H](c2cccc(C(F)(F)F)c2)O1 488712165 JMBSUSHSJYMHQP-YVEFUNNKSA-N 418.375 4.523 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 489486295 NFYHEFZKJQEEEX-LBPRGKRZSA-N 423.282 4.521 5 20 HJBD COc1cccc(CN(Cc2c(Cl)cccc2[N+](=O)[O-])C2CCC(O)CC2)c1 493001044 CHDHRXPZZYSPIT-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)c(Br)c(C)c2)cc1OC 494865929 VKJOSUXXUFHVRQ-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1/C=C\c1ccccc1 505366744 OYEXYWVMVXEUGP-WHLNPGIBSA-N 401.260 4.675 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 510054925 KIFIURIDSQGMNE-ACJLOTCBSA-N 408.376 4.511 5 20 HJBD O=C(NC1CCCCC1)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 512370595 UINYBAUKLBMBGT-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 513169077 ZSZGSHTXAPHOMV-DZGCQCFKSA-N 410.499 4.705 5 20 HJBD C[C@@H](C(=O)Nc1cccc(COCC(F)(F)C(F)F)c1)c1cccc([N+](=O)[O-])c1 515277771 PJTOTCYOJZEKNS-GFCCVEGCSA-N 414.355 4.754 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 515903973 SJZBKDVIJZEOIE-UGSOOPFHSA-N 418.291 4.965 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4cccc(Cl)c4)no3)cc2)c(C)c1[N+](=O)[O-] 518329344 QXIAOGUPZUKHES-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD C[C@@H](NCc1ncc(-c2ccc(Br)cc2)[nH]1)c1cccc([N+](=O)[O-])c1 522136257 GDZJJWKPUDGGDE-GFCCVEGCSA-N 401.264 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccc(Cl)cc1)CC1CC1 522662001 YDYYYBACJKWBEV-UHFFFAOYSA-N 403.866 4.743 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)ccc3OCC(F)(F)F)co2)cc1 536301771 GDJWEMJQKPOMGX-UHFFFAOYSA-N 411.311 4.942 5 20 HJBD COc1ccc(NC(=O)CCN[C@@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 537102357 YVVFKDKHGNNTAS-HNNXBMFYSA-N 417.531 4.783 5 20 HJBD CC[C@H]1CC[C@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)O1 538251610 LGPIOWMZENMSLM-KBXCAEBGSA-N 403.504 4.665 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCO[C@]4(CCSC4)C3)o2)c(Cl)c1 538424112 FPTVSQUYDSTCLO-ORAYPTAESA-N 408.907 4.653 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)c2ccccc2I)cc1[N+](=O)[O-] 539121502 VCUNBFWCWALCQV-CHWSQXEVSA-N 410.255 4.920 5 20 HJBD CCc1cnccc1[C@H](C)NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 540203403 ZAOWDHACUSAHDW-KRWDZBQOSA-N 421.497 4.991 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 540401134 NSKANXNGBGEMFY-HUUCEWRRSA-N 417.531 4.782 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@@](C)=O)c1C 540771275 KDGHIILILTVMQI-MUUNZHRXSA-N 420.556 4.926 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 542227014 JYFVFKOIQIOOND-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ccc(COCC(F)(F)F)cc2)no1 544050863 GSVBAOKCMXPAQG-KTKRTIGZSA-N 405.332 4.894 5 20 HJBD Cc1ccc(C(C)(C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cn1 545357732 AQBUJSFKUWCFKE-UHFFFAOYSA-N 404.470 4.575 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 546535657 XNPNWWJARYADDT-UHFFFAOYSA-N 418.465 4.592 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccccc1 549470258 BDBGDIDEELLAIZ-HSZRJFAPSA-N 419.481 4.577 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cc(Cl)cc(F)c1Br 550792059 DFZKAYRSZXSNGJ-UHFFFAOYSA-N 424.009 4.761 5 20 HJBD CCc1cccc2c(C3CCN(c4nc(O)c5cc([N+](=O)[O-])ccc5n4)CC3)c[nH]c12 552951134 WLFBYKXLHKGPET-UHFFFAOYSA-N 417.469 4.671 5 20 HJBD C[C@H](C(=O)N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 553722982 SXYRATAYPLFFEP-TYILLQQXSA-N 422.403 4.706 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(-c2cccs2)n(-c2cccc(F)c2)n1 591719098 DUAPUJNSBJTMPQ-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD COCCN(C(=O)c1ccc(C)c(C)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 603461431 SFOIIBFNRIQUTE-UHFFFAOYSA-N 411.483 4.628 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(C)cc2OC)cc1 604006785 UQYFRVUFIRRCKN-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(C(F)(F)F)cc1Cl 609555986 PWDWCTQGFRAPGF-UHFFFAOYSA-N 402.760 4.501 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)c2cnn(C(C)C)c2)cc1 609568044 UPDMUIDZOFLYIG-OAHLLOKOSA-N 424.457 4.664 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2OC(C)(C)C)cc1[N+](=O)[O-] 609657556 KLKBEUMXKZEJIA-UHFFFAOYSA-N 404.488 4.653 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCc2ccccc2F)CC1 611261768 WKTXVHZAXYNILN-UHFFFAOYSA-N 418.490 4.667 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCC[C@H](c3nc4ccccc4o3)C2)c1Br 612438345 UWKNLSWGDPJCMR-LBPRGKRZSA-N 417.263 4.586 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-n4nccc4C)cc3)n2)cc1[N+](=O)[O-] 619729679 YAKUVIQIJHHESP-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2ccccc2Oc2cccnc2)c1 728325843 SYWFSXYLASCBEX-UHFFFAOYSA-N 408.410 4.603 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4ccccc4Br)C3)sc2c1 731210008 IZXYYAZMYFYRQK-HNNXBMFYSA-N 420.288 4.545 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 731549268 WIPWCROJEWNATF-CQSZACIVSA-N 408.385 4.904 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 735491553 MGJZSHRDZJHXFM-SJORKVTESA-N 401.677 4.645 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C2(c3cccc(Cl)c3)CCC2)cccc1[N+](=O)[O-] 744536268 GMNRLQFPQJBMEM-AWEZNQCLSA-N 416.861 4.549 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)c1ccc(Oc2ccccc2)cc1 744776777 WXUWWAHFWUFPLG-AWEZNQCLSA-N 409.369 4.954 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@H](O)c2cccc([N+](=O)[O-])c2)cc1 750079590 HCXKQBBKUOTWCB-HXOBKFHXSA-N 406.482 4.997 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@@H](C)c3ccc(Cl)s3)CC2)ccc1[N+](=O)[O-] 750713343 AGMHMLSSEKPFCA-LBPRGKRZSA-N 424.906 4.634 5 20 HJBD Cc1cc(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)nc(-c2ccc(Cl)cc2)n1 751856069 NMZGWRXYMQEKEJ-UHFFFAOYSA-N 424.800 4.512 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 753205756 PJROBASMZGEKPM-LLVKDONJSA-N 400.303 4.522 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nnc(-c2cccc(C)c2)o1 753407202 UUNDPCQVEGUBIZ-CYBMUJFWSA-N 413.455 4.983 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1 758424612 WXWTUBDXPKAHMN-UHFFFAOYSA-N 401.438 4.557 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1)CC1CC1 771443048 HEFHZVDYARLOFV-UHFFFAOYSA-N 408.930 4.614 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1c(C(F)(F)F)ccnc1Cl 776877269 HNGZSJFVXCQATC-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1Cc2ccc(Br)cc2C1 781024210 ACCXPVIHMROTNF-UHFFFAOYSA-N 419.278 4.600 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 781202443 KOSMEWJMXSDXMZ-ZAFLOJKISA-N 416.302 4.629 5 20 HJBD Cc1cc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1Oc1cccnc1 781627629 CKLOLSGMUKPDRO-UHFFFAOYSA-N 422.397 4.570 5 20 HJBD Cc1cc(C)c(NC(=O)COc2cc([N+](=O)[O-])ccc2Br)c(Cl)c1 786744552 UHRMXTIEELPWJG-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c1F 790879090 NMNAIOPDJGPFTB-AWEZNQCLSA-N 406.841 4.627 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1cc(-c2ccccc2)nc2ncnn12 795250402 BXRQDBFZCUEPPA-UHFFFAOYSA-N 402.197 4.799 5 20 HJBD CCCc1[nH]nc(C(=O)O[C@H](C)c2nc(C)c(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 796265810 GXFZLEKLFSBROD-LLVKDONJSA-N 418.837 4.805 5 20 HJBD CCc1ccc([C@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804150241 DXADIMDOBWBFJF-HXUWFJFHSA-N 414.527 4.655 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(Cl)ccc1Cl 811218281 TUADJRVQKRVEQN-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD NC(=O)c1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1N1CCCCC1 811501217 HKQFIRBEIFOXOB-UHFFFAOYSA-N 423.300 4.603 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)n1 814879661 XZBLOPOVFTZVRW-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CS(=O)(=O)C[C@H]1CCCN(Cc2c3ccccc3c(Cl)c3ccccc23)C1 816619334 BNCMDNBDALHUNU-INIZCTEOSA-N 401.959 4.903 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 914781107 AYYYCUJIHRDGHB-UHFFFAOYSA-N 405.241 4.564 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)c2)cc1 917790409 HNKGVBBZQWKXQE-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=C(c1ccccc1)N1CCC[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 1116018652 HNGKULWYVDUPBT-GOSISDBHSA-N 421.522 4.718 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(-c2ccc(Cl)cc2Cl)o1 1257902403 QYSZPGRAQFCTCN-GFCCVEGCSA-N 411.249 4.557 5 20 HJBD O=C(Nc1ccc(-n2cncn2)cc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 1320088592 DGIFIRSACHANCT-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1326719980 IRGHCPUTAACORZ-UHFFFAOYSA-N 412.352 4.608 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(CCOc2ccccc2[N+](=O)[O-])o1 1327805999 DOCFEXYAUDLIJM-HNNXBMFYSA-N 405.410 4.893 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@H](NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1331105315 HJTFGQFZQCKWKA-GDNUSQEOSA-N 410.923 4.678 5 20 HJBD COc1cc(-c2nnc(-c3ccc(OC)c([N+](=O)[O-])c3)o2)ccc1OCCC(C)C 1341774291 RQZRFKRYYZIZEH-UHFFFAOYSA-N 413.430 4.754 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc(C(=O)c2ccc(F)cc2)cc1 3615441 VXONBXBFUFFFLP-UHFFFAOYSA-N 411.410 4.663 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 30860139 FOYLZGSJGWAJPP-OAHLLOKOSA-N 406.891 4.650 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCc2ccc(Cl)cc2)cc1[N+](=O)[O-])c1ccccn1 64677828 VQJGUNJVEIIXHJ-OAHLLOKOSA-N 424.888 4.789 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1N1CCCCC1 64777769 DKORLIJYDQZLDG-AWEZNQCLSA-N 403.866 4.645 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 65580122 FTSREQJDJFBNCX-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 69709763 YKXXTHRXAPTDAI-AOMKIAJQSA-N 422.506 4.990 5 20 HJBD O=C(Nc1ccc2c(c1)OCCCO2)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107778401 HVJAONFZCKAKFU-UHFFFAOYSA-N 406.394 4.801 5 20 HJBD CCOc1cc(C(=O)N(CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 109237040 TWBTWDRUVBABDQ-UHFFFAOYSA-N 420.465 4.892 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 195593987 RWLQNTMZHHXVKH-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](CCO)c3ccccc3)o2)c(Cl)c1 238195773 PGJNDYQCBNRIJA-MRXNPFEDSA-N 400.862 4.764 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(Nc3ccccc3)c2)cc1OC 303601148 XGXUESRENQDVNT-UHFFFAOYSA-N 407.426 4.998 5 20 HJBD COCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 427571672 DBBIKTRGMKCKIZ-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD CC(C)(NCC(=O)Nc1c(Cl)cccc1C(F)(F)F)c1ccccc1[N+](=O)[O-] 429252449 FHFYDFHQBXABQF-UHFFFAOYSA-N 415.799 4.730 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 429797051 SAPZETSDJVWOEI-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429917256 ALJJSMDKJVDJSM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 429991508 MKDJKLWMZMNRHK-NRFANRHFSA-N 400.438 4.866 5 20 HJBD COc1cc(CNCC2(SC)CCC2)ccc1OCc1ccc([N+](=O)[O-])cc1 433207988 SKTSBNHQBZPLEW-UHFFFAOYSA-N 402.516 4.558 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 435822623 RWQKPAVLQBPSGX-UHFFFAOYSA-N 422.431 4.630 5 20 HJBD CCC(=O)c1ccc(O)c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 436661108 WYWXENSFDKFYJC-UHFFFAOYSA-N 422.387 4.510 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1C(F)(F)F 440292271 PNCZSRBKKJDLLD-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1cc2cc[nH]c2cc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 444992912 YWQKKUBDXYJNKZ-IBGZPJMESA-N 424.457 4.589 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H](C)CN(C)c4ccccc43)cc2[N+](=O)[O-])CC1 460327561 AXNFCWCQKOIPRS-SFHVURJKSA-N 422.529 4.564 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Br)c(F)c1 460400483 AQVVVZOSABUPPZ-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)cc1 460556318 LXGCSMDPXWPUOB-UHFFFAOYSA-N 408.479 4.679 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)cc1[N+](=O)[O-])c1ccccn1 460596305 BPEZHYLRRMZECU-LSTDLKDCSA-N 408.502 4.862 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 462587849 BAXJACJETNWGBS-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 470135469 XQEUTQLHGOGHRF-VIFPVBQESA-N 418.372 4.621 5 20 HJBD COc1ccc(SCCCC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 474236969 HKLXGYQGOUHQNR-UHFFFAOYSA-N 400.500 4.667 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1nc2ccccc2o1 475810942 XMWYQFDESMHCLM-LJQANCHMSA-N 408.458 4.682 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)cc1 477633452 IBHMLXFERIFMPH-UHFFFAOYSA-N 413.480 4.693 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)CCC2 478054724 CQPAVMVSOKLQFL-KRWDZBQOSA-N 412.515 4.821 5 20 HJBD C[C@H](N[C@H](C)C(=O)N1Cc2ccccc2C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 479561041 TUMHRTVEENNOFV-JKSUJKDBSA-N 421.522 4.905 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1 481828580 DYWBHBIWCXESNV-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 482097007 INQFZYLTWHNSQJ-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD CN(C[C@@H]1CCCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 484289850 KRHFCLQXHWIWSR-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1noc(Cc2ccccc2)n1 485411022 UXCSFCPVXSSPBC-AWEZNQCLSA-N 419.416 4.943 5 20 HJBD O=C(Nc1ccccc1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485413150 YENWUYXFQBUUJC-UHFFFAOYSA-N 420.444 4.651 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485614438 NGPUFTDROMLEEK-WEYGHZABSA-N 417.465 4.647 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486110061 ZIXNSVOPDWZZGY-MOPGFXCFSA-N 404.388 4.908 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(Cl)cccc3s2)cc1OC 486198456 YUTBFMHQMICXCT-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD COC(=O)c1cc(NC(=O)Nc2cc(-c3nc(C)co3)ccc2C)c(C)c([N+](=O)[O-])c1 486871865 IGSURADYEHTUNI-UHFFFAOYSA-N 424.413 4.606 5 20 HJBD CC(C)C[C@H](CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491160150 GPOSVKQSAXQXCG-INIZCTEOSA-N 402.516 4.521 5 20 HJBD O=C(c1csc(-c2c(F)cccc2F)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492819913 URGNCRRINCTEJA-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(F)cc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 497800614 SMMLIXOCIQLSGJ-CABCVRRESA-N 414.330 4.568 5 20 HJBD CC(C)(C)c1ccc(C(=O)NC[C@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 500291213 JLSKRLGYTHNLAK-MRXNPFEDSA-N 415.559 4.596 5 20 HJBD COc1cc(C(=O)NCC2(c3cccc(C)c3)CCCCC2)c([N+](=O)[O-])cc1OC 501100005 GRXORLRWCZRQMK-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD Cc1ccc(OC2CCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)cc1C 501677741 HELGRTUYSIKTQY-KRWDZBQOSA-N 414.527 4.762 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCC(c2nc3cc(Cl)ccc3o2)CC1 510301042 ZWLOLTRSZKDAFC-UHFFFAOYSA-N 401.850 4.778 5 20 HJBD CN(Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1)[C@H](c1cccc(F)c1)c1cnn(C)c1 513107475 WKQNXTBXYABFFX-HSZRJFAPSA-N 420.444 4.949 5 20 HJBD Cc1cc(-c2nc3ccc(F)cc3n2C(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 513330509 XTNKIAPJCKFZGQ-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CC(=O)c1csc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 515337572 OORODZWXCOENKQ-UHFFFAOYSA-N 417.830 4.952 5 20 HJBD COc1cc(CN(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1OCc1ccccc1 520227157 IKAAJQYDDMEPGS-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](CC2CCCCC2)c2ccccc2)cc1[N+](=O)[O-] 523249422 NKZARQVJOBHCOD-HXUWFJFHSA-N 409.486 4.670 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2Cl)cc1 523514867 FJEPMMYCPGDFNQ-GOSISDBHSA-N 402.859 4.838 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1OC 523834820 KSSQAMUKULFQSX-LJQANCHMSA-N 412.486 4.548 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 524089184 CZCYIFKHHJZWFW-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD CCOC(=O)c1cc(N[C@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 531823106 WNQGQJLKGXAAHO-MRXNPFEDSA-N 421.453 4.919 5 20 HJBD Cn1cnnc1-c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 535837307 NQZNRVSHAXQSCQ-UHFFFAOYSA-N 409.833 4.916 5 20 HJBD Cn1cnnc1[C@H]1CCCN1Cc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 537620164 OXXPOQMPDSRBSD-QGZVFWFLSA-N 413.865 4.506 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)c(Br)c(F)c3)co2)cc1 540340196 BLXJMGMXOIOIID-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)c(Cl)c1Cl 542561692 HQTCHLGVEYLHFC-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD COc1ccc(-c2cncc(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)c2)cc1 546558936 JMGFRFKDCBRVSJ-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD C[C@@H](C(=O)N1CC=C(c2cccc(Br)c2)CC1)c1cccc([N+](=O)[O-])c1 557625244 SMPQYBVKFVGLKG-CQSZACIVSA-N 415.287 4.777 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C(C)(C)C)cs1 559611247 MGKJVGRGBJKTBX-VIFPVBQESA-N 401.410 4.859 5 20 HJBD C[C@H](C(=O)N(C)[C@@H](C)Cc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 561740653 WXZUJWZMZMZTSM-STQMWFEESA-N 412.383 4.946 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)C2)cc1 564738755 OBJHAAIHFJINSB-FUHWJXTLSA-N 414.527 4.565 5 20 HJBD Cc1cc(OCc2nc(Cc3csc(-c4ccccc4)n3)no2)ccc1[N+](=O)[O-] 572680404 HUTKCCXOHZJINT-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD COc1ccccc1-c1ccc(CN(C(=O)N=c2cc[nH]cc2[N+](=O)[O-])C(C)C)cc1 576375426 WPWNKAZZJVYCJB-UHFFFAOYSA-N 420.469 4.530 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 580797087 QGOMBMIHEGOZIQ-OYHNWAKOSA-N 407.495 4.596 5 20 HJBD CCc1nc2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2o1 604047580 JQYCMFWMUGUBTN-JTQLQIEISA-N 422.363 4.756 5 20 HJBD Cc1nc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)sc1Cc1ccccc1 604478475 FRHUCAFCZXBRBA-UHFFFAOYSA-N 406.467 4.544 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1cccnc1 608835493 NNHGNVGSWSUADN-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD COC(=O)C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(C(C)(C)C)cc1 610014299 IPUGMUBKXBHJRO-SFHVURJKSA-N 413.474 4.627 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc2nc(O)[nH]c2c1 610137494 XLKDLUUUQHJXLD-CYBMUJFWSA-N 422.416 4.959 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 610220996 TTZQLTLCSODSBQ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 613019210 FLJILLJZQXKHFR-DYESRHJHSA-N 414.477 4.638 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 652568999 JAKWCQKFQQJQAO-JOCHJYFZSA-N 412.489 4.527 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 727709575 WFGYIQYNUJHHPC-UHFFFAOYSA-N 403.212 4.887 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F 729659231 PHQPEBUBDNECEP-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCS[C@H](c2ccccc2)C1 735453556 FXWVVCKBONRWHV-NRFANRHFSA-N 411.527 4.515 5 20 HJBD Cc1nc(Cc2ccccc2)sc1[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 739589318 LLFUGCWLYPEQCU-HNNXBMFYSA-N 412.467 4.634 5 20 HJBD CCc1nc(C)c([C@@H](C)OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 741137021 DXRAGEDWUBHLHV-LLVKDONJSA-N 403.485 4.964 5 20 HJBD Cc1cc(C(=O)CCC(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)s1 760457270 XCDBAQHSVNAKFB-UHFFFAOYSA-N 414.439 4.634 5 20 HJBD Cc1ccc(-n2nc(C(C)C)cc2NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763486224 XEGYTLMFPAPNJG-UHFFFAOYSA-N 400.385 4.743 5 20 HJBD C[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2n1C 764532118 FWWJJXRPWKZBIL-GFCCVEGCSA-N 421.375 4.737 5 20 HJBD C[C@H](OC(=O)CCCSc1ccc(Cl)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 766824552 KOTJMEBDYSDXKD-ZDUSSCGKSA-N 422.890 4.691 5 20 HJBD COc1ccc(NCc2c3ccccc3cc3ccccc23)cc1NS(C)(=O)=O 770513070 ASDVKIVXLBZCRV-UHFFFAOYSA-N 406.507 4.985 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2c1 775493931 BBPBXWMEKFBVIM-UHFFFAOYSA-N 411.845 4.610 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C)C(=O)OC)cc1 776852411 RWXOAVQVZNNSBV-UHFFFAOYSA-N 417.487 4.942 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 777839830 YOVIVCMKIMLRTA-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD O=C(N1CCC(c2nc3ccccc3s2)CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777891958 VOZKSNCXANTSDY-UHFFFAOYSA-N 417.437 4.703 5 20 HJBD C[C@@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 780076904 YRCRSZFPYJSPDU-MRVPVSSYSA-N 424.196 4.575 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781686381 PZCBRRPMCDVGSH-UXHICEINSA-N 411.458 4.539 5 20 HJBD COC(=O)c1cc(NC(=S)Nc2cc(Cl)cc(Cl)c2)c(C)c([N+](=O)[O-])c1 792685621 MXTUCDCSRCKVOW-UHFFFAOYSA-N 414.270 4.806 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2ccc(C)cc2)c2ccccn2)cc1Cl 795207341 BIGIVAKTOUYVCR-FQEVSTJZSA-N 411.845 4.940 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(OS(=O)(=O)c2c(Cl)cc(F)cc2Cl)cc1F 796207507 GNWBRIFSVOMQGY-UHFFFAOYSA-N 418.588 4.601 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(O)(Cc2cccc(F)c2)CC1 809979226 PJNKQCOURWQOFG-UHFFFAOYSA-N 413.276 4.610 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(F)cc2cccnc12 811216021 HUSVNYMUDGNIJA-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD COC(=O)c1cc2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2o1 812917172 NORSPAQCAMZZOP-UHFFFAOYSA-N 409.181 4.687 5 20 HJBD CC(C)COC[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccco1 812960209 HLHBFLRATMZXAP-CQSZACIVSA-N 401.246 4.638 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccs1 814775509 NDZDBVVHWFZRDO-GOSISDBHSA-N 402.859 4.594 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)c(F)c1 816005381 KJRZHIICHNXRKE-GOSISDBHSA-N 418.327 4.677 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)N3CCc4ccc([N+](=O)[O-])cc43)cs2)cc1 918558689 UUQFSMMIEVBJGV-UHFFFAOYSA-N 407.495 4.974 5 20 HJBD COc1ccc(COC(=O)c2ccc(C3SCCCS3)cc2)cc1[N+](=O)[O-] 918900547 WWSGAGGRYORQTL-UHFFFAOYSA-N 405.497 4.829 5 20 HJBD Cc1ccc(-n2ncc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2C(F)(F)F)cc1 1118085897 MMVIRBLYQSHNIX-UHFFFAOYSA-N 415.331 4.825 5 20 HJBD Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2Br)nc2ccccc12 1252031814 IKLAGGUCOWKPMC-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(COc2ccccc2Cc2ccccc2)o1 1261428221 DSUUVZDGVXNGOS-UHFFFAOYSA-N 417.421 4.727 5 20 HJBD C[S@](=O)Cc1ccc(Cl)c(NCc2ccc([N+](=O)[O-])cc2Br)c1 1325764859 XVIXONDEERKUOF-QHCPKHFHSA-N 417.712 4.501 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cs2)c1 5672039 SYZGBRBKXOYFFX-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@H](OC(=O)c1ccc(SC(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 10768001 FJLCTQHHWQMYTI-JTQLQIEISA-N 421.381 4.878 5 20 HJBD CCn1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc21 21739296 GEMFLNNINQYMKY-CYBMUJFWSA-N 406.398 4.537 5 20 HJBD Cc1ccccc1NC(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 22254257 FRASLUPJOXTEFW-HNNXBMFYSA-N 403.507 4.514 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CCSc2ccccc2Cl)cccc1[N+](=O)[O-] 23208239 NMWDITXOKPUQAS-CYBMUJFWSA-N 422.890 4.609 5 20 HJBD CCCc1sc(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1CC 25192827 USWADMYPOCKCMY-UHFFFAOYSA-N 401.444 4.578 5 20 HJBD NC(=O)c1ccc(CN[C@@H](CCCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 27374405 FXYRUHBCAORKHV-QFIPXVFZSA-N 403.482 4.548 5 20 HJBD O=C(CSc1nc(-c2ccccc2)c[nH]1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 27659528 UUBGDCJRHORYKF-UHFFFAOYSA-N 422.388 4.735 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OCC(C)C 47545986 ABMBWGPRMFIQRR-CQSZACIVSA-N 418.515 4.851 5 20 HJBD Cc1c(C(=O)N(Cc2cccs2)c2ccc(F)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 71978451 MIVTYMDKMNNUAF-UHFFFAOYSA-N 415.402 4.859 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c(OC)c1OC 237248985 SGGIJBJVWCKKFJ-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1cc(Cl)ccc1Cl 302391960 ILCJLAQBAONNQX-LBPRGKRZSA-N 424.284 4.547 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 302973397 DMUWDLLSPVVTGQ-UHFFFAOYSA-N 405.888 4.945 5 20 HJBD CCC(CC)(CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])N[C@H](C)c1ccccc1 303427038 AXGRJVVCXJOTPX-MRXNPFEDSA-N 415.559 4.956 5 20 HJBD CN(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Oc2ccccc2)cc1 303435737 GVILNBHFRNOZER-UHFFFAOYSA-N 415.405 4.918 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303782399 IRFSHEGYSYLYAU-KBPBESRZSA-N 402.520 4.509 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1csc(CCc2ccccc2)n1 409865865 GIFKYFDCTZOKHU-UHFFFAOYSA-N 409.511 4.887 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@@H]1CCOC1 410234862 FEQPBZRATWBZIG-QWHCGFSZSA-N 406.891 4.554 5 20 HJBD CC(C)(C)C1CCC(CN[C@H](Cc2c[nH]c3ccc([N+](=O)[O-])cc23)C(=O)O)CC1 426729303 BRNUUSAQEQSLOT-QJXPYNDKSA-N 401.507 4.514 5 20 HJBD Cc1c(CNc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])sc2ccc(Cl)cc12 440648787 XSLPBDXIDGICJU-UHFFFAOYSA-N 416.933 4.848 5 20 HJBD O=C(Nc1ccc(OC2COC2)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440892415 KGHOVZAQEMIMFH-UHFFFAOYSA-N 422.462 4.776 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2c(Cl)cccc2C1 444089908 QLHIKOBOUYXKCG-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD C[C@H](Nc1ccc(-c2nc(C3CCSCC3)no2)cc1[N+](=O)[O-])c1ccccn1 445564546 HIOMXWGKBJSZOO-ZDUSSCGKSA-N 411.487 4.824 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 445983946 UURBRCFOUKAIEJ-MOPGFXCFSA-N 421.419 4.502 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccc(Br)o1 446424901 NUJUGIIGZJGDKL-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD Cc1ccccc1-c1nc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cs1 460350620 WDDXQYFOUOYVRB-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)CCc2cccc(Br)c2)cc1[N+](=O)[O-] 463375651 MMNMSKVFZMAIFU-AWEZNQCLSA-N 420.307 4.611 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 463928013 XQUSEIHAMPHDET-UHFFFAOYSA-N 412.433 4.629 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)Nc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2NC1=O 467006710 WHZCUZPJHABOIP-QLJPJBMISA-N 409.364 4.896 5 20 HJBD O=C(Cc1cc2c(cc1[N+](=O)[O-])OCCO2)Nc1cc(Cl)c(Cl)cc1Cl 467104351 LRCCUAAAQJUCFH-UHFFFAOYSA-N 417.632 4.507 5 20 HJBD CN(Cc1cc(Br)cn1C)C(=O)c1cc2ccccc2c2cccnc12 468222029 VZBIPOAOFJDXDZ-UHFFFAOYSA-N 408.299 4.761 5 20 HJBD CCC1(CC)Oc2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2O1 470722444 IKJGYGAHHSIJLQ-UHFFFAOYSA-N 422.441 4.535 5 20 HJBD Cc1cc2sc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])nc2cc1F 478086467 QAGLXFDNZVQGEO-UHFFFAOYSA-N 416.253 4.728 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 479287920 SKLRGINBQIKZKZ-MRXNPFEDSA-N 420.849 4.816 5 20 HJBD Cc1ccccc1CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C1CC1 481045829 JNWUPNRABPHMHZ-UHFFFAOYSA-N 408.376 4.649 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)n2)s1 481130795 FKFNRWBETSMCOG-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)co1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 482120443 LJFYWOZAAOQGIX-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1cccc(F)c1 485394763 AGXLMFAKKUPQCF-NRFANRHFSA-N 421.407 4.870 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485404829 LRUMRPLQTKKKNR-UHFFFAOYSA-N 422.460 4.524 5 20 HJBD CNC(=O)Nc1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 486860026 ROVPDNGKQIDHGJ-UHFFFAOYSA-N 422.466 4.750 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3cccnc3Oc3cccc(F)c3)n2)cc1 486920953 AYKZXHBWRHYGON-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2c(F)cc(F)cc2Br)cc1[N+](=O)[O-] 487236560 WIKXKGKGZZEGSV-SECBINFHSA-N 414.206 4.827 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(c4ccc(Cl)cc4Cl)CC3)nc(O)c2c1 488438142 FPGJJTLPJZMFGR-UHFFFAOYSA-N 419.268 4.934 5 20 HJBD COc1cc(C(=O)NC2CCSCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 504873126 PLDXPJGORMZTOV-UHFFFAOYSA-N 422.890 4.675 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1noc(Cc2ccccc2)n1 504931448 YBFYATKGPRDCIH-RDJZCZTQSA-N 412.515 4.790 5 20 HJBD CCOc1cc(CN(C)Cc2c(F)cc([N+](=O)[O-])cc2F)ccc1OC(F)F 509274737 ASRNYTYVEZFOGG-UHFFFAOYSA-N 402.344 4.505 5 20 HJBD CC(C)Oc1ccc(Cl)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 512837709 JVZAZDLGXQSQOA-UHFFFAOYSA-N 400.765 4.889 5 20 HJBD CCn1c(S[C@@H](C)C(=O)C2(c3ccccc3)CC2)nnc1-c1ccc([N+](=O)[O-])cc1 517228426 PHQXLBXBSHGRNH-HNNXBMFYSA-N 422.510 4.655 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)c2ccc(Br)cc2)c1 520003296 NVQFEPONWKAQJA-UHFFFAOYSA-N 409.305 4.744 5 20 HJBD Cc1csc(N(C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2)n1 520014948 OZFBXSRHPSUKFE-UHFFFAOYSA-N 405.439 4.524 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)s2)cs1 522740851 PDFCFQMKWJTGNA-UHFFFAOYSA-N 421.931 4.643 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 534722020 NWVGZNCMOXZWEK-LBPRGKRZSA-N 416.275 4.601 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1ccc([N+](=O)[O-])s1 535335958 SROHHQKUSYBYGI-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1c(C)noc1C 536033367 YXLTYZCQYOXXLZ-LBTNJELSSA-N 414.506 4.563 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2cccc(Cl)c2)C1 536170719 SYVFZMGXLLTGHY-SCLBCKFNSA-N 414.849 4.513 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)s2)cc1 536171764 RRHQTSQBERIBJF-UHFFFAOYSA-N 421.478 4.672 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccc(OCc2ccccc2)cc1 536212503 BVOSZSXBCKFYFF-UHFFFAOYSA-N 415.449 4.514 5 20 HJBD CN(C(=O)[C@@H]1SCCc2sccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154030 NKKBIFMCMGBQSY-MRXNPFEDSA-N 417.537 4.773 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 539152932 BRXCRKQTERICBI-CRAIPNDOSA-N 420.849 4.862 5 20 HJBD Cc1csc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C2CC2)n1 541585477 IWEVZLOCZDHLFA-ZDUSSCGKSA-N 400.382 4.651 5 20 HJBD COc1ccc(NC(=O)c2ncoc2-c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 542200502 AERVRDHWIVJALW-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 543516613 XUPAWEJNTFBDLL-HNNXBMFYSA-N 410.499 4.706 5 20 HJBD CCCc1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1 544032775 LYSLFTTYDVOYJJ-UHFFFAOYSA-N 405.458 4.560 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(/C=C\c2ccc(OCc3cccnc3)cc2)n1 544912823 UTKXAHNJMBVNNI-XFXZXTDPSA-N 414.421 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2c[nH]c3cccc(Br)c23)no1 546488188 BUWZLAROIIAYQD-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(Cc2c(F)cccc2Cl)no1 546961594 JTJAGZJUTWIKAK-UHFFFAOYSA-N 412.204 4.636 5 20 HJBD CC[C@H]1CCCC[C@H]1c1noc(-c2ccc(Sc3nncn3C)c([N+](=O)[O-])c2)n1 551536613 VMQVUISKKIPRHM-GXTWGEPZSA-N 414.491 4.608 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 551811264 ILSIWKGORXECGO-ZDUSSCGKSA-N 421.469 4.732 5 20 HJBD CC(C)(c1noc(CNc2ccccc2[N+](=O)[O-])n1)c1ccccc1Br 558516976 NTFUODDWZDCTFY-UHFFFAOYSA-N 417.263 4.678 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(CN3CCCC3=O)cc2)no1 567648904 UVMNVEMMMAOQDO-SFHVURJKSA-N 420.469 4.504 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(Br)c1Cl 568667204 VTOOHEPRGSKFRP-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD Cc1ccn2cc(-c3ccc(NC(=O)CCOc4ccccc4[N+](=O)[O-])cc3)nc2c1 604431112 GTZMTTNFCJKGFC-UHFFFAOYSA-N 416.437 4.626 5 20 HJBD CCC[C@H](NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 609011983 ZZUSIYLVKXLUIX-INIZCTEOSA-N 410.392 4.822 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2nc(-c3ccccc3)cs2)CC1 609521791 KIBIAOGDMBOFPD-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCN(c4nc5ccccc5s4)C3)n2)cc1 609604057 KUUYHFVXMNMBCU-AWEZNQCLSA-N 407.455 4.639 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-n2cccn2)cc1C(F)(F)F 609784287 ZTORBHLSYHXANY-UHFFFAOYSA-N 424.766 4.634 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2[C@H](C)C[C@@H]3CCCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 609835920 QZDHNTBIJAVTPQ-MXTNKPTQSA-N 408.502 4.956 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610587731 VUZGPKHLHKIPIC-OCAPTIKFSA-N 407.108 4.534 5 20 HJBD O=C(Nc1ccc(-c2cncnc2)cn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 619607779 DPFLAYFZEJPYAQ-UHFFFAOYSA-N 421.482 4.734 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 619638189 PZLLBULFEBTLLO-AWEZNQCLSA-N 410.430 4.546 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3scnc3C)cc2[N+](=O)[O-])n1 727771442 ORDHKTCEHMTLCS-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3ccc([N+](=O)[O-])s3)ccc21 729411840 DMULWIFWSFIVSP-UHFFFAOYSA-N 403.460 4.596 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)c1 731528199 XFCFHWOWZOQGQJ-OAQYLSRUSA-N 422.412 4.888 5 20 HJBD CSc1ccc(-c2nc(C3(NC(=O)OC(C)(C)C)CCCC3)no2)cc1[N+](=O)[O-] 731799713 JKPULSQBBQAKSS-UHFFFAOYSA-N 420.491 4.661 5 20 HJBD Cc1nc(CCC(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])oc1-c1ccccc1 734895837 KIWYZSHEBQDILG-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H](OC1CCCC1)c1ccccc1 735445621 OJIYYNLEMYHMDT-OAQYLSRUSA-N 422.437 4.994 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)ccc1F 736865855 FXBXVWBUYKRDIV-JTQLQIEISA-N 405.769 4.664 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Br 741627517 HDRNLWWPQAIEEA-WWGRRREGSA-N 403.276 4.764 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NCc2c(Br)cccc2[N+](=O)[O-])c1 742391088 COORRJYPIZYJEW-UHFFFAOYSA-N 421.291 4.854 5 20 HJBD Cc1cccc(-c2nc(CC(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cs2)c1 746067326 PXNQFKIUGINGOG-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD C[C@@H](OC(=O)Cc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccccc1[N+](=O)[O-] 749058199 XBTBUOYJAYYTNG-CQSZACIVSA-N 400.431 4.789 5 20 HJBD Cc1c(C(=O)N(CC2CCCCC2)c2ccccn2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 752860912 ROYYDSVVCIXVSI-UHFFFAOYSA-N 412.446 4.742 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)s1 754631099 MHUUSAABPMZYMZ-UHFFFAOYSA-N 417.260 4.504 5 20 HJBD C[C@H](OC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 757406335 GJDMSKXHNAKZRX-INIZCTEOSA-N 404.422 4.789 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@@H](O)C(F)(F)F 758272038 YVBITMGKCVKLEZ-LJQANCHMSA-N 413.395 4.586 5 20 HJBD O=C(O[C@H](c1cccnc1)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 759587166 HGPUBNPOYQZPNT-SFHVURJKSA-N 402.328 4.955 5 20 HJBD O=C1S/C(=C/c2cccs2)C(=O)N1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760311846 RHDKOPNAXTZQTG-OVCLIPMQSA-N 413.436 4.548 5 20 HJBD O=C(NCC[C@@H](O)c1ccc(Cl)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2o1 760718493 WDIHQYOVEPEMPO-OAHLLOKOSA-N 409.225 4.501 5 20 HJBD Cc1nc(-c2cccc(-c3noc(COc4ccc([N+](=O)[O-])c(F)c4)n3)c2)cs1 762069331 KYWOZNJWQHHCKI-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD C[C@@]12CC3CC(C(=O)OCc4nnc(-c5ccc([N+](=O)[O-])cc5)o4)(C1)C[C@](C)(C3)C2 763097526 LLGOUFJWJWMJJE-BGCCBAISSA-N 411.458 4.685 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1noc(-c2ccc(Cl)cc2)n1 770011253 OSIHAURYQUSJNV-VIFPVBQESA-N 422.228 4.834 5 20 HJBD COC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc(C(F)(F)F)c1 771216902 RJYFYWYJDPROLK-UHFFFAOYSA-N 414.321 4.570 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccccc1[N+](=O)[O-] 772233638 XZOQCPSZWHEWLH-UHFFFAOYSA-N 401.425 4.968 5 20 HJBD CN(C(=O)c1cnc(Cl)c(Cl)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495283 GKWLQSRQQWLBPF-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1C(F)(F)F 776219085 WDROSAKQHHPLAF-GOSISDBHSA-N 402.328 4.955 5 20 HJBD CCN(CC)Cc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776221877 WKBQCSUVJAFMHG-QHCPKHFHSA-N 419.481 4.778 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1csc(COc2ccc(F)cc2)n1 778755600 BKGYBHLHESXLIS-CYBMUJFWSA-N 416.430 4.996 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784525519 FVMLPXOKLJXSEK-AWEZNQCLSA-N 417.387 4.654 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 786924396 ALBPRCYAUAISLS-LLVKDONJSA-N 413.352 4.771 5 20 HJBD C[S@](=O)Cc1ccc(Cl)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 812842793 TUOHTDBPUKTMCE-QHCPKHFHSA-N 417.712 4.501 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1cccc(CS(=O)(=O)c2ccccc2)c1 864012060 OVVAAQGHOWXBBQ-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD Cc1cc(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)c(C)[nH]1 914752763 CARDFTOIKNDSAP-UHFFFAOYSA-N 413.817 4.665 5 20 HJBD CC(C)[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 915369504 CQWAISZUFRRYBZ-INIZCTEOSA-N 411.241 4.722 5 20 HJBD CCSc1nn(CN(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c(=S)s1 917450071 IENHVRGHUSNQIL-UHFFFAOYSA-N 424.495 4.716 5 20 HJBD COC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 919892774 NNYYWPNPJIDXTR-UHFFFAOYSA-N 421.409 4.842 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 921154046 NSUZEPPNBAILLV-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)CCc1nc2ccccc2s1 1251637027 XHHPPHPCNHOWAM-UHFFFAOYSA-N 400.456 4.668 5 20 HJBD Cc1ccc(/C=C\c2nc(SCc3ccc([N+](=O)[O-])cc3Br)n[nH]2)o1 1320521899 WKYQWJGAERUWHT-SREVYHEPSA-N 421.276 4.840 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1[N+](=O)[O-] 1327213744 XXNNXEHYUNWDHC-UHFFFAOYSA-N 417.805 4.715 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1C[C@@H]1CCCO1 15503574 QHMMJPXYGAAMOJ-JTSKRJEESA-N 419.437 4.600 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c(Br)c1 16987524 ISUGMWDTMRFQRW-JTQLQIEISA-N 413.655 4.725 5 20 HJBD Cc1ccc2nc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)[nH]c2c1 21345992 XFWIXAFRJVNSFD-JTQLQIEISA-N 424.404 4.918 5 20 HJBD CN(CCC(=O)Nc1ccccc1Oc1ccccc1)Cc1ccccc1[N+](=O)[O-] 26349455 UASYCPMXKDLQRE-UHFFFAOYSA-N 405.454 4.848 5 20 HJBD CC(C)COc1cccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 56434969 XYMBLJKBWSPLDA-UHFFFAOYSA-N 414.505 4.506 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 117295308 AAGKWOUNNMYPID-CYBMUJFWSA-N 411.483 4.724 5 20 HJBD Cc1cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)ccc1Br 248114931 UOBFBVCEDPYSII-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 301065805 XZZURTNWHJBFAS-UHFFFAOYSA-N 401.772 4.732 5 20 HJBD Cn1c(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])nnc1-c1cccs1 301073079 GNUYQXRCCPBJFV-UHFFFAOYSA-N 422.491 4.834 5 20 HJBD CC(=O)c1ccc(NC[C@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)c([N+](=O)[O-])c1 301887047 PHVVFQZACJOJLU-QRWLVFNGSA-N 405.857 4.695 5 20 HJBD COc1cc(N2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)ccc1[N+](=O)[O-] 302060816 RCTJDTJTDVLESW-AWEZNQCLSA-N 414.849 4.691 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)c2ncccc12 302186473 PMQVZLUGKQGNRJ-SFHVURJKSA-N 403.360 4.740 5 20 HJBD CSC[C@H]1CCCN([C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)C1 429279676 VLTAZYSPUPUSBR-UWJYYQICSA-N 413.543 4.658 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2noc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 430474651 FFYRWXGKBVMTNH-JTQLQIEISA-N 418.862 4.511 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435833885 HDEYBPBCGAMECQ-UHFFFAOYSA-N 424.472 4.872 5 20 HJBD O=C(Nc1ccnn1-c1cccc(Cl)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439253155 BEMWDOUJIOBTQI-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 440815713 VXJWDEUNPCEIEW-CQSZACIVSA-N 410.455 4.605 5 20 HJBD COc1ccc(OCCN2CCc3sccc3[C@@H]2c2cccs2)c([N+](=O)[O-])c1 441257414 IKMFGONKJCTQDI-HXUWFJFHSA-N 416.524 4.753 5 20 HJBD C[C@@]1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 441274565 BYKNUFSFMPIPQF-IRLDBZIGSA-N 412.511 4.681 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)cc1OC 444858999 NLBCPOAUPLZBKL-OAHLLOKOSA-N 416.477 4.580 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC2CCOCC2)cc1 462625527 VOWRABBSDCIDGJ-LJQANCHMSA-N 412.486 4.608 5 20 HJBD C[C@@H]1CCCN1[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 464838257 NMIAJNYLJDCMPT-ACJLOTCBSA-N 418.291 4.521 5 20 HJBD CC1(C)C[C@H](Nc2ccc(Cl)c(N3CCNC3=O)c2)c2cc([N+](=O)[O-])ccc21 467408099 ZHTRUMQGIBPZNC-KRWDZBQOSA-N 400.866 4.612 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H](c2c(F)cccc2F)C1 469376364 CNJBAUDWXOLRAT-JTQLQIEISA-N 400.303 4.522 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Cl)cc1-n1cccn1 471872769 WMUWSKRHGDAQEL-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD C[C@@H](Oc1cccnc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 475084691 ARQFFDUWOOZSOP-MRXNPFEDSA-N 418.453 4.720 5 20 HJBD CCCCn1ncc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1C(C)C 482729874 WSQIZOACYDKIIR-UHFFFAOYSA-N 413.522 4.570 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)c2cn(C)c3ccccc23)c([N+](=O)[O-])cc1OCC 484111269 ZXYAQSWNJKBPTM-AWEZNQCLSA-N 411.458 4.626 5 20 HJBD O=C(N[C@H]1CC[C@H](Cc2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 485691435 XGXCBPDXTBAJTC-OLZOCXBDSA-N 409.305 4.560 5 20 HJBD CSc1cccc(C(=O)N(Cc2ccc(F)cc2)CC(F)(F)F)c1[N+](=O)[O-] 486424315 MRBBTUYWHFGGTA-UHFFFAOYSA-N 402.369 4.661 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cccc(F)c1)c1ccccn1 498249534 FEXGTKXEQFDKEG-QFIPXVFZSA-N 422.460 4.540 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(C(C)C)c3C3CC3)n2)cc1[N+](=O)[O-] 499132364 HMLBDALCRQCGJK-UHFFFAOYSA-N 411.487 4.934 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccccc2)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 502711732 JTCWFHNWDAIAPY-IBGZPJMESA-N 420.412 4.866 5 20 HJBD CCN(C/C=C\c1ccccc1[N+](=O)[O-])CC(=O)Nc1ccccc1C(F)(F)F 505571462 ZXJQHUULFWKDDD-CLFYSBASSA-N 407.392 4.587 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 505659281 IYHOABHFRIBQDL-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1nc(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2c(C)c(C)sc2n1 506826389 XJMRGFFXABRENT-UHFFFAOYSA-N 400.485 4.561 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)C1 509429422 KISPTFLUMLDECJ-GOSISDBHSA-N 421.432 4.514 5 20 HJBD O=C(NCc1ccccc1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 511310094 UUQNGZCBZPNLAH-UHFFFAOYSA-N 415.405 4.523 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1F 513333446 LIQPECSGZYKRSY-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)s1 518568721 LJJYPOLSQFSBPG-UHFFFAOYSA-N 408.439 4.771 5 20 HJBD CCN(CC)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CC1 521806672 ASIAVILCUIVYDJ-UHFFFAOYSA-N 411.502 4.581 5 20 HJBD O=C(CSc1cccc(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Br 523183533 XNTBCIUEHWACNS-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(C(F)(F)F)ccc1O 530876521 PFYBFWRFJXDJPO-UHFFFAOYSA-N 412.367 4.518 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4ccc(Oc5ccccc5)nc4)no3)c2c1 533954636 QQPNRCWBGKAAES-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2)cn1 540805481 RKBOHZNFDJEEMS-UHFFFAOYSA-N 400.504 4.735 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC2CC2)c(F)c1 542526023 CXRRVYNSVGYILM-CHWSQXEVSA-N 404.413 4.643 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCCCS1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 544037756 WBMVIRKLDCTSEF-KRWDZBQOSA-N 405.545 4.993 5 20 HJBD Cc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cs1 544466419 BSTYQXAXRXBFRE-UHFFFAOYSA-N 405.545 4.615 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1 553894703 QPUYDBJYBRUHRP-FQEVSTJZSA-N 417.893 4.558 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 603435069 IMBGXNWQJMTNNL-CYBMUJFWSA-N 407.392 4.705 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CCc2ccccc2)C2CCCC2)cc1OC 603530776 CTLGEOODCOZQFL-UHFFFAOYSA-N 412.486 4.630 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)ccc1OCC(F)(F)F 603864727 UPWKOVLYWMFEDG-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2ccc(C)cc2[N+](=O)[O-])cc1 604570926 DPJAGKARAFUQRF-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c2cc(Cl)ccc2S1 608939634 BYGPSWYZGMTSHI-NSHDSACASA-N 420.874 4.551 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cccnc1 609791904 TUSSCHSOAYOQLP-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1c(Cl)cccc1OCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609855344 YTHFTUXLRRJXLS-UHFFFAOYSA-N 416.783 4.527 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 609918579 CSEDIBWXBNGJHH-LPHOPBHVSA-N 409.511 4.865 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 610040049 AQMQRFNOKJCHBX-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(Br)c(F)cc2Cl)cc1[N+](=O)[O-] 610578630 NWIYGBMGOXPTIZ-UHFFFAOYSA-N 416.634 4.834 5 20 HJBD CN1[C@H]2CC[C@H]1CC(c1noc(-c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)n1)C2 612954240 FCBNUDCXAOOITE-ROUUACIJSA-N 405.458 4.729 5 20 HJBD CCOc1cc(NC(=O)c2oc3c(F)cccc3c2C)c([N+](=O)[O-])cc1OCC 619213111 QGBPEJXGNDLDDL-UHFFFAOYSA-N 402.378 4.838 5 20 HJBD CCc1ccc(-c2nc(CC(=O)OCc3c(F)cccc3[N+](=O)[O-])cs2)cc1 728988604 OBLQGFOOJJJWJC-UHFFFAOYSA-N 400.431 4.706 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1cccc(NC(=O)c2ccco2)c1 729118858 HPUKDEJGJINADX-UHFFFAOYSA-N 424.409 4.584 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)CCC1 731807821 RJCWVWMHSQSNJM-UHFFFAOYSA-N 416.459 4.763 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)cc2)nc1 733234311 CUFFEWONTJIWMB-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](CC1CCCC1)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734056813 UFRAAMPCPZPQKW-RYUDHWBXSA-N 416.396 4.700 5 20 HJBD Cc1cc(Cl)cc2nc(S[C@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)oc12 735234223 KVICQMMVOCDMJR-SECBINFHSA-N 409.826 4.956 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)cc(C)nc21 735820371 CQNVRWWUTNZYFB-LBPRGKRZSA-N 401.854 4.833 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3ccccc3Br)o2)cc([N+](=O)[O-])c1 744870785 FRQWUVLAOLIEEH-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cc(C)nc21 746073921 VVAMQRDJJGAKMF-GFCCVEGCSA-N 402.838 4.629 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 753691266 BBTDQWGXHFZEPZ-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 754898020 XGUIZTSVZXTEMJ-VIFPVBQESA-N 415.646 4.511 5 20 HJBD O=C(Nc1ccc(Cl)c2cccnc12)c1cc(F)cc([N+](=O)[O-])c1Br 754976271 IOJIMBZOBNCJGJ-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD O=C(NCc1cccc2ccccc12)c1cc(F)cc([N+](=O)[O-])c1Br 754979162 VXNGCCWPYIGILM-UHFFFAOYSA-N 403.207 4.580 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 759883706 OIRUHJYWKHQUNP-ZDUSSCGKSA-N 416.817 4.893 5 20 HJBD O=C(CCOc1ccc(F)c(Cl)c1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760498850 VYEBXGACWNMZDR-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3cccc(COCc4ccco4)c3)CC2)cc1 764909957 MIRNXUHRJRAZHP-UHFFFAOYSA-N 421.497 4.663 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@H](c2ccccc2)c2ccccc21 767143593 YUFNVWNMPVOGIN-HXUWFJFHSA-N 417.421 4.614 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(F)cc2Cl)C1 775433080 UBOSEKMEWMDLCT-INIZCTEOSA-N 414.220 4.646 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CNC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cc1 776270297 CFXOFPUUAVILMO-XUZZJYLKSA-N 401.466 4.531 5 20 HJBD Cc1[nH]nc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1-c1cccc(Cl)c1 778505850 JRFOEGXSYRVACJ-UHFFFAOYSA-N 406.776 4.677 5 20 HJBD O=C(OCc1nc2ccccc2s1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781259088 HMDKQKIGDTULLP-UHFFFAOYSA-N 422.412 4.926 5 20 HJBD C[C@H]1Cc2ccc(Br)cc2CN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918391 YOYAEHBWTZEXNG-JTQLQIEISA-N 424.682 4.989 5 20 HJBD Cn1ccc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c2ccc(Cl)cc2)n1 809919947 KCIHSFFOQWSMLL-KRWDZBQOSA-N 420.256 4.546 5 20 HJBD C[C@@H]1CN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC[C@@H]1NC(=O)OC(C)(C)C 809963683 XRLJHYOICPAUHW-ABAIWWIYSA-N 418.321 4.637 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1Cl 817862560 KVPGJYWZCVYMAC-UWVGGRQHSA-N 401.772 4.643 5 20 HJBD O=C(c1ccccc1)C1CCN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])CC1 914862622 OPRCUMCYFOKTTB-UHFFFAOYSA-N 423.347 4.621 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(NC(=O)C2CCCCC2)c1 915144486 RPIXXHBFLHYBTC-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c12 915837599 DNJOGCUNJBSMOI-UHFFFAOYSA-N 402.357 4.662 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1cc(Cl)ccc1[N+](=O)[O-] 916447666 CYFLZQKYHKRDLC-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2C(=O)COc2cc(F)ccc2[N+](=O)[O-])cc1 917170492 GDNMNPILFRQRAB-SFHVURJKSA-N 400.450 4.774 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 920286181 QQBJUNKPJZCQPM-JTQLQIEISA-N 402.756 4.680 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1nc(C(C)(C)C)cs1 1318315727 IUFFAGAAHQKDPL-CQSZACIVSA-N 416.547 4.830 5 20 HJBD COc1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1Br 1320331679 DTMGPRIOKMVPAR-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCc2ccc(Cl)cc2C1 1323116236 YJVJADPXJGWXMV-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2cccc(C)c2)nc2cc3ccccc3cc2c1=O 7577874 OCTZDUKKGHVKKJ-INIZCTEOSA-N 417.534 4.997 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1 11320940 HOLDSZCCJCXPHU-OAQYLSRUSA-N 422.462 4.610 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccccc3)c(C(C)=O)s2)cc1[N+](=O)[O-] 19005423 JLQSVDQHXVPHLZ-UHFFFAOYSA-N 411.439 4.572 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(OC(F)(F)F)cc3)c1)OCOC2 25226684 KJBBVZOKWYZOQJ-UHFFFAOYSA-N 401.362 4.793 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc([N+](=O)[O-])ccc3Cl)s2)cs1 25943267 BOFIACWTZTWVKE-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3ccc(-c4ccc(F)cc4)o3)[n+]2[O-])c1 47971383 CNPNWUIXYAEWHA-CMDGGOBGSA-N 403.369 4.860 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2c3ccccc3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 52916630 LRXPOKHNOBCQPD-CVEARBPZSA-N 402.454 4.754 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2cccc(F)c2)cs1 64722525 HYPKDUWFHQYSMD-JTQLQIEISA-N 421.837 4.917 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3ccc(F)c(F)c3)cc2[N+](=O)[O-])C1 65845660 UXBBXHAFRVRCEQ-OKILXGFUSA-N 403.429 4.632 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC3(CCCC3)c3c(F)cccc32)cc1SC 112532731 ZFGYBAUMJJJQHI-UHFFFAOYSA-N 416.474 4.937 5 20 HJBD CCO[C@@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 144054192 MWNFDAFQGYMFQB-QGZVFWFLSA-N 404.850 4.682 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3(c4ccc(F)cc4)CCOCC3)cs2)c1 237831581 NOQGVRJEOAQGTR-UHFFFAOYSA-N 413.474 4.653 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3CCCc4c3cnn4C)cc2[N+](=O)[O-])cc1 237968108 BUZAZYJROLSBHP-IBGZPJMESA-N 408.527 4.955 5 20 HJBD O=C(c1ccco1)N1CCC(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 301065690 DLPKAQICWOTZFZ-UHFFFAOYSA-N 417.771 4.577 5 20 HJBD Cc1cc(Sc2nnc(-c3ccc(F)cc3)n2C[C@H]2CCCO2)ccc1[N+](=O)[O-] 301127345 XFQRDTJGNVYDSX-MRXNPFEDSA-N 414.462 4.631 5 20 HJBD O=C(c1cc2ccccc2c(=O)o1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 409999623 PPTUWVDUOSXIFG-UHFFFAOYSA-N 400.390 4.548 5 20 HJBD CCn1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1-c1ccccc1 427388252 AWLFINOXHKPOJI-UHFFFAOYSA-N 404.348 4.749 5 20 HJBD O=C(CCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc2ccccc2c1 427592274 OPXCLICKTPTDDH-UHFFFAOYSA-N 402.450 4.902 5 20 HJBD CCCc1c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccccc1C 429683774 RKLKBUFVVDLDIB-UHFFFAOYSA-N 419.485 4.632 5 20 HJBD O=C(NCc1csc(CCc2ccccc2)n1)c1cc2cc([N+](=O)[O-])ccc2o1 434558322 SATWAPYWMHQNFQ-UHFFFAOYSA-N 407.451 4.513 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 436370078 XBDOTYCIMWSXEN-AWEZNQCLSA-N 406.432 4.835 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438013707 AIFUXQPCTVGOCF-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccc(F)cc2)CC(F)(F)F)cc1[N+](=O)[O-] 460447066 DNNXDVUQYGWCLS-UHFFFAOYSA-N 402.369 4.661 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1cccc(COc2ccccc2)c1 470836817 POXJSRANTMFFJU-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OC 480537311 DFQDUCJLULYFHZ-CQSZACIVSA-N 418.515 4.995 5 20 HJBD CC(C)CN(CC(F)(F)F)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 485868281 HVHOYCYNFVRUHP-HUUCEWRRSA-N 415.456 4.738 5 20 HJBD CC(C)c1oc([C@H]2CCCN2c2ccc(C(N)=O)cc2[N+](=O)[O-])nc1-c1ccccc1 486925989 HZMCICZCQNTARE-GOSISDBHSA-N 420.469 4.814 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1c([N+](=O)[O-])cnc2ccccc12 487913616 HWTDPEZCLQMBLS-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487974794 BXSXJMUDOYGEQB-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 488282501 RIPGVASBDAWZCS-KRWDZBQOSA-N 412.471 4.687 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 488686247 NSYDNPNRIZVFLI-BLVKFPJESA-N 408.376 4.783 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 493572011 FZLVISGTOMZEPH-MRXNPFEDSA-N 418.318 4.549 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CC2(CCC2)[C@@H]1c1ccccc1 495324645 VKBADHAPJGMPAR-IBGZPJMESA-N 415.287 4.654 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1)c1cccs1 497910599 LFDXGJKTTHZSFY-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC(c4c[nH]c5ccccc45)CC3)n2)c1 501807445 ADWJSLMMTFOPGV-UHFFFAOYSA-N 403.442 4.506 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])ccn2)CC1 505660326 LUVQWWNLNHLTOL-UHFFFAOYSA-N 403.866 4.531 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1cccc([N+](=O)[O-])c1 511436398 BVFHSLYYYYZMCA-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2)cc1 512997173 GXKBUVCGLNMUMP-UHFFFAOYSA-N 418.449 4.904 5 20 HJBD Cc1ccc(C)c(OC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 513656047 PWYZGZJXAZYSHC-QGZVFWFLSA-N 422.485 4.866 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)Cc2ccc(Cl)cc2)cc1SC 522961432 TVJFAIRXARXTQP-LBPRGKRZSA-N 408.907 4.682 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(F)cc1F)c1cccc([N+](=O)[O-])c1 522964250 GSABLKQMYNYGAK-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD COc1ccc(-c2ccc(CNc3ccccc3O[C@H]3CCOC3)o2)c([N+](=O)[O-])c1 531594464 SPGVGFWJMLLWBO-KRWDZBQOSA-N 410.426 4.643 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 533340036 ASAUUWSTXCVENO-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD CC(C)OCc1c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 538701157 JVOBVOKIUJNIBK-UHFFFAOYSA-N 416.861 4.892 5 20 HJBD O=C1CCCCN1CC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 538737910 KHFSNIPICOBAFE-UHFFFAOYSA-N 413.543 4.548 5 20 HJBD CCc1cnccc1[C@H](C)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 539096418 GHQWJMFYRBNZKH-HOTGVXAUSA-N 419.485 4.611 5 20 HJBD CCc1ccc([C@@H](NCc2cccnc2OCC(F)F)c2ccc([N+](=O)[O-])cc2)o1 541564623 AHKDAYGKBIOTQF-FQEVSTJZSA-N 417.412 4.668 5 20 HJBD CCc1ccc([C@H](N[C@H](C)c2ccc(NC(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 543403264 UACZONINXPESOO-SPLOXXLWSA-N 408.458 4.681 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Sc2ccc(Cl)c(C(F)(F)F)c2)s1 543960760 XDUBJRGZXRRMPY-UHFFFAOYSA-N 417.839 4.883 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cncc4ccccc34)n2)c(Br)c1 545764256 YFGCZTQZKXDQJY-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 574258302 BYEUPWMDRRVURA-LBPRGKRZSA-N 406.280 4.920 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(C/C=C/c1ccccc1)c1ccc2c(c1)OCCO2 603750352 HPTRVKNGXURLBA-QPJJXVBHSA-N 422.462 4.788 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(-c2ccncc2)on1 609756127 WXPQHSYDZDAPTG-UHFFFAOYSA-N 402.366 4.689 5 20 HJBD Cn1cc(C(=O)Nc2ccc(COC3CCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 610054545 RTMUZYUTXAWDRY-UHFFFAOYSA-N 420.469 4.707 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1 610204508 LMZITFLUYNWUTB-AWEZNQCLSA-N 416.437 4.638 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](N[C@@H]1CCC(F)(F)C1)c1ccccc1 610783462 VGUUZZGOJJUYQM-CXAGYDPISA-N 409.820 4.705 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC4(CC3)OCc3ccccc34)cs2)c1 611274762 XMMKUQIODNIKAS-UHFFFAOYSA-N 407.495 4.740 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2)nc1 733234495 HHXBBYFIUPLXPS-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD CC(C)(C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 733912124 OEFHJYBINZRLAG-UHFFFAOYSA-N 409.364 4.911 5 20 HJBD O=C(CSCc1cccc(Cl)c1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442940 LRDJIBVMEDMBEN-UHFFFAOYSA-N 418.858 4.880 5 20 HJBD O=Cc1ccc(OCc2occc2C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cc1 735535269 DYDFRECWMUPFTG-UHFFFAOYSA-N 415.785 4.590 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC[C@H](c2nc3ccccc3s2)C1 742389254 FDIDRMBLCRFCHM-AWEZNQCLSA-N 424.482 4.545 5 20 HJBD C[C@H](OC(=O)C[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 745224586 ZCSDMWOSAUTTAE-STQMWFEESA-N 424.375 4.678 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 747023340 GXPLCFMMWJLRGZ-WDEREUQCSA-N 411.241 4.576 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nnc(-c2cccs2)o1 747122697 ADIFFKYHEUHNMN-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)CC1 748539421 HDQDKVQDRYCLBR-UHFFFAOYSA-N 424.545 4.727 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536675 UARMPFKXBXTKOB-STQMWFEESA-N 404.850 4.946 5 20 HJBD C[C@H](Oc1ccc(Cl)c(Cl)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751652997 SNSRHMFHSSPVOM-VIFPVBQESA-N 411.197 4.554 5 20 HJBD COc1ccc([C@@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2OC)cc1 752782349 AORYHZQHNBNXFC-OAQYLSRUSA-N 423.494 4.688 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c1[N+](=O)[O-] 754126120 SMQWACKZBUGVCI-AWEZNQCLSA-N 406.841 4.627 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1C[C@@H](O)c1cccs1 754395848 CUCUOPNIQPCWPY-UKRRQHHQSA-N 402.497 4.599 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccc([N+](=O)[O-])cc1Br 757816762 MFNMFKJIQJEEMT-MRXNPFEDSA-N 417.259 4.534 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccc(Cl)c(Cl)c3)no2)C1 761372746 VBOZRJYYZLOHOW-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3cccc(-c4cscn4)c3)no2)C1 762301358 ZRTROUOBHWTLPV-GOSISDBHSA-N 419.422 4.634 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1ccc(Br)cc1[N+](=O)[O-] 765861683 GKWKQACQTKCUOO-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 768708025 XNMLLEKJSYBUFJ-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD COc1ccc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1OC(F)F 770008759 YCEIGLBVQWACRF-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD Cc1ccc(Cl)c(OCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776136498 VSPWKPMGDRGSSU-NRFANRHFSA-N 412.829 4.663 5 20 HJBD Cc1cc(NC(=O)c2ccc3c(c2)C[C@@H](c2ccccc2)OC3=O)ccc1[N+](=O)[O-] 777129193 GYJFKTIDBUMPSZ-NRFANRHFSA-N 402.406 4.610 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cc(C)cc1C 780282796 LWVGWZIXOMWYSM-UHFFFAOYSA-N 416.499 4.818 5 20 HJBD O=C(OCc1csc(-c2ccco2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259181 ABYOCCWUAVJFDO-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD COc1ccc(NC(=O)c2cc3ccccc3cc2NC(=O)C(C)C)cc1[N+](=O)[O-] 782810722 LWFATMGWAUDZCV-UHFFFAOYSA-N 407.426 4.603 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)c1cccc([N+](=O)[O-])c1Br 791116314 IDLZOIXYBHWJIU-UHFFFAOYSA-N 417.712 4.825 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 796262534 FGSOAPLBUHANTL-JTQLQIEISA-N 412.776 4.544 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H]1c1ccc(Br)c(F)c1 797575896 KETVGPZUHVOQIY-INIZCTEOSA-N 411.202 4.613 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCCCc3cscn3)cc2[N+](=O)[O-])n1 799658070 LTKFLYUUMIXVHU-UHFFFAOYSA-N 421.525 4.757 5 20 HJBD Cc1c(C(=O)Nc2nc3c(C)ccc(Cl)c3s2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 807767239 JNGLSKBVAFYNAV-UHFFFAOYSA-N 406.807 4.635 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2noc3cc(F)ccc23)CC1 809876751 MCAFVYRDIMZGNP-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cc([N+](=O)[O-])ccc1Cl 810930172 DRRSXPRALURSAJ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813203632 RIWJBCXSDBNXOD-UHFFFAOYSA-N 422.268 4.602 5 20 HJBD C[C@H](c1ccc(F)cc1)N1CC[C@@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C1=O 814720050 HSIHNRSAARXKCZ-BDJLRTHQSA-N 417.487 4.798 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC4(CC3)OCCCO4)cc2[N+](=O)[O-])cc1 816646164 ZCGJPJXRTZAOSJ-UHFFFAOYSA-N 414.527 4.783 5 20 HJBD O=C(OCCCc1ccncc1)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 863949581 SAPROCIBCVKWOF-UHFFFAOYSA-N 424.840 4.664 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)c1C 904506544 ZFMPGSYECQUENL-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)oc12 918210382 PIXKOLLDGJHRDV-HNNXBMFYSA-N 423.469 4.831 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1cscn1 920267841 KLOPURJAGWHFRL-ZDUSSCGKSA-N 414.439 4.557 5 20 HJBD O=C(Nc1cccnc1)c1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 921010639 QZUMUBJKDBUIKG-UHFFFAOYSA-N 419.418 4.676 5 20 HJBD CNC(=O)Cc1ccccc1NCc1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 1116319739 XDJMEAZAKJXBSC-UHFFFAOYSA-N 421.522 4.955 5 20 HJBD COc1c(-c2nc(-c3ccccc3COc3ccccc3)no2)cccc1[N+](=O)[O-] 1323574863 JNGXLXAHJLQFKY-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H](c2nc3ccccc3[nH]2)C1 1340377254 TYYQYWBBPSGVGP-ZDUSSCGKSA-N 415.291 4.613 5 20 HJBD Cc1cc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)n1Cc1ccco1 1346039501 KTYDRXQGPCKGKS-UHFFFAOYSA-N 421.409 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccc(C)o2)nc2ccccc12 6279033 NKRJBWRCEWPLGJ-UHFFFAOYSA-N 403.394 4.972 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1C[C@@H]1CCCO1 15503585 IPNNBZOJCBPDQY-HTAPYJJXSA-N 419.437 4.600 5 20 HJBD Cc1cc(C)c(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(C)c1 54005438 UDOBCTZHZHPMEG-SFHVURJKSA-N 413.474 4.725 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 56002384 CMODSJANPHMUTK-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCc1ccsc1C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 64689637 UPQZNBMHUJTIED-UHFFFAOYSA-N 417.512 4.635 5 20 HJBD CC(C)Oc1cccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 66022321 XTHVOOWFUQRANV-UHFFFAOYSA-N 410.392 4.516 5 20 HJBD Cc1ccc(NC(=O)CCSc2ncnc3sc(C)c(C)c23)cc1[N+](=O)[O-] 71938929 SBZSFVJNQGTSQB-UHFFFAOYSA-N 402.501 4.646 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccccc1 106255731 GDCUBLXVPNXXNX-UHFFFAOYSA-N 416.437 4.674 5 20 HJBD Cc1cc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)cc([N+](=O)[O-])c1 195692523 UUEYHKPHHQSHGJ-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD C[C@H]1CN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 301615258 BETYAQCMLWWBGN-XPTSAGLGSA-N 417.365 4.550 5 20 HJBD Cc1cc(N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302000191 IQNBRIVWQGJEHZ-QUCCMNQESA-N 412.396 4.581 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2)o1 429954157 WGBDLYDKRKEHCN-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435828010 RGECYOZBWFRODM-UHFFFAOYSA-N 418.852 4.947 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 437498006 DVEFSQNAUACNLO-CTYIDZIISA-N 410.417 4.768 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 438391743 LNZNCKNZCGFPRE-UHFFFAOYSA-N 423.391 4.775 5 20 HJBD CN1CCC(N(Cc2ccccc2)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 438717920 VTGGCIZQMOVIGE-UHFFFAOYSA-N 409.511 4.546 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(=O)Nc1ccc(Cl)cc1-c1ccccc1 443739439 PVPJHSOZXZAFJT-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CCC[C@@H]2c2ccc(C)cc2)cc1OC 443900061 VAGXUDBPDXSXCG-IEBWSBKVSA-N 412.486 4.719 5 20 HJBD O=C(Cc1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1)Nc1cccc(Cl)c1Cl 445363653 FTCZJKCCINKSTF-FPLPWBNLSA-N 419.224 4.636 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(CC(C)(C)CC(=O)c3ccc(C)cc3)n2)c1 445577817 XKQZUGHGUOJLKB-UHFFFAOYSA-N 423.469 4.727 5 20 HJBD COc1cc(CN[C@H]2CCCc3ccc([N+](=O)[O-])cc32)cc(Br)c1OC 447588777 VKNRGVWFOMLMFJ-KRWDZBQOSA-N 421.291 4.542 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@H]1O 467752783 MEOADLQOOKPGCF-SMMRIJLZSA-N 420.575 4.745 5 20 HJBD Cc1ocnc1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468025894 SJKOVRDTACUZPK-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD CC(=C\c1cccc([N+](=O)[O-])c1)/C=C1\CN(C)Cc2c1nc1ccccc1c2C(=O)O 468834965 FBOHDPQSKBLUQP-OMRAMHMRSA-N 415.449 4.774 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 471104151 NKIKMHRTVPBCLN-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD O=C(NCCc1cccc(OCCCc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 479811541 CKPQRDLVJIWQOJ-UHFFFAOYSA-N 404.466 4.579 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482931053 MDBHCVIVKGLDST-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD Cc1ccc(C(=O)N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 484011311 OTHXPXIEELFATN-HXUWFJFHSA-N 418.428 4.563 5 20 HJBD O=C(Nc1ccc(OC(F)F)c2cccnc12)c1ccc([N+](=O)[O-])c2cccnc12 484407716 PDOTZPPAEYNFRA-UHFFFAOYSA-N 410.336 4.545 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)c2sc(Br)cc2[N+](=O)[O-])s1 485480226 MSABRWPJPODHFW-VIFPVBQESA-N 401.307 4.766 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Nc2ccccc2)nc1 486200445 ZMRHSGXPAXUAPV-UHFFFAOYSA-N 424.482 4.724 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)c1ccc([N+](=O)[O-])c2cccnc12 486828910 WFXXNHBCUBXGET-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNc1ccc2ncccc2c1[N+](=O)[O-] 487091048 YFCAFFFBSBLDEV-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(SCCC(=O)OC)c1 489033323 IXVQDYWUCZDYMT-UHFFFAOYSA-N 420.512 4.614 5 20 HJBD COc1cc(CNc2cccc(-c3ncco3)c2)c([N+](=O)[O-])cc1OCC(F)F 491009967 RNOVEQHTJGKZIP-UHFFFAOYSA-N 405.357 4.514 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@H](c2ccccc2F)C1 502159357 RLVMZUDUXWUWBJ-ZDUSSCGKSA-N 422.406 4.728 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(COCCOc2ccccc2)c1 502685678 RJQYIZOUTNHAJY-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](COc2ccccc2)C1 505636479 XRDLPTOXSMDENU-MRXNPFEDSA-N 400.500 4.636 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)nc2ccccc21 506158766 XGVCPSUFDUXMSL-QGZVFWFLSA-N 410.499 4.664 5 20 HJBD CC(C)c1cccc(N(C)C(=O)c2ccc([N+](=O)[O-])cc2I)c1 508592014 ZPIFRVJCXWRTMF-UHFFFAOYSA-N 424.238 4.599 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccc(Cl)s3)nc2)c([N+](=O)[O-])cc1OC 512876159 OAVIXLIWFHDOKU-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)c1ccsc1)c1cc2c(cc1[N+](=O)[O-])OCO2 516247732 DZKNWVYKNVSKEY-SFHVURJKSA-N 416.842 4.558 5 20 HJBD COc1ccc(CNCc2cc(Cl)ccc2OCc2cccnc2)cc1[N+](=O)[O-] 518775692 CJQOAZIQIFVEOF-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 518949421 LRWKSXXXDVEBEL-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD O=C(NCCc1cccc2ccccc12)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 521238011 LSIBUTCLPRNWBC-UHFFFAOYSA-N 418.371 4.662 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 521633103 SKCOPBDAQCSJCK-LLVKDONJSA-N 402.501 4.625 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 524265558 UAOFFDLHFVUCMO-INIZCTEOSA-N 421.682 4.946 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)c(C)c2c1 524670184 GUZSVDSFDADMBI-ZDUSSCGKSA-N 400.456 4.628 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(-c4nccn4C)c3)o2)c([N+](=O)[O-])c1 532898214 YYUDMLYIABKYSB-UHFFFAOYSA-N 404.426 4.876 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)NCc2ccccc2[N+](=O)[O-])c1C 535458324 DFXLMBIYKWXGSV-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cccc4ncccc34)cc2[N+](=O)[O-])C1 536353820 JWKIOVJCHCYNBD-IAGOWNOFSA-N 418.497 4.902 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)Nc3sc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2)cs1 536684888 KJKDQVMUAFJYLB-SNVBAGLBSA-N 423.541 4.665 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 537554988 BBHNXVMPRHFXRL-UHFFFAOYSA-N 415.421 4.503 5 20 HJBD C[C@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 537946331 AFUFOQHFOXIEDX-JXFKEZNVSA-N 402.466 4.575 5 20 HJBD CC(C)(C)[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(F)cc1F 540426647 ZFLXHYIALLFTOR-SFHVURJKSA-N 402.401 4.800 5 20 HJBD COc1ccc(C2(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)CCC2)cc1 540450855 ZSMFBPRTPSMPQW-UHFFFAOYSA-N 423.494 4.812 5 20 HJBD C[C@H](Nc1ccc(-c2nc(Cc3cccc(F)c3)no2)cc1[N+](=O)[O-])c1ccccn1 544930837 GIJMYMJCHLEHRR-AWEZNQCLSA-N 419.416 4.943 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3cc(C(F)(F)F)ccc3Cl)n2)nc1 545078467 IZZUUTDQJXPNFC-LZCJLJQNSA-N 410.739 4.806 5 20 HJBD CC(C)(C)C(=O)N1CCC(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])CC1 545135135 LZBVKTPMWIMFTJ-UHFFFAOYSA-N 410.514 4.849 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1 545783581 XLFKDGSPUBLKQX-CYBMUJFWSA-N 412.471 4.689 5 20 HJBD Nc1ccc(-c2noc(Cc3csc(-c4ccc(Cl)s4)n3)n2)cc1[N+](=O)[O-] 546256858 GYOSFLCKCMZDJH-UHFFFAOYSA-N 419.875 4.656 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@@H]1c1ccccc1 546676362 PXYBRENLOFCMTF-GOSISDBHSA-N 403.276 4.654 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1cc(F)cc(Br)c1)c1cccc([N+](=O)[O-])c1 555315035 GNRJSGWARDBPQS-XIKOKIGWSA-N 421.266 4.964 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C2CCCCC2)no1 556420117 UYOYQPMOBRRRMT-JTQLQIEISA-N 412.368 4.535 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c1)c1ccc(F)cc1 557504594 XZDMFSJYKDEVDI-UHFFFAOYSA-N 423.429 4.603 5 20 HJBD COC[C@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1cccc(Cl)c1 564067613 OZUWNIJCISTKES-LBPRGKRZSA-N 400.660 4.514 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(OC)c(OC)c1C 567268029 JMCBDQRFSGXKMY-AWEZNQCLSA-N 418.515 4.914 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](c3ccccc3)C3(CO)CCC3)o2)c([N+](=O)[O-])c1 580296412 UFWIXNGHGCOQIV-HSZRJFAPSA-N 422.481 4.857 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)c1ccc([N+](=O)[O-])s1 581257090 JONKBXXFSWJHDZ-UHFFFAOYSA-N 412.311 4.966 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@@H]1CCc2sc(Cl)cc21 582698399 HEEUOMNVFVDEHQ-GFCCVEGCSA-N 419.812 4.815 5 20 HJBD COc1cccc(N2C(=O)c3ccccc3N[C@H]2/C=C\c2ccccc2[N+](=O)[O-])c1 603805551 PVAVNVFLMMUKOD-KCNXZKISSA-N 401.422 4.715 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 603940580 NIAMMTHMHPCLLF-LBPRGKRZSA-N 414.405 4.528 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 609012481 YUVMGSNABBXIKI-HXUWFJFHSA-N 416.886 4.767 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H]2CCc3c(Br)cccc32)c([N+](=O)[O-])c1 609039742 HHIPSGZDNLLCQP-MRXNPFEDSA-N 418.291 4.595 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 609192251 IFEUJCINGQKICJ-QLJPJBMISA-N 407.289 4.570 5 20 HJBD CCc1cc(N2CCCO[C@H](c3ccccc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 609233140 QUYUBYRHYBUPON-NRFANRHFSA-N 404.470 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(=O)C3CC3)cc2C(F)(F)F)c1 610222588 PXOVWWZJNAIPGI-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD Cn1cc(C(=O)Nc2cccc(SC(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 727345390 URSPQFFZMMSURT-UHFFFAOYSA-N 404.398 4.562 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 731529981 LBXDVRXWIFRTBC-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@@H](c1ccc(C)cc1)c1cccnc1 733107891 BLVUSDVYDXHUJL-QFIPXVFZSA-N 405.454 4.559 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(SC(F)F)cc1 734987878 HTYHPHLYEHFUQI-UHFFFAOYSA-N 402.806 4.971 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ncc(-c3ccccc3)o2)cc1OC 741308431 GBKKLIHHFSIYSV-CYBMUJFWSA-N 412.398 4.575 5 20 HJBD O=[N+]([O-])c1cn(CNC2(c3ccc(Cl)cc3)CCCCC2)nc1-c1ccncc1 742147502 IMBYCSNGGGPDJD-UHFFFAOYSA-N 411.893 4.913 5 20 HJBD COc1cc(CN[C@@H]2CC[C@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 742383802 UNQOXKUXKYNMOC-MJGOQNOKSA-N 402.516 4.556 5 20 HJBD C[C@H](OC(=O)CCc1ncc(-c2ccc(F)cc2F)o1)c1ccccc1[N+](=O)[O-] 749055452 LKDYHDDJEGOMTB-LBPRGKRZSA-N 402.353 4.765 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccoc1Cl 764749337 QJIAMCMNBCPUIV-UHFFFAOYSA-N 411.753 4.834 5 20 HJBD COC1CCN(c2ccc(Nc3nc(C)ccc3[N+](=O)[O-])cc2C(F)(F)F)CC1 765491957 YPUFVRJWVICHDR-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])C[C@@H]1CCCO[C@@H]1c1ccccc1 769214293 YTXOVSHVPAHGKL-OXJNMPFZSA-N 419.319 4.957 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 772227869 WAHJRIZMDGRYLA-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2N2CCOCC2)cc1 776316166 KIZZERYVNSRZTJ-UHFFFAOYSA-N 415.515 4.574 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(-c2ccccc2)cc1F 781610591 SWSQWGLEILOXGW-UHFFFAOYSA-N 409.373 4.880 5 20 HJBD CO[C@H]1Cc2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc2C1 784236086 RWULKUYSSBTYQH-NRFANRHFSA-N 417.465 4.573 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)c1cccc([N+](=O)[O-])c1 791056759 VOJFVMCMKOLAOG-UHFFFAOYSA-N 422.235 4.631 5 20 HJBD Cc1cc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)nc2cc1F 794252110 UVTVEKGQXMHQSP-UHFFFAOYSA-N 400.435 4.505 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812743963 YEPGAUVKIOFRFO-LQBOVUBWSA-N 422.529 4.577 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccc(Br)o3)no2)c1 904631201 ZZKYFONHOGSUOZ-UHFFFAOYSA-N 404.098 4.686 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 917776447 VVZFQXQTFCOAQT-UHFFFAOYSA-N 421.284 4.689 5 20 HJBD COc1cc2c(cc1NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1)oc1ccccc12 918027661 XLWUTTYBRDOMJD-UHFFFAOYSA-N 421.409 4.833 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCc4c(ncn4-c4ccccc4)C3)o2)c1 929066107 OWNUHSFEFDHWGQ-UHFFFAOYSA-N 400.438 4.599 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1116141795 HYHYUBWASKJNTC-UHFFFAOYSA-N 405.458 4.511 5 20 HJBD CC1(C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1(C)C 1116190669 LGZKKDFUTIQYRT-UHFFFAOYSA-N 415.877 4.761 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1F 1116220668 BBPLCQGTFYMRFI-UHFFFAOYSA-N 417.437 4.746 5 20 HJBD COc1cccc2cc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)oc12 1319933232 YSRZQQYESYTDHQ-UHFFFAOYSA-N 400.456 4.780 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccccc2)c(-c2nnc([C@H]3COc4ccccc4O3)o2)c1 1322683973 KWWLETDICURXDX-HXUWFJFHSA-N 417.377 4.950 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCOc2cccc(Cl)c2Cl)o1 1330052374 YKGBQTXKDVULSC-UHFFFAOYSA-N 424.240 4.875 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccn(CC(F)(F)F)n2)o1 1334421296 VPHYJEJGMLOFCT-UHFFFAOYSA-N 400.744 4.879 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1)C1CCCCC1 65438000 RUEWSCNEIBFYLN-UHFFFAOYSA-N 410.518 4.724 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] 65888964 UYWABJSYIKKQGC-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 301217658 FPFUNVYYHJBEJB-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD COc1ccccc1[C@@H]1CCN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C1 301388434 XGIJCIBYLQZLOH-LLVKDONJSA-N 411.336 4.524 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 302775049 FAXSPVKFNTWNKM-LBPRGKRZSA-N 409.364 4.613 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 410029583 MKEYISMPEBYDCM-HNNXBMFYSA-N 420.469 4.716 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 427368882 BNCCYKMNEMOOEW-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD CC1(C)C[C@H](Nc2cccc(CN3CCC(CO)CC3)c2)c2cc([N+](=O)[O-])ccc21 433234052 ITHXAOQSDIDGQY-QHCPKHFHSA-N 409.530 4.634 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437145553 YXFFURLIFQHAAE-GFCCVEGCSA-N 423.391 4.528 5 20 HJBD CC(C)(C)C1CCC(O)(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CC1 444040963 VCTVHSLXZFLZRE-UHFFFAOYSA-N 424.516 4.518 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])oc2ccccc12 445198395 VGUWUXJNCMRBEQ-SECBINFHSA-N 409.261 4.964 5 20 HJBD COc1ccc(C2(CNc3ccc([N+](=O)[O-])cc3Br)CCOCC2)cc1 462791836 SDFHEYNTNJOLAA-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD Cc1cnc(Sc2nnc(Cc3ccccc3)n2-c2ccccc2)c([N+](=O)[O-])c1 463051442 FCOZRXOEUNFZGR-UHFFFAOYSA-N 403.467 4.621 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1cccs1)CC(F)(F)F 470788245 LMEHAZKDHBZLEX-JTQLQIEISA-N 422.812 4.668 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cc1F 471553419 YJXOKVMXVQOUMO-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CC=C(c3c(F)cccc3F)CC2)cc1[N+](=O)[O-] 472776467 WJUGHVJDJNDJKB-CQSZACIVSA-N 401.413 4.741 5 20 HJBD COc1cccc(Oc2ccc(CNC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)cn2)c1 475567354 WQKUJSXMBMHNCS-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 478697931 NRLATVRSRUOYBV-QGZVFWFLSA-N 402.539 4.720 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 482143505 NQBZNEJXCGDTMP-QGZVFWFLSA-N 411.355 4.712 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(O)(Cc4ccc(F)cc4)CC3)o2)cc1 482310478 QPYMSFSJIPQTJA-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2Cc2ccccc2)cc1SC 483498246 WIMQZWRWWCHUTI-INIZCTEOSA-N 400.500 4.563 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1CN(C)c1ccccc1 483939268 GFZDRLGXBYFGNJ-UHFFFAOYSA-N 418.497 4.938 5 20 HJBD CSc1cccc(C(=O)NC[C@H](c2cccc(Cl)c2)N2CCCC2)c1[N+](=O)[O-] 486486009 ILUKJGSIJPOWAN-QGZVFWFLSA-N 419.934 4.537 5 20 HJBD Cc1nnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)c2)o1 487812162 ZZEKRZGXDSXSTB-UHFFFAOYSA-N 412.471 4.954 5 20 HJBD Cc1c(C[S@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 489901970 TXROQPBHKPIQIF-NDEPHWFRSA-N 420.556 4.782 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)cc1[N+](=O)[O-] 491110130 PHQDXWOAVVDZKD-AWEZNQCLSA-N 417.556 4.672 5 20 HJBD CC1(C)C[C@@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccc(F)cc2O1 491346431 OHASSGOICHOLTQ-LJQANCHMSA-N 413.449 4.710 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(C)n1Cc1ccccn1 502013673 JSQBAWSSALNDCE-UHFFFAOYSA-N 406.486 4.507 5 20 HJBD Cc1sc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1-c1c[nH]c2ncccc12 503916503 LDNQCEONVULBAG-UHFFFAOYSA-N 419.422 4.902 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1cccc(OC)c1 506180927 WYCSSYRZOQIGOZ-INIZCTEOSA-N 420.469 4.838 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3)s2)cc1 506314295 KJGJMOLDUMTQNK-ZDUSSCGKSA-N 412.471 4.918 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 508164875 VWJPYZFZHKLENK-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COc1cc(C(=O)Nc2cccc(COCCc3ccccc3)c2)ccc1[N+](=O)[O-] 512127695 AOFXZOFGPPOJRQ-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)c1 514971473 ADFSSYQHAWXBES-UHFFFAOYSA-N 405.332 4.656 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 518523667 NVYBDYJHGFHSBX-VIFPVBQESA-N 409.780 4.876 5 20 HJBD O=C(CCCc1nc(O)c2ccccc2n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 521074590 JWPZRMZSPJAORH-UHFFFAOYSA-N 420.469 4.542 5 20 HJBD Cc1cccc2c1CC[C@H]2NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 521480477 GORCZIUPGWRPPZ-IIBYNOLFSA-N 416.481 4.889 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2cccc(OCc3cccnc3)c2)no1 521909421 UFFKIBRABJJRTA-KHPPLWFESA-N 400.394 4.789 5 20 HJBD CN1CCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)Cc2ccccc21 522708919 PEKYFOUIKKUEDA-UHFFFAOYSA-N 418.453 4.871 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 524337041 DVMYQCJDYWKPPA-SFHVURJKSA-N 401.875 4.899 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1NC(=O)c1cccs1 524556036 LJCRRHZBVHARQO-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCc2c(Cl)cc(Cl)cc2C1 535379852 HDWZHKWMOFWMOA-UHFFFAOYSA-N 404.253 4.510 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(F)c(Cl)c1 535506870 GNYNKWDMHWVYKA-UHFFFAOYSA-N 421.837 4.914 5 20 HJBD CC[C@](C)(NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc(C)cs1 543444900 GBCVKDADNSAUAG-HNNXBMFYSA-N 402.398 4.825 5 20 HJBD O=[N+]([O-])c1ccc(COc2ccc(-c3noc([C@H]4CCCO4)n3)cc2)c(Cl)c1 543862759 NDMJEMNUUZOLTE-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD C[C@@H](c1nc(Cc2cc(Br)ccc2F)no1)c1ccc([N+](=O)[O-])cc1F 545574334 BPIBDJUPYBFETE-SECBINFHSA-N 424.201 4.761 5 20 HJBD Cn1ccnc1[C@@H](NCc1cccc(COC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 552047898 ABFPFNKCICTTBE-NRFANRHFSA-N 408.502 4.523 5 20 HJBD CSc1cccc(C(=O)Nc2ccnc(N3CCc4ccccc4C3)c2)c1[N+](=O)[O-] 552921527 SPEKLVWPUWUHSQ-UHFFFAOYSA-N 420.494 4.527 5 20 HJBD CCc1ccc([C@@H](NCc2cc(C)nc(N3CCCC3)c2)c2ccc([N+](=O)[O-])cc2)o1 566365600 XKIDCNMVFQBHTQ-DEOSSOPVSA-N 420.513 4.933 5 20 HJBD C[C@H](C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 571143638 DPELXAJOYLGJON-JTQLQIEISA-N 420.903 4.993 5 20 HJBD COc1cc(CN(Cc2cccnc2)C2CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 580499212 RXVIYMBRHCYBRX-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCc3nc(C)cs3)cc2[N+](=O)[O-])cc1 603558650 GEOWQGQHTHEVTC-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(OC(F)F)cc1 603943566 SNACCAUDTFCCSX-ZDUSSCGKSA-N 405.401 4.605 5 20 HJBD Cc1ccc(C[C@@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccccn2)cc1 609670671 HRLFDLBAALCTFD-HXUWFJFHSA-N 402.454 4.579 5 20 HJBD CC(C)Oc1cccc(CSCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)c1 609769718 PKGCZIVMHUVFST-UHFFFAOYSA-N 402.472 4.613 5 20 HJBD COC(=O)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1cccc(Cl)c1 609782285 MBEKIHCEJZMYPY-IBGZPJMESA-N 424.909 4.747 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609970532 OMZDFPAPKDDVNT-ZDUSSCGKSA-N 418.375 4.617 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCOc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 610967173 QODLDJUGPDDCRR-MRXNPFEDSA-N 418.453 4.592 5 20 HJBD Cc1cc(C(=O)Cc2nc(O)c3cc4ccccc4cc3n2)c(C)n1CC(F)(F)F 614114912 GFSDJSSWFUCNDV-UHFFFAOYSA-N 413.399 4.895 5 20 HJBD O=C(c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1)N1CCCC1 726606329 FTKQXAFQZUBHIE-UHFFFAOYSA-N 421.522 4.849 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cc([N+](=O)[O-])ccc1Cl 726924833 JMVBWVQFERXCBD-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1)c1ccc(F)cc1 731988075 CYAFOMXZOBKEBI-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ncc(C(C)(C)C)o2)cc1[N+](=O)[O-])c1ccccn1 733463538 YSPRLYODUZGRBG-AWEZNQCLSA-N 424.457 4.805 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c2c1 737580838 FHMUTNCHRKSFJU-UHFFFAOYSA-N 410.433 4.716 5 20 HJBD Cc1csc(SCc2ccc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc2)n1 737871612 SWCBYHNJINZVAQ-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD CC(C)c1ccc(N2C(=O)/C(=C\c3cc(Cl)ccc3[N+](=O)[O-])NC2=S)cc1 739899528 QFKDBHQUFUCULP-MHWRWJLKSA-N 401.875 4.634 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)[nH]c1C 747874941 BWEDALGJKAPCRI-UHFFFAOYSA-N 419.466 4.978 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1)c1cccs1 749879585 HTUBSMYEYOOXLP-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1)c1cc(F)ccc1[N+](=O)[O-] 751111121 AOHURXCIAVKBMT-IBGZPJMESA-N 400.356 4.726 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](CNC(=O)c2cc3ccccc3c3cccnc23)C1 751162410 PBFXBGURTYEXRT-QGZVFWFLSA-N 419.525 4.765 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1F 756992046 YHCLXXUVMLCTAY-UHFFFAOYSA-N 423.828 4.664 5 20 HJBD COCCOc1ccc(CC(=O)OCc2nc3ccccc3c3ccccc23)cc1 759019659 XACBBFRTIVBWHE-UHFFFAOYSA-N 401.462 4.699 5 20 HJBD Cc1ccc(Cl)c(O[C@@H](C)C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760505873 VKYRUPBBXZEQFX-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(CCCNc2ccc([N+](=O)[O-])cc2)n1 761505231 PSUJTOHVLCYGFC-UHFFFAOYSA-N 419.441 4.577 5 20 HJBD Cc1c(CC(=O)O[C@H](C)c2nc3cc(C(F)(F)F)ccc3n2C)cccc1[N+](=O)[O-] 764536280 XKMCXCOUCUUZMT-GFCCVEGCSA-N 421.375 4.656 5 20 HJBD O=C(O[C@H]1CCOc2cc(F)ccc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 766300333 NJIZPWBSZOEJIO-INIZCTEOSA-N 400.387 4.538 5 20 HJBD O=C(O[C@H]1CCOc2cc(F)ccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 766301342 RKGBCRHIIFROEY-INIZCTEOSA-N 400.387 4.538 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 770425537 ICOKFDBGJGNLDJ-UHFFFAOYSA-N 410.682 4.720 5 20 HJBD C[C@H]1Oc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc2NC1=O 770484983 MIUQCVCWICNEPV-LLVKDONJSA-N 413.817 4.840 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1N[C@@H]1CCC(F)(F)C1 771174861 NOQSYMOIHOVJGH-VHSXEESVSA-N 406.336 4.702 5 20 HJBD O=C(COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Nc1cccc2ccccc12 781259072 ITLZZHOHCHKNHT-UHFFFAOYSA-N 422.440 4.630 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1ncc(-c2ccccc2)o1 783953122 VBCORMGMKUQVOL-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2c(Br)cccc12)c1ccccc1[N+](=O)[O-] 784036562 CIPFIKIYZIFKHI-JTQLQIEISA-N 417.215 4.529 5 20 HJBD CC(C)c1ccc(C(=O)OCC(=O)Nc2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 784258020 RRDVZGUPJOYBMT-UHFFFAOYSA-N 411.241 4.821 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nnc([C@@H]3CCCc4ccccc43)s2)c1F 791033527 DMRTYMJEPGHZKT-OAHLLOKOSA-N 412.446 4.614 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2)o1 797370759 ATFJEJGOYHGBKZ-UHFFFAOYSA-N 413.817 4.702 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@@H](c1ccc(C(F)(F)F)cc1)C(F)(F)F 809126331 LJSGZNKRNLOCDX-HNNXBMFYSA-N 423.265 4.839 5 20 HJBD CCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1OCCC 894663091 HHILVEAATCKMFA-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc3ccccc3n2C2CCCCC2)cc1[N+](=O)[O-] 916466925 JUUQVEJXDKUGLG-UHFFFAOYSA-N 423.429 4.919 5 20 HJBD CC(C)(C)N1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 1115880133 CJVWZMXWZWAUHS-UHFFFAOYSA-N 417.893 4.597 5 20 HJBD Cn1cc(-c2nnc(-c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])o2)c2ccccc21 1258077241 VJUHCQCFNQKHQA-UHFFFAOYSA-N 418.331 4.745 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3cccc4ncccc34)o2)c(Br)c1 1261427125 NKUGBOYVVHRMFD-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C1(c2ccc(C(C)C)cc2)CCC1 1318085901 IGKXWHPOZBDMTF-UHFFFAOYSA-N 410.470 4.630 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319132908 KCABEVHACFHRPN-UHFFFAOYSA-N 421.434 4.810 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(-c3cc(-c4ccccc4)on3)o2)c1 1328489030 DDFGNWZRAPWBIB-UHFFFAOYSA-N 403.398 4.567 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1ccc([N+](=O)[O-])cc1Cl 15640463 MXOGXLDTAHEWNY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccc(C3SCCS3)c2)cc1[N+](=O)[O-] 18216521 WTYBFGXAVMOIEA-UHFFFAOYSA-N 405.457 4.542 5 20 HJBD CCn1c(SCC(=O)Nc2ccc(C)c(F)c2)nc2cc3ccccc3cc2c1=O 22178088 FDEFREIJADCOFX-UHFFFAOYSA-N 421.497 4.748 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 22547610 KLKQOBBUNLJCCQ-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c3c(C)noc3n2)cc1 31930872 MTEPALDOXKLODE-UHFFFAOYSA-N 416.437 4.687 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1ccc(Br)c([N+](=O)[O-])c1 36752298 IUKWGWGHXAQNRB-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C(c1cccc(-n2nccc2-c2ccccc2)c1)N1CCc2cc([N+](=O)[O-])ccc21 60213244 KMJFYBYNQGQQAY-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@H]1c1ccncc1 64785432 XTGCGBPAXPKUMH-PBHICJAKSA-N 403.866 4.554 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 107514381 GIUKIDVUCCZXIE-FQEVSTJZSA-N 422.441 4.501 5 20 HJBD C[C@H](CC(C)(C)c1ccccc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 109208684 JWXAOBMPXUAJDA-GOSISDBHSA-N 409.530 4.684 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nc4ccccc4o3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301096900 IZDUPNXWUOFFNV-QGZVFWFLSA-N 415.453 4.885 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](c2ccc(Cl)cc2)c2ccsc2)c([N+](=O)[O-])c1 301272201 NYXWWWXWUGDBFX-GOSISDBHSA-N 422.915 4.915 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3ccc([N+](=O)[O-])c(-c4ccccc4)n3)CC2)cc1 301851319 FUKQFEHXECVTIQ-UHFFFAOYSA-N 416.481 4.682 5 20 HJBD COc1ccccc1COC1CCN(c2cc(C)nc3c([N+](=O)[O-])cccc23)CC1 302015651 LNLOYXXLUDHZAF-UHFFFAOYSA-N 407.470 4.646 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)CC3 302076539 WLNBBBNPUFMUGS-INIZCTEOSA-N 418.497 4.590 5 20 HJBD Cc1cc([N+](=O)[O-])cc(Cl)c1N1[C@H]2CC[C@H]1CC(N(C)C(=O)c1ccccc1)C2 302835854 VZXCKPLTVPSDFW-IRXDYDNUSA-N 413.905 4.829 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)Nc1ccc(F)cc1OCCC(F)(F)F 410048492 LCBCIHJWTVSFDK-UHFFFAOYSA-N 405.279 4.848 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3ncc4n3CCCC4)CC2)nc1-c1ccccc1 432926310 FFBRCADVHLLYJX-UHFFFAOYSA-N 403.486 4.574 5 20 HJBD CN(CCCCc1ccccc1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436076779 LDNMAJYJEZXKJB-UHFFFAOYSA-N 402.469 4.915 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 444682543 ALHRANMSCVYOKP-OAQYLSRUSA-N 420.444 4.692 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cc1F 446431709 CCKANVYBKLHMML-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460357353 RZEXOWWZCUUIFG-SJLPKXTDSA-N 410.417 4.905 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1CC(F)(F)F 460400782 LUYVYWHBNGAHHS-JTQLQIEISA-N 402.756 4.759 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H]3CCC[C@@H]32)cc1[N+](=O)[O-] 460411251 YDYCMJOZFJMCKE-KXBFYZLASA-N 424.522 4.952 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 461325218 LUAFYYKVCXMRKF-SFTDATJTSA-N 407.470 4.512 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463553075 OKSCHKYVHRKVLI-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(CSCC(=O)N2CCCSc3ccc(F)cc32)cc1[N+](=O)[O-] 471243105 MDHZTJTZCILDNL-UHFFFAOYSA-N 422.503 4.505 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2nnc(CC(F)(F)F)s2)s1 475065015 BMNNIMUHSDXLQB-UHFFFAOYSA-N 400.407 4.892 5 20 HJBD Cc1c(CNC(=O)Nc2ccccc2COCc2ccccc2)cccc1[N+](=O)[O-] 480055506 BVPDVWUELFWURJ-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 484497031 ZAOMMQMRCDMYBF-CQSZACIVSA-N 405.882 4.553 5 20 HJBD Cc1ccc(-c2ccc(C(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)c(F)c2)cc1C 487012268 PQBGKHCFHFKYQL-UHFFFAOYSA-N 422.460 4.692 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 487371705 MKWXUXVWYLBBGE-UHFFFAOYSA-N 416.783 4.504 5 20 HJBD O=C(CSc1nc(-c2cccs2)nc2ccccc12)Nc1ccccc1[N+](=O)[O-] 500310127 YNNHIXVQVKZUNZ-UHFFFAOYSA-N 422.491 4.997 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccnc(-c2ccc(Cl)cc2)n1 500415703 RIRKANVTGCRJDH-UHFFFAOYSA-N 405.801 4.506 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1nccn1C 504927824 VGOGBXMUHGPTLK-YLJYHZDGSA-N 414.506 4.717 5 20 HJBD CSc1cc2c(cc1NC(=O)c1cc3cc([N+](=O)[O-])ccc3s1)OCCO2 505865582 DHUZQHJPLVOAMH-UHFFFAOYSA-N 402.453 4.555 5 20 HJBD O=[N+]([O-])c1cc(CNc2cnn(CC(F)(F)F)c2)ccc1Oc1ccc(F)cc1 507866683 ZNAXJYOFYJSNLU-UHFFFAOYSA-N 410.327 4.897 5 20 HJBD COc1ccc(Br)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 510001667 HDJPFUGJROXERZ-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD Cc1cc(Cl)ccc1OCCCNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 511737081 ZEYOMULEVDIYQU-UHFFFAOYSA-N 417.893 4.674 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](n2ncc3ccccc32)C1 511737149 MLPXVYYQGHUMRD-GOSISDBHSA-N 424.526 4.780 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(OCC(F)(F)F)cc1 517322209 UIWIGASXCKXNFE-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 523492511 ZJCPQEGBNWDJRY-HNNXBMFYSA-N 403.276 4.513 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(C(C)(C)C)cc2)cc1SC 524253158 IOOQMSFADDVCNR-UHFFFAOYSA-N 402.516 4.895 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cn2)cc1 525080816 SVWUURMJNPRUIN-UHFFFAOYSA-N 420.425 4.617 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 533986273 QSTQUMCVNOVZLX-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)c1 538423562 VYWPTRBEJWUUCK-UHFFFAOYSA-N 419.648 4.977 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(-c3ccccn3)oc2c1 538601461 PYSXRBFZDXDXRD-UHFFFAOYSA-N 417.425 4.629 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1nc(O)c2cc(-c3ccccc3)sc2n1 549167893 IMACWXPYJAYGAU-UHFFFAOYSA-N 420.494 4.647 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 553224207 SCOXIBMRMVMQKN-SFHVURJKSA-N 413.861 4.672 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)c1 554942104 BMDPZCJBXLRQSW-UHFFFAOYSA-N 414.849 4.525 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](CCO)c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 574235271 JGKZJZIRMQFAPT-IBGZPJMESA-N 416.861 4.730 5 20 HJBD O=C1[C@@H](Sc2ncc(-c3ccc(Cl)cc3)o2)CCN1c1cccc([N+](=O)[O-])c1 577642099 PLNSCPWGFXEENJ-KRWDZBQOSA-N 415.858 4.801 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccc(F)cc2)nc1 583216108 PINRCQWNSPQGNP-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])C1CCC(OC(F)F)CC1 584805079 XYAYFHGRVYOLMJ-UHFFFAOYSA-N 420.412 4.910 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1cc(C)[nH]c1C 603615896 HCCJKFYSRAKRGF-UHFFFAOYSA-N 413.455 4.697 5 20 HJBD CCOc1cc(NC(=O)Cc2csc3ccccc23)c([N+](=O)[O-])cc1OCC 603753665 LRYNIPJCIYKTRW-UHFFFAOYSA-N 400.456 4.788 5 20 HJBD Cc1cc(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)ccc1[N+](=O)[O-] 603905783 SNUUYXGKNVTCAL-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)c(Cl)c1 603970100 FYGJCBQZFVKSSJ-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 604078217 WNQUFEMWBGLGEN-IRXDYDNUSA-N 420.469 4.690 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1ccc(Cl)cc1[N+](=O)[O-] 604465948 URIDMLFYYWORKD-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD COc1ccc(CC(=O)N[C@@H](CCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 609011035 SXEHHBPGOFANQW-NRFANRHFSA-N 404.466 4.636 5 20 HJBD COc1cc(/C=C\c2nc3cc(Cl)ccc3s2)c([N+](=O)[O-])cc1OCC(=O)O 609322547 AVWMCOUXMSAUQE-DJWKRKHSSA-N 420.830 4.500 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1COc1ccc(-c2noc([C@H]3CCCO3)n2)cc1 609692988 OCKRJMQMCPZLCM-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1OCCC(C)C 610224382 GBDDKZSUPJOGGB-MRXNPFEDSA-N 400.475 4.828 5 20 HJBD CC(C)n1ncc2c(C(=O)N(C)c3cccc([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 611090756 SELPTVURGQYWBS-UHFFFAOYSA-N 415.453 4.864 5 20 HJBD CCOCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCC1 611207344 HACXVLASTBJRTD-UHFFFAOYSA-N 406.548 4.956 5 20 HJBD CCOc1ccc2c(c1)[C@@H](NCc1ccc(-c3ccc(OC)cc3[N+](=O)[O-])o1)CCO2 614101001 IQEOQACNXYTXDY-FQEVSTJZSA-N 424.453 4.876 5 20 HJBD O=C(Nc1cccc(N2CCC2=O)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 619239199 GFMCWHBQEQQDTR-UHFFFAOYSA-N 411.483 4.619 5 20 HJBD CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)s1 733936329 HOCGEYMRLSRIOU-LLVKDONJSA-N 403.685 4.953 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=S)Nc2ccc([N+](=O)[O-])cc2)cc1 734310298 WOOUTVVIEXZRGS-UHFFFAOYSA-N 401.532 4.891 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cs1 736810267 UQFYUYOGQUXDOB-LLVKDONJSA-N 419.484 4.629 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)ccc1F 736865859 FXBXVWBUYKRDIV-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD COC(=O)c1cc2cc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2o1 745565977 YGIHZXXFWXRUPO-UHFFFAOYSA-N 423.299 4.503 5 20 HJBD CCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1cccc(Cl)c1 752982875 QFDNSOQNGFGHST-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])n2)cc1[N+](=O)[O-] 753352410 BZQPOJMSIMYIMA-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD C[C@@H]1OCC[C@@H]1S[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754719333 JMZJGMGCTKZXGK-UYHISHBKSA-N 406.891 4.839 5 20 HJBD CC(C)[C@H](NC(=O)OC(C)(C)C)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 762020774 ALZPMEHLHBDAFN-HNNXBMFYSA-N 402.407 4.613 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 770379162 KUTNIBBPTKUUMJ-NVXWUHKLSA-N 415.490 4.732 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1[N+](=O)[O-] 784839976 ZNHJYWFWXBIRDD-LLVKDONJSA-N 424.359 4.786 5 20 HJBD O=C(NCC(F)(F)c1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 789860041 FILBXTMCGSTUBB-UHFFFAOYSA-N 419.609 4.533 5 20 HJBD Cc1c(C(=O)Nc2ccccc2CN(C)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 791029366 CDNUEBNYLQTITL-UHFFFAOYSA-N 420.425 4.700 5 20 HJBD CCOC(=O)/C(=C\c1ccc(-c2nc3ccccc3s2)o1)c1ccc([N+](=O)[O-])cn1 791428049 MYQQNQCRLFVMRV-PTNGSMBKSA-N 421.434 4.963 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl 795260993 JXRWXKQQUVWAQL-NSHDSACASA-N 406.797 4.732 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 806425976 UMIIERIHPXWLTO-HSZRJFAPSA-N 419.481 4.979 5 20 HJBD Cc1c(C(=O)Nc2cccc(COc3ccccc3)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 917853185 HDJZEBVDMFXQBJ-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 918214262 BJNIHSOQKXMPIA-UHFFFAOYSA-N 402.882 4.603 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)Cc2ccccc21 919682262 XFSPXYNPDMEZRJ-IAGOWNOFSA-N 416.481 4.922 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)n2)c(C)c1 921267982 ILVXSXZDFPKLPG-UHFFFAOYSA-N 415.446 4.800 5 20 HJBD CC(C)(C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1csc(-c2ccccc2F)n1 1117788266 IYCIJLQRWQGAHF-UHFFFAOYSA-N 411.458 4.677 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)o2)cc1 1257731315 QDRHETUJPPXRCS-LJQANCHMSA-N 422.441 4.720 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1 1262557901 PPZAACRKGWVXCJ-CABCVRRESA-N 408.298 4.540 5 20 HJBD C[C@@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)c(F)c1 1343663820 PJZWWMZLNUISIO-LLVKDONJSA-N 404.394 4.843 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nccn1-c1ccc(C(C)C)cc1 8409577 KUWHYBGGBYUWOR-UHFFFAOYSA-N 410.499 4.943 5 20 HJBD CCCc1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)sc1C 17728328 NBTYLARTZDYJKE-LLVKDONJSA-N 401.444 4.885 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)cc1[N+](=O)[O-] 24033377 AVSMVBBKXCXLGQ-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nc2sc(C)c(C)c2c(=O)n1C(C)C 36848969 SWVOZYAYXHDQTI-UHFFFAOYSA-N 419.528 4.865 5 20 HJBD C[C@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1cccc([N+](=O)[O-])c1 45677266 GYKLOADKWFXWSM-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 65692802 WWFLIOHAJVFZMF-CYBMUJFWSA-N 415.471 4.541 5 20 HJBD O=C(NCc1cccc(C(F)(F)F)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 71942883 USDJLEWNOIQOHY-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3c(F)cccc3OC(F)F)cs2)c1 237831975 JVCPRRNRJVLWOV-UHFFFAOYSA-N 409.389 4.749 5 20 HJBD C[C@H]1C[C@@]1(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 410376127 UERNXJYZGQEJFJ-NYHFZMIOSA-N 413.905 4.516 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2cccc(F)c2)C1 428707001 FNSOZZGKAIPMRT-OAHLLOKOSA-N 404.416 4.589 5 20 HJBD CC1(C)CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C[C@@H]1c1ccccc1 434236195 RWPROWNWFPXTQI-JOCHJYFZSA-N 410.517 4.807 5 20 HJBD COc1cccc(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)c1[N+](=O)[O-] 435911627 NXYJERVRUWYHMQ-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437122405 GGWQTCNMDIVFNG-MSOLQXFVSA-N 404.388 4.908 5 20 HJBD O=C(N[C@@H]1C[C@@H]2OCCC[C@@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439027170 IKOAEPMYRXTRHB-NXHRZFHOSA-N 418.902 4.697 5 20 HJBD CC(=O)c1ccc(OCC(=O)N[C@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 440833469 RVAPGQPGROQHRM-GOSISDBHSA-N 416.449 4.609 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cc(Cl)ccc1Cl 445527831 JJJOYXYYCLDFBM-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC1CCC2(CCOCC2)CC1 447685110 HZAAOYJKGAKTCB-UHFFFAOYSA-N 409.355 4.795 5 20 HJBD C[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1ccc(Cl)cc1 462747878 XQUKEKGNURWGRO-ZDUSSCGKSA-N 403.891 4.929 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)sc2ccc(F)cc12 464643011 KBEMZBVZSRLDLI-UHFFFAOYSA-N 414.458 4.941 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(F)cc2F)C(C)(C)C)c([N+](=O)[O-])cc1OC 468619389 GZAAVNWFVKSWJM-LJQANCHMSA-N 422.428 4.798 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(OC(C)(C)C)CC3)c([N+](=O)[O-])c2)cc1 468772470 BJBOYVXZEMKMJD-UHFFFAOYSA-N 411.502 4.939 5 20 HJBD CC(C)Nc1ccc(F)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 470107073 JONALXHLCKCCTO-UHFFFAOYSA-N 403.457 4.753 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CC=C(c3c(F)cccc3F)CC2)cc1[N+](=O)[O-] 472776460 WJUGHVJDJNDJKB-AWEZNQCLSA-N 401.413 4.741 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1NCc1ccccc1)c1ccc([N+](=O)[O-])[nH]1 477723114 WHUFAIUKYKEABL-UHFFFAOYSA-N 404.348 4.806 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccc4cc([N+](=O)[O-])ccc4n3)c2)n1 477802844 UNTVLAGUSUMPKE-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 478140924 WXCQCLFDWUQHRU-MRXNPFEDSA-N 422.460 4.665 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 479288253 UNXLTJNXISUIFC-KRWDZBQOSA-N 406.841 4.526 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1cc(Cl)cc([N+](=O)[O-])c1 479656819 CAGVLFGNOFUVQG-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD COC(=O)c1csc(-c2cccc(CNC/C(C)=C\c3cccc([N+](=O)[O-])c3)c2)n1 480475860 GXWHYUSDCHPZJW-DHDCSXOGSA-N 423.494 4.698 5 20 HJBD CN1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c2cccnc21 488226364 UHATZQHONNPBLV-UHFFFAOYSA-N 424.844 4.532 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1cc(F)c(C(F)(F)F)cc1F 489001935 ZKDWBWXYSRVNDI-JTQLQIEISA-N 423.359 4.876 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])cc1Br 489635543 FZFUDQNOKIJEOX-CABCVRRESA-N 403.276 4.814 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1CN(Cc1cccc([N+](=O)[O-])c1)C1CC1 490050571 QFBWIWNGRSKJIZ-UHFFFAOYSA-N 421.453 4.591 5 20 HJBD O=C(c1ccc(Oc2ccccc2)nc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498140120 KIQBFMXIDNEYLB-NRFANRHFSA-N 407.451 4.670 5 20 HJBD O=C(CSc1nccc2ccccc12)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498151856 FBWGJAVKURMVGO-FQEVSTJZSA-N 411.508 4.509 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1cccc(F)c1)c1ccccn1 498486893 IIYVBHBPBXROJM-SFHVURJKSA-N 400.797 4.693 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccccn2)c1 499010715 AGRPACVTGGDXRV-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD Cn1c(C(=O)Nc2ccc(Oc3ncc(Cl)cc3Cl)cc2)ccc1[N+](=O)[O-] 500351038 USXJUMKSRUWUIK-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)[C@H](C)CO1 501596641 LJOCRHZUOICZAA-CHWSQXEVSA-N 406.891 4.649 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2ccccc2F)C1 502139732 JMRAEVFLNACFLM-CQSZACIVSA-N 404.416 4.589 5 20 HJBD COCC[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccco1 503958522 DMIQEICAUKFONS-SFHVURJKSA-N 400.406 4.987 5 20 HJBD COCCSc1ccc([C@@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 505611061 PQTWPOLKGOLKJM-OAHLLOKOSA-N 417.531 4.645 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cccs2)c([N+](=O)[O-])cc1OCC(F)(F)F 506100393 ZWHHYZKZPJURMG-CYBMUJFWSA-N 416.421 4.943 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2cccc(Br)c2)c1 507087075 JBDKXQSIAPARRG-UHFFFAOYSA-N 423.332 4.787 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H](c1ccccc1)c1nccs1 509196324 NQVAIULWGARKJP-HNNXBMFYSA-N 420.397 4.564 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(CCC(=O)N3CCCC3)cc2)cc1[N+](=O)[O-] 510155151 WJCNMMFAIWUERS-UHFFFAOYSA-N 423.513 4.700 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 515531140 CGGCBVIFHDPUMR-HOTGVXAUSA-N 415.490 4.760 5 20 HJBD COc1ccc(CNC(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc1[N+](=O)[O-] 515771471 RNHGUGRWQWNMTO-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)Cc1ccccc1 516715294 BBLNIBKWDMEQNT-KRWDZBQOSA-N 407.495 4.699 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C/c4ccccc4[N+](=O)[O-])n3)c2)n1 517628459 KQHJERRCGWQHPF-ZHACJKMWSA-N 401.426 4.677 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(F)cc([N+](=O)[O-])c3N)s2)cc1 521682152 FKDCYVBAUUCCMP-LLVKDONJSA-N 414.462 4.547 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3csc(Br)c3)cs2)c1 522212604 RHMAFKVLIDEQDJ-UHFFFAOYSA-N 410.318 4.832 5 20 HJBD CC(C)(C)N(Cc1ccccc1)C(=O)COc1ccc([N+](=O)[O-])cc1Br 523109701 XFKNVCIJHWFJQZ-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD O=C(NC1(c2cccc(Cl)c2)CC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525368333 JKQQEQXHAWZTAB-UHFFFAOYSA-N 414.767 4.608 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](c1ccccc1)c1ccc(F)cc1 534636690 OWOZGUAZKLBVEJ-HSZRJFAPSA-N 403.413 4.664 5 20 HJBD COc1ccc(Br)c(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 534793144 RZCZSJCWRVPIEG-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2nc3ccccc3s2)CC1 536103418 QBLMQXHZBJJRFN-UHFFFAOYSA-N 411.483 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1ccc(-c2ccncc2)cc1 538238123 BNIFOSABTYOQDL-QGZVFWFLSA-N 404.470 4.645 5 20 HJBD CC[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(OC)cc1 539510779 DDRGYCCNIVNQSM-MRXNPFEDSA-N 411.483 4.884 5 20 HJBD Cc1ccc(OC(F)F)c(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 540613590 CJEJAOLXJOEJFD-UHFFFAOYSA-N 405.426 4.918 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](C)C[S@@](C)=O)cc2[N+](=O)[O-])c1 541368583 GLVDLYIGIXWVIT-DEXQXJORSA-N 404.532 4.676 5 20 HJBD CC(=O)c1ccc(NC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 542233337 MJNSJKMORDISHE-UHFFFAOYSA-N 405.410 4.640 5 20 HJBD COc1ccc(Cc2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1OC(F)F 544308772 WZZRJNBEDFKKOC-UHFFFAOYSA-N 419.384 4.505 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cc([N+](=O)[O-])c1 545053076 DNOQGVQGRMJGNU-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545832152 HPAZOUUELHTUOD-UHFFFAOYSA-N 410.405 4.541 5 20 HJBD CC(C)OCc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1O 558583699 OJEORTVBFAIQKM-UHFFFAOYSA-N 413.455 4.601 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2c3ccccc3CC23CCOCC3)c(Br)c1 558598793 PMWLRBGPFMSAQJ-GOSISDBHSA-N 403.276 4.863 5 20 HJBD C[C@H](NC(=O)NCc1ccc(COc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 604076359 XNKNYWARMNRPSR-KRWDZBQOSA-N 405.454 4.734 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nncs3)cc2)c1 604119159 PXKWQLJEZRPKGE-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD Cc1nc(-c2ccc(C(F)(F)F)cc2)sc1C(=O)NCc1cccc([N+](=O)[O-])c1 604470567 PADAFNRJTJNUDG-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Sc2ccccc2)c1 609382343 QENQFIXNSUOONX-OAHLLOKOSA-N 421.478 4.503 5 20 HJBD Cc1c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cnn1-c1ccc(F)cc1 609859803 FNMSUXGGJHBVTI-UHFFFAOYSA-N 408.433 4.817 5 20 HJBD CN(C(=O)c1cc(C2CC2)nc2onc(CC(C)(C)C)c12)c1cccc([N+](=O)[O-])c1 611090735 KKMOBIMZBDVACH-UHFFFAOYSA-N 408.458 4.874 5 20 HJBD C[C@@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2)n1-c1ccccc1)N1CCCCC1 619715181 VDRXSEQSMJFYKX-INIZCTEOSA-N 409.515 4.874 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 727763580 ZMCPDJZFCDUECJ-HSZRJFAPSA-N 419.481 4.702 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)/C(=C\c1ccc(F)cc1)c1cccs1 731586519 GGOUQDSBNNMTPG-RGEXLXHISA-N 412.442 4.531 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(Cc2ccc(F)cc2)n1 736160145 MABOYUNREQQDIH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H](C)CN(C)c3ccccc32)CC1 741968942 KBXQAPMMVWEBFM-GOSISDBHSA-N 422.529 4.564 5 20 HJBD O=C(Nc1ccccc1COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccco1 744251159 GHKLEWGXUUBOKQ-UHFFFAOYSA-N 406.350 4.543 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccc([N+](=O)[O-])cc2)o1 753723978 DOBRHHVSQMKDNY-DEOSSOPVSA-N 420.513 4.704 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2ccsc2)cc1)c1ccc([N+](=O)[O-])cc1F 755246519 DKWDABJYODJCBI-GFCCVEGCSA-N 414.414 4.757 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(Cl)c(Br)c1 759159118 HYQHOKSDQCWYKQ-UHFFFAOYSA-N 416.684 4.770 5 20 HJBD Cc1nc(C)c(C)c(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 761874197 OTYFABHVXUQWOC-UHFFFAOYSA-N 403.394 4.876 5 20 HJBD CC1(C)CC[C@H](NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 769152108 CPSJPTGIBFMWDF-ZDUSSCGKSA-N 415.877 4.809 5 20 HJBD Cc1cccc(C)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775087863 SEFOSJPEMLFIKN-UHFFFAOYSA-N 402.472 4.508 5 20 HJBD C[C@@H](CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(=O)c1cccc(F)c1 776136540 VTVYOENJZAMRQX-NPMXOYFQSA-N 422.412 4.671 5 20 HJBD Cc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])nn1C1CCCCC1 776349477 CJXIXAUCXUVISE-JOCHJYFZSA-N 420.469 4.946 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(F)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778073481 OTXUGMDJBJLDMG-CQSZACIVSA-N 406.282 4.636 5 20 HJBD CCC(CC)[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccs1 783313208 PXZQUHBEBOYKQM-MRXNPFEDSA-N 409.895 4.542 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2cccnc2)cc1)c1ccccc1[N+](=O)[O-] 796444562 QGQWXGGJAWBBBY-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H]1c1ccc(Br)c(F)c1 797575897 KETVGPZUHVOQIY-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD O=C(N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1)c1cc(F)c([N+](=O)[O-])cc1F 804364023 NNPHESZIQGYXAD-INIZCTEOSA-N 416.302 4.653 5 20 HJBD COc1ccc(-c2ccc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)c(F)c2)c(F)c1 812815750 XTCOFGHIFGDSBS-UHFFFAOYSA-N 403.385 4.678 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)nc1Cl 813304571 DGPLEEDCXOOOIB-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=C(Nc1nc2ccc(Cl)nc2s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 816429451 DIAXWNUAVDZQFZ-UHFFFAOYSA-N 417.859 4.629 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017923 NWNCNWNEBIHMAS-HNNXBMFYSA-N 416.499 4.689 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1cccc(S(=O)(=O)C2CCCCC2)c1 864029133 AETOZQINLKPSIL-UHFFFAOYSA-N 408.907 4.967 5 20 HJBD Cn1cc(-c2nc(-c3ccsc3C(F)(F)F)no2)c(-c2ccc([N+](=O)[O-])cc2)n1 904922061 YANKUEPHRRTFOQ-UHFFFAOYSA-N 421.360 4.793 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CC=C(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 913041168 WVYIDCSQXOYJBH-INIZCTEOSA-N 404.470 4.501 5 20 HJBD Cc1cccc([C@@H](CC(=O)OCc2nc3ccccc3c3ccccc23)NC(N)=O)c1 914644470 QDEONHZTMKHVGZ-JOCHJYFZSA-N 413.477 4.539 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(-c3ccccc3)cc2F)cc([N+](=O)[O-])c1 914732588 BQDQMYJPPWPMHV-UHFFFAOYSA-N 408.385 4.830 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CCN(C(=O)c2cccc3ccccc23)CC1 916403124 TUIGFTKPJASDDJ-UHFFFAOYSA-N 418.449 4.514 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)oc1C 1123918684 MTWWKCCHIVJAAH-UHFFFAOYSA-N 417.874 4.934 5 20 HJBD COc1ccc(CSCc2nnc(-c3ccc(Cl)cc3F)o2)cc1[N+](=O)[O-] 1258003764 INRGLQUPFGHAHG-UHFFFAOYSA-N 409.826 4.879 5 20 HJBD Cc1ccc(NC(=O)c2cnc3c(c2)c(C2CC2)nn3-c2ccccc2)cc1[N+](=O)[O-] 1318596249 OQTKDABRLTUMJM-UHFFFAOYSA-N 413.437 4.767 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(Nc2ccccc2Cl)CC1 1321139473 NJOXRVUMTIBEDF-UHFFFAOYSA-N 414.893 4.539 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n1 10578034 BZPNHHYFJCJZRF-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@H](OC(=O)c1c2ccccc2cc2ccccc12)C(=O)N1CC(=O)Nc2ccccc21 15798003 BHPPPZMNCLREQP-INIZCTEOSA-N 424.456 4.524 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccc(Br)c2)C2CC2)c1 25094027 RBRNMQYYJYYSLQ-UHFFFAOYSA-N 421.316 4.884 5 20 HJBD O=C(OCCCc1nc(-c2ccccc2)no1)c1cc2cc([N+](=O)[O-])ccc2s1 27313391 XEHDQTHVPVHIJD-UHFFFAOYSA-N 409.423 4.649 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@H]1CCc2ccccc21 30977286 BOWUQGPNZADAGZ-QFIPXVFZSA-N 401.466 4.966 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 52916470 YWORTKOPBLKIOW-INIZCTEOSA-N 402.454 4.756 5 20 HJBD Cc1c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cccc1NC(=O)c1ccccc1 55803192 RQUHCSDXJBQZDK-QINSGFPZSA-N 401.422 4.807 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 59280707 ASFNIGJHORMQIE-UHFFFAOYSA-N 418.375 4.597 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cccc(COCCc2ccccc2)c1 65478379 MMPJDSYKZPPARX-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD Cc1c(C(=O)N(C)c2ccc(Oc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 72059395 JPBFTVRSVJHBRU-UHFFFAOYSA-N 407.382 4.880 5 20 HJBD Cc1cc(C(=O)Nc2cc(C)nn2-c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1 195691310 BSDDBCASIZSAFE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1OC1CCCC1 410318245 HNNOPPOVESIZMB-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)cc1 426244995 SEVFFDBVKCYUCZ-CYBMUJFWSA-N 418.291 4.793 5 20 HJBD COc1cccc(C(=O)N[C@H](c2cccc(F)c2)c2cccc(Cl)c2)c1[N+](=O)[O-] 435812763 ZWDMUONKUURCKA-IBGZPJMESA-N 414.820 4.915 5 20 HJBD CCOc1cccc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1OC(F)F 436399400 YKDQCPNHNVBPJZ-UHFFFAOYSA-N 407.295 4.621 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCS[C@@H](C(C)C)C2)cc1 437213954 FMNXUELFNOMOMM-HXUWFJFHSA-N 416.499 4.609 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1NC(=O)CC(C)C 437479482 DMJGMHFFDCLVLY-UHFFFAOYSA-N 409.486 4.693 5 20 HJBD O=C(NCc1ccc2c(n1)CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442803123 RZBVOPMGSZUCFK-UHFFFAOYSA-N 419.506 4.950 5 20 HJBD CN1CCCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2cc(Cl)ccc21 443887682 WPJUAOGFTJHDBO-UHFFFAOYSA-N 413.783 4.754 5 20 HJBD Cc1cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])ccc1Br 444826818 IMILNVDPRWWIHZ-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD Cc1cc(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cc([N+](=O)[O-])c1 463188819 JHZBBDOQIHCCIW-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD CC1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOCC1 466250592 LWLGPENAFJMDTD-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(F)c(Br)cc1Cl 466458282 XNSQZMUVDKUOPR-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CC[C@@H]3CCCO3)cc2[N+](=O)[O-])cc1 467020320 GBGHBPUJHRZYCF-KRWDZBQOSA-N 400.500 4.696 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@@H](c2cccc(F)c2)C1 478250269 LLWZVMSHWYUMLP-UYAOXDASSA-N 414.480 4.746 5 20 HJBD Cc1c(CNC(=O)[C@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 481492295 MNHRDFDBYYQLDX-IRXDYDNUSA-N 407.495 4.879 5 20 HJBD Cc1ccc(OCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1C 486066478 DQKCQKQSKGRMNI-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD Cc1cc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)ccc1Oc1ccncc1 487672250 GVRNUSMGLDTEJI-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](CC(F)(F)F)c1ccccc1 488302328 CWQSVBLBPJVXKV-HNNXBMFYSA-N 415.799 4.784 5 20 HJBD C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](c2cccc(C(F)(F)F)c2)O1 488688179 SDEOHHQGMDICIU-BMIGLBTASA-N 401.772 4.628 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1c1cccc(Br)c1 489845347 SELMOADVPCXKAK-HNNXBMFYSA-N 409.667 4.988 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)s1 492909132 BQPMUDRZUPPXMO-LLVKDONJSA-N 409.305 4.714 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)c1csc(-c2cccs2)n1 503178509 IHVDOSLMITUTPC-UHFFFAOYSA-N 401.513 4.716 5 20 HJBD O=C(NC[C@@H]1CCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 505685495 BGBSMXZJCAEGGY-LLVKDONJSA-N 406.379 4.515 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 507692392 LOECICMOASEWGK-NSHDSACASA-N 415.343 4.504 5 20 HJBD Cn1cc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c(C(F)(F)F)n1 508327832 CCFFEHZKFZZPIR-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD COc1ccc(-c2ccc(C)c(NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)c2)cn1 509498315 AZXDJVOOUJOZFW-UHFFFAOYSA-N 417.425 4.636 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1N=C(c2ccco2)C[C@H]1c1cccs1 509724864 BCAMNLZENSYZSZ-INIZCTEOSA-N 413.480 4.719 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](CC(C)C)N[C@H](C)c2ccccc2)c1 520394555 PYUKZQAPAKRURN-SJLPKXTDSA-N 415.559 4.812 5 20 HJBD CCCN(Cc1ccccc1Br)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 521191254 DTJVATOYZHKYAO-UHFFFAOYSA-N 422.279 4.810 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccccc1Oc1ccccc1 522256016 MTJPYCVAKRSKPC-CQSZACIVSA-N 406.394 4.607 5 20 HJBD CN(Cc1ccc2ccccc2c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl 523298502 NRQRSZMWCRHAGP-UHFFFAOYSA-N 420.856 4.584 5 20 HJBD COc1ccc([C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])c2ccccc2Cl)cc1 523535391 NOUIKDGJPPVFAH-FQEVSTJZSA-N 411.845 4.557 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](c3ccccc3)CC2)c([N+](=O)[O-])cc1OC(F)F 524170304 CWPQIYIQVMVSKT-HNNXBMFYSA-N 420.412 4.615 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-c3ccccc3OC)cc2)c1 525592880 DLIYDBPSTNYRQK-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2cc(Br)cs2)cs1 525652786 GCBHLMFNGZBOOD-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CC=C(c2ccccc2C(F)(F)F)CC1 532430702 IXFQMQJSJMKGCT-UHFFFAOYSA-N 405.376 4.613 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(CCc2ccc(O)cc2)CC1 532923098 RSTLUIZDAOQGDP-INIZCTEOSA-N 422.485 4.756 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-n2ncc3ccccc32)cc1 537264198 OPOPSZGMDSPJDS-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)CC(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 538020496 GMLKFDOQSPHQBH-KGLIPLIRSA-N 409.408 4.990 5 20 HJBD CCN(CCC1CCN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)CC1)CC(C)C 538032027 CLOIJJRMQFXMGY-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD COc1ccccc1OC[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538222332 UEHWHHOSGNEWIY-HNNXBMFYSA-N 418.515 4.549 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(-c2ccncc2)cc1 538236328 KVTUUHXCWNHMBS-KRWDZBQOSA-N 404.470 4.645 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1cccc(Cl)c1Cl 539316018 BMZNROULQWQLJM-NSHDSACASA-N 412.273 4.590 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1ccc([N+](=O)[O-])cc1F 543442590 HLFAJVHDCNVGCV-NSHDSACASA-N 413.430 4.535 5 20 HJBD Cc1nc([C@@H](N[C@@H](C)CCc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)no1 545241705 XLPCQZQLOVWNBY-DJJJIMSYSA-N 400.866 4.640 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCC(F)F)nc2)no1 545848889 RQWLUCKBPBLXQZ-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 546990819 LNXMXPKUPXZEEN-YFHOEESVSA-N 414.421 4.713 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC3(CCN(Cc4ccccc4)CC3)C2)cc1[N+](=O)[O-] 554408022 BXDGFBLECBAGPQ-UHFFFAOYSA-N 421.541 4.847 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](c2c(Cl)cccc2Cl)C2CC2)s1 561411448 NTPCAENKBPOQOZ-AWEZNQCLSA-N 421.327 4.930 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@@H](C)N(C)C(=O)c3c[nH]nc3[N+](=O)[O-])c2)cc1 567182035 WROSJDMZMRWYIQ-OAHLLOKOSA-N 408.458 4.605 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 576620139 OBIDPBDWYPCRLP-DPCFLFMUSA-N 410.405 4.559 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@H](C(F)(F)F)[C@H](CO)C3)o2)c(Cl)c1 578558480 PYNXXNNBCATAND-NHYWBVRUSA-N 418.799 4.501 5 20 HJBD COc1cccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 584245236 VAUCBFRLOZHQRQ-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD Cc1cc(C)c(NC(=O)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 603643302 LJNQLZROXIHKJX-UHFFFAOYSA-N 410.396 4.772 5 20 HJBD CCOc1ccc([C@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 603960173 CUIZELWVHGOGDD-XMMPIXPASA-N 420.465 4.668 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 609218487 LGJFWUJPGRLMOM-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](CO)[C@@H](C)c3ccccc3)c([N+](=O)[O-])c2)cc1 609496645 MWZNTUUALCPSQE-HTAPYJJXSA-N 419.481 4.732 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccc(Br)cc1 609779447 VXNLYBJDZLEHPP-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC(F)(F)F)cc1 609784996 USGVPAQOFIVTKA-LLVKDONJSA-N 416.783 4.609 5 20 HJBD CN1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c2ccccc21 609974285 ZJYAKAZDWHKQOY-UHFFFAOYSA-N 403.438 4.874 5 20 HJBD COc1cc([C@H](C)NCc2c(F)cccc2[N+](=O)[O-])ccc1OCc1ccncc1 611379659 NJBQCLDCUFFYHW-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H]2[C@H]1[C@@H]2C(F)(F)F 612610195 FGXJNWPBFUMKGH-XKQJLSEDSA-N 414.449 4.900 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C)nn2-c2cccc(Cl)c2)c1[N+](=O)[O-] 614395043 OQBXWXBILBTLDL-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccc(CC[C@H](O)c2ccccc2)c1 727763693 NNYKKFDOTXNJEB-QHCPKHFHSA-N 419.481 4.702 5 20 HJBD COc1cc([C@H](C[N+](=O)[O-])c2c[nH]c3ccccc23)ccc1OC(=O)OC(C)(C)C 731148483 UHPGTNCGTNEFGR-KRWDZBQOSA-N 412.442 4.899 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)cc1 731791921 CPMGYJAGYHHSBA-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc1F 733736365 WTMKQTWQYGSUCU-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3ccc(-c4c(F)cccc4F)o3)[n+]2[O-])c1 734368222 QCUGYDLENOJZEP-FPLPWBNLSA-N 421.359 4.999 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445608 NXDAXDDEBACQPY-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD CC(C)(C)c1ncc(/C=C/C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)s1 744368501 ZBIQRLAEEWSQHV-IJDCCNJMSA-N 403.529 4.636 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 747346727 TWDJRBHWLAAKTK-UHFFFAOYSA-N 401.419 4.687 5 20 HJBD O=C(COC(c1ccccc1)c1ccccc1)NCc1cc([N+](=O)[O-])ccc1Cl 756999181 XBBREMWREYFSBR-UHFFFAOYSA-N 410.857 4.671 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)c1cnc(N2CCCCC2)s1 758479719 XCNBIJUGGZWDBU-UHFFFAOYSA-N 420.541 4.626 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)Nc3cc([N+](=O)[O-])ccc3O)cccc2c1=O 760198184 VMBXYMBQZNGYGC-UHFFFAOYSA-N 416.389 4.635 5 20 HJBD O=C(CN1CCCCCC1)Nc1ccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 761515166 QXROIPKDQSVJJC-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc([N+](=O)[O-])cc1Cl 767251288 MUHOCYZRGDJGAT-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1cc(F)cc([N+](=O)[O-])c1Br 769111919 SYWKUYOYCFRISB-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1cc(CNc2ccc(N(C)C)c(C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 770528039 QBZNCNMJGWURIW-UHFFFAOYSA-N 413.396 4.531 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2c(Br)cccc2[N+](=O)[O-])cc1 775180184 WDFCJVMHVZPHKD-UHFFFAOYSA-N 420.307 4.888 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 778599722 YSCAMSQVXOIQMN-HUUCEWRRSA-N 407.511 4.512 5 20 HJBD C[C@@H](CN(C)C(=S)Nc1ccc([N+](=O)[O-])cc1)Oc1ccc(Cl)c(Cl)c1 787197619 MXACCWOLVWQHCH-NSHDSACASA-N 414.314 4.998 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F 791388655 AYHOHXXUQRTNFN-UHFFFAOYSA-N 424.270 4.938 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 811372837 IVFKNVQLLQDFFK-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CCNC(=O)c1ccc(Cl)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812920780 MIWFZRFNBCJALM-UHFFFAOYSA-N 416.648 4.557 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 815234895 MLDYSHHNSSPPKP-VIFPVBQESA-N 416.283 4.508 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)cc1-c1nc(-c2ccc(Br)o2)no1 904629994 JQKKPLWBFLWENI-UHFFFAOYSA-N 404.098 4.686 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 915281799 XKQOAOOAIAYKCN-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=C([C@H]1CC=CC[C@H]1c1nc2ccccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116143888 PLBICNYQUKLCRM-MSOLQXFVSA-N 405.479 4.797 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCO[C@H](c2ccc(Cl)s2)C1 1318842296 UIDNQTXIFCSMCX-LBPRGKRZSA-N 403.685 4.650 5 20 HJBD CC(C)[C@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccc(F)cc1 10084183 XIBXHJGPNBPXHR-SFHVURJKSA-N 419.503 4.949 5 20 HJBD CC[C@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 11414573 HDGYXDVVXNADTM-WFASDCNBSA-N 424.375 4.678 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Oc1ccccc1 22501325 RMXWUUCBDYCGQM-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD Cc1cccc(-n2ccnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1C 59017344 VENAZMSFFINVGP-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1oc2ccccc2c1CSc1ncccn1 109706826 MOLIEQMETGJUBV-UHFFFAOYSA-N 424.413 4.815 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(C/C=C\c1ccccc1)c1ccc2c(c1)OCCO2 209155118 RNEWGYWKPKRZTI-YVMONPNESA-N 416.433 4.726 5 20 HJBD CC(=O)c1ccc(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c([N+](=O)[O-])c1 301211125 WSAYQRNZAVCQMQ-SFHVURJKSA-N 413.232 4.821 5 20 HJBD CC(C)c1ccc(CN(C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C2CC2)cc1 303459616 LKDNRRDEIYGKEP-UHFFFAOYSA-N 405.454 4.777 5 20 HJBD COC(=O)c1cc(C(=O)Nc2c(F)cccc2Oc2ccccc2)cc([N+](=O)[O-])c1 410037758 IURRNWBBEOMGDE-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD O=C(O)[C@H](CCCc1ccccc1)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426804982 XUMPCBOXMWRQFH-IBGZPJMESA-N 410.495 4.889 5 20 HJBD Cc1noc(C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2)n1 428655342 LIGBTVOFGHOARR-FQEVSTJZSA-N 404.426 4.620 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCOc2ccc(C(F)(F)F)cc21 437120285 ZMIICTMOFMCMPG-UHFFFAOYSA-N 420.265 4.672 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N(CCC(F)(F)F)CC1CC1 444227558 QZXSQMPSTJXWKF-UHFFFAOYSA-N 424.369 4.764 5 20 HJBD COc1ccccc1C1(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)CCC1 444311015 JJGNSSVBXZZOTB-UHFFFAOYSA-N 412.489 4.542 5 20 HJBD CCc1ccc(NC(=O)Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 445322124 QFWSNXGDVZIQQA-CYBMUJFWSA-N 412.471 4.575 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1sc(Br)cc1[N+](=O)[O-] 447370217 AKQPTMBEXRBCJW-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)N[C@H](C)c1ccc(Cl)cc1Cl 448111318 XYQOZEBVYJLXPC-ZJUUUORDSA-N 400.287 4.655 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)C2CC2)n1 460371451 BKDLFKSPYOAKNY-OKILXGFUSA-N 414.531 4.651 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)N1CC2(CCC2(F)F)C1 461288549 LFIKRKINDQCPGE-UHFFFAOYSA-N 421.831 4.732 5 20 HJBD Cc1ccc(Sc2ccc(CNc3cccnc3-n3cncn3)cc2[N+](=O)[O-])cc1 463250941 QMQPDIKMBZQEJS-UHFFFAOYSA-N 418.482 4.642 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)Cc1c(C)nn(-c2ccccc2C)c1C 463955854 RVUKRXBMGAWMHP-UHFFFAOYSA-N 421.501 4.987 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2noc(C(F)F)n2)cc1 467363195 YMBMARVQINJSHR-UHFFFAOYSA-N 423.763 4.558 5 20 HJBD CCc1[nH]nc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])c1C 469100074 XTBQYNYCBYFVBN-UHFFFAOYSA-N 416.890 5.246 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 477974348 VFXYTTJYAITMKJ-UHFFFAOYSA-N 407.474 4.922 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 478815138 MLMQHGQMSMUSCW-UHFFFAOYSA-N 414.421 4.713 5 20 HJBD Cc1cc(C)c(NC(=O)CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c(C)c1 485397887 ZMRSQWSNSBQBPA-UHFFFAOYSA-N 408.433 4.772 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C(C)C)c2ccccc21 487738068 GFJAULCWIPDHKF-GOSISDBHSA-N 400.500 4.733 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 489564900 SJQBGOQYIOEOCC-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H]3CCO[C@H]3c3ccc(Cl)c(F)c3)co2)cc1 494480464 ZLUSBKCNJANZMM-MOPGFXCFSA-N 417.824 4.662 5 20 HJBD Cc1nc(-c2cccnc2)sc1[C@@H](C)NCCc1ccc(Cl)cc1[N+](=O)[O-] 495434418 RSJQBCQFPWDFST-GFCCVEGCSA-N 402.907 4.968 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c(F)cc1Br 497441797 PPTBTFKDGGOAPP-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD C[C@@H](CC(=O)OC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 498688609 OBFDHPYVWHSJCZ-AWEZNQCLSA-N 416.499 4.596 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](OC)c1ccccc1F 504555986 QPHWHJKVDCWFCH-DJJJIMSYSA-N 406.479 4.742 5 20 HJBD Cc1ccc(Cc2nc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)cc1 508069499 RJWRIQVPHBOTDW-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1ccc(F)cc1 509273539 KYAYRPLHCGSTFM-CYBMUJFWSA-N 404.463 4.627 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccc(Cl)cc2)C2CCCC2)cc1[N+](=O)[O-] 517079928 HVHVYYXVEGHVPY-OAQYLSRUSA-N 402.878 4.847 5 20 HJBD Cc1ccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)cc1[N+](=O)[O-] 517105548 YUFZYCQMLHSQNK-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])s1 517372808 DGHLKWQKAUYGHM-INIZCTEOSA-N 411.487 4.652 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)NC2(c3ccc(Cl)cc3)CCCCC2)cc1 517641218 LZTRPCJSNAJICE-UHFFFAOYSA-N 408.907 4.527 5 20 HJBD CC[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nc(C)cs1 519121980 YIQNZYBSSGIETD-QGZVFWFLSA-N 410.499 4.853 5 20 HJBD COc1ccc(CN[C@H](C)Cc2cccs2)cc1OCc1ccc([N+](=O)[O-])cc1 520960197 PEECWVFDKGKPBB-MRXNPFEDSA-N 412.511 4.965 5 20 HJBD COc1ccc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)cc1[N+](=O)[O-] 523144897 DSYORZKWCWWXPQ-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1C(F)(F)F 524387770 WMVSZXQPPRZGLH-QMMMGPOBSA-N 406.719 4.812 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)Cn3cc([N+](=O)[O-])nc3C)cc2)c1 524583802 SVHNNQPZJOLHSS-UHFFFAOYSA-N 422.485 4.749 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN(Cc1ccc(C(=O)NC2CC2)cc1)C1CC1 530342091 ZKCDTLQXORITBD-LGMDPLHJSA-N 405.498 4.555 5 20 HJBD COc1ccc(NC(=O)[C@@H](N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 530727271 GRYOOEYYCIOIEJ-SBUREZEXSA-N 419.481 4.942 5 20 HJBD O=C(Nc1cnn(-c2ccccn2)c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 532268352 KNEYLKZDAURGBR-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1Cl 537095587 GNEQEZKYLCCVFF-UHFFFAOYSA-N 407.879 4.959 5 20 HJBD C[C@H](CCO)C1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCC1 538360869 PUEBZBXSRZECQS-MRXNPFEDSA-N 414.527 4.665 5 20 HJBD CO[C@H](c1ccccc1F)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 540626087 RJJWMEGKTNWTNP-DJJJIMSYSA-N 406.479 4.740 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@H]1CCCCS1)c1ccccc1 541280676 HUWUIGJDCLBDHV-APWZRJJASA-N 419.934 4.803 5 20 HJBD CCCc1c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 543346229 FSZWNYCMLZYTRR-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544530483 RTLYOANLSDYQOR-SFTDATJTSA-N 411.433 4.741 5 20 HJBD C[C@H]1N(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CCC12CCOCC2 544616915 QGZAODJIQFATKH-MRXNPFEDSA-N 400.450 4.917 5 20 HJBD Cc1noc(CSc2ccc(-c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)cc2)n1 546986326 GDUNSELMWZWJDR-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD C[C@@H](C(=O)Nc1ccc2c(c1)N(CC(F)F)CCS2)c1cccc([N+](=O)[O-])c1 551332529 LISGQCTYENZNNV-GFCCVEGCSA-N 407.442 4.514 5 20 HJBD Cc1ccc(CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c(OCCC(C)C)c1 552248663 OHXYGGXCWUDMNU-HSZRJFAPSA-N 422.529 4.941 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 558588502 HDBYGWFJIULINA-JTSKRJEESA-N 419.481 4.504 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 558746572 KOQBFLWNWMWZII-UHFFFAOYSA-N 421.501 4.669 5 20 HJBD O=[N+]([O-])c1cc(F)c(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)c(F)c1 565807780 WDWXTGJRCOAGNG-UHFFFAOYSA-N 414.290 4.640 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)[C@@H]1C[C@@H]1C 566876698 RDTCWTQVUGYBRP-BBATYDOGSA-N 421.375 4.627 5 20 HJBD COc1cccc(NC2CCN(Cc3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 567591735 XJRXFFLFBSWBSA-UHFFFAOYSA-N 409.408 4.699 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H]1CCCCN(Cc2ccccc2)C1 572414154 JTLUAZONJNHYRU-HXUWFJFHSA-N 410.518 4.635 5 20 HJBD C[C@@H](Oc1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(F)(F)F 584745528 MQNZWPSGHMHXQU-LLVKDONJSA-N 408.336 4.587 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 590654719 XCMITCDRTXDDGT-UHFFFAOYSA-N 423.498 4.631 5 20 HJBD COC(=O)c1ccc(-c2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 603728591 RKAZMGUXRBPHHC-UHFFFAOYSA-N 423.406 4.503 5 20 HJBD Cc1cccc(C(=O)N[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)c1[N+](=O)[O-] 609186840 VPIBANJQXGBJNE-INIZCTEOSA-N 411.458 4.973 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2O[C@H](C)c2ccccc2)ccc1[N+](=O)[O-] 609652313 MWBKETWAGAQJPD-MRXNPFEDSA-N 422.437 4.761 5 20 HJBD Cc1cc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)c(C)s1 609817103 NEVXPAYWXSBGHV-UHFFFAOYSA-N 408.439 4.971 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 609978238 FAQIBSMXHJEOPB-UHFFFAOYSA-N 422.388 4.529 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC[C@@H](c4nc5ccccc5s4)C3)c2)cc1 728897173 UZNVPYKWNYWOMK-QGZVFWFLSA-N 419.510 4.905 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 733248467 CMNAFJLMLZJTGB-INIZCTEOSA-N 403.276 4.513 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nc(Cc2ccc(Cl)cc2)no1 744481546 VVAGAQWQVHHAPY-JTQLQIEISA-N 422.224 4.793 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cccc2c1C 744673063 QDLDZIKBGWWDKS-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc2c(c1)CC(=O)N2 748509726 VBOSMVDJQAPKBH-CQSZACIVSA-N 418.405 4.800 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 754289263 ADSZGGACCXTFBX-NSHDSACASA-N 401.312 4.522 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755030112 MGBWBMIRPQVPQK-SECBINFHSA-N 414.206 4.860 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764161048 BLYWMXXHKKJOET-UHFFFAOYSA-N 417.443 4.799 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1)c1ccccc1 764200988 DPKIGGDAIRKXSS-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764218451 WTRXRQYLILNOCQ-CQSZACIVSA-N 415.877 4.824 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 765810372 STTTZMVAGCLYEL-UHFFFAOYSA-N 421.800 4.631 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)c1 766591613 ULTGOYPEYYJSEO-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD Cc1c(C(=O)N(C)c2nc3cc(Cl)ccc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 766960815 FGZHDDILEVUCKB-UHFFFAOYSA-N 420.834 4.660 5 20 HJBD COc1ccc(SCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131913 BENAWWDBOHQJQC-QFIPXVFZSA-N 424.478 4.813 5 20 HJBD O=C(O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)c1ccc(C2SCCS2)cc1 778793173 DYHYZRLOHRPBJG-GOSISDBHSA-N 416.524 4.509 5 20 HJBD CC(=O)N1C=Cc2ccccc2[C@@H]1CC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 779467203 ZWNMPOWHXYJJEW-SFHVURJKSA-N 419.359 4.516 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 781604508 PLQBBXOPIDBBLW-UHFFFAOYSA-N 423.425 4.566 5 20 HJBD CO[C@@H]1Cc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2C1 784740644 IHQGQHBYLQJZPM-OAQYLSRUSA-N 418.449 4.540 5 20 HJBD Cc1cnc(COC(=O)c2ccc(C3SCCCS3)cc2)c(C)c1[N+](=O)[O-] 789443613 QELLTZQQXDTUHM-UHFFFAOYSA-N 404.513 4.832 5 20 HJBD COc1ccc(-c2ccn(-c3ccc(S/C=C\c4ccc([N+](=O)[O-])o4)nn3)n2)cc1 789983614 JXXVJIMWMVWPNH-QBFSEMIESA-N 421.438 4.602 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790601654 PGMRSZBJMUUKSU-BTYIYWSLSA-N 420.868 4.556 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 791041183 KODWISLRPQUXTI-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 798020330 CYSTUQKFSMTUED-NNUKFRKNSA-N 414.330 4.768 5 20 HJBD C[C@H](C[C@H](O)c1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799686746 WWVQQAKEOQTKMQ-UZLBHIALSA-N 422.506 4.988 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1OCc1cccc(Cl)c1 801906262 CADBZEXQARWXLK-UHFFFAOYSA-N 415.808 4.801 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2coc(C(C)(C)C)n2)cc1[N+](=O)[O-])c1ccccn1 802275850 HQNCRBHXFIBRTK-CQSZACIVSA-N 424.457 4.805 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)c2nc(Cc3ccc(Cl)cc3)no2)c1F 803457564 OTBSDGFSDODWLB-LLVKDONJSA-N 419.796 4.588 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)Nc2c(C)cccc2C(C)C)c1F 803459522 YKXNBVZVPGCUSG-CQSZACIVSA-N 402.422 4.658 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccccc21 813281101 QYQVDWRMCWSXEP-UHFFFAOYSA-N 423.425 4.743 5 20 HJBD COCc1ncc(COC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 813998048 DZAZOEYPOQLZDQ-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD COc1ccc(OC(=O)C(C)(C)c2csc(-c3ccccc3F)n2)cc1[N+](=O)[O-] 816045757 WGPBYMIHOPDORP-UHFFFAOYSA-N 416.430 4.749 5 20 HJBD O=C(c1cc(Cl)nc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915865528 YRMNTBSAFHZHNB-UHFFFAOYSA-N 408.163 4.501 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Oc1cccc(NC(=O)c2ccccc2)c1 917057055 JBGQKKGAHQTPKV-UHFFFAOYSA-N 419.437 4.645 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@@H](C)c2nc(C3CC3)no2)cc1[N+](=O)[O-] 918824926 JGVXCGJIRAEMDE-LBPRGKRZSA-N 405.476 4.911 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116331743 WBUDFZKZPHSFRA-QHCPKHFHSA-N 417.465 4.689 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc([C@@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1116773093 HXZPCEUXQVUMLP-KDOFPFPSSA-N 424.457 4.744 5 20 HJBD COc1ccc2c(c1)c(C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c(C)n2C1CC1 1116927587 VTNIICPVXANLSO-UHFFFAOYSA-N 407.470 4.516 5 20 HJBD CCN(Cc1ccccc1F)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 1317953467 XPFRTUASCFEZJG-INIZCTEOSA-N 422.460 4.964 5 20 HJBD O=C(c1ccc(-n2cccn2)c(F)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 1318276210 ACIQGIPWXZUEII-UHFFFAOYSA-N 414.824 4.630 5 20 HJBD Cc1nc2ccccn2c1-c1nnc(-c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)o1 1322456924 SRYIFUJGBOZBPT-UHFFFAOYSA-N 418.457 4.504 5 20 HJBD COc1ccc([C@@H](C)OC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 1523842943 OVJURNYKLPIRNV-GFCCVEGCSA-N 415.833 4.575 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc(Br)cc2)C(C)C)c([N+](=O)[O-])c1 1526863887 YJGULEFPOXOPKR-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CCn1c(S[C@@H](C)C(=O)N(C(C)C)C(C)C)nc2cc3ccccc3cc2c1=O 7854089 HRSXBJCGOKCXIV-INIZCTEOSA-N 411.571 4.696 5 20 HJBD Cc1cc(C)c(NC(=O)CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c(C)c1 8054437 MNRYNGJNUOPVBO-UHFFFAOYSA-N 417.465 4.802 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(C(F)(F)F)ccc1N1CCCCC1 19945127 WNTJIFLJAFNEPT-UHFFFAOYSA-N 407.392 4.785 5 20 HJBD O=[N+]([O-])c1ccc(OCc2cc([N+](=O)[O-])cc3c2OCOC3)c(Cc2ccccc2)c1 27414473 VHCSIVMVSVGDLG-UHFFFAOYSA-N 422.393 4.539 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2ccccc2F)cc1 32680708 ZLEUNESYDZPYJF-UHFFFAOYSA-N 410.401 4.807 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 45059991 LEEWYZMTQRBRCP-UHFFFAOYSA-N 410.473 4.829 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 53460111 GWODUBVHOVXCNI-SFHVURJKSA-N 418.497 4.868 5 20 HJBD CCn1c(SCCOc2cccc([N+](=O)[O-])c2)nnc1-c1ccc(Cl)cc1 59121564 KTXHXTUGLVSCBO-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 98080222 PAJHWKKZAJRBHN-UHFFFAOYSA-N 417.468 4.777 5 20 HJBD Cc1nn(CC(=O)Nc2ccccc2Sc2ccc(Cl)cc2)cc1[N+](=O)[O-] 143187625 WOHJHPBVBCMYIC-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD O=C(c1cc(N2CCC(c3c[nH]c4ccccc34)CC2)ccc1[N+](=O)[O-])N1CCCC1 301105183 MECGTVZWSGDSDM-UHFFFAOYSA-N 418.497 4.696 5 20 HJBD COc1cccc(Oc2ncccc2CNc2ccc([N+](=O)[O-])c3cccnc23)c1 301330299 DIPSLNXMLLFKID-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD Cc1cnc(N[C@H](Cc2ccccn2)c2ccc(Br)cc2)c([N+](=O)[O-])c1 302671411 KQTSUNMNUHSQNU-QGZVFWFLSA-N 413.275 4.852 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437018930 AWFKSXUIVZQPEX-UHFFFAOYSA-N 419.363 4.705 5 20 HJBD CCCN(C(=O)c1cccc(COc2ccc(C)nc2)c1)c1cccc([N+](=O)[O-])c1 441457482 KJVYYIMQDVANGA-UHFFFAOYSA-N 405.454 4.934 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H](C)c2ccc(Br)s2)c([N+](=O)[O-])c1 443498135 GWFPREZKTSARIN-SNVBAGLBSA-N 412.309 4.730 5 20 HJBD CN1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c2cc(Cl)ccc21 460307190 BQZHVDGPAHPGAH-UHFFFAOYSA-N 401.875 4.950 5 20 HJBD Cc1c(CSC(C)C)cccc1NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 460843023 QDACLESMJGIEEE-UHFFFAOYSA-N 414.936 4.999 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472960457 SQKOQVDLMNDMIM-UHFFFAOYSA-N 409.269 4.723 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 476141498 IJRAKAIEVQIFLB-FXAWDEMLSA-N 416.481 4.793 5 20 HJBD CCC[C@@H](NCc1cn2cc(Br)ccc2n1)c1cccc([N+](=O)[O-])c1 480901240 PRGHUKOPHOYEAE-QGZVFWFLSA-N 403.280 4.636 5 20 HJBD C[C@@H](NC[C@H]1CCN(c2cccc(Br)c2)C1)c1ccc([N+](=O)[O-])cc1 481570459 WVBMNNRPKGGVIC-HUUCEWRRSA-N 404.308 4.534 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cccc(Cl)c1 482149367 BDJZTKVCGOROBC-UHFFFAOYSA-N 402.756 4.856 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2ccccc2)[nH]s1 483183486 ZHMHQYJWANIUBM-UHFFFAOYSA-N 414.512 4.536 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CC=C(c2cccc(Br)c2)CC1 486154760 HJDZQIDORPCTLI-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD CC(C)c1ccc(-c2nc(CN3CCC(n4ccc([N+](=O)[O-])n4)CC3)cs2)cc1 488779762 UVXOFDPRPXGDTA-UHFFFAOYSA-N 411.531 4.875 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 489669001 UOSNUGGFOREGBU-LSDHHAIUSA-N 420.412 4.661 5 20 HJBD COC(=O)c1cccc(-c2nc(CN(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)c1 490838903 BGPBGRQWMOGZAA-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD COc1cc(CNc2ccc3nc(C)sc3c2)c([N+](=O)[O-])cc1OCC(F)F 491062354 VOEMNBDKWHQZCT-UHFFFAOYSA-N 409.414 4.778 5 20 HJBD O=C(Nc1cc(Cl)ccc1Br)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 494681238 JYLBXMADFGCYSO-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(OC2CCCCC2)CC1 495687365 YNSGCENMUMDQTJ-UHFFFAOYSA-N 415.515 4.672 5 20 HJBD Cc1nc(-c2ccc([C@H](C)Nc3cccc(S(C)(=O)=O)c3[N+](=O)[O-])cc2)cs1 497536406 ZLERWUDSSFYCKA-LBPRGKRZSA-N 417.512 4.603 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 504097813 XSIHINJARJWOIL-AEFFLSMTSA-N 413.543 4.662 5 20 HJBD COC(=O)c1ncc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1Cl 508486297 GQVNYBPHTGRREJ-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD CC1(c2cccc(Br)c2)CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 508508588 YXKWKEPZKPPBNM-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD COc1cc(C(=O)N(c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 509163118 DEXXBLWWVUKSHO-UHFFFAOYSA-N 414.792 4.913 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 510199206 NZRCAIZBMWJHEA-UHFFFAOYSA-N 411.411 4.942 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1CN1CCc2ccccc21 510325888 WKUAURIQUYJEAU-UHFFFAOYSA-N 419.506 4.888 5 20 HJBD CC[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C(C)(C)O 512755857 LZBMYVVSOJOCRS-INIZCTEOSA-N 408.907 4.679 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(-c2ccccc2Cl)s1 516627182 PTBGXTSPHHHGQA-JTQLQIEISA-N 420.903 4.886 5 20 HJBD CCOC(=O)[C@H]1CCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c2ccccc21 526091279 BGEPPTWKHJZTSJ-KRWDZBQOSA-N 410.470 4.590 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 534247466 YCENOGGAGYMPNE-JOCHJYFZSA-N 401.422 4.604 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 534440973 NLYCZSRAOSJHBB-CQSZACIVSA-N 413.503 4.502 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 538426595 OABCVDCCIRCRBE-HFAJPBBRSA-N 410.923 4.678 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1cccc(OCc2cccnc2)c1 539947020 MGQQNDYYHDQPJR-UHFFFAOYSA-N 419.481 4.549 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 541509861 OWSTZTVNOUYEQG-XOBRGWDASA-N 423.494 4.950 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 543101682 URDYWGUCBSWEKN-OAHLLOKOSA-N 410.499 4.852 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)c(C)c2c1 543482013 PRCYBPFSFDKCHL-OLZOCXBDSA-N 400.406 4.778 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(OC2CCCC2)c(OC)c1 547372931 BFYFDWDMQQSJSJ-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD COc1ccccc1COC1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 559146301 FDRHJZVWOVECRP-UHFFFAOYSA-N 424.419 4.803 5 20 HJBD Cc1cccn2c(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)c(-c3ccccc3)nc12 562418988 NPQHIDDRQOVDNV-MRXNPFEDSA-N 400.438 4.960 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 571480973 FXSSECDPLQZHBC-LLVKDONJSA-N 413.293 4.651 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C2CC2)cc1 603732863 BMOKYRMWZWBKIA-GOSISDBHSA-N 408.376 4.725 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)oc2ccc(Br)cc12 603965672 IIHWYIIFJHMYDO-LLVKDONJSA-N 403.232 4.903 5 20 HJBD O=C(CCC(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccc(-c2ccccc2)cc1 604136605 BXFBCKZECRZTBK-UHFFFAOYSA-N 400.434 4.814 5 20 HJBD CCn1cc(CN(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 609611004 IDTQRDUCWOWGSP-UHFFFAOYSA-N 414.849 4.529 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 610745713 BCKPMCWVVZOYKI-AWEZNQCLSA-N 419.466 4.776 5 20 HJBD COc1ccc(OC)c(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611199410 ULYFKVWDCOOUIV-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CCc1ccc([C@H](NCCc2cn3cccc(C)c3n2)c2ccc([N+](=O)[O-])cc2)o1 612409971 LWKQYVKWAJZSDI-JOCHJYFZSA-N 404.470 4.628 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cs2)cc1 612567349 NAHYAKOMJDPMKF-UHFFFAOYSA-N 411.483 4.737 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nc2ccc(Cl)cc2[nH]1 732520399 DNPYBBLKQVOMMQ-MNOVXSKESA-N 405.863 4.910 5 20 HJBD O=C(Oc1ccc(Br)c(F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732742088 TZTFODTYZDVPQN-UHFFFAOYSA-N 423.219 4.839 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@H]1c1ccc(F)c(F)c1 732972321 FJIUKRBAXRRSPC-SFHVURJKSA-N 415.421 4.974 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 733249328 VZUKERDHLSOAMO-HNNXBMFYSA-N 403.276 4.513 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@H](O)c1ccc(F)cc1 734846155 DZVFEHHQFCYSNV-FPTDNZKUSA-N 414.408 4.877 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@@H]1CCN(C2CC2)C1 746165928 JEWVIBDHGZLUOW-HXUWFJFHSA-N 410.517 4.900 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@H]1C[C@@H]1c1cccc(Br)c1 747411966 KPEDXJHNFSUXQX-CVEARBPZSA-N 423.694 4.773 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752556843 HOFJYMJOIQUZAF-JSGCOSHPSA-N 402.422 4.740 5 20 HJBD C[C@@H](C(=O)OCc1cccc(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755066828 PAFSUYMATZMYLI-CYBMUJFWSA-N 418.421 4.928 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 756742013 RSTBIRUFXCNISM-ZDUSSCGKSA-N 420.491 4.841 5 20 HJBD C[C@H](OC(=O)c1scnc1C1CCCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 759756928 ZLPBAZCXLIUQBD-NSHDSACASA-N 414.443 4.677 5 20 HJBD O=C(N[C@@H](CCO)c1cccs1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761935987 RKJFYGQRPWGFPC-SFHVURJKSA-N 414.508 4.661 5 20 HJBD COC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc(C(F)(F)F)c1 762073158 XQNPGQQMVMMFLC-UHFFFAOYSA-N 422.319 4.817 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc(F)c([N+](=O)[O-])cc3F)c2)cc1 763500528 UVVQEASYQSDKAI-UHFFFAOYSA-N 404.394 4.540 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])c(Cl)c1 764191937 KWMDWRHGPMEIJD-MYJAWHEDSA-N 418.721 4.888 5 20 HJBD O=C(OCc1nc(Cl)ccc1Cl)c1cc([N+](=O)[O-])c(Br)cc1F 765492375 NCQHDXKDYDYJPC-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(CCCO)[C@@H]1CCCc2ccccc21 770020335 RIRCCAHUBSSMMV-GOSISDBHSA-N 403.866 4.542 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1cc(O)cc([N+](=O)[O-])c1 773456801 ZGFQMHACOYIHMB-UHFFFAOYSA-N 407.407 4.535 5 20 HJBD O=[N+]([O-])c1cc(CN2[C@H]3CC[C@H]2CC(O)(Cc2ccc(F)cc2F)C3)ccc1Cl 774765746 AZQFIFFGILEYQU-IRXDYDNUSA-N 422.859 4.627 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccn(C(F)F)n1 776188163 JPFUAXGRPJDRIA-UHFFFAOYSA-N 422.775 4.671 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H](c2ccc(Br)cc2)C2CCC2)cc1 776605203 JLKFQVXLNXCQMS-RTBURBONSA-N 405.292 4.522 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1[N+](=O)[O-] 777139300 YMNNSUJMFKOJDD-AWEZNQCLSA-N 421.413 4.632 5 20 HJBD CCOC(=O)/C(=C/C(C)=C\c1cccc([N+](=O)[O-])c1)c1nccn1Cc1ccccc1 787170504 YDGSDKPDEADABY-QWYLDISPSA-N 417.465 4.890 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc2ccccc2n1CC(F)(F)F 787190985 KSZGFXIHCGMNGA-UHFFFAOYSA-N 419.315 4.610 5 20 HJBD COCCCC[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 792131050 ZYCPDAJTTWWDOW-IBGZPJMESA-N 405.882 4.605 5 20 HJBD CCN(CC1CCC1)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 794286805 FTXNCYWCYRSRGA-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD CC1(C)CC(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)C1 794846086 CSIFZWXQAOXIGG-UHFFFAOYSA-N 402.834 4.842 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCCC(C)(F)F)cc1[N+](=O)[O-] 797217559 KNLNGNNUPIYCDP-UHFFFAOYSA-N 409.410 4.750 5 20 HJBD C[C@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798675331 SRXOIOPDCRGGJK-LBPRGKRZSA-N 409.364 4.527 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)OCc1c(F)cc([N+](=O)[O-])cc1F 803269854 GVCBIIHTYGTUQH-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)C2)cc1 809912908 OBIOMECMKXQIRQ-OAHLLOKOSA-N 403.866 4.743 5 20 HJBD CN(C)c1cc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccccc2n1 811482829 UEKFGOFHHGAJNZ-UHFFFAOYSA-N 405.285 4.806 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccccc1)[C@@H](O)c1ccccc1 816792917 BMELCGJFPIZNMI-HVETUWLQSA-N 422.506 4.667 5 20 HJBD Cc1nc(CN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccc(F)cc2)cs1 916086562 WFHMLXMRRMTSLT-UHFFFAOYSA-N 405.838 4.999 5 20 HJBD Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc2)cn1 1256426447 ATJVIJKMWMYBTQ-NRFANRHFSA-N 403.417 4.731 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])n2)cc1 1342623178 NJPOUHAREHZQNJ-UHFFFAOYSA-N 415.833 4.960 5 20 HJBD C[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 7979715 VRHZBEFDKZWLJT-MRVPVSSYSA-N 407.250 4.617 5 20 HJBD CC[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 11414590 HDGYXDVVXNADTM-DOMZBBRYSA-N 424.375 4.678 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3ccc(C)s3)cs2)c([N+](=O)[O-])cc1OC 26783314 OZFJEECTIRVELC-UHFFFAOYSA-N 419.484 4.748 5 20 HJBD O=C(Nc1cccc(Br)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61332061 WIGCJYQJXFSJCY-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(=O)N3C[C@@H](C)C[C@@H](C)C3)ccc2C)cc([N+](=O)[O-])c1 148162284 NMJQFBMJMAXUSN-IYBDPMFKSA-N 409.486 4.582 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@@H](CCO)c2ccccc2)ccc1Oc1ccc(F)cc1 238195680 JPUNKPXVYLFLGH-LJQANCHMSA-N 410.445 4.782 5 20 HJBD CC(=O)c1ccc(N[C@@H](C)c2cc3c(cc2Br)OCCO3)c([N+](=O)[O-])c1 301116472 VMPFWCVFSICTOX-JTQLQIEISA-N 421.247 4.504 5 20 HJBD CCOc1cc(NC(=O)C2(c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])cc1OCC 302895736 MSGZFQCMMYOHNM-UHFFFAOYSA-N 404.850 4.716 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3CCC[C@]3(C)C(=O)O)cc2[N+](=O)[O-])cc1 426745685 HQIYHTRZXDEMME-CTNGQTDRSA-N 400.500 4.787 5 20 HJBD O=C(N[C@H]1CCCc2c(O)cccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427437000 WFOYLGBGHQTYRK-NRFANRHFSA-N 418.449 4.687 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC(c2ccncc2)CC1 430436096 ZASMSZQQQNSPJV-UHFFFAOYSA-N 402.454 4.753 5 20 HJBD Cc1cnc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)CC2)s1 432468758 LQFJLDPQPZTTDR-UHFFFAOYSA-N 405.545 4.880 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C2CCCCC2)C(F)(F)F)cc1SC 439838059 AZWMNMRTGRIVSL-HNNXBMFYSA-N 406.426 4.566 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)cc1[N+](=O)[O-] 440042964 HBZZFUTVYYYFME-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD Cc1ccc([C@@H]2CCCN2S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)s1 447025784 FNXVTAMZIDQJHW-ZDUSSCGKSA-N 420.434 4.509 5 20 HJBD COCCN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1cncs1 448375333 MSWWHQWUYZNQAP-UHFFFAOYSA-N 417.462 4.631 5 20 HJBD C[C@H](C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C(F)(F)F 463689283 IFAOPRKZMDBWJP-SECBINFHSA-N 420.746 4.994 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccccc1CN(C)Cc1ccco1 463966701 URGXTHUWOIIYQU-UHFFFAOYSA-N 422.485 4.758 5 20 HJBD CCC1(O)CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 467793586 WAMSYJHWXOVIOC-UHFFFAOYSA-N 420.918 4.777 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470704687 KAYYLWKWFPFYLN-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 475041196 YNHXTBCZQYWZDH-UONOGXRCSA-N 405.882 4.590 5 20 HJBD Cc1nc(NC(=O)c2cccc(NC(=O)CCCc3cccs3)c2)ccc1[N+](=O)[O-] 475296181 LBFIGNKNNSQMDZ-UHFFFAOYSA-N 424.482 4.573 5 20 HJBD CCOc1cc(C(=O)N2CC=C(c3cccc(Cl)c3)CC2)c([N+](=O)[O-])cc1OC 477372384 JVTYJWHOIRXYPX-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)c1 477673531 PPBHTTGYBSIQHC-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C)c(C)c2c1 478973080 QTWWVSZUJCLNBC-UHFFFAOYSA-N 400.456 4.949 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3C)c2)cc1 480607882 JUUGFFKYERTXJV-IBGZPJMESA-N 417.509 4.992 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC(c2cn[nH]c2)CC1 480757346 QLSUAFLHZDPBCO-UHFFFAOYSA-N 407.430 4.522 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 483300312 SLSSDWSQKJWAMX-UHFFFAOYSA-N 411.321 4.989 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@H](C)C2CC2)cc1 484285421 RAJWHEGWGFIDGS-OAHLLOKOSA-N 400.500 4.523 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nnc(-c4cc5ccccc5o4)o3)c1)OCOC2 484726458 KIPNBTUYILCTEQ-UHFFFAOYSA-N 411.395 4.550 5 20 HJBD COc1cccc2c1CC[C@@H]2NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486277123 BYAQWKCXXVVHSG-NRFANRHFSA-N 418.449 4.600 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)Cc2csc3ccccc23)c(C)c([N+](=O)[O-])c1 486892798 BOEVHOHPZXCALN-UHFFFAOYSA-N 413.455 4.568 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NC(C)(C)c2nc(C(F)(F)F)cs2)cc1[N+](=O)[O-] 493178383 DZEOZEZXEYBOKV-SNVBAGLBSA-N 416.425 4.674 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(CN(C)Cc3ccccc3)CC2)cc1[N+](=O)[O-] 493307506 AKQGKMQJOPZIQE-LJQANCHMSA-N 424.545 4.518 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC 494305379 ANVNXGNMNYSWLK-GOSISDBHSA-N 412.486 4.887 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497867561 KPSNLLLAWJILHO-GOSISDBHSA-N 422.403 4.535 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)CC3 498715843 OUKISGLEQASIKC-KRWDZBQOSA-N 418.497 4.616 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(CCOC)nc2c1 507307250 XHJBDCPQGGEWAB-UHFFFAOYSA-N 415.471 4.679 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(Br)cc1 507626133 LULOBSAGNAVXEZ-JTQLQIEISA-N 416.318 4.614 5 20 HJBD CCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1ccncc1 509986057 ZHMYJHYOEMNMBM-UHFFFAOYSA-N 400.485 4.573 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@@H]3CCO[C@@H](C(C)C)C3)n2)cc1[N+](=O)[O-] 514151267 JGMNKNSPPCTTPB-RDTXWAMCSA-N 403.504 4.807 5 20 HJBD CCC(CC)C(=O)N1CCC(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])CC1 515554521 NAOXDAGGQLDYLQ-UHFFFAOYSA-N 423.513 4.665 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc(OC)ccc4[N+](=O)[O-])CC3)c[nH]c12 516324114 UPBJPVZSCKNHIC-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 516468869 MGHPGESMKUKFSU-UHFFFAOYSA-N 401.850 4.683 5 20 HJBD O=C(CCCOc1ccccc1F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 517329547 YFKBNCQSHLHPKU-UHFFFAOYSA-N 401.419 4.655 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3c(ccn3CC(C)C)c2)cc1OC 519033234 PQCRLDLNPWYJGC-UHFFFAOYSA-N 411.458 4.865 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)cc1C 520155571 NDEHZLLTNKVMCP-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(F)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 521479206 CMVWKURXUZILDG-UHFFFAOYSA-N 418.368 4.633 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2cccc([N+](=O)[O-])c2C)c1 521525530 MZYGNMTTZDEYAA-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD CCCc1ccc([C@H](NC(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])C(C)C)cc1 524208103 XHISEIKTPMTPST-OAQYLSRUSA-N 400.475 4.692 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC 525649724 WTFYCFLRYLIAJT-CQSZACIVSA-N 402.472 4.610 5 20 HJBD COc1ccc(-c2ccc(CNCc3csc(-c4ccco4)n3)o2)c([N+](=O)[O-])c1 532428928 SNLIHRTYXVBBFQ-UHFFFAOYSA-N 411.439 4.870 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2ccccc12 534949310 RYKUCRCTTPPBRL-UHFFFAOYSA-N 410.451 4.656 5 20 HJBD CN(C(=O)c1cc(-c2ccccc2)no1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537146186 UKJXTZCHBJPOJC-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 537673575 PXVNHMJAEFQRCJ-NRFANRHFSA-N 409.530 4.504 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](CC(F)(F)F)c2ccccc2C)c1 540687548 DBBADIVTVUAYMH-MRXNPFEDSA-N 410.392 4.790 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 541585891 XEFKIFVNOOCHBZ-UHFFFAOYSA-N 422.407 4.878 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(F)cc1Br)c1ccc([N+](=O)[O-])cc1F 541599045 MYWHHAAJEPFDFL-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD CC(=O)c1ccc(F)cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 544211334 HHMNPXAXZGHDFW-ZDUSSCGKSA-N 422.416 4.757 5 20 HJBD COc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)ccc1O 544556898 ZCQCTAALXVEDTP-UHFFFAOYSA-N 416.499 4.521 5 20 HJBD Cc1cnc(-c2noc(-c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)n2)nc1 547150074 VJVLWONAZLTQTG-UHFFFAOYSA-N 409.789 4.856 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(OC(F)F)c1 559047151 DJCXCPNZAMTQHZ-VIFPVBQESA-N 404.291 4.706 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(C)C)cc1F 559544435 LYDAGZMVNFUIJO-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(c2noc3cc(F)ccc23)CC1 562220263 MCWKCPUMGRDLML-UHFFFAOYSA-N 409.373 4.641 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 566691250 HHIICKJWQFQTGH-LJQANCHMSA-N 407.392 4.601 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)[C@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 579067681 YQKZPYYVRXUQGK-OAHLLOKOSA-N 405.454 4.504 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1 588834121 ALQYOXNRQKRWKG-UHFFFAOYSA-N 409.233 4.752 5 20 HJBD CCCCN(C(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])[C@@H](C)c1ccc(C)o1 603531154 HOMDEONYUSSQLZ-HNNXBMFYSA-N 404.463 4.907 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 603993623 GTXMBGHQUFFQQY-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCc3ccc([N+](=O)[O-])cc3)c2C2CC2)cc1Cl 603999581 LEWCTSUCJOLSAZ-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD O=C(c1ccc(F)c(C(F)(F)F)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610210267 DOQVBDQLEUEGHQ-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD O=C(NC1CCN(c2cccs2)CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 610672474 KQNARBYVNOTTTG-UHFFFAOYSA-N 423.494 4.847 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)c(F)cc1Cl)c1cccc([N+](=O)[O-])c1 617844474 SACGCTNUYXTRMP-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD Cc1c(CN2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cccc1[N+](=O)[O-] 726602849 UOTLBZVVXKGYQT-QGZVFWFLSA-N 412.877 4.986 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727708533 WFHDHOOWLPZOSM-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD CC(C)c1ccccc1NC(=O)c1ccc(I)c([N+](=O)[O-])c1 727746270 IYDITSVRPWGDCS-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(Sc2nncs2)c(Cl)c1 728184531 GKGBPPKEDPBWDQ-FPYGCLRLSA-N 418.887 4.903 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(OC)c(OC)c(OC)c3)o2)c([N+](=O)[O-])c1 734157209 UOWXABXAYYDEIO-UHFFFAOYSA-N 414.414 4.501 5 20 HJBD O=C(CCC1CC1)O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734614766 UCILGNVFOAVDEN-LJQANCHMSA-N 402.834 4.661 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)OCc2ccccc2[N+](=O)[O-])c1 745117806 WCFZVWITGWOCQU-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745565074 HWJBFYFLJCOHFV-UHFFFAOYSA-N 403.312 4.807 5 20 HJBD O=C(NC1(c2ccccc2F)CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750887744 YLPZDGQKSJVGAL-UHFFFAOYSA-N 413.630 4.569 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 753941027 WGPQECWBLIUGJJ-CDXJDZJCSA-N 409.486 4.613 5 20 HJBD C[C@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755021586 MNWZUUISJKPZEB-GXTWGEPZSA-N 421.266 4.590 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1cccc([N+](=O)[O-])c1Br 758368833 PUMZVUZEEAHMTI-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](OC(=O)c1cccc(-c2ccoc2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 760573191 RNBNAPNOZGGUDV-GFCCVEGCSA-N 414.801 4.692 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 761678349 BEDCUNGHBFFAFW-IRXDYDNUSA-N 417.465 4.828 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4cnc5n4CCCC5)n3)cc2)cc1 761860392 YBPAUSGJZFRRIH-UHFFFAOYSA-N 403.398 4.637 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764220362 CBSQTHCACPLORE-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 765450688 QZUXERSUWUQYIB-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD C[C@@H](OC(=O)C1CCC(C)(C)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 768690272 LTQTUQPQHKDKID-LLVKDONJSA-N 416.396 4.700 5 20 HJBD COc1cc(CN2CCCCC[C@@H]2C[C@@H](O)c2cccs2)c([N+](=O)[O-])cc1OC 770295245 SRJSHSIMACSNHO-SJLPKXTDSA-N 420.531 4.542 5 20 HJBD O=C(Nc1cccc(CSc2ccccn2)c1)c1cc(F)c([N+](=O)[O-])cc1F 770414637 LJDQJIGTMCWWTD-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2c(cnn2CN2CCC[C@@H](c3nc4ccccc4o3)C2)c1 774635010 NIPKLSLTNJIKKB-CYBMUJFWSA-N 411.849 4.576 5 20 HJBD CC[C@H]1C[C@@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CCO1 779304702 SIMFULNIORQJEW-KBPBESRZSA-N 408.501 4.625 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nccnc1Sc1ccccc1 784011846 IRRPUZWNXGCGCB-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790563026 UJFLSFQGNSKXGU-UHFFFAOYSA-N 421.856 4.862 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1 800147057 HEPZKSZKFBASNA-IBGZPJMESA-N 421.428 4.542 5 20 HJBD Cc1c(C(=O)N2[C@H]3C=C(c4ccccc4)C[C@H]2CC3)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 800220856 NBNROPSYSPUJNH-QZTJIDSGSA-N 407.426 4.580 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 800564200 CSNFVRRYAKHOCP-UHFFFAOYSA-N 420.400 4.847 5 20 HJBD C[S@@](=O)Cc1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 804840212 BRFHNZYZXPZDIT-AREMUKBSSA-N 406.813 4.758 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2ccc([N+](=O)[O-])c(Cl)c2)c1=O 809785557 WMXGHBOVZSINNT-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809917575 JJWBYHXVFGBSCD-UHFFFAOYSA-N 416.909 4.624 5 20 HJBD O=C(c1cc(Cl)ncc1Br)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 812987885 WQBLVLITPUUFPR-UHFFFAOYSA-N 424.682 4.995 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)c2ccccc21 813280044 VGDNPBNKLJKGEL-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD CC(C)(C)c1cc[n+](/C(C(=S)NC2CCC2)=C(/O)c2ccc([N+](=O)[O-])cc2)cc1 814182888 NMQFIPRSQAZUAC-UHFFFAOYSA-O 412.535 4.533 5 20 HJBD O=C(CCc1cncc2ccccc12)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815235101 PKHPDHSRYKXNCF-UHFFFAOYSA-N 404.344 4.838 5 20 HJBD C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817867308 WOIKZTWVYZQWRJ-MRVPVSSYSA-N 423.725 4.631 5 20 HJBD CCOCCOCc1cccc(NCc2c(Br)cccc2[N+](=O)[O-])c1C 864024157 UPKXRKJFGYNCIC-UHFFFAOYSA-N 423.307 4.831 5 20 HJBD CC(=O)N(c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@H]1C[C@@H]1C 864038379 NEUCMLVIFAEWMG-SGTLLEGYSA-N 407.392 4.987 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cnn(Cc3cccs3)c2n1 900711454 IRGIRPPLFVAMDO-AWEZNQCLSA-N 422.466 4.676 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C2CC2)[C@@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 914479880 GFAXHOURAAMFSJ-LBPRGKRZSA-N 403.822 4.831 5 20 HJBD CCN(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)C(c1ccccc1)c1ccccc1 915116324 VMSYBGMUNYLDFX-UHFFFAOYSA-N 414.465 4.583 5 20 HJBD Cc1ccc(-c2cc(COC(=O)Cn3c4ccccc4c(=O)c4ccccc43)on2)cc1 915385446 YYXJBYZTOUSWDD-UHFFFAOYSA-N 424.456 4.862 5 20 HJBD Cc1cn2nc(Oc3ccc4c(-c5ccc([N+](=O)[O-])cc5)cc(=O)oc4c3)sc2n1 916981567 MKELYTZOIMFOJA-UHFFFAOYSA-N 420.406 4.573 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc(Oc2ccccc2)cc1 919569668 MKWYHHXCOGSPPD-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD CC(=O)c1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 919676501 XTABQOHXFVMUSN-AWEZNQCLSA-N 405.410 4.585 5 20 HJBD CC(C)c1cccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2I)c1 920280841 VDOZUHYOMLSKMT-UHFFFAOYSA-N 424.238 4.599 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(NC(=O)C4CC4)cc3)o2)c([N+](=O)[O-])c1 1116017113 GHPGSRLDTGFHIJ-UHFFFAOYSA-N 421.453 4.502 5 20 HJBD Cc1noc([C@H]2CCCCN2Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n1 1117592173 QHJSMTAWEQPCQB-MRXNPFEDSA-N 402.838 4.927 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@@H]2OCCC[C@H]2C1 1319452064 NEHLPQLNAGJYEC-KXBFYZLASA-N 416.861 4.682 5 20 HJBD CC(C)(C)n1c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])nc2ccccc21 1340002704 ZNZBGAGQYFBGCR-UHFFFAOYSA-N 417.263 4.714 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(-c2cc(-c3ccccc3)on2)o1 1349934630 JJDXJIHPZSIYTA-UHFFFAOYSA-N 412.789 4.575 5 20 HJBD Cc1occc1C(=O)O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 5397593 DZZJOFSTKVASJU-GOSISDBHSA-N 414.801 4.686 5 20 HJBD CS(=O)(=O)c1ccc(Oc2ccc3cc(Br)ccc3c2)c([N+](=O)[O-])c1 15208887 PVPFVSMTFVJNJP-UHFFFAOYSA-N 422.256 4.706 5 20 HJBD Cc1cccc(C)c1NC(=S)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 18146101 GAMQUFHGIRXZKD-UHFFFAOYSA-N 412.437 4.629 5 20 HJBD COc1ccc([C@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(C)C)cc1 22139166 RALMRPGUTTWZGI-RUZDIDTESA-N 414.505 4.677 5 20 HJBD Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374952 ZVNVGMYHMWGATL-KGLIPLIRSA-N 412.467 4.541 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 44623431 LIQJWVPKJVBDGS-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(-c3nc(C)c(C)o3)c2)c([N+](=O)[O-])cc1OC 54234248 JTJKCPAUPLXMGK-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD COc1ccc(NC(=O)CCCCc2ccc(Br)cc2)c([N+](=O)[O-])c1 59250844 VUAFSQPKQISYCV-UHFFFAOYSA-N 407.264 4.717 5 20 HJBD O=C(NCCCOCC1CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 61554240 DQGILDWRGFONNZ-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@@H](C)Cn3ccnc3C)cc2[N+](=O)[O-])cc1 64736618 LXPMWCRGNMWXMS-MRXNPFEDSA-N 424.526 4.625 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64865857 FUBWBUCPTHOPBL-UHFFFAOYSA-N 408.483 4.961 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64917193 NIASKMTXKRQBQR-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)OCc1cccs1 215812197 HHJGZCOXKOIVIR-UHFFFAOYSA-N 419.462 4.795 5 20 HJBD CCOc1cc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc1[N+](=O)[O-] 301544304 SVEXKXOHVOTICM-HNNXBMFYSA-N 412.421 4.567 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1ccc([N+](=O)[O-])cc1Cl 302529586 FBLIGJDBNUPHIL-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD O=C(NCC1(c2cccc(F)c2)CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303470461 NNFPSZUJFHOMJG-UHFFFAOYSA-N 423.444 4.620 5 20 HJBD O=C(O)C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(Cl)c1 426806283 JFCSEMSXTMLNDR-SFHVURJKSA-N 400.818 4.814 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 427569807 CGRLGQUZHQCCAD-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD COc1cc(CNC[C@@H]2CCCCC(F)(F)C2)c([N+](=O)[O-])cc1OCC(F)F 430103366 YYAAABQWIOEREJ-GFCCVEGCSA-N 408.392 4.553 5 20 HJBD CN(CCCOc1cccc(Cl)c1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436076245 PGJKJDIIKPJAAT-UHFFFAOYSA-N 420.896 4.876 5 20 HJBD O=C(NCC1(Cc2ccccc2)CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444249712 JDJVFTZBESHSLB-UHFFFAOYSA-N 418.443 4.712 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 444438308 OECHFRAHHRSAOE-AWEZNQCLSA-N 421.297 4.741 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C(/c3ccccc3)C(F)(F)F)n2)c1 445579984 SDSWKVWDVLTUTG-PTNGSMBKSA-N 405.332 4.680 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C)c(C(C)=O)c2)c([N+](=O)[O-])cc1OCCC 448136577 HKROFIXTEQBSQS-UHFFFAOYSA-N 414.458 4.936 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c1 460133605 HDZGNRGMPYOACW-UHFFFAOYSA-N 415.877 4.826 5 20 HJBD CC(C)C[C@@H](CCO)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 467694978 CVVGOTHGTHUKJM-MRXNPFEDSA-N 401.463 4.553 5 20 HJBD Cc1nc(CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 470133836 PSMOEADHGKKJBQ-UHFFFAOYSA-N 404.785 4.672 5 20 HJBD COc1ccccc1[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)OC(C)C 472132753 PFFKHJNCWKMJHO-GOSISDBHSA-N 407.854 4.545 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2c(Br)cccc2C1 475556262 SYPFXPINENSMJI-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCCCOc2ccc(Cl)cc2)cc1SC 481952425 MIJRDSXMRZJKSJ-UHFFFAOYSA-N 424.906 4.568 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)CCCOc2cccc(Cl)c2)cc1SC 483437724 LFASTWQCKDNPPE-UHFFFAOYSA-N 424.906 4.520 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)NCCc3cccc([N+](=O)[O-])c3)c2C(C)C)cc1 484819495 XKRIJTAIAXSPCO-UHFFFAOYSA-N 407.474 4.577 5 20 HJBD O=C(c1cccnc1OCc1ccccc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 485721347 UMWBLGQVYDNNRU-UHFFFAOYSA-N 421.428 4.513 5 20 HJBD CCCOc1cc(F)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486302772 YPMMNLSSPZMQOO-UHFFFAOYSA-N 403.229 4.599 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](c1ccccc1)C(F)(F)F 486964738 HKKOQWPMQNHYGY-SFHVURJKSA-N 409.408 4.861 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N[C@@H](C)CC)cc1C 488373888 FAUGXYARUADAJL-AWEZNQCLSA-N 415.515 4.796 5 20 HJBD C[C@@H](NC(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 490980878 PRVOFFUJOLRBEZ-DNVCBOLYSA-N 421.457 4.643 5 20 HJBD COc1cc(CNc2ccccc2CC(F)(F)F)c([N+](=O)[O-])cc1OCC(F)F 491061531 RKLKAOIPYBCZFZ-UHFFFAOYSA-N 420.334 4.964 5 20 HJBD CC(C)(C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1 494548260 YFELPEPSQSJXDV-AWEZNQCLSA-N 418.877 4.580 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 498184963 YVCWSTWEPLHXIJ-UHFFFAOYSA-N 400.765 4.889 5 20 HJBD COCCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)c1ccccc1 500135263 GNLAOSOECQOZCD-OAHLLOKOSA-N 411.483 4.568 5 20 HJBD CCOc1cc(NC(=O)N[C@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC 504055546 QTKSLUOUJKIZHA-AWEZNQCLSA-N 417.487 4.751 5 20 HJBD Cc1cn2c(n1)[C@H](CNC(=O)c1ccc(Sc3ccc([N+](=O)[O-])cc3)cc1)CCC2 505909786 ODBLCGVSYSBXES-KRWDZBQOSA-N 422.510 4.558 5 20 HJBD CC(=O)N(c1nc(COc2c(Cl)cncc2[N+](=O)[O-])cs1)c1ccccc1F 506552287 FBUQSPOCDIYQDD-UHFFFAOYSA-N 422.825 4.502 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 510035494 OATYKAYOTXPNQV-UHFFFAOYSA-N 418.291 4.543 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1OC(F)F 510834269 QHEVMFXKKKHDCF-SECBINFHSA-N 417.315 4.680 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1 511748613 QTFOQYUIVSXEHL-CQSZACIVSA-N 404.488 4.653 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 513984043 XSLIFWBZSDQUDH-CVEARBPZSA-N 417.893 4.810 5 20 HJBD Cc1cc(C)c2cc(C(=O)N[C@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])[nH]c2c1 514879034 TXUMAMHENBTWIH-LJQANCHMSA-N 423.469 4.506 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(-c4ccc(OCc5cccnc5)cc4)no3)c[nH]c2c1 517621454 RISWVQWSJUVFHM-UHFFFAOYSA-N 413.393 4.767 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](Sc1ncccc1[N+](=O)[O-])c1ccccc1 517805749 HBQLYXZDRJCMAH-LJQANCHMSA-N 409.467 4.779 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 518447175 PTLBKGLTNHYBAB-ZWKOTPCHSA-N 424.284 4.586 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(S[C@H](C)c2ccc(F)cc2)c([N+](=O)[O-])c1 520139344 KYFRIHYBBBDZHT-CYBMUJFWSA-N 412.508 4.618 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1OCc1ccccc1 520227937 JKFJHOIIEFYMIP-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2cc([N+](=O)[O-])ccc2C)cc1 520875814 XALDQLDTXPYHCB-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@@H](C)c3ccccn3)cc2[N+](=O)[O-])n1 520939783 NEJDYVZAWSVFEL-ZDUSSCGKSA-N 414.512 4.739 5 20 HJBD O=C(Nc1ccc(Oc2cccc(Br)c2)nc1)c1csc([N+](=O)[O-])c1 522896264 FYSCHSSJKCHAHG-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD COc1ccc(CCNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1OC(F)F 524680598 IIOUXWHNUVFRLR-UHFFFAOYSA-N 418.396 4.797 5 20 HJBD Cc1nc(SCC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 530783794 MXQOIGWIPSPECG-UHFFFAOYSA-N 416.528 4.872 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)cc2)cs1 533884427 JCHIQAANGOCPSI-CYBMUJFWSA-N 420.494 4.928 5 20 HJBD Cc1sc(NC(=O)c2cccc([C@H]3CCOC3)c2)nc1-c1cccc([N+](=O)[O-])c1 534179141 QOKTVESODKZLHU-KRWDZBQOSA-N 409.467 4.783 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccccc1CCC(F)(F)F 535450698 KITVDDUXFFWFHC-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 536508827 XRQBAHOMATXKCU-UHFFFAOYSA-N 403.442 4.697 5 20 HJBD COC(=O)c1cccc(-c2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 537175019 NXSVPWWTIFFVLT-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1cccnc1)Cc1ccco1 542195071 SOUUZRBUCAYJAO-UHFFFAOYSA-N 417.874 4.557 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCC[C@@H]2CCCCO2)cc1 546173729 UADZFXLPTJKLDU-QHCPKHFHSA-N 420.513 4.886 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1C 546298204 OUBVKYSCRWNETH-GFCCVEGCSA-N 403.822 4.679 5 20 HJBD C[C@@H](Cc1cnccn1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 553361626 KXTMZPYLPQIEGS-ZDUSSCGKSA-N 416.840 4.690 5 20 HJBD O=C(Nc1ccc(-c2ccccn2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 558516181 QRTHXVTWWJIVMH-UHFFFAOYSA-N 418.453 4.732 5 20 HJBD COc1ccccc1[C@H]1C[C@@H](C)CCN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 561510557 ZGNUFHMNLFIBKE-BXTJHSDWSA-N 400.450 4.846 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc2c(cc1Br)CCC2 563700421 IPTRTWIFIHYLMD-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(NCc3ccoc3C)c([N+](=O)[O-])c2)c(C)c1 604304552 WVPQJGRAGBEGMR-UHFFFAOYSA-N 415.471 4.526 5 20 HJBD CC(C)[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 608810228 FSXGSFPAXJVISG-LJQANCHMSA-N 410.392 4.896 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@H]1CCCOC1 609019519 PJFMWEGQYKJBCN-LBPRGKRZSA-N 409.364 4.722 5 20 HJBD CC(C)(C)n1cc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(-c2ccccc2Cl)n1 609372323 NZZBRWJNJFDEJH-UHFFFAOYSA-N 412.877 4.797 5 20 HJBD CC[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)C(C)(C)c1ccccc1 610177307 CGLKJVRGPCAIFR-JOCHJYFZSA-N 409.530 4.684 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611203847 GBJGUUVXUBTDIM-UHFFFAOYSA-N 414.483 4.977 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207715 NXHMYPGENYLDDT-AHRSYUTCSA-N 416.543 4.707 5 20 HJBD COc1ccc(C(OC(=O)c2ccc([N+](=O)[O-])c(OC)c2)c2ccc(OC)cc2)cc1 726326542 JYSJQMBHWGUKNG-UHFFFAOYSA-N 423.421 4.567 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(Nc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)ccc21 726594635 FLSBSOOJMPTOFD-UHFFFAOYSA-N 424.457 4.521 5 20 HJBD O=C(CSc1cccc(Br)c1)Nc1cc([N+](=O)[O-])ccc1Cl 727977703 BTUZONVKJXZECS-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD Cn1c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc(Cl)c1Cl 728164999 GAYCGYYJBSTICY-UHFFFAOYSA-N 402.665 4.950 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCCc2cc(Br)cc(F)c21 728704457 AVXSCWLAWJIWFV-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2ccc(O)cc2)n1 729118698 CIFMMHJRINOIQU-UHFFFAOYSA-N 414.439 4.568 5 20 HJBD Cc1cccc([C@H](C)C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 740347483 KGYRLKDUCXAFLU-STQMWFEESA-N 424.375 4.596 5 20 HJBD C[C@H]1CN(C(=O)c2cc3ccccc3c3cccnc23)CC[C@H]1NC(=O)OC(C)(C)C 740831153 BVXNUYKHLGOQIF-HRAATJIYSA-N 419.525 4.763 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1ccc(Cl)c([N+](=O)[O-])c1 741439928 KJOVSLKACFWAMQ-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD CC(C)c1ccc2cc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)[nH]c2c1 742873804 UWWSYQCWHNVCOT-UHFFFAOYSA-N 407.470 4.883 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 747023334 GXPLCFMMWJLRGZ-MNOVXSKESA-N 411.241 4.576 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 753102027 OIASXMDQYUYPTK-MRXNPFEDSA-N 424.476 4.993 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)cccc21 753787054 SWEPEPUKQWYGOU-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1F 754132198 BEUOLAXARNKZGN-GFCCVEGCSA-N 403.410 4.823 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 756730022 XMTGQTARZMQILH-LBPRGKRZSA-N 406.464 4.533 5 20 HJBD C[C@H](CC[S@](C)=O)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761094389 SKBPHDIDMXHAPE-JTBPQFFMSA-N 419.546 4.820 5 20 HJBD C[C@H](NC(=O)C(C)(C)C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857891 UPQKJDIWELCNMN-ZDUSSCGKSA-N 410.430 4.660 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 764301084 PHHMPPNWMDWHPF-SNVBAGLBSA-N 419.812 4.743 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 764448798 XGLZJDHHNUTUNL-FQEVSTJZSA-N 407.470 4.715 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(C)C 771515850 ZAJWFMLWSWFQHE-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 772850737 ZOJPLDRIJSJVTA-SFHVURJKSA-N 409.269 4.516 5 20 HJBD O=C(Nc1ccc2c(c1)nc1n2CCCCC1)c1cc([N+](=O)[O-])ccc1OC(F)F 778564878 VWVGAGVYYPCHNR-UHFFFAOYSA-N 416.384 4.525 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 781600997 HNZXVGFWCAYVGO-ZDUSSCGKSA-N 417.849 4.841 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2csc(C3CC3)n2)cc1[N+](=O)[O-])c1ccccn1 783112886 OBRXTEDAUNIEIE-ZDUSSCGKSA-N 424.482 4.854 5 20 HJBD CC(C)(NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H](O)c1ccc(Br)cc1 783674836 RDCUVFGBNTZDFI-INIZCTEOSA-N 413.699 4.613 5 20 HJBD Cc1cc(-n2cccn2)ccc1COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 784303071 MHAXWWVQCNCRTO-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD Cc1nc(Cl)ccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 790237619 NDOOIFGLKANBPZ-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CC=C(c2cccc(Cl)c2)CC1 794022952 ABAMHIYRRMIGLE-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN(Cc2ccccc2)C[C@H](O)c2ccsc2)c(F)c1 795935117 YSQFSWXMLDYXFC-FQEVSTJZSA-N 404.438 4.670 5 20 HJBD CCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1ccccc1Cl 813245223 MFTDBUBNFYGZQN-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2c(F)ccc(F)c2c1 816005286 GXLJVJQRWPJLMM-OAQYLSRUSA-N 421.359 4.763 5 20 HJBD CCC[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 821452105 NIXLGDSTDHKGLM-HNNXBMFYSA-N 424.375 4.679 5 20 HJBD CS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 1116137268 MZOUVGVJCKLTNJ-USXIJHARSA-N 414.531 4.519 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCN(Cc3cccc(F)c3)C2)n1 1116612437 OSDYACNEGOTOPD-KRWDZBQOSA-N 410.449 4.780 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C 1318538240 VHHYSKDAMACWAC-NSHDSACASA-N 414.462 4.699 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCCc2c1cnn2Cc1ccccc1 1319034155 ASNKOSPNJWHWGA-IBGZPJMESA-N 419.485 4.656 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2cccc(Cl)c2)n1 1336931479 OZMPWVSBESDVFP-UHFFFAOYSA-N 417.878 4.786 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(COC(c2ccccc2)c2ccccc2)o1 1345741370 WEHGKNZBMDCGSE-UHFFFAOYSA-N 417.421 4.863 5 20 HJBD CC(=O)NC1(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)CCC1 1791010874 HWRNVYKXOBBTJS-UHFFFAOYSA-N 409.467 4.577 5 20 HJBD C[C@H](Sc1nccn1-c1cccc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 21422397 FOGQMTCBWVETLT-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](c1ccccc1)c1ccc(C)cc1 26228771 QBKLPGBBDAPMBH-DEOSSOPVSA-N 419.481 4.620 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)cc2)cc1OC 30477571 OEULGPLHZRCIPW-NSHDSACASA-N 412.364 4.512 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)n2)cc1 32698136 MSJIMQGSUCOWGB-UHFFFAOYSA-N 415.496 4.848 5 20 HJBD O=C(Nc1cccc(CO)c1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 43546018 RGZTUNSFZQRSRK-UHFFFAOYSA-N 411.845 4.605 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1)c1ccoc1 44583911 NXKGAMDTOFNULN-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52927410 FYSBPEUZUJCPNW-OAHLLOKOSA-N 406.442 4.814 5 20 HJBD Cc1c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cccc1[N+](=O)[O-] 64697477 YBYUGHRUQNOHFN-AWEZNQCLSA-N 421.413 4.632 5 20 HJBD CCN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](C)c1cc2ccccc2o1 65702633 KSGFMEIAYUBXRA-HNNXBMFYSA-N 404.426 4.750 5 20 HJBD Cc1cccc(Oc2ccc(Cl)cc2NC(=O)CCn2cc([N+](=O)[O-])cn2)c1 65769259 IKXRSJHBDJVJBA-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD CN(Cc1cscn1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 149227885 STVHIWLYHYVNBX-UHFFFAOYSA-N 403.847 4.769 5 20 HJBD Cn1cc(CNCCCO[C@H]2CCCc3ccccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 237758576 OYHBRDXBQBYRJO-QHCPKHFHSA-N 420.513 4.569 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ncccc2[N+](=O)[O-])C3)cc1 301191747 ZYXSGALKGOSXBV-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](Cc2ccccc2)c2ccccc2F)c([N+](=O)[O-])c1 301348013 KJMLKCFUMKNNHM-HXUWFJFHSA-N 414.458 4.533 5 20 HJBD CNC(=O)c1ccc(N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)c([N+](=O)[O-])c1 301643898 OMSXGLFNALVUQK-AWEZNQCLSA-N 410.499 4.584 5 20 HJBD CCCc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429626820 PHQIHWFQXRJANK-CQSZACIVSA-N 411.437 4.755 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])sc2C1 429830071 ITNJRPCXCIGINA-SECBINFHSA-N 410.293 4.581 5 20 HJBD CC1(C)C[C@@H](NCc2cn3cc(Br)ccc3n2)c2cc([N+](=O)[O-])ccc21 433144610 CUXFZUAZUGGDDQ-QGZVFWFLSA-N 415.291 4.517 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1 433956181 BCEOZYXUKFYSTI-UHFFFAOYSA-N 419.224 4.665 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1cc(F)ccc1F)C1CCCC1 434903442 AEBGDHSTQADBKC-UHFFFAOYSA-N 403.429 4.565 5 20 HJBD O=C(Nc1cccc(Oc2cnccn2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436091613 UVMLHKXCNGSBQJ-UHFFFAOYSA-N 408.417 4.516 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1O)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 436742175 VGHUDHQNVWFDEP-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437117985 MVWPJQJHFQPVPR-UHFFFAOYSA-N 407.392 4.770 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 439934839 SPVIWTLANNVSGY-LLVKDONJSA-N 419.388 4.621 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c([N+](=O)[O-])cc1F 444821663 YXAPFELPLUWBON-HNNXBMFYSA-N 410.726 4.928 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC2CCOCC2)c(C)c1 462629193 UHMWSYAVRASMEG-GOSISDBHSA-N 412.486 4.668 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463357340 LTDAZWYSBKJLMO-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)c1 464696286 MKKNZPMHYBGURV-OXQOHEQNSA-N 400.500 4.523 5 20 HJBD C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO[C@H]1C1CC1 466848786 YIWTVLAYIMMPPT-RBBKRZOGSA-N 412.511 4.681 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(CC(F)(F)F)[C@@H]2CCOC2)cc1[N+](=O)[O-] 468827917 CZDMWUWZFCSRTC-CQSZACIVSA-N 420.453 4.526 5 20 HJBD COc1ccccc1[C@@H](CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)OC(C)C 473697384 QJPRGEMTKNBZIM-LJQANCHMSA-N 401.463 4.508 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1NCCCc1ccccc1 480808066 BGQOLXWBWQKVNM-UHFFFAOYSA-N 405.454 4.657 5 20 HJBD Cc1c([C@H](C)N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cccc1[N+](=O)[O-] 482947605 QGRYXFBJTTZSBJ-IRXDYDNUSA-N 404.470 4.962 5 20 HJBD Cc1nc2cc(F)c(F)cc2n1CC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 483463352 BWRXLHALUNWZIA-UHFFFAOYSA-N 414.412 4.507 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCC[C@H](c2nccs2)C1 484147112 VOJVMQFCQCVBNP-NSHDSACASA-N 419.304 4.746 5 20 HJBD CC(=O)N1CC[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc21 485399045 APROGQGCCJBGMK-SFHVURJKSA-N 406.417 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c1 485563522 TUHMLTISTUUBIB-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)c1ccc([N+](=O)[O-])cc1Br 486200430 YLWOBORCCRAYHC-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cc1cc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2[nH]c(C)c(C)c2c1 487911963 IOCKALUACTYWCX-UHFFFAOYSA-N 406.486 4.876 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 488340542 RMRPJVRVYIOOTG-UHFFFAOYSA-N 424.379 4.674 5 20 HJBD CC(C)C[C@@H](C)n1nccc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488719201 NLJBMMQDESOZFK-GFCCVEGCSA-N 413.400 4.739 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cc(F)ccc1OC(F)F 488891243 FBTIKXYYUSXLJE-UHFFFAOYSA-N 423.269 4.676 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 489510760 PHMDNRDSCPPPEU-HNNXBMFYSA-N 419.478 4.689 5 20 HJBD Cc1cn2c(n1)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)CCC2 495336213 DYGNTLBRIMEWSS-INIZCTEOSA-N 422.510 4.558 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 497728334 UNVJMDYIKDJYOS-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD CCNc1ccc(C(=O)N(CC)[C@@H](c2ccc(C)cc2)c2cccnc2)cc1[N+](=O)[O-] 497892779 WIBAUXOGJUHYFD-QHCPKHFHSA-N 418.497 4.982 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 501591879 JLFWJOFLUPPJRO-CQSZACIVSA-N 420.494 4.859 5 20 HJBD C[C@@H](c1ccccc1)N(C(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 502765097 HTVXOFJCACXFDW-SFHVURJKSA-N 417.465 4.509 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC(c4nc5ccccc5s4)CC3)n2)c1 506090745 VIPLXEVGYWGHLS-UHFFFAOYSA-N 421.482 4.634 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 511602900 DIOVTKNAEHPZKM-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=C(Nc1nc(C(F)(F)F)cs1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 512382381 GBVWODINXGAITF-UHFFFAOYSA-N 422.388 4.935 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 514892511 FQJWEKOGTBNJNJ-STQMWFEESA-N 422.359 4.517 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCc2sc(Cl)cc21 521703479 VBZIXDJNXVHKOF-INIZCTEOSA-N 408.910 4.673 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)CCCNc1ccc([N+](=O)[O-])cc1 524174039 XJPBIBPNUIXWRC-UHFFFAOYSA-N 410.499 4.535 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCc1ccccc1COc1ccccc1Cl 525614417 QVBFGFIYNUAGFM-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCc2cccnc2)cc1 538123738 AUFXSQKMMAWTPA-OAHLLOKOSA-N 404.426 4.561 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)CC1 538964556 MJJPAPIEOKWDMQ-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)c(Cl)c1Cl 541632475 IZNNEIBHIDXVTO-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CN(CCc1ccccc1O)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 543304524 GXQUMZXYDFBXQQ-UHFFFAOYSA-N 407.426 4.799 5 20 HJBD CCOc1c(Cl)cc(-c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1OC 546236060 VFQAFRBSHQDRAJ-SNVBAGLBSA-N 421.812 4.996 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 546528514 REJDHFIWVPSGEL-UHFFFAOYSA-N 415.465 4.569 5 20 HJBD COc1cc(Cc2noc([C@H](C)CC(=O)c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 547177667 GULIAMYLPHDCHM-GFCCVEGCSA-N 415.833 4.607 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)c(C)nn2-c2ccc(Cl)cc2)c1 549275339 MHJMCHCGACVEIV-UHFFFAOYSA-N 414.849 4.702 5 20 HJBD CC(=O)Nc1cccc(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 554744914 CDDQHBNMDHCMAK-UHFFFAOYSA-N 406.398 4.990 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)c(F)cc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 555772897 JKULWVSVEVXJJH-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD C[C@H](C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 560709437 YVQSIANBRQQCCO-RBZFPXEDSA-N 420.868 4.775 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(C)[C@H](C)c1ccc(Cl)cc1Cl 564464109 TXEHKJCGIWHBBK-LLVKDONJSA-N 424.284 4.622 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(Cc3nc(C(C)C)no3)cc2)cc1[N+](=O)[O-] 578208563 VPNLCVDIKXOBLJ-HNNXBMFYSA-N 423.473 4.883 5 20 HJBD O=C(Nc1cccc(-n2cccn2)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 603742767 JHKJNRFMPZWXIE-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD O=C(N[C@@H](c1ccccc1)C(F)(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603810174 GLXKYFXFHUIXHZ-AWEZNQCLSA-N 422.281 4.569 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N4C[C@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)cc2o1 603860837 ZPOZGJNHSIQSNA-ZIAGYGMSSA-N 408.458 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCC[C@H]1c1ccc(C(C)(C)C)cc1 603898188 PPCRMMGVRUNRNP-IBGZPJMESA-N 412.486 4.643 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2csc([N+](=O)[O-])c2)cc1 609129843 KJRKJTMMHPDKDF-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@@H]1CCCN1c1ncc([N+](=O)[O-])cc1Cl 609891546 DAGZRHZHHSDERW-AWEZNQCLSA-N 415.664 4.558 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC(Cc2ccccc2)C1 611519969 RRMYFNASNLELJR-UHFFFAOYSA-N 401.466 4.522 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)N2CCN(c3cccc([N+](=O)[O-])c3)CC2)cc1 726846468 NHKGSIDZERMATR-UHFFFAOYSA-N 414.531 4.840 5 20 HJBD COC(=O)c1cc(OCc2ccc(OC)c(SC(F)(F)F)c2)ccc1[N+](=O)[O-] 741858679 JLAWFEJYZRBTFV-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD C[C@@H](OC(=O)C1(c2cccc(Cl)c2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744779097 XCLLHQLCQRZDOU-GFCCVEGCSA-N 413.817 4.634 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1cc(Cl)ccc1[N+](=O)[O-] 746654520 OLAPPXGPBOWFIY-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cccc(Cl)c1[N+](=O)[O-] 749927923 XBVKJWKIIXTIDH-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1cc(Br)cc(Cl)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 755376557 XWJAYHKWUSDXNS-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(O)c1ccc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 756281635 GRYNENKBLAFFIA-UHFFFAOYSA-N 402.790 4.622 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c(C)c1 758737359 MRFIFODUQJINIW-UHFFFAOYSA-N 423.469 4.506 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)o2)cc1C 758935729 JACJSKJJWSIEHM-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C(C)C 760543379 UFUNZJPDCBJXKH-UHFFFAOYSA-N 411.414 4.569 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 761501014 CNTAGTNBKGTDHM-UHFFFAOYSA-N 417.425 4.773 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763407668 ZEXSMFASKSDDMI-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD CN(Cc1ccccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)OC(C)(C)C 764214133 BYKKSZBLOASLKQ-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 772055743 ZZNNUKGQJLSPSV-GOSISDBHSA-N 414.333 4.909 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C\c1ccc([N+](=O)[O-])c(Cl)c1 774116790 ANBTYQUOEWDLGY-YRNVUSSQSA-N 421.762 4.921 5 20 HJBD CCC(=O)c1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1F 776221572 SSICFIXOBSPWJG-NRFANRHFSA-N 408.385 4.668 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(CN2CCOC2=O)c1 776850113 XCYLAMRCBCEPNK-MRXNPFEDSA-N 401.488 4.530 5 20 HJBD Cc1ccc(-n2c(S/C=C/c3ccc([N+](=O)[O-])o3)nnc2-c2ccncc2)cc1 789909044 UPDLXRMQPZCQLX-JLHYYAGUSA-N 405.439 4.902 5 20 HJBD COc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)ccc1C(F)(F)F 791062180 BRBIOCPWYKFAFL-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc([N+](=O)[O-])c(F)cc3N)s2)cc1 801886355 ZOGBGBUWTUYOEI-LLVKDONJSA-N 414.462 4.547 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cccc(-c2nc(CC(F)(F)F)no2)c1 811845807 BGLOXSBUAIEOLT-UHFFFAOYSA-N 420.347 4.564 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)CSc2ccc([N+](=O)[O-])cc2)C(C)C)c1C 876232090 ZZWZFWFMLVQBQU-HXUWFJFHSA-N 416.499 4.510 5 20 HJBD Cc1cccc(N2C(=O)c3ccccc3N[C@H]2c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 877844031 RNNBMKPWVKXHRS-HXUWFJFHSA-N 404.382 4.583 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C2CC2)[C@@H](C)c2ccccc2Cl)cc1[N+](=O)[O-] 914479547 DQQUHWRHJVZKOJ-LBPRGKRZSA-N 403.822 4.831 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 914479956 JCBWGUQMNDGZBB-NSHDSACASA-N 411.336 4.663 5 20 HJBD O=C(CCc1nc(-c2ccccn2)no1)OCc1nc2ccccc2c2ccccc12 915396872 GVCZKMGPBREYMR-UHFFFAOYSA-N 410.433 4.509 5 20 HJBD Cc1nc(COc2cccc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])c2)cs1 921136217 DOEMCGOSCNMORS-UHFFFAOYSA-N 404.831 4.811 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cn1[nH]c(-c3cc(Cl)ccc3Cl)nc1=S)C2 1116152716 VYPGYBFUYOIZKZ-UHFFFAOYSA-N 422.297 4.796 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCCN2C(=O)OC(C)(C)C)n1 1323556903 KSVRMNMXEMMVRY-OAHLLOKOSA-N 402.451 4.724 5 20 HJBD Cc1ccccc1-n1c(SCc2cccc([N+](=O)[O-])c2)nnc1-c1ccncc1 3477603 NIUVASNSVBJPRF-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OCC 17969842 JTQDHRGTQMVLBO-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD Cc1ccc(C)c(-n2ccnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 31844733 WAVKJXLZJNLFQU-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD Cc1c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)cccc1[N+](=O)[O-] 71939412 XRMXXUFOELTZDA-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD C[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccccc1Cl 301148751 CDPKRRGOLXFRKV-NSHDSACASA-N 405.241 4.621 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)Nc3ncc([N+](=O)[O-])cc3Br)cc2)n1 301666147 LOEGUXXDJFAOMK-ZDUSSCGKSA-N 416.279 4.728 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 303225959 WGBZCIIDOQCKRF-UHFFFAOYSA-N 422.279 4.587 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)c2)cs1 303442996 GEXURIUZHJJGGA-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410105731 SNVJYZMLBQSIIA-UHFFFAOYSA-N 414.330 4.854 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2ccc(Br)cc2)CC1 410420867 KDZYZLOEJAMVFG-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1cc(CN(C)C)cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 426967568 DLUPSNAMYADJKD-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2c(c1)C(=O)CCC2 427056915 LCOISGQYLCNTBI-UHFFFAOYSA-N 424.400 4.863 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 429860917 NQILQCDTPFXZKM-UHFFFAOYSA-N 410.480 4.969 5 20 HJBD CC(C)(NC(=O)Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccccc1[N+](=O)[O-] 432819091 NQOSXSDUOQRQAX-UHFFFAOYSA-N 408.336 4.812 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(F)(F)F)ccc2N2CCCCC2)c1[N+](=O)[O-] 435644022 ASUHIOKXLZVJBG-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437379050 WQXVFIHHSQWZFU-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 443901473 QEUMRPISZPMUOZ-SJCJKPOMSA-N 410.417 4.932 5 20 HJBD COCCC1(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCCCC1 444337960 HEVWJFYMHUFFCL-UHFFFAOYSA-N 410.489 4.537 5 20 HJBD Cc1ccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1NC(=O)c1ccccc1 445486535 NWVXYQICMMYKNQ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)CCc1cccc([N+](=O)[O-])c1 445866673 NWRULEKOKDXEIT-CYBMUJFWSA-N 413.474 4.976 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 446482673 VLNCSDNLLQLDQJ-GOSISDBHSA-N 407.430 4.712 5 20 HJBD Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1-c1ccccc1Cl 447624167 KBLBPADZFJJWHW-UHFFFAOYSA-N 403.225 4.798 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1ccccc1Cl)c1cnc(O)c([N+](=O)[O-])c1 447625450 AJGHYYWDHOPEKU-UHFFFAOYSA-N 404.209 4.922 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2-c2nc(C)no2)cc([N+](=O)[O-])c1 448454897 AGIZBIRMWUQGTD-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD COc1cc(CN[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)c([N+](=O)[O-])cc1F 464486338 IQQSTCVOXNAKBS-IBGZPJMESA-N 415.852 4.865 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H]1CCOC(C)(C)C1 464683370 WFSHQYONXFVIGF-ZDUSSCGKSA-N 420.453 4.512 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(F)c(Br)cc1Cl 466470059 YZCCEGVPEPRXGA-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(-c3ccccc3F)cs2)c1 468204629 CISFITGDFXQSCS-UHFFFAOYSA-N 403.460 4.509 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 470133760 BXGVJJPVFQVSGE-UHFFFAOYSA-N 406.417 4.992 5 20 HJBD CC[C@@H](N[C@@H](C)c1cc(F)ccc1N1CCN(CC)CC1)c1cccc([N+](=O)[O-])c1 482570011 SGSPRLZLENVSIF-HTAPYJJXSA-N 414.525 4.678 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1-c1nc(O)c2c3c(sc2n1)CCCC3 486627141 JMVGBRBNEQXUEA-UHFFFAOYSA-N 406.261 4.613 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1SC 488313989 VJWKYGUTMWHAHM-UHFFFAOYSA-N 412.920 4.961 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(Br)cc1F 494512760 RTCAAGLRVHPSQX-QMMMGPOBSA-N 422.176 4.761 5 20 HJBD Cc1sc(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)nc1-c1c[nH]c2ncccc12 503897420 LXXUMUGJRQPXOX-UHFFFAOYSA-N 418.438 4.637 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)O[C@H](CC)C(=O)N3)cc1[N+](=O)[O-] 504917927 DHSDDINSRCXOTP-IQUTYRLHSA-N 413.474 4.515 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc2c(cc1Sc1ccccc1)OCCO2 506153611 PFDNVCZALZABGW-UHFFFAOYSA-N 422.462 4.698 5 20 HJBD COc1cccc(C(NCc2c(F)cc([N+](=O)[O-])cc2F)c2cccc(OC)c2)c1 506261336 FEZKENBLNXFYND-UHFFFAOYSA-N 414.408 4.769 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(Cc3csc(Cc4ccc(Cl)cc4)n3)n2)cc1 508515230 YJGUEXCCUHSPNG-UHFFFAOYSA-N 411.874 4.602 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2OC(F)F)cc1[N+](=O)[O-] 509692127 HHZGSBJVCUKTTN-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-c2ncco2)c1 513965040 SJHXPYAUUGKFCK-UHFFFAOYSA-N 423.372 4.999 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(C1CC1)[C@H]1CCCc2ccccc21 518892352 DQSHLFDVPQAPEC-NRFANRHFSA-N 403.438 4.531 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](Cc2ccccc2Cl)c2ccccc2)c1 520141493 QGHHMROMMNTYDA-HXUWFJFHSA-N 410.857 4.971 5 20 HJBD CCOc1cc(NC(=O)[C@@H](CC)Sc2ccccc2)c([N+](=O)[O-])cc1OCC 521936214 WNZLACYKKJXLPH-LJQANCHMSA-N 404.488 4.902 5 20 HJBD CC1CCC(N(Cc2ccc3c(c2)OCO3)C(=O)c2csc([N+](=O)[O-])c2)CC1 524456843 OUAXYMOWUOKLNH-UHFFFAOYSA-N 402.472 4.606 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1OC 524642241 QTHCOICITQNLHX-HNNXBMFYSA-N 422.437 4.895 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)Cc3ccc(C(F)(F)F)cc3)co2)cc1 534796364 FCGCHZCKHMEAQV-NDEPHWFRSA-N 410.373 4.718 5 20 HJBD Cc1cc([C@@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])C2CC2)ccc1F 535485523 WFRODYFMURKBDO-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCc3ccccc3)[C@@H]3CCOC3)cs2)c1 535803470 PRRDTNDVYYDPEG-OAQYLSRUSA-N 409.511 4.552 5 20 HJBD CN(C(=O)[C@@H]1C[C@@]12CCCc1ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251044 BXPLOHLXVVHTBW-FDDCHVKYSA-N 419.506 4.975 5 20 HJBD COc1cc(CNc2ccc3ncsc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 540870124 NXJRRELHCWLTFQ-UHFFFAOYSA-N 413.377 4.766 5 20 HJBD C[C@@H](C(=O)N[C@@](C)(c1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 541599902 PQVASSINSDQVSC-QGHHPUGFSA-N 402.319 4.570 5 20 HJBD CCc1cc(=O)c2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc2[nH]1 543854965 UUOGDOMNEONJGY-UHFFFAOYSA-N 411.483 4.752 5 20 HJBD CSc1ccc(O[C@H](C)c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 545784571 ALXQGYHYNSSHID-GFCCVEGCSA-N 410.455 4.912 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2ccc(C)nc2)cc1OC 546105745 XVICUOBQCPRPIX-HNNXBMFYSA-N 401.507 4.757 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2cccc(OCc3cccnc3)c2)n1 547106777 DBFKMDJOZOVRGP-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccccc3CN3CCCCC3)no2)cc1 547115636 LJWWSHJNMQGBQZ-UHFFFAOYSA-N 414.412 4.771 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548941955 PUBKXQAEJSFMRK-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCCCCC2)cs1 549173230 OXJICKAFCYRUQP-UHFFFAOYSA-N 420.560 4.792 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)ccc1OCc1ccncc1 553295495 CLWMRKWLEYAKEB-OAHLLOKOSA-N 421.453 4.953 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1OC 560723136 AMFCBZQMSPTKQH-UHFFFAOYSA-N 420.893 4.750 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(Cl)cc2OCC(F)F)cc1[N+](=O)[O-] 561629742 GKGWZAWJDOGMLO-UHFFFAOYSA-N 400.765 4.543 5 20 HJBD Cc1c(Cc2noc(-c3ccc(C(F)(F)F)nc3OC(C)C)n2)cccc1[N+](=O)[O-] 561907525 AHIXYBSGAKMWSQ-UHFFFAOYSA-N 422.363 4.745 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)Nc1ccc([N+](=O)[O-])cc1Cl 568331875 VLIMTVPCSVXMKN-GFCCVEGCSA-N 401.772 4.791 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 573258489 DQKLMTPJFUCCHR-ZFWWWQNUSA-N 412.433 4.613 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H]3Cn3cnc4ccccc43)cs2)c1 589207404 UMFZLYBCVLLQTD-LJQANCHMSA-N 419.510 4.733 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccc2)n1Cc1ccco1 592786148 YMBFZHKECMMXGY-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1C 603458400 LIQLIHVQJDGEPB-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4n[nH]c5ccc([N+](=O)[O-])cc45)CC3)c[nH]c12 604193255 LYUKDOZDJAGUQT-UHFFFAOYSA-N 417.469 4.535 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3)cc2)c1 609033341 SRAIPAPJWRDXMT-SFHVURJKSA-N 404.466 4.902 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(C(F)(F)F)ccc1F 609062458 NOSYWNSHSDUWDF-MRVPVSSYSA-N 406.719 4.812 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 609304621 PHOYWWLGZGHHHY-HRAATJIYSA-N 416.481 4.889 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](c1ccccc1)c1ccc(F)cc1 609484529 ZNGLYGJKUPLESG-HSZRJFAPSA-N 407.445 4.750 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)cc2)n1 609488489 OQVCADZAMJILED-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CC(C)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(Br)c1 609503155 IVWLPNJJGIFLDE-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD CCOc1cc(NC(=O)N2C[C@@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OCC 609683477 XTUFNICFLZKMDV-WBVHZDCISA-N 413.474 4.802 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nc(-c2c(F)cccc2F)cs1 609784250 YHYCEZSOFYEEQL-UHFFFAOYSA-N 409.801 4.831 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1 609859655 RNLMAXUZEPDJPS-UHFFFAOYSA-N 416.437 4.832 5 20 HJBD C[C@@H](N[C@@H](Cc1ccccc1)c1ccccc1F)C(=O)Nc1ccccc1[N+](=O)[O-] 610139738 BTKHJEIPNLSRII-IERDGZPVSA-N 407.445 4.635 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 610228730 UVBBWLWJCXFZIM-HSZRJFAPSA-N 407.445 4.618 5 20 HJBD O=C(Nc1cccc(-c2nnco2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611201570 DBLCYUCGOVAKCJ-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 732389233 DXWWHKCRZNDIRR-OLZOCXBDSA-N 422.890 4.547 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(Br)c(F)c1 732740164 UDXKGPOPQWUESF-VIFPVBQESA-N 400.225 4.583 5 20 HJBD O=C(COc1cccc2ccccc12)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444973 BVORUUXDZUIFDX-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800869 OUKDFWJGYXFVFB-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD O=C(Nc1ccccc1)C(=Cc1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccccc1 739426006 HVKSEYSQPNOOLQ-UHFFFAOYSA-N 421.840 4.909 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] 741199272 CTESHRYJWPPUGP-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD O=C(NC[C@H]1CC2c3ccccc3C1c1ccccc12)c1cc(F)ccc1[N+](=O)[O-] 745372473 XDQBQEXKJLMTIF-RLVCRIBASA-N 402.425 4.761 5 20 HJBD CC(C)(C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc2ccccc2c1 745416061 PVPGUUUKVATQNL-UHFFFAOYSA-N 417.421 4.819 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437768 VREYNTOXHKNYPE-VIFPVBQESA-N 409.733 4.614 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Br)c1cccc(Cl)c1 751113798 QFXCGELJTFUPCC-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD C[C@@H](Oc1ccc(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)cn1)c1ccccc1 751647156 KLLFQDFHAGHHJW-CQSZACIVSA-N 419.393 4.628 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 752864763 SSLWELLVZNAHIN-GFCCVEGCSA-N 409.305 4.658 5 20 HJBD Cc1nc(-c2ccc(NC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)cc2)oc1C 756815939 QIKCZDWKYXEQIT-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2Br)c(OC(C)(C)C)c1 758641286 NGLWCJAYSARKII-UHFFFAOYSA-N 421.291 4.773 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@H](c1cccnc1)c1cccc(C(F)(F)F)c1 759595997 LHNMDSNGMPHWPJ-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD C[C@H](OC[C@@H]1CCCO1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761856745 DERHFNKZRPRQNT-LIRRHRJNSA-N 411.414 4.694 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@@H]2CCCN2C(=O)OC(C)(C)C)no1 763339216 HYFGMWOPGORNGI-DOMZBBRYSA-N 420.491 4.903 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 764389999 DSDSWCDRTGEJPI-JXFKEZNVSA-N 402.878 4.757 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C3)cs1 772859962 VZQHPTHZOMXOPQ-DAXSKMNVSA-N 411.508 4.686 5 20 HJBD O=C(OCc1nc(C(F)(F)F)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260524 VVPWBUFDPUHJSF-UHFFFAOYSA-N 404.369 4.514 5 20 HJBD Cc1ccc([C@@H]2C[C@H](C)N(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 785542517 DMNNQYWRJMPFEW-XJKSGUPXSA-N 403.276 4.684 5 20 HJBD CC[C@@H](Sc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 785929384 PIRRZGMNZSPZSP-CXAGYDPISA-N 413.455 4.820 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)Nc1ccc(SC(F)F)cc1 795248024 UKTRGQXWEWAUOJ-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccccc2[C@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 795708247 YOFCKCUBFIFPIV-SFHVURJKSA-N 422.441 4.527 5 20 HJBD CC(C)(C(=O)OCc1ccc(Cl)nc1C(F)(F)F)c1ccccc1[N+](=O)[O-] 796444697 XHDZDNQYCGNWHE-UHFFFAOYSA-N 402.756 4.683 5 20 HJBD CCC(=O)c1ccc(OCCCCC(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)cc1 800146725 HBYXELKATCYEQQ-UHFFFAOYSA-N 402.422 4.823 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@H](F)c3ccc(C(F)(F)F)cc3)no2)cc([N+](=O)[O-])c1 800999675 BFOLRUYUSDSSJT-CYBMUJFWSA-N 412.255 4.631 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(C2(O)CCCCC2)s1 809775265 INZFPFZCOKTSME-UHFFFAOYSA-N 421.544 4.956 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918978 CURWBLADSWMBCN-CQSZACIVSA-N 413.865 4.603 5 20 HJBD O=C(NCc1ccc(Br)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812893879 CDBUCAGOQRFMLB-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812975077 YVSKQEJVOVTTOJ-QGZVFWFLSA-N 402.237 4.816 5 20 HJBD Cc1cc(Br)c(O)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813512806 ZSISLOFLXTXTCM-UHFFFAOYSA-N 420.046 4.930 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(OCc3cccnc3)c2)no1 904504810 BYSSGOMYAUYSRH-UHFFFAOYSA-N 416.437 4.945 5 20 HJBD Cc1cc(N2CCCC2)ccc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 913112191 OFUDNKJYJAFXQC-CQSZACIVSA-N 402.882 4.596 5 20 HJBD CCc1nc([C@@H]2CCCCN2c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])no1 917094099 SWOIYDNNMGQWPK-NSHDSACASA-N 404.776 4.944 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cs2)cc1 917263979 WNSHLHDXVKBOQY-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD CC[C@H](OC(=O)CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)c1ccc(Cl)cc1 920840839 DLJJGVVJNSTYIL-KRWDZBQOSA-N 418.833 4.631 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o1)N2 1115952815 CNKFTBHTJHBUFJ-IBGZPJMESA-N 413.817 4.713 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116141133 ISAVAMYIRICWLH-UHFFFAOYSA-N 410.817 4.983 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC(Cc2ccccc2C(F)(F)F)C1 1116234425 VICPUIPEBNZFGG-UHFFFAOYSA-N 421.419 4.507 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(c1nc(-c3cccnc3)nc3sc4c(c13)CCC4)C2 1318717048 GDTVDLSSQQMMKJ-UHFFFAOYSA-N 415.478 4.670 5 20 HJBD O=c1c2ccccc2n(Cc2nnc(-c3cccc(OC(F)F)c3)o2)c2ccccc12 1329075590 USVLMSTUIJDSLR-UHFFFAOYSA-N 419.387 4.854 5 20 HJBD CC(=O)CCc1ccc(OC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 1342648129 WPNMZOPGNBFBIM-UHFFFAOYSA-N 410.470 4.572 5 20 HJBD C/C=C/C=C\C(=O)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)CCC1 1790625664 CWNRUBWMRZBTNZ-ZPYFUIHZSA-N 423.444 4.614 5 20 HJBD CC(C)(C)c1ccc(C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 10670024 JYXCIFVEJZZJMT-UHFFFAOYSA-N 424.375 4.707 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2cccnc12 10914205 CPTXKQHLXIRRDF-UHFFFAOYSA-N 404.348 4.603 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3cccc([N+](=O)[O-])c3)cc2o1 21283750 HDDVRWMYANNLOD-UHFFFAOYSA-N 418.361 4.855 5 20 HJBD CCCCn1c(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc(C)c1C 23362995 WXCUWHVNAYTTOR-CYBMUJFWSA-N 410.927 4.981 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(C(C)C)cc2)C(C)C)c([N+](=O)[O-])cc1OC 26392947 UYIZXAPISUSPAY-NRFANRHFSA-N 400.475 4.863 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(NC(C)=O)c2)cc1 32645672 LQUJEHYACLGVKR-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1)c1ccc(Cl)cc1 54256689 SGRMRCFKCBYPKG-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCc2sccc2[C@H]1c1cccs1 96956076 JDWRANBZWJJQCZ-FQEVSTJZSA-N 413.524 4.612 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1)c1ccccc1 195692533 WHHGMXWXRFOQOE-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD CCCCCOc1ccc(/C=C2/CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1 220733284 HHYJREVWBVOACG-VKAVYKQESA-N 405.454 4.818 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCC3(c4ccccc4)CCOCC3)cs2)c1 238006009 FDZVULSYCJRMBE-UHFFFAOYSA-N 409.511 4.556 5 20 HJBD CC(C)[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1nc(-c2ccncc2)no1 301865046 MMSIYFMEVNDFPV-LJQANCHMSA-N 416.441 4.911 5 20 HJBD COc1ccc(C(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 409623837 RCSXUDNPGQSNRU-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD CCC(CC)(CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)OC 427863300 JKUIPLJZZKNVMT-LJQANCHMSA-N 419.909 4.723 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 430194435 JDYKBFXYKTXYIJ-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc2c1CN(CC(F)(F)F)C2 430428374 RZLGGDCJNVVUDY-UHFFFAOYSA-N 407.392 4.650 5 20 HJBD O=c1[nH]c(/C=C/c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)nc2cnccc12 434937610 JFNLXVRUGBAWEY-FPYGCLRLSA-N 420.812 4.842 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)c1[N+](=O)[O-] 435532253 DMLNKMMSVMWWRX-QGZVFWFLSA-N 402.859 4.838 5 20 HJBD COc1cccc(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 435570468 NUNAVXFBWHWVDR-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 436158462 WIFFGXPGJVPQMH-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD C[C@H](NC(C)(C)CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 436316846 JEIUIQCEFJGEOG-KRWDZBQOSA-N 413.521 4.541 5 20 HJBD Cc1ccccc1C(C)(C)CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436375543 ZVMZJIJDNOQVDQ-UHFFFAOYSA-N 402.469 4.836 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437167593 UBNUHRJWHLOJDL-UHFFFAOYSA-N 402.756 4.548 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 438551373 GJYSPOCBNPFVPJ-UHFFFAOYSA-N 405.454 4.744 5 20 HJBD CO[C@H](C)c1nc(CNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cs1 438968892 CTHYLPUUIJDIJU-CYBMUJFWSA-N 423.560 4.827 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)c2)cc1 439114384 DEDQAAOQIUTPRU-UHFFFAOYSA-N 420.465 4.810 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1nncn1C(C)C 440285398 UJVXGNLLMATLOV-UHFFFAOYSA-N 422.489 4.877 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1nc(COC)sc1-c1ccccc1 441345222 FRIBSVUFNSTIJG-UHFFFAOYSA-N 413.499 4.553 5 20 HJBD CC(C)(C)N1CCC(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 442291718 LOBKDWPVNWMSCK-UHFFFAOYSA-N 413.543 4.739 5 20 HJBD Cc1nnc(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)n1Cc1ccccc1 448108628 QDFPDIXJPKSQQY-UHFFFAOYSA-N 408.405 4.854 5 20 HJBD CCn1c(SCc2nnc(-c3ccccc3)s2)nnc1-c1ccc([N+](=O)[O-])cc1 463794798 UCLDFKXEJWWCLR-UHFFFAOYSA-N 424.511 4.684 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 464364916 NHFCAZAKTTZHDK-OAHLLOKOSA-N 400.438 4.971 5 20 HJBD O=[N+]([O-])c1ccc(CN(CCCOc2ccccc2)C2CCOCC2)c(Cl)c1 464579514 USYQGLXOSJZNLY-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD C[C@@H](NC[C@@H]1CCN(c2cccc(Br)c2)C1)c1cccc([N+](=O)[O-])c1 467837335 AQLKJHVKYIXWPM-CABCVRRESA-N 404.308 4.534 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 475299258 QRHUUVGQPFHOOX-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD COc1c(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)cccc1[N+](=O)[O-] 475655788 ZPKFXTMKRLXYNH-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD COc1cc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cc([N+](=O)[O-])c1C 477990983 KPPXWSXIFVALMR-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 478564230 XQBRTJVIHDEFCT-UAPYVXQJSA-N 416.908 4.871 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(CNc2ccccc2)cc1 480035898 FNKHCUVNZJPKQO-UHFFFAOYSA-N 400.438 4.800 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(Cn2ccnc2)c1 481686470 ODZJEFMOIZIXHQ-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)C(F)(F)F)cc1 482356270 ZZLANVAFPLZUAX-PXAZEXFGSA-N 411.380 4.576 5 20 HJBD O=C(NCc1ccc(C(F)(F)F)cc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 494222572 GUGDVOREMIMECF-UHFFFAOYSA-N 421.297 4.632 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 494511891 QTXDQNTZUQEMGQ-LLVKDONJSA-N 411.241 4.569 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)O[C@@H](C)C2 495118788 DMWMLKSJXBMOBU-AWEZNQCLSA-N 411.458 4.513 5 20 HJBD CCOC(=O)c1cc(C(=O)N(Cc2cccc(C)c2)Cc2ccco2)cc([N+](=O)[O-])c1 498895619 HCNOOBXVFRVSHW-UHFFFAOYSA-N 422.437 4.516 5 20 HJBD C[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H](C)c1ccccc1C(F)(F)F 499427426 JLBKPFZGPYUPEG-QWHCGFSZSA-N 419.403 4.946 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 505397036 QSDPSUGQNIPZAA-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD COCc1c(Br)cccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 505448380 ZAPDBTDGMDBNJI-UHFFFAOYSA-N 405.204 4.502 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 509904659 MXRHEOJAQNGJQZ-GOSISDBHSA-N 406.486 4.854 5 20 HJBD Cn1cc(C(=O)Nc2cccc(Nc3ccccc3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 511450818 UNBNOMAGYGMNMT-UHFFFAOYSA-N 413.437 4.991 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccc(F)cc2)cc1 512150721 AAFKKISRUUGZIN-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD Cc1cc(CN(C(=O)COc2ccc([N+](=O)[O-])cc2)C2CCCC2)c2ccccc2n1 518358339 JEWBGYSZVNMVLU-UHFFFAOYSA-N 419.481 4.802 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](c2ccccc2Cl)OC[C@@H]1C 518365717 MCPFSQDSOBWXID-NJSLBKSFSA-N 420.918 4.717 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)C2)cc1 518451823 SNCUXYVQWFJJKE-INIZCTEOSA-N 410.495 4.913 5 20 HJBD CCCO[C@@H]1CCCN(c2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])CC1 520827496 HGILBORRDAPUCP-HXUWFJFHSA-N 411.502 4.941 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 523506569 ZYTKFPWOSLFZGV-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 530726928 JPHHSNBXYQIWKN-ACJLOTCBSA-N 418.291 4.965 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](c4nc5ccc(F)cc5o4)C3)co2)cc1 535550184 XQZQFJICOVSGJA-HNNXBMFYSA-N 422.416 4.910 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 536127201 JKJRTBBKVKMLQE-INIZCTEOSA-N 409.486 4.543 5 20 HJBD CCc1cnccc1[C@H](C)NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 537353315 PPDBWFNJYIMNAS-KRWDZBQOSA-N 421.497 4.991 5 20 HJBD CCC[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 540143551 SBBURCCGQOZEBP-BHDDXSALSA-N 417.937 4.751 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](Cc3ccccc3)c3cccs3)n2)cc1 543455510 VCHURQGSECUDKZ-GOSISDBHSA-N 406.467 4.780 5 20 HJBD Cc1cc(N(C)C)ccc1-c1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 546434400 YWZLJDLOAYAOIM-UHFFFAOYSA-N 410.477 4.627 5 20 HJBD Cc1nc(Cc2noc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)n2)cs1 546941052 RQTCCSQYEKYFJJ-UHFFFAOYSA-N 407.455 4.613 5 20 HJBD Cc1ccc(-c2noc(CSc3ncnc4sc(C)c(C)c34)n2)cc1[N+](=O)[O-] 546982253 QKERQMNIIGKWRO-UHFFFAOYSA-N 413.484 4.867 5 20 HJBD Cn1ccnc1[C@H](NCCc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])cc1 560526847 HKPPZGBNKYDTEH-GOSISDBHSA-N 405.285 4.557 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 566812601 ICWYETGNGBADNF-RBBKRZOGSA-N 406.461 4.783 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 568672062 ACFVRFNREFVDAR-UHFFFAOYSA-N 424.476 4.814 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Br)cc2)no1 581911534 ZGMYLEKZAUIWBR-VXGBXAGGSA-N 417.263 4.819 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cc(Cl)ccc2O[C@@H]2CCOC2)no1 582991011 ZIWXZIGZQPCOCQ-MLGOLLRUSA-N 415.833 4.618 5 20 HJBD Cc1nn(C)c2ncc(NC(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)cc12 591687535 UGWDBZYKVPXLOJ-UHFFFAOYSA-N 413.503 4.575 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1Cl 603988043 YDFZZAWCIFPEIN-NSHDSACASA-N 407.879 4.577 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 604448419 NOOLGDGLZFQDLG-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)CC(C)(C)C)cc1[N+](=O)[O-] 608872142 SGIVVTQXKPPTDZ-UHFFFAOYSA-N 400.500 4.666 5 20 HJBD COc1ccc(CN[C@H]2CCc3c(O)cccc32)cc1OCc1ccc([N+](=O)[O-])cc1 609223757 VHSOENIGPGSQAT-NRFANRHFSA-N 420.465 4.665 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2nc3cc(Cl)cc(C)c3o2)c([N+](=O)[O-])c1 609750834 HWTRKOHOJJHTNL-JTQLQIEISA-N 421.862 4.826 5 20 HJBD COc1ccc(-c2cc(COc3ccc([N+](=O)[O-])cc3Br)on2)cc1 609961914 KYLQEMFWVPUMDB-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610039342 HQAVLZGEQHCPDC-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD CN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@H]1CCCc2c1cnn2C 611276168 ZBWIWXWKOXKGPH-IBGZPJMESA-N 410.449 4.769 5 20 HJBD Cc1nc(C)c(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 729207124 SBSXTAWKZDREKT-LBPRGKRZSA-N 412.471 4.593 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1 730183571 VQZMYPXQIWMOPW-WDZFZDKYSA-N 413.861 4.526 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 730666149 JYWPHFBHXILLQG-UHFFFAOYSA-N 416.236 4.721 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 731474998 JIKAJVZKQPICHR-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD Cc1c(C(=O)N2CCCc3cc(Cl)cc(F)c32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 731585633 IXEGPYJMFCDBFG-UHFFFAOYSA-N 407.785 4.505 5 20 HJBD Cc1nc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)sc1-c1ccccc1 731877092 FTVFPKLMMOAAKN-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@@H](C)c3nc4ccccc4s3)cc2[N+](=O)[O-])CC1 734111790 FPWMUYQBHWFNAO-HNNXBMFYSA-N 424.526 4.932 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](CCO)c3cccs3)c([N+](=O)[O-])c2)cc1 740870399 AWYQOBBEAFRIME-GOSISDBHSA-N 411.483 4.753 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(-c3ncco3)c2)CC1 754209851 NDVQVPOTPHVFIP-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)o2)cc1C 758928533 ARXIVBHCTZPJIL-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD CCc1cc[n+](/C(C(=S)NC(C)(C)CC)=C(/O)c2ccc(C)c([N+](=O)[O-])c2)cc1 773940953 KCXMVTSIUNQWBU-UHFFFAOYSA-O 414.551 4.743 5 20 HJBD CN(C(=O)c1cc(Cl)c(Cl)n1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495610 NCNLSRDJZPCNRP-UHFFFAOYSA-N 411.270 4.640 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 775932495 RNRGJOVJESIRPN-QMTHXVAHSA-N 423.252 4.502 5 20 HJBD O=C(Cc1ccc(C(F)(F)F)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133146 GJZWGPMFJUTKBQ-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cc([N+](=O)[O-])ccc1Cl 778005861 MIQIFQWAHQOHKR-JTQLQIEISA-N 414.676 4.564 5 20 HJBD O=C(Nc1ccc(SC2CCCC2)cc1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 783400593 RBMNFUHGPCCVNG-UHFFFAOYSA-N 419.890 4.860 5 20 HJBD CC(C)(C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1 804412666 XYSXFZHQKPNQEO-HSZRJFAPSA-N 418.493 4.635 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)C1(c2ccccc2)CC1 808510976 QMAGHRDXOHLPAK-AWEZNQCLSA-N 422.462 4.565 5 20 HJBD C[C@H](OC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1cccc([N+](=O)[O-])c1 810550974 KCMPCGBYRMXLDA-LBPRGKRZSA-N 406.460 4.946 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2ccc(F)cc2)n1 918532562 WIDYAAIOCXAUDX-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] 918843839 GKBWCXFNZJULQP-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD COc1ccc(CCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1OC 920330427 ZDYGYZLDUWSVBX-UHFFFAOYSA-N 407.422 4.817 5 20 HJBD C[C@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 1120466316 AOZYVAMOVXXDKT-KSFYIVLOSA-N 420.469 4.623 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1 1262557904 PPZAACRKGWVXCJ-LSDHHAIUSA-N 408.298 4.540 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(F)cc1OC(F)F 1320442072 USPOAXPYLUVQHJ-LBPRGKRZSA-N 423.391 4.744 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2c[nH]c3ccccc23)cs1 1321044555 NTIZJKIYMDQDCO-UHFFFAOYSA-N 408.439 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2COc3ccc(Br)cc32)n1 1321124801 RBALRFOBZQGXCZ-CQSZACIVSA-N 416.231 4.548 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)c(Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1329851983 AVSZLMRLQDXANM-UHFFFAOYSA-N 400.866 4.786 5 20 HJBD O=C(OCc1csnn1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 1342676766 QBOJJGMBUIOKDO-UHFFFAOYSA-N 407.860 4.608 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nccn3-c3ccc(Cl)cc3)o2)cc1 22132278 XVNWLOPEHJHTSF-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD C[C@@H](OC(=O)c1cc2ccc(F)cc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 26222011 DAOMJLDEYJXAQI-SECBINFHSA-N 422.821 4.786 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)ccc1OC(C)C 31516565 FTPNJIJCBCBBFH-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD COc1ccc(CSc2nnc(-c3ccncc3)n2-c2ccccc2)cc1[N+](=O)[O-] 42129508 HJJRPONAYIADDU-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 60460678 YGZNSUKTMKZVHC-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD Cc1ccc2nc(C)c(C(=O)Nc3cc(C)nn3-c3ccc([N+](=O)[O-])cc3)cc2c1 105586202 LOFOLEVXCJUUIR-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(C)[C@H](C)c1ccc(Cl)cc1Cl 108398212 JIIUKMKXBUHMPU-LLVKDONJSA-N 403.287 4.592 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1ccc(Cl)cc1 117359473 PXXVPCKSRLXTLC-QFIPXVFZSA-N 410.857 4.923 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](c3nc(C4CC4)no3)C(C)C)o2)c([N+](=O)[O-])c1 237425586 JPDGPDNJVWGDQO-LJQANCHMSA-N 412.446 4.611 5 20 HJBD COc1cc([N+](=O)[O-])ccc1Oc1nc(-c2cccnc2)nc2sc3c(c12)CCC3 301142405 JAPAKFAVIULOSQ-UHFFFAOYSA-N 420.450 4.951 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(NCc2ccccc2)c(Cl)c1 303065739 VWRFKJMXSKSYEG-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD Cc1ccoc1CN(C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 429403254 DKIRQROHPQDAIV-HXUWFJFHSA-N 413.861 4.961 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 441381013 ICDOPDAKFSYJGH-UHFFFAOYSA-N 413.836 4.867 5 20 HJBD Cc1c(CS(=O)(=O)[C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 443436944 AGJMXKGLMWFAJR-ZDUSSCGKSA-N 420.874 4.888 5 20 HJBD O=C(NC[C@@H]1CCCC[C@H]1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444106468 GOULKWVJQMMNTC-WMLDXEAASA-N 402.416 4.780 5 20 HJBD C[C@]1(c2ccccc2)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 444230680 ZVAISSVQJVNEHS-VWLOTQADSA-N 400.453 4.624 5 20 HJBD Cc1cc(Br)c(O)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444286016 KJCLNFQNJSUIHF-UHFFFAOYSA-N 423.266 4.710 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(F)cc1 448438034 IWRAMGXYJOJFLE-INIZCTEOSA-N 412.442 4.826 5 20 HJBD CC(C)c1ccc(C[C@H]2CCN(Cc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 460971484 FRMREWZKHOXTCB-GOSISDBHSA-N 406.486 4.833 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1nccs1)C2 462630587 ZNVBCFBTOOVKMH-MRXNPFEDSA-N 422.510 4.779 5 20 HJBD COc1cc(OCC(=O)Nc2ccc3nc(C(C)(C)C)sc3c2)ccc1[N+](=O)[O-] 464177785 SFDRMPOLOGDAQW-UHFFFAOYSA-N 415.471 4.528 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)ccn2)c1C 465655098 HKYUOZXPHKKGBT-QGZVFWFLSA-N 420.469 4.959 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)c1 469380564 DKDMVJIZHXBCDD-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 470369144 JNWIGRHISXNURS-QWHCGFSZSA-N 424.906 4.781 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(C(=O)c3cccc([N+](=O)[O-])c3)C2)n1 470431453 YHDWZRWLZKLMAI-MRXNPFEDSA-N 423.494 4.747 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccn(-c2ccc(Cl)cc2Cl)n1 471097928 KYTNPOUHRCJFCL-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc(Br)cc1[N+](=O)[O-] 471515885 VEGGGUBLGNDSTR-IUODEOHRSA-N 407.239 4.563 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 475794147 FLFAQFNWGNOSGL-VIFPVBQESA-N 407.195 4.734 5 20 HJBD CCn1c(SCC(=O)C(C)(C)c2ccccc2)nnc1-c1ccc([N+](=O)[O-])cc1 475892288 OXIMVYKAZRAIPU-UHFFFAOYSA-N 410.499 4.512 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 477677712 FLUMHKILEOJQSI-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)ccc1Oc1cccnc1 479718638 LUHRAVUCAAVXSV-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 482490215 RMDRERQSIKSMHP-CYBMUJFWSA-N 404.488 4.557 5 20 HJBD CCc1c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482741848 CXTIBGYVBMTRJV-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1OCC(F)(F)F 484334165 NCSQCCDSGGLJFD-UHFFFAOYSA-N 414.405 4.578 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)c1ccccc1 500277447 DOHUIJJMYFQOKY-UHFFFAOYSA-N 401.378 4.846 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cccc([N+](=O)[O-])c1C 502284041 GRBGMJIUUPKUCL-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD O=c1c2ccccc2nc(SCc2c(F)cccc2[N+](=O)[O-])n1Cc1ccccc1 504497898 WGBYRSZPKOQEIV-UHFFFAOYSA-N 421.453 4.784 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](OC)c1ccc(F)cc1 504535186 YXLLHLAHLLQQKB-YJYMSZOUSA-N 406.479 4.742 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc2nc(C(F)F)sc2c1 507564961 IMNPCGYVUCUPBJ-UHFFFAOYSA-N 419.818 4.596 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 508270399 HNEAOMHWSHWIKR-GFCCVEGCSA-N 409.895 4.807 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2ccc(Cl)cc2)C1 515697182 CUWQLKFMZLGZEX-MRXNPFEDSA-N 402.859 4.677 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 521989876 FPTRJSLXTUNZKI-INIZCTEOSA-N 400.870 4.505 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 524198696 ZWKOCKLRQANPHT-MRXNPFEDSA-N 406.866 4.683 5 20 HJBD COc1ccc(OCCSc2nnc(Nc3ccccc3F)s2)c([N+](=O)[O-])c1 525112362 PWDYAJUFLFZNTL-UHFFFAOYSA-N 422.463 4.509 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 534772184 SZIQHGGHXNXONY-MSOLQXFVSA-N 407.495 4.613 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(Cl)s3)[nH]n2)cc1SC 536046356 ZNSFCPKEKICSAB-UHFFFAOYSA-N 424.891 4.683 5 20 HJBD CC[C@@H](Oc1ccccc1C)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452088 WUERLEQYNHOPMR-GOSISDBHSA-N 411.483 4.847 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F 543495516 ZJRVKPYUHCRDMZ-ZIAGYGMSSA-N 409.408 4.642 5 20 HJBD Cc1cccc2c(Cc3noc(-c4ccc(OC(F)F)c([N+](=O)[O-])c4)n3)c[nH]c12 546421575 NERHIXFOVVZTHT-UHFFFAOYSA-N 400.341 4.627 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1 546947610 OGMSAQKQKVYWOF-UHFFFAOYSA-N 414.849 4.874 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 547240845 OXCNPGGVSOUUGR-LAUBAEHRSA-N 414.477 4.604 5 20 HJBD CC(C)(C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 547244330 LNMQKIBZBRSWQC-UHFFFAOYSA-N 419.416 4.589 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1c[nH]c2cc([N+](=O)[O-])ccc12 552744209 ZTPNYNRYEINLAO-UHFFFAOYSA-N 418.425 4.506 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(COc3ccccc3)c2)c1 571464896 OCBMNIAAKRQJRI-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 577564969 NQRZJSKIJGTRTE-LGBHXNNWSA-N 400.372 4.538 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(CN3CCCC3=O)c2)no1 578697022 NLJRBKMCNPAGMA-GOSISDBHSA-N 420.469 4.504 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 601904465 IIUICJSVVOYEAB-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 603638217 INRCWHWQNRAXBE-NEPJUHHUSA-N 416.302 4.957 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603643821 QYRBXDXOJJIBDL-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2ccccc2[N+](=O)[O-])ccc1OCc1ccccc1 603986604 BFDMVVOZLOLNBS-QGZVFWFLSA-N 420.465 4.602 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1oc2ccccc2c1CSc1ncccn1 608871395 YBJUINPOZHFYCU-UHFFFAOYSA-N 424.413 4.815 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CCOCC1)Nc1ccc([N+](=O)[O-])cc1Cl 609279602 QBPKUKRUELXSMX-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N[C@@H](Cc3ccc(Cl)cc3)c3ccccn3)c2c1 611713058 VJHIAVRZWJEDGT-FQEVSTJZSA-N 405.845 4.982 5 20 HJBD CCN(C[C@@H]1CCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 613449132 OTEPUIXPQBUEDC-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(F)c1 732962245 AMPNMECLRDAEMW-FQEVSTJZSA-N 415.371 4.659 5 20 HJBD CC(C)Oc1ccc([C@H](O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 734849517 DGYHKLQPTFISQW-OAQYLSRUSA-N 412.511 4.934 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2c1C 735162994 QGYKDYVCRDBNDL-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD CC(C)c1cccc(O[C@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735445475 IJXAIEXDZRJTQH-OAHLLOKOSA-N 410.426 4.884 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 744615891 BIJBERZIMRIMSE-CVEARBPZSA-N 423.469 4.633 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)C1CCC(c2ccccc2)CC1 745500186 BSOFGWKFMNDJCF-UHFFFAOYSA-N 407.426 4.662 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 752111681 HEWAUWVAMCPEAA-HTAPYJJXSA-N 407.470 4.585 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)cc1C 754984785 BTFZSTIYJMBQJG-NSHDSACASA-N 419.796 4.972 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCc2c(Cl)cccc2C1 755023970 BXZHMIPLOQMCTG-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1cc(CNc2cnc(Cl)cc2C)c([N+](=O)[O-])cc1OCC(F)(F)F 758475269 DKNOAFNXCWDNAO-UHFFFAOYSA-N 405.760 4.513 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(c2cccc(F)c2)CC1 758583585 YZZIJXZDUMOKMM-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1nc(Cl)c(Cl)c(Cl)c1Cl 760004989 YEFYRRQZBJWBOQ-UHFFFAOYSA-N 409.056 4.576 5 20 HJBD O=C(OCc1nnc(-c2ccccc2)s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 766703319 WYHJPHAYFFFBRY-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD CC(C)(C)c1ccccc1C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 771433698 XZZJFOFQJRDSHJ-UHFFFAOYSA-N 424.375 4.707 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1sc(CCOC)nc1C 773916474 ABDQOXYPFNWGRD-LBPRGKRZSA-N 410.517 4.579 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 778886853 DLOZDKYKIRDUPB-LBPRGKRZSA-N 422.384 4.504 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@@H](C)CSc3ccc(Cl)cc32)cc1[N+](=O)[O-] 784749907 CDGPLWSBBUIOJM-SNVBAGLBSA-N 421.862 4.853 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790668827 NJAXGDGBSPURRQ-JTQLQIEISA-N 407.854 4.759 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(C(F)(F)F)cnc1Cl 790969411 WAPZQXSYGOLDRL-UHFFFAOYSA-N 413.661 4.933 5 20 HJBD Cc1oc(C(C)C)cc1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 807991881 VPDNXSSURQUGPX-UHFFFAOYSA-N 412.446 4.720 5 20 HJBD O=C(NC[C@@H]1CCCN(c2ccccc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813236783 QZNFNGDJIUTPBQ-ZDUSSCGKSA-N 408.285 4.548 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 813246984 UTIUOTKQSRQHSY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1nc(-c2ccccc2)n2c1CCCCC2 915143837 DZDVLJNJQGKITR-UHFFFAOYSA-N 412.396 4.715 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H]1COc1ccc(Cl)cc1 915855939 CWUAXBULCWFILY-INIZCTEOSA-N 400.818 4.678 5 20 HJBD Cc1ccc(-c2cc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)on2)cc1 919100553 WPFZMCIIEZUZES-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD Cc1ncc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)s1 920262856 LEVFVAOLUHOUBE-UHFFFAOYSA-N 416.842 4.601 5 20 HJBD Cc1cccn2c(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c(-c3ccccc3)nc12 1116153136 VDYSRXKCIPLIFM-UHFFFAOYSA-N 413.437 4.766 5 20 HJBD Nc1ccc(-c2nnc(-c3cn(-c4ccccc4)nc3-c3ccccc3)o2)cc1[N+](=O)[O-] 1322113546 BLGSXHBHOPZYHX-UHFFFAOYSA-N 424.420 4.747 5 20 HJBD COc1ccc(C(=O)Nc2ncnc3scc(-c4ccccc4)c23)cc1[N+](=O)[O-] 1325099063 FMHZBZCJHCFMJT-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cn(-c2ccccc2)nc1-c1ccccc1 8074933 NTDNLSQMGVFMIB-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1 21134108 FZIXLTABZBRQFR-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 63069672 OJOXYHNOJAAGLB-JOCHJYFZSA-N 423.444 4.526 5 20 HJBD CN(CCC(F)(F)F)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 65759865 NRSCNFAODPZSAW-UHFFFAOYSA-N 415.799 4.885 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2cccc(OC(F)F)c21 72029548 LRBXYTSBRXBESA-LBPRGKRZSA-N 408.426 4.656 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccnc(OCC(F)(F)F)c3)s2)cc1 237676710 GRKVRLKHVBBWCO-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CCNc1cc(C)nc2ccc([N+](=O)[O-])cc12 302178516 NIXZSLSBBDQHAU-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 435560120 SZRVRCCGHOXCAK-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD CSCc1cccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 438378188 MUEMXYPOEAWIHQ-UHFFFAOYSA-N 424.472 4.753 5 20 HJBD O=C(c1ccc(C(F)(F)F)c(F)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 439151604 IKMDXBAHLPCNKA-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)o2)cc1 440612058 LUHORADVWWHAKQ-UHFFFAOYSA-N 401.378 4.858 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCc2c(Br)cccc21 443948543 QQMAMHUJXZQWLR-OAHLLOKOSA-N 404.264 4.783 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 444009080 KPIQKVLCPRXIJZ-OAHLLOKOSA-N 410.477 4.542 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444246476 ZHNPEQCWUMJJRM-UHFFFAOYSA-N 424.859 4.803 5 20 HJBD Cc1cc(Cl)cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1O 444257867 FTRPNSGEUPWZRB-UHFFFAOYSA-N 414.795 4.879 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444901256 KXFRYNWGTGSBKB-UHFFFAOYSA-N 413.503 4.743 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2F)C2CCCC2)cc1OC 446427970 MRTZUZJFUSJVDR-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD O=C(CCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1cc(Cl)sc1Cl 446587340 SNSMMEZQHGRWSQ-UHFFFAOYSA-N 413.282 4.511 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]1(C)OCCc2sccc21 460952412 BLBHANIVVXTDKR-FQEVSTJZSA-N 420.556 4.622 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n(C)n1 462077331 ZOHMTFMRVVXQEU-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD Cc1cc(=NC(=O)c2sc(-c3cccs3)nc2C)c2cc([N+](=O)[O-])ccc2[nH]1 462593625 PVOWIJHRFVSJOS-UHFFFAOYSA-N 410.480 4.619 5 20 HJBD COc1cc(CNc2cccc(C(=O)N3CCc4ccccc43)c2)c([N+](=O)[O-])cc1F 464099593 YIIZWPVOTCFTFB-UHFFFAOYSA-N 421.428 4.558 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(Br)cc(C(F)(F)F)c1 464142871 USOJFSQSWFYRDW-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)CN1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464783621 NFADTLXWMWCPFU-UHFFFAOYSA-N 411.502 4.509 5 20 HJBD CCCN(C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2)[C@H](C)c1cc2ccccc2o1 465078030 MJGLNNURRXYNMJ-OAHLLOKOSA-N 424.453 4.655 5 20 HJBD CCOc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ncccc12 467298607 FQNZZUXMNDGZNG-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD O=C(c1cnc(Cc2ccccc2F)s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 476304130 XLXSVUJRVHVFCW-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Cl)cc1Br 478933673 HAVSCLUEYCQUIN-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD COCCC[C@@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 480861476 BLMTYRQTTLNKLY-OAHLLOKOSA-N 405.520 4.770 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(F)c(F)cc(F)c3F)n2)cc1[N+](=O)[O-] 481749313 LPSBMGWYYINPCT-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD Cc1c([C@@H](C)N[C@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cccc1[N+](=O)[O-] 483462501 MJRWEVOAZRZWDG-UZUQRXQVSA-N 424.522 4.747 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)c3cccnc23)c1 484391825 FAQKRVNQOALTBG-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD CCOc1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1Cl 487039824 UZPIEVAPXZYYNY-UHFFFAOYSA-N 418.862 4.726 5 20 HJBD CN(C)c1ccc(-c2cncc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)cc1 490538087 DHIYYTJGUFFEOT-UHFFFAOYSA-N 416.481 4.528 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(C(F)(F)F)c1 494201093 JOVHMBJLZXDWBT-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2F)n1 494257760 UHCJDUDKJKHNQC-UHFFFAOYSA-N 423.448 4.733 5 20 HJBD Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1C(=O)NC(C)C 500769498 HCPLWFXYSSOMCS-UHFFFAOYSA-N 410.499 4.777 5 20 HJBD CCCCc1nc(-c2ccc(Oc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)cc2)no1 507033196 COCUAHFFIUQXIO-UHFFFAOYSA-N 408.414 4.664 5 20 HJBD CCOc1cc(NC(=O)Cc2ccc(SCC)cc2)c([N+](=O)[O-])cc1OCC 508147596 HXPOQBYMWDIOOH-UHFFFAOYSA-N 404.488 4.685 5 20 HJBD Cc1c(NC(=O)c2ccc(OCc3cn4cccc(C)c4n3)cc2)cccc1[N+](=O)[O-] 508791078 MDZVWIUWEGGDEU-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cnn1C1CCCCC1 510307739 OEMQJHIWQMEDMJ-UHFFFAOYSA-N 424.423 4.810 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](n2ncc3ccccc32)C1 511737150 MLPXVYYQGHUMRD-SFHVURJKSA-N 424.526 4.780 5 20 HJBD O=C(N[C@@H]1CCOc2c(-c3ccccc3)cccc21)c1c[nH]c2ccc([N+](=O)[O-])cc12 512451950 OCJKGGNEEIQJJC-JOCHJYFZSA-N 413.433 4.997 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccccc1F 513790447 YEJFEJPKPSMYQR-XUEUYAKLSA-N 408.477 4.558 5 20 HJBD Cc1c(CSc2nc(-c3ccc(Br)cc3)n[nH]2)cccc1[N+](=O)[O-] 514435034 XYBIILCJEMAJOQ-UHFFFAOYSA-N 405.277 4.743 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)c1ccccc1 515625727 BTGZJBVDRJOOEX-UHFFFAOYSA-N 415.405 4.870 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1ccccc1 517436493 KXOMWABLMHMEHJ-NRFANRHFSA-N 408.479 4.845 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Cl)c1ccco1 518903810 XHMFDNBQAQUYGL-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1cc(Cl)ccc1Oc1ccc(Cl)cc1 520963057 CANBVKNRXNARHA-UHFFFAOYSA-N 421.240 4.838 5 20 HJBD COc1ccc(CC(=O)N[C@H](Cc2ccccc2)c2sccc2C)cc1[N+](=O)[O-] 522950115 LDAULLYXRGRNEP-GOSISDBHSA-N 410.495 4.616 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)NCc1cccc([N+](=O)[O-])c1 531604477 DMGHXVIGBAEHMO-UHFFFAOYSA-N 411.483 4.577 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCCc4c[nH]nc43)o2)c(Br)c1 531954793 YDFFZBFUVULFMT-INIZCTEOSA-N 417.263 4.508 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@H](c4nc5ccccc5s4)C3)o2)cc1 533013112 SYJPLPWBADALAM-HNNXBMFYSA-N 421.482 4.634 5 20 HJBD Cc1cc(C)c(C[C@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C(F)(F)F)c(C)c1 533702192 LXPQXGZYNUVBII-HXUWFJFHSA-N 420.431 4.826 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3ccc(F)cc3OCC(F)(F)F)co2)cc1 534792192 IKOYADPRKYTYRC-UHFFFAOYSA-N 411.311 4.942 5 20 HJBD C[C@H](NCc1coc(-c2ccc(Br)cc2)n1)c1cccc([N+](=O)[O-])c1 537075676 BJMRRWKUPNSBCV-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Cl 538040593 OGKVGQOFTGHSQN-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(-c2ccc3c(c2)CCO3)c1 539012758 ORTSSQNNCWMDLS-UHFFFAOYSA-N 403.438 4.861 5 20 HJBD COc1ccc(-c2ccc(CN(C)Cc3c(C)nc4sccn34)o2)c([N+](=O)[O-])c1 539840351 IGTCTEMLVNBSKT-UHFFFAOYSA-N 412.471 4.513 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ncccc1Br 541318058 XTVCTMQKRYOIIB-UHFFFAOYSA-N 410.293 4.531 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2cccc(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 542539464 VTAZEHMCJXZCRS-LLVKDONJSA-N 403.410 4.663 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2ncnc3cccc([N+](=O)[O-])c23)c1 543437534 WLQDVFUNEOKCQX-UHFFFAOYSA-N 414.425 4.794 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3c[nH]c4cc(F)ccc34)no2)cc(C(F)(F)F)c1 545683692 QBNUFPNPBKBUPB-UHFFFAOYSA-N 406.295 4.875 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 547170905 CXZVREHVFBBDFN-RMKNXTFCSA-N 402.204 4.586 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)NCCc3ccccc3[N+](=O)[O-])cc2)cc1 548746283 QRHJNYKFUNDWEA-UHFFFAOYSA-N 404.422 4.562 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)c1 549294177 CDJOXZJRNXNVNP-OAHLLOKOSA-N 409.442 4.541 5 20 HJBD Cc1cc(N(C)Cc2nc([C@H](C)OCC(C)C)no2)c([N+](=O)[O-])cc1C(F)(F)F 549522635 DGDJNFKZQFNKGG-LBPRGKRZSA-N 416.400 4.675 5 20 HJBD CC(C)n1c([C@H]2CCCN2c2ncnc3sc([N+](=O)[O-])cc23)nc2ccccc21 553111876 ZTUIHAFFXWAIHL-MRXNPFEDSA-N 408.487 4.872 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 554809392 OVNMUGVWCOPQGT-GMXVVIOVSA-N 408.804 4.595 5 20 HJBD CSc1cccc(-c2nc(Cc3coc(-c4cccs4)n3)no2)c1[N+](=O)[O-] 561867331 QYXXDDXAGJFCBT-UHFFFAOYSA-N 400.441 4.674 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 573840814 VHDOFTHFNNWPIA-IBGZPJMESA-N 410.861 4.545 5 20 HJBD O=C(CCc1ccnc2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 574674473 NXFYYIOTVCWZMN-UHFFFAOYSA-N 417.387 4.667 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC(=Cc2cccc(F)c2)CC1 603928333 LQLNESVUJLSZIW-UHFFFAOYSA-N 423.488 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCc3[nH]c4ccc(Cl)cc4c3C2)c1 604103790 SHVKLHGGEBBNOG-UHFFFAOYSA-N 401.875 4.650 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc2ccccc2[nH]1 609115721 INNVAMFUJFZPSQ-CQSZACIVSA-N 402.410 4.754 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4ccc(Cl)cc4[N+](=O)[O-])n3)co2)cc1 609474274 YGYLQFIKCIHBKD-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD Cc1nc([C@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccc2F)no1 609637077 PECGURDWTINJLF-HXUWFJFHSA-N 408.389 4.565 5 20 HJBD O=C(CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NCc1ccc(Cl)cc1 609766050 SEWGETBOWKIFLP-UHFFFAOYSA-N 411.845 4.769 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CCO1 610209096 RXYHUSVAKZTCFU-HSZRJFAPSA-N 418.449 4.909 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610613441 JNTHSIRWNHCIME-UHFFFAOYSA-N 413.783 4.973 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 741889186 RVAZORUNRNYAKY-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 742159298 PJQNJAAPXSHOKB-UHFFFAOYSA-N 413.481 5.000 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)OCc1ccccc1[N+](=O)[O-] 745648240 CYFQSCLQJWUVER-UHFFFAOYSA-N 421.272 4.643 5 20 HJBD Cc1csc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 748802408 UBCGIEYUXHGHIQ-UHFFFAOYSA-N 416.842 4.601 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)Oc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])s1 749063047 VFSXPZGGMVPGTH-CQSZACIVSA-N 412.467 4.959 5 20 HJBD CC[C@@H](C)n1c(SCC(=O)Oc2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1 749784545 PDRGQDPZVXCRAD-CQSZACIVSA-N 412.471 4.522 5 20 HJBD Cc1cc(NCc2csc(CNC(=O)c3ccccc3)n2)c(Cl)cc1[N+](=O)[O-] 750030804 OMVBLYCXACQLOO-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 751080650 YSEKILOJUGDXFH-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD C[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751617116 FXPVGMVLWSYEKW-LBPRGKRZSA-N 402.790 4.586 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 762368239 LMLZYVGDGPLKST-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(Br)cc1F 764215829 CGUNTNQZPCLVAE-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](c1ccccc1)C1CCOCC1 771768718 RMBBHOMUJBIQBJ-SFHVURJKSA-N 423.391 4.903 5 20 HJBD C[C@@](NC(=O)c1cc([N+](=O)[O-])ccc1Br)(c1ccccc1)C(F)(F)F 780021067 BZDRXXPSNFMXQP-OAHLLOKOSA-N 417.181 4.565 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(NC(=O)c2ccncc2)cc1 783637911 LUVFQYBHQPZEEG-CQSZACIVSA-N 410.861 4.746 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc(F)cc2Cl)cc1[N+](=O)[O-] 784257999 QRVDBUAZFDMLIH-NSHDSACASA-N 408.813 4.695 5 20 HJBD CC(C)NC(=O)COC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 785638517 BNSWDKFFLZYYMN-UHFFFAOYSA-N 401.462 4.615 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1nc2ccccc2n1C(F)F 790294948 CQDLEWDVYXZFAQ-UHFFFAOYSA-N 416.153 4.820 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 796446303 VFTYPSZIBCUMHR-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 798786171 DRSMSZGMPMZPAD-UHFFFAOYSA-N 423.231 4.716 5 20 HJBD C[C@H]1CCC[C@@H]1CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 799061689 NQZJJTSJXRXZSR-UONOGXRCSA-N 415.877 4.667 5 20 HJBD Cc1c(C(=O)Nc2ccc3ccc(Cl)cc3n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 800123672 ZEFBQJLLOUHHRI-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1 800887868 DKGLRPSXKIBQQT-UHFFFAOYSA-N 405.279 4.831 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809919211 WMCNZZPWDHQJDV-LBPRGKRZSA-N 409.895 4.869 5 20 HJBD CCC[C@@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 821235131 NJNFHHKBOCRLMT-CZUORRHYSA-N 404.850 4.702 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2ccc(OCc3cccc(F)c3)cc2)cc1 914558961 UJHGEYPJBMPMPW-UHFFFAOYSA-N 411.458 4.800 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)Cc1csc(-c2cccc(F)c2)n1 918531731 DAVCWLZAOJYPHP-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc(Cl)c1Cl 919212024 QKMIDFAZCBHRIF-VIFPVBQESA-N 423.208 4.832 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1cc([N+](=O)[O-])ccc1F 919251453 QYGZOPWOXMVBTG-QFIPXVFZSA-N 418.380 4.996 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 919522727 HPAQXCXKOTWPFI-UONOGXRCSA-N 409.442 4.774 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(-c3cccc(OC(F)F)c3)o2)cc1SC 1117231462 HMGFGXNEMMJEAR-UHFFFAOYSA-N 409.370 4.644 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@H]3CCCN(c4nc5ccccc5s4)C3)o2)c1 1322710279 YMSIPZHTJIDIKM-AWEZNQCLSA-N 407.455 4.639 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cc(F)cc(C)c3[N+](=O)[O-])o2)c1 1326301850 JLLIHMJTWRLVGQ-UHFFFAOYSA-N 408.183 4.530 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 1347461663 RQRYWDRKJBWJPE-UHFFFAOYSA-N 421.434 4.659 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 5749120 MAVUWGAEEJRCMO-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD C[C@@H](OC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 7628964 KDCVCCQKZYSWRP-VGOFRKELSA-N 418.449 4.760 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(F)c(F)c2)cs1 7688680 YDXIZBPYNOPAPM-UHFFFAOYSA-N 407.423 4.727 5 20 HJBD COc1cc(OC)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1Cl 14716317 CXRIJHOBIWMSCC-UHFFFAOYSA-N 419.865 4.508 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 15007929 JYVQWVRCFGKKOQ-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Cl)c1 24997801 LFHNEHVQCFJYQV-STQMWFEESA-N 422.890 4.608 5 20 HJBD COc1ccccc1-c1csc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 71892262 DDRGRANPWBOKKT-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 71895387 SMNHQPFWTQFMOQ-UHFFFAOYSA-N 423.263 4.797 5 20 HJBD O=C(c1cccnc1SCc1ccc(F)cc1)N1CCc2ccc([N+](=O)[O-])cc21 107781011 MMCCANZJXHEHBP-UHFFFAOYSA-N 409.442 4.624 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3cccc(C(C)C)c3)cc2)c(C)c1[N+](=O)[O-] 149038103 RDFIREBNSNYPRO-UHFFFAOYSA-N 406.486 4.856 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccccc1C(=O)Nc1ccc(Cl)cn1 209634252 PTUJIJIQJUAFOC-GHXNOFRVSA-N 422.828 4.547 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2ccccc2)c2cccc(Cl)c2)c([N+](=O)[O-])c1 301114265 SVFINKVIYQNWFP-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426274976 DMCGIGXFIDVKPN-KRWDZBQOSA-N 423.391 4.669 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1cccs1)C[C@@H]1CCCO1 426990263 HIBDNSKGFDNYEE-KRWDZBQOSA-N 418.518 4.564 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 427842876 RFOVJQZMLDHBHH-MRXNPFEDSA-N 422.485 4.554 5 20 HJBD CCn1c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)nc2ccccc21 435934574 ALNNIQZGJZNUIQ-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD O=C(NCCCCOc1ccc(F)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435939351 VBFAIOVQNUUCDQ-UHFFFAOYSA-N 422.431 4.549 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 437120518 APPHHVKUKHYPJU-AWEZNQCLSA-N 410.499 4.859 5 20 HJBD CC(C)(C)Oc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 438368516 BXVUDESCZAVLQV-UHFFFAOYSA-N 413.474 4.847 5 20 HJBD C[C@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 439644781 RORCFQQDWSJLLW-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD CCc1[nH]nc(C(=O)N(CC)[C@H](c2ccc(C(C)C)cc2)c2cccnc2)c1[N+](=O)[O-] 444265985 KCBIADXKESWDHL-OAQYLSRUSA-N 421.501 4.650 5 20 HJBD Cc1c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cnn1C1CCCC1 444455256 KMDJVSMJKNFSDM-UHFFFAOYSA-N 422.435 4.832 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 445651874 YQZLLEPYOBZQDW-CYBMUJFWSA-N 409.421 4.790 5 20 HJBD O=C(c1cc(N2CCC(=Cc3ccccc3F)CC2)ccc1[N+](=O)[O-])N1CCCC1 465055341 MDQANSBYKCSSMA-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C(C)C 465213783 JTQPHXYZNKVGPR-QGZVFWFLSA-N 408.907 4.800 5 20 HJBD COCCCCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466746027 NFJNCMQULBSIHZ-UHFFFAOYSA-N 408.907 4.946 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@H]1O 467752682 MEOADLQOOKPGCF-MCYKXBRJSA-N 420.575 4.745 5 20 HJBD COC(=O)c1ccccc1-c1ccccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 468894696 IHVDJMCUPNETBX-HNNXBMFYSA-N 404.422 4.539 5 20 HJBD COCCOc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c(Cl)c1 471840969 VUAWCBLYFNHORK-UHFFFAOYSA-N 418.877 4.863 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c2cccnc2O1 475907188 FPDCJJJRYPFQDQ-AWEZNQCLSA-N 413.499 4.700 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c2C(C)C)cc1 481894076 OJQFCYZSSJKXIV-UHFFFAOYSA-N 417.469 4.875 5 20 HJBD O=C(Nc1nnc(CC(F)(F)F)s1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 484976866 NXMWCBUPFXPEIK-UHFFFAOYSA-N 423.376 4.547 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 485458843 SEEYVCSHMOGRLQ-UHFFFAOYSA-N 403.316 4.650 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485637112 RIXKDTIRMIBUAZ-ZLNRFVROSA-N 416.481 4.579 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 485811745 FRLPQTHEZYZGIJ-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD CCC(CC)(CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 492456360 LEHNXRWHVXMKEJ-UHFFFAOYSA-N 402.516 4.665 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)NCc1ccccc1C(F)(F)F 495140422 RPGLJUCLDMGEKV-UHFFFAOYSA-N 421.297 4.632 5 20 HJBD CCn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nnc1-c1ccccc1OC 501146206 VREITFMJKWEZBA-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD COc1ccc(C(=O)Nc2ncc(Cc3ccc(Cl)cc3C)s2)cc1[N+](=O)[O-] 502563860 SDRWPRIFKPIAIK-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 504895592 VDYGLBXPPVGSFU-ZBFHGGJFSA-N 421.444 4.524 5 20 HJBD CCOc1ccc([C@@H](C)NCC2(c3ccc(F)cc3)CCOCC2)cc1[N+](=O)[O-] 504905997 RCLVMISSIZZGST-MRXNPFEDSA-N 402.466 4.532 5 20 HJBD O=C(NC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1)c1ccccc1 506293325 CKUNHTPERMDGIT-UHFFFAOYSA-N 421.522 4.718 5 20 HJBD O=C(CC[S@](=O)Cc1ccc(-c2ccccc2)cc1)Nc1ccccc1[N+](=O)[O-] 507703207 BJAGCSKXSIPBTC-LJAQVGFWSA-N 408.479 4.539 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 508226550 YSKWKYAAJMEPIE-VLIAUNLRSA-N 420.444 4.719 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccccc1[N+](=O)[O-] 508828440 OMFBXHVVHDFGSR-UHFFFAOYSA-N 420.347 4.580 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCC2CCN(Cc3cccs3)CC2)o1 517552599 PBEFFOZLAFJOGP-UHFFFAOYSA-N 411.527 4.918 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Oc2ccccc2)cc1 522261516 XQRAIUYSSYKURM-AWEZNQCLSA-N 406.394 4.607 5 20 HJBD Cc1cc(S(=O)(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 522415890 OKXCOYPLBMQDMA-NSHDSACASA-N 403.287 4.592 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1F 523505413 RJOFVEVFUULWLG-UHFFFAOYSA-N 416.430 4.661 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@@H]1c1c(F)cccc1F 523844564 MVVAHYZIPDJCEN-STQMWFEESA-N 401.394 4.739 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](c3ccccc3)c3nccs3)o2)c1 532669270 WRQKZAZHUVYCSI-HXUWFJFHSA-N 406.467 4.628 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 535613749 GIRJDXFNHMCOGD-OAQYLSRUSA-N 406.438 4.631 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@H](C2CCOCC2)C1 535892356 OMHJVNNYWFYIQZ-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)nn1-c1ccccc1Cl 538460637 PBFLUIKQVJCYKR-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD COCCOC[C@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 539821243 ZJGNRWGMAUXTHO-KRWDZBQOSA-N 420.893 4.525 5 20 HJBD COc1cc(C2(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)CC2)ccc1C 540451953 SJGGEVYXAQSLNE-UHFFFAOYSA-N 423.494 4.730 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](CN2CCCC[C@H]2C)C1 540452328 YJWCEACOYNWLOD-QZTJIDSGSA-N 419.591 4.822 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 542590688 NHCJTMKVBJFLTN-SJLPKXTDSA-N 414.458 4.941 5 20 HJBD COc1ccc(COc2ccc(-c3noc(-c4cccc([N+](=O)[O-])c4C)n3)cn2)cc1 544332972 JSTMFZPGZOIPEY-UHFFFAOYSA-N 418.409 4.603 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4cccc(C(F)(F)F)c4)n3)c2c1 545787208 VUMPHUBWRFDALJ-UHFFFAOYSA-N 402.332 4.660 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CCc2ncc(-c3ccc(F)cc3F)o2)n1 546402601 QOQKPOHQBLJAEO-UHFFFAOYSA-N 412.352 4.672 5 20 HJBD CCCOc1ccc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc1OC 546936786 VRDJBOHLCCEYDY-UHFFFAOYSA-N 401.444 4.735 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2cc(C)c([N+](=O)[O-])cc2F)cs1 548476650 IVESLUBSGGGLBX-UHFFFAOYSA-N 415.446 4.567 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4cccc(C)c4n3)cc2)c1 549233506 AZYPZLBPVUXIIC-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1 559859391 PTMYOUFOLAWXHN-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD COc1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)cc1OC1CCCC1 580790721 NNEXKCNMFFZSRI-UHFFFAOYSA-N 400.460 4.542 5 20 HJBD C[C@@H](C(=O)Nc1ncccc1OCc1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 584030670 PAWDMUMCIHKPGD-CQSZACIVSA-N 411.845 4.964 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])cc1OC 590666562 SJJZBWTUHUCTCL-LJQANCHMSA-N 406.866 4.783 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 603454078 NZHRYNRZLZWLOS-OAQYLSRUSA-N 421.522 4.779 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1CCN(Cc2ccccc2Cl)CC1 609296773 VNALOFSQGPKTIB-UHFFFAOYSA-N 416.909 4.590 5 20 HJBD O=C(NC[C@@H]1OCCc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609781610 SAAHHSVKBHZEOQ-QFIPXVFZSA-N 419.437 4.823 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 609792310 ZUHJZGGUJUEQFV-QFIPXVFZSA-N 420.856 4.573 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609855146 KPLQPRBOLMSRSE-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(F)(F)F 609976492 SCNZIVLYUUXAQL-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD CC(C)(C(=O)NCc1ccc(CN2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 610062098 MTQQXVYLMJMIAY-UHFFFAOYSA-N 409.530 4.565 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ncc(-c4ccc(Cl)cc4)o3)CC2)cc1 610371192 XJHKZWNWQLABNP-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD O=C(NCc1cccc(Oc2ncnc3ccc([N+](=O)[O-])cc23)c1)Nc1ccccc1 618173714 YVAOITWDYFEMRU-UHFFFAOYSA-N 415.409 4.652 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)cc2)cs1 619503563 JDAXGHNHRIBLMZ-KGLIPLIRSA-N 410.499 4.705 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C\C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 729871909 MOAOUILSGJMCKM-VSKPTYQZSA-N 423.425 4.579 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1C[C@@H]1c1ccc2ccccc2c1 731271968 VNULGZHMZBCLAE-WOJBJXKFSA-N 415.405 4.645 5 20 HJBD Cn1c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1-c1ccc(F)cc1 735442920 KMYLZBMGRRORSW-UHFFFAOYSA-N 421.384 4.751 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 741327976 ROIFOJDHFKEGJY-NSHDSACASA-N 417.215 4.930 5 20 HJBD COc1ccc(CNC(=O)c2ccc(-c3cc(F)c(F)c(F)c3)cc2)cc1[N+](=O)[O-] 741914860 OPMARJMBQABRCG-UHFFFAOYSA-N 416.355 4.618 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 742766352 YAPWHRPSYKELJT-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD C[C@H]1CCC[C@@H](OCc2cccc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)c2)C1 745089688 CZODKBSLTLFGBT-HRAATJIYSA-N 422.485 4.679 5 20 HJBD O=C(COc1ccc(Oc2ccccn2)cc1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746930667 JIUJIPVMOVCABI-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD Cc1c(CC(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)cccc1[N+](=O)[O-] 748474389 NMJISCJNRCUXJB-QGZVFWFLSA-N 418.449 4.683 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4cccc(F)c4)no3)C2)c(Cl)c1 750600577 WVEPVOSNMMSCGG-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCCC[C@H]3C[C@@H](O)c3cccs3)nc2c1 751481086 MTYUSKYCVKPWHD-WMLDXEAASA-N 401.488 4.666 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)co2)cc1 755065913 NXBJAMHWPDPQDH-CYBMUJFWSA-N 414.389 4.635 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755369207 AMSPYNIHJWAWGV-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CCN(Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)C(=O)OC(C)(C)C 761945623 NQRAAJFOSXKEJF-UHFFFAOYSA-N 404.448 4.617 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1cc(Cl)ccc1[N+](=O)[O-] 762514447 POYHCGZACGZCQF-CQSZACIVSA-N 414.874 4.820 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764242932 LLOACRVJSDROMO-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C(Cl)=C/C=C\c3ccccc3[N+](=O)[O-])nc2c1 764439975 XSELCZOUGMOWHG-HNZROCAZSA-N 418.858 4.823 5 20 HJBD Cc1cc(Cl)ncc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 766795509 JNBWSANSBBEUOW-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(C)C 771547350 YJTLJBATHTVXCU-INIZCTEOSA-N 412.490 4.738 5 20 HJBD COc1cccc([C@@H](C)CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135272 SJYANUYSWDAJJM-QMHKHESXSA-N 406.438 4.825 5 20 HJBD O=C(Nc1c(O)c(Cl)cc(Cl)c1Cl)c1cc([N+](=O)[O-])c2nsnc2c1 777805956 CJUBDFCDLBDUQL-UHFFFAOYSA-N 419.633 4.518 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H](c2cccc(F)c2)c2cccc(Cl)c2)cc1 779067701 ZRAWCMRBSMXAGJ-RTWAWAEBSA-N 400.837 4.800 5 20 HJBD CCCOC(=O)c1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780171716 QNRTUUGXGRNENG-UHFFFAOYSA-N 400.434 4.500 5 20 HJBD Cc1nc(Cl)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 790244274 UVFDGRKATPXKCL-LBPRGKRZSA-N 411.849 4.772 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 798560040 ZQQPPGFRFBOYBY-UHFFFAOYSA-N 419.485 4.546 5 20 HJBD C[C@H](OC(=O)CCCc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 811350936 XSODFDUFMGRMAA-ZDUSSCGKSA-N 415.833 4.925 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1ccc(Br)c([N+](=O)[O-])c1 914874940 XASFAFWLMLGZGX-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD COc1cc(C(=O)N2CCCc3cc(C(C)C)ccc32)c([N+](=O)[O-])cc1OC(F)F 915165667 LSURCOCDYUTWRO-UHFFFAOYSA-N 420.412 4.921 5 20 HJBD O=C(CCOc1cccc([N+](=O)[O-])c1)O[C@@H](C(=O)c1ccccc1)c1ccccc1 915451289 XQIODTAXJZJKIN-HSZRJFAPSA-N 405.406 4.531 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])ccn2)cc1 917090537 WVXPCUFHCWNKOL-UHFFFAOYSA-N 410.386 4.701 5 20 HJBD COc1ccc(OC(=O)c2cccc(C)c2NC(=O)c2ccccc2F)c([N+](=O)[O-])c1 918490332 SLZSRVUAVVUCBK-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1319714652 CLVMSZRVBIMVRJ-MUUNZHRXSA-N 418.902 4.878 5 20 HJBD CCn1c(CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])nc2ccccc21 1339433314 FVEXRFRPTZAMKY-UHFFFAOYSA-N 409.324 4.504 5 20 HJBD CCOc1cc(NCc2ccccc2CNC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] 1339946777 MFUCCTBYUPOZTQ-UHFFFAOYSA-N 401.463 4.630 5 20 HJBD Cc1n[nH]c(C)c1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 1521695414 YYUKKCBXIXYCGD-UHFFFAOYSA-N 416.890 4.669 5 20 HJBD Cc1c(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)sc2cccc(F)c12 10965606 LLFDHCYALYLKFN-UHFFFAOYSA-N 402.403 4.671 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1 14601704 PDFHEDAWQOGJNS-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17714545 UBFYPBDSXOZLTJ-ZDUSSCGKSA-N 420.381 4.526 5 20 HJBD COc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 54079096 DTMOOJSQCPOWDX-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cccc([N+](=O)[O-])c2C)cs1 54917182 ZKQMCDQIIPEYAY-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCCOCc3ccccc3)n2)cc1[N+](=O)[O-] 64898402 WJOKBQYBJQMZED-UHFFFAOYSA-N 411.483 4.962 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 65309093 RWTXFDLQRWAWRX-UHFFFAOYSA-N 422.388 4.945 5 20 HJBD CC(C)c1ccc(CNCc2ccccc2CN2C[C@@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] 236718540 NURPDSCXBZEORL-RTBURBONSA-N 411.546 4.617 5 20 HJBD COc1ccc(CN[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 237550840 SBZQTIRUSPVVNL-HXUWFJFHSA-N 420.444 4.623 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CC[C@H](Nc2ccccc2)C1 426463233 XLBWMTUBFNKBHT-FQEVSTJZSA-N 417.465 4.500 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1Br 428895014 MVLGHRROKJRIBW-NSHDSACASA-N 408.252 4.557 5 20 HJBD COc1cc(CN[C@@H]2CCCc3ccc(C)cc32)c([N+](=O)[O-])cc1OCC(F)F 430212311 LZWWLNFRTFQJQA-QGZVFWFLSA-N 406.429 4.723 5 20 HJBD COc1cc([C@@H](C)N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(OC)c1OC 433138117 WODJZGFBNGLBNM-FZKQIMNGSA-N 400.475 4.694 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 435988744 ZATGVWHPUZHTRJ-UHFFFAOYSA-N 410.376 4.544 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 437141748 LNVUISPHUMBIOJ-UHFFFAOYSA-N 423.391 4.784 5 20 HJBD Cc1cc(Cl)c(NC(=O)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Cl 438655026 KLVJATHEJPKLQM-UHFFFAOYSA-N 424.284 4.586 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccsc1)c1cccnc1 440722476 CXIUTLQPJRDJSY-UHFFFAOYSA-N 407.373 4.917 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444027340 DBBYTMLLAFBKDZ-NVXWUHKLSA-N 400.453 4.839 5 20 HJBD C[C@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444096573 KNUHVNQVWYIEED-WMZOPIPTSA-N 414.480 4.914 5 20 HJBD Cc1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cnn1C1CCCC1 444456908 OZKZYAASEHQQBG-UHFFFAOYSA-N 404.445 4.693 5 20 HJBD COc1c(F)ccc(F)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 446026031 MTQSEQBCSAIBHP-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1noc(C)c1[C@@H](C)CC(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446425647 LLEOZHWGXCWRPH-AWEZNQCLSA-N 409.442 4.911 5 20 HJBD O=C(O)C/C(=C/c1ccccc1[N+](=O)[O-])c1nc2cc(Br)ccc2s1 463048838 OLLPKZSFYWZVIK-XFFZJAGNSA-N 419.256 4.982 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccco1)Cc1cccs1 467232197 WBPAKAVUPQEYPM-UHFFFAOYSA-N 405.863 4.815 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] 469358679 VFMZBAAKTGSELF-HNNXBMFYSA-N 410.499 4.658 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 470154046 YRSVDNNACTWKCF-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD CC(C)CCc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n[nH]1 471413724 PONBDTFIAHABND-HNNXBMFYSA-N 422.489 4.727 5 20 HJBD CC(C)n1nc2c(c1NC(=O)c1ccc(NCc3ccccc3)c([N+](=O)[O-])c1)CCC2 471675577 GBAZJRFKQSMMHP-UHFFFAOYSA-N 419.485 4.725 5 20 HJBD COc1cccc(C=C2CCN(c3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)CC2)c1 471735550 HYHSZXJYZJSKHP-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 477313957 LHPPTIYBWFIXIB-RDJZCZTQSA-N 408.907 4.595 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 482803105 XSGFNQQRKZWIMT-OWCLPIDISA-N 413.522 4.805 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1cccc(Br)c1C 485305950 UWPGTMTXJFHSDS-CYBMUJFWSA-N 412.305 4.650 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 497728333 UNVJMDYIKDJYOS-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(F)cc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 497800615 SMMLIXOCIQLSGJ-GJZGRUSLSA-N 414.330 4.568 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@@H](c3nnc4ccccn34)C2)s1 506147499 JMVJVKXXQZYIDO-MRXNPFEDSA-N 419.510 4.746 5 20 HJBD O=C(CCN[C@H](c1cccc(OC(F)(F)F)c1)C1CC1)Nc1ccccc1[N+](=O)[O-] 511593434 MAKRVPHOVGKMOU-IBGZPJMESA-N 423.391 4.563 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 512033192 UWOOZLWBHBJYOS-UHFFFAOYSA-N 403.360 4.900 5 20 HJBD Cc1ncsc1CN(C)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 513419030 FBAOIGMTTSMGJP-UHFFFAOYSA-N 419.510 4.844 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](Cc1ccccc1)c1cccs1 515314298 XHECHKBMFUJPFY-FQEVSTJZSA-N 409.511 4.824 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1csc(-c2cc(Br)cs2)n1 516069545 VFMIWFUJIFFFCV-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ncccn3)no2)cc1Sc1ccc(Cl)cc1 517261158 ZPQZGHLSHNODFS-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD CN(C)c1ccc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 518270230 XPOZUMUYHRABQI-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD COc1ccc(CC(=O)N2CCCCC[C@@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 518494157 BRPONLLBSYKNGS-GOSISDBHSA-N 402.878 4.943 5 20 HJBD CC1(CNC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)CCCC1 518696021 SEDRFWFPIOTPMW-UHFFFAOYSA-N 413.440 4.527 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2O[C@@H](C)C(F)(F)F)c1[N+](=O)[O-] 521110982 ITASSLIZYIYHAE-JTQLQIEISA-N 400.378 4.899 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCCN(Cc2ccccc2)c2ccccc21 523144922 FUOZKYIMTQPKRS-UHFFFAOYSA-N 401.466 4.581 5 20 HJBD Cc1cccc(-c2nc(CC(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)cs2)c1 523833984 QHTVBWOUZJDJKN-UHFFFAOYSA-N 419.466 4.598 5 20 HJBD C[C@@H](NC1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCCC1)c1ccccc1 524635187 GUOAYPLWISSRSH-OAHLLOKOSA-N 401.894 4.642 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1)c1ccccc1 532495112 YLCKVRKRTDAMML-AVRDEDQJSA-N 409.530 4.739 5 20 HJBD COCc1ccccc1NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 533209789 JGOXEWXUIVIWNY-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD Cc1cc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)cnc1O 533836536 LSFBKIXPMHSBMA-UHFFFAOYSA-N 412.833 4.522 5 20 HJBD CC(C)(NC(=O)Nc1cccc2c1CN(c1ccccn1)C2)c1ccc([N+](=O)[O-])cc1 535324529 DEEOKBCCADHKKG-UHFFFAOYSA-N 417.469 4.567 5 20 HJBD COc1ccc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1[N+](=O)[O-] 539447856 GNCHFECEEZIIAL-CQSZACIVSA-N 422.281 4.656 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CC[C@@H](CSc2ccccc2)C1 540512160 GFYMEIFYHVRPPV-QGZVFWFLSA-N 419.506 4.911 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 541658968 SZDUOMQCDNOYHY-BOUXLOLZSA-N 418.490 4.593 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@H]1c1ccncc1 544198430 FJZXUEQHMXTTGK-INIZCTEOSA-N 405.907 4.879 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)CSc3ccc(Cl)cc32)cc([N+](=O)[O-])c1OC 544220171 FBFYYNFGQQOOKE-NSHDSACASA-N 422.890 4.654 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC4(CCOCC4)[C@H]3C)c([N+](=O)[O-])c2)cc1 544416080 WCKXKDMWCVNGCW-QGZVFWFLSA-N 409.486 4.551 5 20 HJBD O=c1c2ccccc2n(Cc2nc(C(F)(F)c3ccc(F)cc3)no2)c2ccccc12 545665363 IKFCXGIUNQTKOS-UHFFFAOYSA-N 421.378 4.865 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 558588504 HDBYGWFJIULINA-VGSWGCGISA-N 419.481 4.504 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 561411804 WCELWMAGGXOGLE-KRWDZBQOSA-N 424.422 4.832 5 20 HJBD C[C@@H](N[C@@H]1CCOC2(CCOCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 563701888 HGEODQAJILNKNS-HZPDHXFCSA-N 402.516 4.702 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cccc(-c2nc(CC(F)(F)F)no2)c1 564262518 VLRDHLMJFIRZCI-UHFFFAOYSA-N 422.363 4.508 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 565905432 MFTBSVOQOISOGF-CQSZACIVSA-N 400.740 4.521 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC34C[C@H]5C[C@@H](CC(O)(C5)C3)C4)o2)c(Cl)c1 574847279 YZXKYKLWDGSBLE-RKOMNEFPSA-N 402.878 4.682 5 20 HJBD O=C(c1cc(Cl)c[nH]1)N1CCC(Nc2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 583268661 VFIIOFOFRIXIPF-UHFFFAOYSA-N 417.680 4.600 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n1 603757687 HRDNTJANHMFEPY-UHFFFAOYSA-N 413.386 4.865 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc4c(c3)CCO4)c([N+](=O)[O-])c2)cc1 608974101 YIDSCBWXYSGBRR-UHFFFAOYSA-N 403.438 4.703 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(C3CCCC3)[nH]n2)cc1[N+](=O)[O-])c1ccccn1 609837322 NHDIVEINAWNOOJ-AWEZNQCLSA-N 420.473 4.796 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609857549 VCEBVHVGZQGGQH-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cs2)cc1 609859413 JOUDGXKYHTXOFV-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD CC[C@](C)(NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C)cs1 610184996 XNVUQKNCPROKJP-SFHVURJKSA-N 402.501 4.538 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)Cc2cccc([N+](=O)[O-])c2)s1 610224783 RHECTDLYZDBLMD-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD O=C(c1cccc(Oc2nccs2)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610233665 BIGYLCNJVVMKAT-GOSISDBHSA-N 413.480 4.731 5 20 HJBD CSc1ccncc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 610544149 PAFZTPQZIUCDKH-CYBMUJFWSA-N 409.471 4.532 5 20 HJBD O=C(CC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1)NC1CCCC1 611265010 ISOFFTCVOJWDRW-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD Cc1nc(COc2ccccc2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cs1 727711146 OLYYPZVJJLPARO-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD CC(=O)c1cc(Br)ccc1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 727966122 PILMFOWBTRWGDT-UHFFFAOYSA-N 404.172 4.525 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(Cl)nn2)cc1 733281019 GAELNVLEFJBQSK-UHFFFAOYSA-N 416.846 4.561 5 20 HJBD Cc1cc(C)n(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)cc2F)n1 736159668 YEJZNMKJIMEITG-UHFFFAOYSA-N 402.813 4.751 5 20 HJBD Cc1c(C(=O)NC2(c3cccc(C(F)(F)F)c3)CCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742014620 RGWPLUHUBMHYPS-UHFFFAOYSA-N 423.347 4.639 5 20 HJBD Cc1c(C(=O)OCc2cnc(-c3ccccc3)s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748947539 NJGOXKYDDXOMTD-UHFFFAOYSA-N 413.411 4.600 5 20 HJBD C[C@@H](CCC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 750104287 FTJHDPOOUXUHCJ-ZDUSSCGKSA-N 424.375 4.679 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1cc([N+](=O)[O-])ccc1Br 753273201 PZRLDCJZZSSLIU-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1csc(-c2cccs2)n1 760484003 MFHUAECCCJMWNC-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1C(=O)Nc1ccc(Cl)cn1)c1cccc([N+](=O)[O-])c1 761640996 HFURPHCGJGGMGR-CYBMUJFWSA-N 424.844 4.638 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Oc4cccnc4)cc3)no2)cc1F 761878270 MVPBHMHPNGECKF-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 771224948 QOOILSJODWDQBO-UHFFFAOYSA-N 402.432 4.644 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(Sc3ccncc3)cc2)cc1[N+](=O)[O-] 772222944 SZXQNGAEKUOPAU-UHFFFAOYSA-N 410.411 4.610 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)cn1)c1cc(F)c(F)cc1[N+](=O)[O-] 778142220 PFARTIAKRHQFGO-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 778186871 XDYYSOAVZPGCGJ-UHFFFAOYSA-N 423.416 4.833 5 20 HJBD Cc1nc2cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)ccc2n1Cc1ccsc1 788429755 CPBSIGSQBXMUTK-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cs2)cc1 798130034 GJFCMLWYPANQBB-LFYBBSHMSA-N 410.451 4.874 5 20 HJBD O=[N+]([O-])c1c(N[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)nc2ccccn12 804713156 SIXMHKDYYYFTKH-KRWDZBQOSA-N 420.391 4.841 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 804794471 HPKGDTSKHXOICX-KBPBESRZSA-N 424.432 4.608 5 20 HJBD COc1cc(F)cc2sc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])nc12 812976641 VXTQSTZJIBIOJB-UHFFFAOYSA-N 416.217 4.911 5 20 HJBD O=C(N[C@H](c1ccccc1)C(F)F)c1cc(Br)cc([N+](=O)[O-])c1Cl 817224088 IOWZXWBJEUSAOS-CYBMUJFWSA-N 419.609 4.747 5 20 HJBD O=C(Nc1nc(Cc2ccc(Br)cc2)cs1)c1csc([N+](=O)[O-])c1 917715514 XKGYBDDTQQJCPG-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 919523321 QQMFPJZPAGOISE-OAQYLSRUSA-N 415.405 4.613 5 20 HJBD CC1CCN(C[C@H](C)c2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1118358527 TXYPYQZUYZUDSB-UONOGXRCSA-N 408.886 4.607 5 20 HJBD COc1ccc(-c2cc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)ccc2OC)cc1 1319998247 JKDMQJWYTBSXJM-UHFFFAOYSA-N 406.438 4.769 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(Cc2ccccc2)n1 1320624334 XSTGCKLPMSFACE-UHFFFAOYSA-N 408.483 4.728 5 20 HJBD C[C@@H](CC1CCCCC1)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 1321839357 LVFGGYFPZBCZGC-LBPRGKRZSA-N 416.396 4.702 5 20 HJBD Cn1c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc2cc(C(F)(F)F)ccc21 1322399772 VDFNBQUHLDBBQE-UHFFFAOYSA-N 418.331 4.734 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(C(F)(F)F)n1 1326602048 ADAGUHTXRVJROQ-UHFFFAOYSA-N 412.798 4.559 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(c3nc4ccccc4o3)CC2)cc1[N+](=O)[O-] 1331608884 DPJOVNQGONPKSV-OAHLLOKOSA-N 408.458 4.695 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1338253868 XCPSIDPRRRSIGQ-SNUQEOBHSA-N 408.376 4.604 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2Br)cccc1[N+](=O)[O-] 8567477 LVVXWTZNPWWKHS-JTQLQIEISA-N 413.655 4.725 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(SC(F)F)cc1 16987422 LNEZZJMCBTYTLC-VIFPVBQESA-N 402.806 4.969 5 20 HJBD CCC[C@H](C)NC(=O)[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 50731788 MLVYYKMDFJBEBR-HKUYNNGSSA-N 403.526 4.545 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58233301 IPKMAYIFTVKYQL-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OC(F)(F)F 64782581 VZRPZGZKVLMDJQ-SECBINFHSA-N 404.728 4.553 5 20 HJBD CCN(C[C@@H]1CCCO1)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 65898973 ROKSWVZYHYCAKS-KRWDZBQOSA-N 400.500 4.696 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCSc2ccccc21 68731068 ASXINJUWBBOUNL-UHFFFAOYSA-N 414.430 4.845 5 20 HJBD C[C@@H](c1nnc(Sc2ccc(Cl)cc2[N+](=O)[O-])n1-c1ccc(F)cc1)N(C)C 301101447 RFRQOEBBLLRYFU-NSHDSACASA-N 421.885 4.742 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC(n3cc(Cl)cn3)CC2)c([N+](=O)[O-])c1 302019548 HFCPEXXOZAODSP-UHFFFAOYSA-N 410.861 4.517 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(CCc1ccccc1)C1CCCC1 302947537 LTQHAZFFWHXQPT-UHFFFAOYSA-N 407.514 4.823 5 20 HJBD CCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)Cc1ccsc1 426304499 SQPRFRDWZKQJKI-ZDUSSCGKSA-N 401.513 4.873 5 20 HJBD COc1ccc(-c2nc(Cc3noc(C(C)(C)c4ccccc4[N+](=O)[O-])n3)co2)cc1 426402536 IGVOIWILQFTEMC-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD O=C(O)Cc1ccccc1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 428093485 DVHROFRNUALKJP-UHFFFAOYSA-N 408.435 4.625 5 20 HJBD CC(C)Oc1ccc(N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 429571191 CUCIAUONLPFKGP-UHFFFAOYSA-N 412.364 4.600 5 20 HJBD COc1cc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1Cl 433610666 UGLOLESBTYXTGN-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=C(NCC1(N2CCCCC2)CCCCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435821726 HPPXCULTTBXJOT-UHFFFAOYSA-N 417.553 4.600 5 20 HJBD O=C(N[C@H]1CCc2cc(Cl)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436147662 GEULFVOZLLCBLY-IBGZPJMESA-N 406.844 4.891 5 20 HJBD COc1ccc2c(c1)[C@H](N(Cc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1)CCO2 460254380 VIORTHIRZZNEKH-LJQANCHMSA-N 424.478 4.831 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)cc1 461270430 PJJRIZUEXOGCHP-UHFFFAOYSA-N 401.422 4.682 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 462988328 IFEGTQUHGJDWDU-YWZLYKJASA-N 420.868 4.556 5 20 HJBD CCn1c(S[C@@H](C(=O)OC)c2ccccc2F)nc2cc3ccccc3cc2c1=O 463257720 MKFQRKLMYNCQJQ-HXUWFJFHSA-N 422.481 4.715 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(CC(F)(F)F)[C@H]2CCOC2)cc1[N+](=O)[O-] 468827840 CZDMWUWZFCSRTC-AWEZNQCLSA-N 420.453 4.526 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc(F)ccc1-c1ccoc1 471159381 FRQIMROMUGJYBR-UHFFFAOYSA-N 408.307 4.943 5 20 HJBD CCS(=O)(=O)CCCCCSc1nc2ccccc2c2nc3ccccc3n12 473788163 PFRYJNPHUGFZPM-UHFFFAOYSA-N 413.568 4.733 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 475634751 NWHWQLWDSWEHQR-OAHLLOKOSA-N 402.426 4.586 5 20 HJBD CC(C)(NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(OC(F)(F)F)cc1 475692402 GJZMJCCDRHSLNQ-UHFFFAOYSA-N 422.363 4.568 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nc(O)c2cnn(-c3ccccc3)c2n1 484640751 HYSUCTJULPEXFU-ZDUSSCGKSA-N 407.455 4.591 5 20 HJBD CC(C)n1ncc(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1-c1ccccc1 488809073 SOVCICQMCDDUFF-UHFFFAOYSA-N 412.877 4.665 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2Cc2ccco2)c(F)c1 489449161 NTJCTTIGNQIWNB-UHFFFAOYSA-N 416.459 4.988 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1F 490437968 WUNNGIGMMQPAFE-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD CCCc1c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 499307037 DUAQSWCLVGCEPE-UHFFFAOYSA-N 410.449 4.788 5 20 HJBD CCCN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@H](C)CC(=O)Nc1ccccc1 500664388 LHYDWKLFKLCZAV-CQSZACIVSA-N 403.866 4.518 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)CSc1ccc([N+](=O)[O-])cc1 501146861 HDVJIDBZOQUUPH-UHFFFAOYSA-N 400.431 4.961 5 20 HJBD COCC[C@@H](C)NC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 505067170 YCJRNRPGHDBLIZ-GFCCVEGCSA-N 417.512 4.511 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@@H](c4ccccc4Cl)C3)cs2)c1 513742050 TWHWGVPERQIWKD-LJQANCHMSA-N 415.902 4.945 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc([C@H]3C[C@H]3C)o2)cc1[N+](=O)[O-])c1ccccn1 518433637 NDZJAZLZQSUQFQ-VKJFTORMSA-N 420.469 4.809 5 20 HJBD COCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 520973127 JWPJKQWQUJPOTB-LBPRGKRZSA-N 414.355 4.603 5 20 HJBD COc1cc(CSCCOc2cccc(F)c2)c([N+](=O)[O-])cc1OC(F)F 521540780 AKKGEHKGRUQKKM-UHFFFAOYSA-N 403.378 4.656 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 523885453 UZDSMWGTGMMZTK-HXUWFJFHSA-N 418.478 4.556 5 20 HJBD C[C@@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C[C@@H](C)S1 525274610 LYKSOILHGGYHCI-PJIJBLCYSA-N 419.934 4.754 5 20 HJBD CCc1ccc(C(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)cc1[N+](=O)[O-] 530830143 QIHMCWGQUYGYPX-INIZCTEOSA-N 405.454 4.622 5 20 HJBD COc1ccc(CN2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 533529185 SSGNBUVPDJXBSQ-SFHVURJKSA-N 408.502 4.914 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1C 535445621 IZKPOJMFDVCYSY-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537124562 WVIMJCJCNIBYRN-IBGZPJMESA-N 410.474 4.921 5 20 HJBD CCCCc1nnc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 537490241 DFJKPZNQSLHAJG-UHFFFAOYSA-N 418.457 4.785 5 20 HJBD CC(C)(CCCO)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 537924707 JHZFJBOFMMZMGX-UHFFFAOYSA-N 406.866 4.569 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCCCc1cccs1 538805039 VVVIDHWCWHLDBN-UHFFFAOYSA-N 404.435 4.516 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1 540757746 IAFGVOWUEXCGQB-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cccc(COC(C)(C)C)c1C 541469668 GMZFFWVQSYZWIH-UHFFFAOYSA-N 401.463 4.549 5 20 HJBD CC(C)c1nc(Cc2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)cs1 546387508 ZFNYXENLPIZVJV-UHFFFAOYSA-N 416.506 4.757 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(CSc3ccc(F)cc3)n2)c(Br)c1 546450399 NNOIBBLBBZTVDE-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2c[nH]c3cc(Br)ccc23)no1 547247301 NKTCCUUWCPAHKA-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD COc1cc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)c([N+](=O)[O-])cc1OC 549327211 HQLRIQSEUCLYCH-GOSISDBHSA-N 424.375 4.512 5 20 HJBD Cc1noc([C@H]2CCCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1 553732004 HWNYVKFZLWVUDJ-MRXNPFEDSA-N 418.519 4.792 5 20 HJBD CC[C@@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1ccccc1Cl 556872577 ZRZZYMDFMQHJAV-PXNSSMCTSA-N 414.893 4.518 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(C3CC3)oc2c1 567128311 WEYZAFLIAGCKRO-UHFFFAOYSA-N 416.231 4.937 5 20 HJBD COc1cc(Cl)c(Br)cc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 583168371 ZBCJFBUWCBIWGY-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CCCC2)nn1-c1ccccc1 585715012 OYNAXSDPEAZGHM-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD CC(=O)CCc1ccc(OCc2cccc(C(=O)Nc3ccc([N+](=O)[O-])cc3)c2)cc1 608932589 OBQPSDBPGKWKBT-UHFFFAOYSA-N 418.449 4.948 5 20 HJBD COCCOc1ccccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755716 QSPPDMYCXFKSJI-UHFFFAOYSA-N 408.410 4.665 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610605371 KKYVBLARGDFHBR-TXEJJXNPSA-N 412.330 4.705 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(c3cccc(F)c3F)CC2)cc1[N+](=O)[O-] 613729669 WVBAHPLRTKCVDX-AWEZNQCLSA-N 403.429 4.832 5 20 HJBD COC[C@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1cccc(C(F)(F)F)c1 616118245 CMIGALIUZOUMOE-INIZCTEOSA-N 411.380 4.740 5 20 HJBD CCOc1cc(NC(=O)CSCc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC 619213174 ZOJFOANAKYMKJK-UHFFFAOYSA-N 424.906 4.918 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN(CCc3ccccc3)Cc3cccnc3)c2c1 726964918 DROOLDKHWWPMNI-UHFFFAOYSA-N 420.494 4.969 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 727805409 YZDXCMYAIIUGIZ-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCCC[C@H]3c3cc4ccccc4[nH]3)n2)c1 731027400 WQUDVZCPAJYACY-NRFANRHFSA-N 402.458 4.519 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 732047573 UFYJIBCGNXQXQA-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 732274870 BUSNYPOARUESGB-UHFFFAOYSA-N 415.405 4.680 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)c2cc3ccccc3c3cccnc23)C1 734132574 QFNZTTPNOZCASY-QGZVFWFLSA-N 405.498 4.517 5 20 HJBD C[C@@H](OC(=O)c1cn(-c2ccccc2)nc1-c1cccnc1)c1ccccc1[N+](=O)[O-] 742701160 CXGLQUDTFNCYTB-MRXNPFEDSA-N 414.421 4.761 5 20 HJBD Cc1ccc(F)c(NC(=S)/C(=C(/O)c2ccc(C)c([N+](=O)[O-])c2)[n+]2ccccc2)c1 744115183 GGYNUSFTFZLBPW-UHFFFAOYSA-O 424.477 4.962 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@@H](CC)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744201635 PROTZKBOGAHXIO-FQEVSTJZSA-N 412.486 4.699 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1cccc(F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745586058 UGKZUMXRAPFUAW-KGLIPLIRSA-N 413.405 4.657 5 20 HJBD COc1cc(C(C)=O)ccc1S[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 749848280 FPRQXXMAUXDLMP-NSHDSACASA-N 408.863 4.579 5 20 HJBD Cc1cc(CN(C)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc(C)c1OCC(F)(F)F 751099096 WHKBEAWBUNAZNX-UHFFFAOYSA-N 414.355 4.564 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1occc1COc1ccccc1 755372229 ZFLAFNUVJDMBCX-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD COc1ccccc1[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 755760914 WBJILNUTHOWINP-SZNDQCEHSA-N 410.401 4.574 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757957442 JDBQUGRWSVJETB-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCCN(CC(=O)Nc1ccc(F)c(F)c1F)Cc1ccc([N+](=O)[O-])c(Cl)c1 761511199 LTFUKRJNHPEIEA-UHFFFAOYSA-N 415.799 4.516 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1ccc([N+](=O)[O-])c(Cl)c1 761517646 VSEVWQQZGZRUON-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])on1 778131284 OUYFZESGJUQERY-UHFFFAOYSA-N 422.368 4.908 5 20 HJBD Cc1cc(COC(=O)Cc2ccccc2NC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] 781169944 BZLZYARKRNDMTB-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cccc([N+](=O)[O-])c3Br)co2)cc1 781676734 XNBFFDWZWSIJDN-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1CSC1=NCCS1 781889079 MSEUGHMHHJLQTC-UHFFFAOYSA-N 422.915 4.941 5 20 HJBD CCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)[C@H](C)c1cc2ccccc2o1 783313733 UDYOQMRTANIRCL-GFCCVEGCSA-N 415.833 4.543 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1noc(-c2ccccc2)n1 784642582 NBFJQYQSCZJIGW-JTQLQIEISA-N 407.304 4.582 5 20 HJBD C[C@@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1ncnn1C 789864984 YYUWJMLMRYRFPY-OAHLLOKOSA-N 410.477 4.553 5 20 HJBD O=C(C[C@H]1CCCCCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccco1 791131562 IBWBUWRUTRMQCZ-CQSZACIVSA-N 422.840 4.567 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(Oc3ncc([N+](=O)[O-])s3)cc2o1 799923796 AWNRKCXLBNUJDU-UHFFFAOYSA-N 411.351 4.525 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F)c1ccc(Cl)cc1 808830691 JUQYVVDMGREIQK-HNNXBMFYSA-N 408.857 4.636 5 20 HJBD O=C(NCC1(CCOCc2ccccc2)CC1)Nc1cccc([N+](=O)[O-])c1Cl 809916605 POKJKDQVNQYWHJ-UHFFFAOYSA-N 403.866 4.757 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)cc1 809920523 UOTKKTQXMNPPDE-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813242448 QFHQGBPRKWOUCL-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)s2)cc1 813244759 QERCAVHXOIEDFR-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCCNC(=O)[C@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 1120716569 NSZMBRDNACTYSM-INIZCTEOSA-N 414.505 4.578 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 1251502024 JYOTVAOJLZWNFA-XMMPIXPASA-N 421.500 4.976 5 20 HJBD COc1ccc(C(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 1317874215 LARIWYZXAZVWQA-UHFFFAOYSA-N 423.494 4.688 5 20 HJBD Cc1ccc(NC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)c(Cl)c1Cl 1319556054 HEBFIFGSJHPSDH-UHFFFAOYSA-N 424.240 4.537 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c12 1322112824 ZCZNBHUBYGXCAP-UHFFFAOYSA-N 420.469 4.753 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(OCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 1345877679 RUXVYUVTRKTPHV-AWEZNQCLSA-N 417.462 4.530 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccccc3)cs2)c([N+](=O)[O-])cc1OC(F)F 10808754 BTJBIKGXTONOAU-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(COC(=O)CSc1ccccc1[N+](=O)[O-])Nc1ccc(-c2ccccc2)cc1 24199444 DLRRRXCBPUTRKH-UHFFFAOYSA-N 422.462 4.536 5 20 HJBD Cc1ccc(CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(C)C)s1 57401289 ABXGEGSQQURINN-UHFFFAOYSA-N 404.535 4.962 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 63962920 YFSHDHNIZSLCSC-UHFFFAOYSA-N 405.439 4.520 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 64776968 WSNXCFAUSJOTJA-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCOc1cc(C(=O)N(C)c2ccccc2-c2ccccc2)c([N+](=O)[O-])cc1OC 64815465 NMFQQRPMJFXDMO-UHFFFAOYSA-N 406.438 4.946 5 20 HJBD CCOc1cc(NC(=O)c2c(-c3ccccc3)noc2C)c([N+](=O)[O-])cc1OCC 96992173 NPQBKIOSNZVKCC-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccc(Cn3ccnc3)cc2)c([N+](=O)[O-])c1 247331732 PBOSOIDJJQIUIO-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD CCC(CC)(CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 303427037 AXGRJVVCXJOTPX-INIZCTEOSA-N 415.559 4.956 5 20 HJBD Cc1cccnc1[C@H](NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)C(C)C 303596784 JDNNOKKZHPIJER-OAQYLSRUSA-N 410.518 4.662 5 20 HJBD O=C1[C@@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1cccc([N+](=O)[O-])c1 430907692 FHYPXNOCZQSPKP-MRXNPFEDSA-N 406.225 4.574 5 20 HJBD Cc1cc([C@@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2F)ccc1Br 431140790 WJDHDZHOTRMZRA-SFHVURJKSA-N 421.266 4.711 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC([C@H]4CCCO4)CC3)c([N+](=O)[O-])c2)cc1 438883994 FAPPKJBFYZLFEL-JOCHJYFZSA-N 409.486 4.551 5 20 HJBD CCCN(C(=O)c1cccnc1OCc1ccccc1F)c1cccc([N+](=O)[O-])c1 441430173 RTTNCZYKZRKPND-UHFFFAOYSA-N 409.417 4.765 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2O1 443559225 NNMPWFKWJWYVPK-DEOSSOPVSA-N 418.424 4.970 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccccc2)c2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 444359474 BNTBQEAPXUXEDH-INIZCTEOSA-N 419.268 4.707 5 20 HJBD O=C(Cc1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1)Nc1c(Cl)cccc1Cl 445364235 ICRDYMMCSAZAOC-FPLPWBNLSA-N 419.224 4.636 5 20 HJBD COc1ccc(C(C)(C)c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1OC 445691165 JWTXSPSVDWKTBQ-GFCCVEGCSA-N 415.421 4.612 5 20 HJBD Cc1cc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)ccc1Oc1cccnc1 446900785 RJOIWBLHHVDDJT-UHFFFAOYSA-N 404.426 4.681 5 20 HJBD CCc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1cccc(Cl)c1 447890392 LMQADYGTRDBVSY-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2ccc3c(c2)CCCO3)c1 460150961 NXYFETSVSVBTEN-QHCPKHFHSA-N 402.450 4.748 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cc1OC 460553100 PJZIVGVNQYEIFE-ASNKJTAVSA-N 418.877 4.783 5 20 HJBD Cc1cc(Sc2nnc(COc3ccccc3Cl)n2C)c(F)cc1[N+](=O)[O-] 461737246 WAUPZBASMUGDED-UHFFFAOYSA-N 408.842 4.554 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463119197 NMHWQPCFXRDXII-UHFFFAOYSA-N 410.392 4.765 5 20 HJBD COC[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CC1 466426177 PTPHZRMXQBPNOU-MRXNPFEDSA-N 406.891 4.554 5 20 HJBD COC[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 467721121 FAVUSEXTPYPSGJ-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD CC(/C=C1/CN(C)Cc2c1nc1ccccc1c2C(=O)O)=C\c1cccc([N+](=O)[O-])c1 468835260 FBOHDPQSKBLUQP-XHVZTWRLSA-N 415.449 4.774 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(NCC(F)(F)F)c2)cc1SC 469142811 YSORUQBKAXOKRK-UHFFFAOYSA-N 415.393 4.552 5 20 HJBD CCCCN(C)CC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 475208161 NMWKUTCLVLUTHX-UHFFFAOYSA-N 416.444 4.589 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](c2c(F)cccc2F)C(F)(F)F)cc1[N+](=O)[O-] 482427043 WCEXJWXNQIUVCB-QLJPJBMISA-N 417.334 4.845 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)c1cccc(OC(F)(F)F)c1 485510621 SGFFSTCSFZAGJM-SNVBAGLBSA-N 418.755 4.577 5 20 HJBD CC[C@H](C)c1nc([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n[nH]1 486675180 JUBQAINCXURCIS-RYUDHWBXSA-N 403.870 4.991 5 20 HJBD Cc1cc(=N[C@H](C)c2cccc(OC(F)F)c2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489179047 YTZDOETXBHEBBK-CYBMUJFWSA-N 400.385 4.557 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(C(=O)Nc2cc(Cl)ccc2O)CC1 489974780 ALYMZNNISQFMBX-CQSZACIVSA-N 417.893 4.674 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 494521276 QIDLIGBZNICNOM-UHFFFAOYSA-N 417.437 4.596 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 503634536 PXBSDKGAXYJKOB-GFCCVEGCSA-N 403.360 4.523 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 512129058 GVRQLFOTWCIRNL-OAHLLOKOSA-N 422.485 4.886 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(NC(=O)Nc2ccccc2)cc1 513005249 UJOKAZNGHVKDPY-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1OC 524530406 JWYKTTIRKUJXGW-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1c1ccccc1Cl 524603595 QLINMXMIKKBPQW-INIZCTEOSA-N 409.667 4.988 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)nn2-c2ccccc2C(F)(F)F)c1 525134273 PVVHJFOZQFGAAQ-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1cnc(C(C)(C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 530952677 JMDJNGXDRKECIL-UHFFFAOYSA-N 410.499 4.637 5 20 HJBD COc1ccc([C@@H](OC)[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537151030 GRQBGESCEMUPQQ-XOBRGWDASA-N 418.515 4.610 5 20 HJBD O=C(CCCC(F)(F)F)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 537740491 VBFAKGLBCBJJJX-UHFFFAOYSA-N 408.336 4.712 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 540447077 YDDODZVPUSJWQD-YJBOKZPZSA-N 402.859 4.723 5 20 HJBD Cc1ccc([C@H](C)NCC[S@@](=O)c2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 540476328 FSRVCFDUNVMJQK-UOXLDJKESA-N 401.315 4.668 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 541514889 YKHQJLMEQFBORV-SIFCLUCFSA-N 400.500 4.694 5 20 HJBD CC(C)(C)N(Cc1ccccc1)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 543454066 XXMQZOMRQMRLCP-UHFFFAOYSA-N 405.292 4.727 5 20 HJBD CC(C)(C(=O)Nc1cc(F)c(F)cc1Br)c1ccc([N+](=O)[O-])cc1F 545829042 ICBBRKGIRMBUMB-UHFFFAOYSA-N 417.181 4.691 5 20 HJBD Cc1cc(C(C)(C)C)ccc1OCCCc1nc(Cc2ccc([N+](=O)[O-])cn2)no1 546486113 SXYUUPBWWHMUOO-UHFFFAOYSA-N 410.474 4.581 5 20 HJBD CC(C)NC(=O)Nc1ccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 547121982 TZUFMYJMKQNTEI-UHFFFAOYSA-N 409.446 4.501 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1ccc([N+](=O)[O-])cc1Br 549317751 YYCHQVVEOUSZKC-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCCO)c1ccc(Cl)cc1 557673316 QXBSXNWYWIMTCH-GOSISDBHSA-N 422.934 4.502 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccnc(C(F)(F)F)c4)no3)cc12 558395876 HVYBSWOMVRBWEV-UHFFFAOYSA-N 408.339 4.812 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4ccccc4)[nH]c3c2)c1 563458665 KPRCGKYCYIRUQB-UHFFFAOYSA-N 402.410 4.789 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1I 603730471 DTUOPQFQLNGWHN-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 608871634 WHYRGBSPZNWKOU-UHFFFAOYSA-N 412.421 4.988 5 20 HJBD COc1ccc(CC(=O)Nc2c(C)cc(Br)cc2Cl)cc1[N+](=O)[O-] 609011251 LFQBPUNRPAJSSB-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD CCC[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccn1 609179748 RJQOOSZEVXNCDK-HXUWFJFHSA-N 404.470 4.873 5 20 HJBD O=C(CCNC(=O)c1ccc([N+](=O)[O-])cc1)Nc1cccc(Sc2ccccc2)c1 609375256 QIYFUJBYZOVJGJ-UHFFFAOYSA-N 421.478 4.505 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N1CCC(c2nc(-c3ccccc3)cs2)CC1 609488496 PCVWXHUYRXXSSH-UHFFFAOYSA-N 423.494 4.503 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@H]1Cc1ccccc1 610043732 XAOJOIWWWUQMIH-HNNXBMFYSA-N 403.276 4.595 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)c(C)c3)cs2)cc([N+](=O)[O-])c1OC 610168188 OZQNNHVPDQCSIV-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610293699 KXISSTAOSFPEHN-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1ccc(F)c(Br)c1 610661316 SFHBTFAKLLBLOW-LLVKDONJSA-N 410.243 4.996 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 611202523 OFESCPKBBDRKDM-LAUBAEHRSA-N 414.527 4.947 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 613296890 BOJRCFAWLGTFMK-GHMZBOCLSA-N 413.318 4.806 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CC([C@H]2CCOC2)C1 619423163 YDAHATSGMGYNMS-ZDUSSCGKSA-N 418.902 4.508 5 20 HJBD CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730321180 LLFILZGWEYORAC-GYFQTUELSA-N 420.534 4.689 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccccc1[N+](=O)[O-] 730692417 YAFVZDNNXJKZEZ-JTQLQIEISA-N 407.304 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2C(=O)N[C@@H](C)c2ccccc2)c1 741889710 WXWZAVNPZOCEBW-INIZCTEOSA-N 403.438 4.647 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2ccc3c(c2)OCCO3)c2ccccc2)cc1 743060989 CXKBUTUTDFLFKW-UHFFFAOYSA-N 421.478 4.770 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745301943 IODCGOBKDCRCPV-NEPJUHHUSA-N 401.806 4.706 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cs1 747123912 KELFPDLESFCMAB-SECBINFHSA-N 404.366 4.525 5 20 HJBD O=C(Nc1cc(C2CCCC2)[nH]n1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750880807 XOJQWCDSNNGTPN-UHFFFAOYSA-N 413.659 4.644 5 20 HJBD O=C(COC(=O)c1ccccc1SCc1cccs1)c1cccc([N+](=O)[O-])c1 753520195 JJBVBZQVOVCIGA-UHFFFAOYSA-N 413.476 4.988 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1C 756742482 DBKIOXPCFJXCHY-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@](O)(Cc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1)c1ccccc1 761860496 ZGAQPAAIFXMCGI-QHCPKHFHSA-N 417.421 4.887 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1cccc(-c2cccnc2)c1)C1CC1 763515157 IFYDFQAAMYCMLR-UHFFFAOYSA-N 409.392 4.740 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2ccc(C)cc2)o1 765527002 IKOMJAJQBCWMDA-NVXWUHKLSA-N 409.442 4.826 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@@H](c4ccc(C(F)(F)F)cc4)C3)n2)c1 767873737 CZHXUYFEKYJDOZ-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC[C@H]1NC(=O)OC(C)(C)C 769424759 OJZQMULLWBTSED-MAUKXSAKSA-N 415.490 4.590 5 20 HJBD CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(F)c(Cl)c1 776713446 VFIKOONJBVCREF-UHFFFAOYSA-N 405.582 4.566 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@@H](O)c1ccccc1 777416020 JKKONBZMKUYVES-HNAYVOBHSA-N 402.516 4.729 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccccc2[N+](=O)[O-])on1 778077026 OTEPXLUWJJKLLO-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781258686 BGAAVYFJLJIBCU-UHFFFAOYSA-N 414.392 4.832 5 20 HJBD CSc1ccc(C(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 784534448 AGNIHNARADNMFY-CQSZACIVSA-N 410.417 4.965 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2c[nH]nc2-c2ccc(C(F)(F)F)cc2)c1 785483903 FTTUSLGVEMCYJQ-UHFFFAOYSA-N 410.783 4.947 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Nc3ccccc3F)s2)c([N+](=O)[O-])c1 789668609 OBJJYHZGJYZVLJ-UHFFFAOYSA-N 407.408 4.530 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C(C)(C)NC(=O)OC(C)(C)C)no1 789768284 RTOJSFPIDZLDFR-NSHDSACASA-N 408.480 4.591 5 20 HJBD Cc1ccc(-n2c(S/C=C\c3ccc([N+](=O)[O-])o3)nnc2-c2ccncc2)cc1 789909046 UPDLXRMQPZCQLX-RAXLEYEMSA-N 405.439 4.902 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1c1nc2ccccc2s1 790496667 IDDPKCVOMBJMBR-OAHLLOKOSA-N 419.865 4.903 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)OCc1cc([N+](=O)[O-])ccc1Cl 795936020 CUSIUMRGLGDLRJ-UHFFFAOYSA-N 408.835 4.614 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1csc(COc2ccc(F)cc2)n1 813244885 WDAOBTAMRISBHP-UHFFFAOYSA-N 407.810 4.675 5 20 HJBD Cc1ccc(N(C)C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(Br)c1 813312306 UMSRBTKUEOUDGU-UHFFFAOYSA-N 415.646 4.664 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] 820150763 ZLKUJMAHICXWJK-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD CC(C)c1ccc2cc(C(=O)OCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)[nH]c2c1 914668785 LLCTZYRGUZHDEW-UHFFFAOYSA-N 415.833 4.648 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 915115556 LOWUMNNVILPDNE-INIZCTEOSA-N 420.494 4.796 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3cc(F)ccc3[N+](=O)[O-])o2)cc1 915793315 UGELUZYYNOWDIZ-UHFFFAOYSA-N 402.451 4.922 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1NC(=O)c1ccccc1F 917611552 JXOQUJZZZLWCSG-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1cc(C)c([C@H](C)OC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)c(C)c1 920164354 VYWZIIFCMGALNF-INIZCTEOSA-N 412.442 4.513 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cc(CSCCO)ccn2)o1 1253811126 CZQUWLWLFQNMOV-UHFFFAOYSA-N 419.890 4.741 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1322366475 VWFFSJVQVFQNLF-UHFFFAOYSA-N 408.414 4.900 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)c(Br)c1 1333925238 ASLSWXIICZXADN-QGZVFWFLSA-N 403.276 4.893 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1C(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1340801489 PBSXMUNQRYCRHP-JTQLQIEISA-N 413.783 4.610 5 20 HJBD C/C=C(/C)C(=O)Nc1ccc(C2(NC(=O)Cc3ccc(C)c([N+](=O)[O-])c3)CCC2)cc1 1791227200 VOEDBQTXGIYBNQ-XRVIQIRUSA-N 421.497 4.546 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2C(C)C)cc1[N+](=O)[O-] 7853321 FUGOCFLJZGMXNA-ZDUSSCGKSA-N 402.472 4.624 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1cc(Cl)ccc1[N+](=O)[O-] 13964791 UXADZBOOLHQLDB-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)Nc1ccccc1Sc1ccccc1 15642627 RDODUTKVMKVSSH-ZDUSSCGKSA-N 412.423 4.523 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC(c2nc3ccccc3s2)CC1 16061466 FSJOBJLFHVSABG-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD CCc1cccc(C)c1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 54005442 XOTWQQWNSUHFFM-SFHVURJKSA-N 413.474 4.670 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc2cc(F)c(F)cc2s1 58780330 QXXXXCWLBZXOTB-ZETCQYMHSA-N 413.789 4.542 5 20 HJBD COc1ccc(CNc2nn(Cc3ccc(OC)cc3)c3ccc([N+](=O)[O-])cc23)cc1 98178438 FJFIVWXWKVSCFC-UHFFFAOYSA-N 418.453 4.622 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] 139270858 ACRKVBKOQDEIFT-CQSZACIVSA-N 411.483 4.673 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1N1CCC(C(=O)Nc2ccccc2Br)CC1 301424358 BILJTKUMMMABIQ-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cc(C(F)(F)F)nc(-c3ccncc3)n2)c(Cl)c1 302218927 QWHPISMWOIJUSW-UHFFFAOYSA-N 409.755 4.731 5 20 HJBD O=C(NC[C@H]1CCCC(F)(F)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 410263901 YGSBOJZFZYGURA-INIZCTEOSA-N 404.413 4.729 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 429887202 DLUMBEFWVZLOCI-CYBMUJFWSA-N 410.392 4.691 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(C(=O)N3CCCCC3)cc2)c2cc([N+](=O)[O-])ccc21 433284802 KQNNVBTVPFFVPM-JOCHJYFZSA-N 407.514 4.733 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436088434 MNBVNOBIPNRYEV-UHFFFAOYSA-N 404.441 4.538 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 437158864 FSZCRSSCYGQZBL-UHFFFAOYSA-N 409.364 4.913 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(COCc2ccccc2)cc1 438361909 GNZOYAJBPZOJRN-UHFFFAOYSA-N 405.454 4.976 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1 444910989 XYJJQEVZLYSKLD-AWEZNQCLSA-N 419.865 4.580 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 461040987 HCUHMZMXNOJPHI-IBGZPJMESA-N 410.861 4.545 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)nnc1-c1ccco1 462437348 QZNPBAZNPDLVOP-UHFFFAOYSA-N 423.454 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 463908622 DPZBEFMSBNPDQY-IFXJQAMLSA-N 417.481 4.837 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cccc(OCC(F)(F)F)c3)CC2)cc1 464776764 OHRQPOZGONZYCO-UHFFFAOYSA-N 410.392 4.579 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C(F)(F)F)cs1 470563057 FOWOKOBINYHNMK-ZCFIWIBFSA-N 413.299 4.580 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Cl)cc1-c1ccc(Cl)cc1 471381465 CBUOWGBHXSBLET-UHFFFAOYSA-N 405.241 4.712 5 20 HJBD O=C(Nc1cc(Cl)ccc1-c1nc(C2CC2)no1)c1cc(F)cc([N+](=O)[O-])c1 471624317 BVUPKZNGAZCUOL-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](c1ccccc1)c1cccnc1 475215055 KBIDLZPFLUWWJB-SFHVURJKSA-N 416.359 4.920 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(C(F)(F)F)c1 479074887 CLDYAUYQBNIKKP-UHFFFAOYSA-N 418.375 4.855 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)ccc2N2CCCC2)c1 479201344 DRMIYCDVAATXCR-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC 485747127 VZTIBMDJHFRETP-CQSZACIVSA-N 418.515 4.995 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2sc([N+](=O)[O-])cc12 488484592 UYVNPKNBYKOIIQ-IINYFYTJSA-N 424.404 4.585 5 20 HJBD CCOc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Br 490485436 SCVYQBXFJSFILH-SNVBAGLBSA-N 411.227 4.637 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)ccc1[N+](=O)[O-] 494257772 UKMHHXCXIQTNNM-INIZCTEOSA-N 404.850 4.757 5 20 HJBD CC(C)(C)n1ncnc1CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 500376003 JSILKXNJFNKWSL-UHFFFAOYSA-N 415.881 4.677 5 20 HJBD O=C(NC[C@H]1CCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 505685496 BGBSMXZJCAEGGY-NSHDSACASA-N 406.379 4.515 5 20 HJBD O=C(Nc1cc2c(cc1Sc1ccccc1)OCCO2)c1cccc([N+](=O)[O-])c1 506165900 FODWSEJHJKKWGZ-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=C(Nc1ncc(C(F)(F)F)[nH]1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 506913248 SXNVCYUSBYDSJE-UHFFFAOYSA-N 408.361 4.740 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(F)c(Br)c1)c1ccc([N+](=O)[O-])cc1F 507719570 MIUVFMUUGQQFNK-VHSXEESVSA-N 413.218 4.616 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 509294998 SQJPYNILIXQROK-MRXNPFEDSA-N 411.355 4.732 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 513983524 QANUCSDMTATTAP-AWEZNQCLSA-N 403.866 4.562 5 20 HJBD CCCOc1ccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1OCCC 514508954 UFFIXLKANGUBII-UHFFFAOYSA-N 401.463 4.836 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 515083813 ALQXSHCGYKSUIN-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD CCOc1cc(NC(=O)NCc2ccccc2OC(C)C)c([N+](=O)[O-])cc1OCC 515769038 SGZFWNHKWNYVAH-UHFFFAOYSA-N 417.462 4.501 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ccccc1Br 522670771 CYTIANTXFZCMOD-UHFFFAOYSA-N 423.288 4.589 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Nc3cnn(CC(F)(F)F)c3)c([N+](=O)[O-])c2)cc1 522804104 JAKPUJFOWFNPFD-UHFFFAOYSA-N 419.363 4.658 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3coc(-c4ccccc4)n3)n2)cc1[N+](=O)[O-] 523086187 OJQXBORUOMLCOP-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc(C[S@](C)=O)c2)cc1[N+](=O)[O-] 524544143 GORVAPNHQONFAW-NDEPHWFRSA-N 420.556 4.864 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 525593378 AUQCBFZWSQUAEC-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(C)ccc3[N+](=O)[O-])n2)cc1Cl 525625779 YIDCOMAKBCDWSH-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCCN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@@H](C)C(=O)Nc1ccccc1 533498287 DQMQGBSTHPMYJY-KRWDZBQOSA-N 422.485 4.532 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCCC(=O)Nc1ccc([N+](=O)[O-])cc1 541659642 KARWILODRJUNIP-CQSZACIVSA-N 410.499 4.706 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cc2cc([N+](=O)[O-])ccc2o1 542161099 XUXIWOICODTGAP-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](CSC)c1ccc(C(F)(F)F)cc1 544384482 SOHJJKGVPYFOLA-MRXNPFEDSA-N 400.422 4.816 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)[nH]c2c1 546536118 LYTDQXMOVZSTPO-LJQANCHMSA-N 410.449 4.560 5 20 HJBD C[C@@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 549410485 DZRFLIQKTSDGBX-KZULUSFZSA-N 407.392 4.682 5 20 HJBD CC(C)(NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 550979128 ZZXRYPRZIDOTKG-UHFFFAOYSA-N 415.799 4.730 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 555008521 ADTWMTOKAKKALN-AEFFLSMTSA-N 407.829 4.601 5 20 HJBD Cc1ccc(C2(CN[C@@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)CCC2)cc1C 560537615 SHGCNCSZTJYBRP-QFIPXVFZSA-N 404.514 4.746 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] 578467500 TUOLZAJZWFIIRQ-SZVBFZGTSA-N 402.878 4.638 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1SCC(F)(F)F 588232824 SHDFVYSLDWTNHB-UHFFFAOYSA-N 413.421 4.956 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC=C(c2c[nH]c3ccccc23)CC1 588322783 OJEJGQWHXXLZLZ-UHFFFAOYSA-N 402.454 4.580 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NCc2ccsc2)cc1[N+](=O)[O-] 603639551 JORVIGXRMTVXMO-UHFFFAOYSA-N 412.492 4.539 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(C[C@H]1CCCO1)c1nc2ccccc2s1 603727472 SPTQADVNQAPMME-OAHLLOKOSA-N 423.450 4.777 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3cccc(OCC(F)(F)F)c3)c1)OCOC2 604070771 JPVHCRCDRHSQHZ-UHFFFAOYSA-N 415.389 4.836 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(-c2ccccc2)ns1 605365630 RBXXABGDKACZHU-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD COc1ccc(CC(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 609009899 FNEAFCBUSGPZNA-CYBMUJFWSA-N 422.403 4.917 5 20 HJBD CCCC[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(OC)cc1 609550450 YOXNQOOGTRVEOG-LJQANCHMSA-N 409.442 4.519 5 20 HJBD CC(=O)Nc1ccc(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609774201 YDUSAYHIWMVMIQ-UHFFFAOYSA-N 406.398 4.990 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)NCC(F)(F)F 609805690 ALXIGXISMQKDQZ-UHFFFAOYSA-N 421.734 4.541 5 20 HJBD C[C@@H](NC1(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)CCCC1)c1ccccc1 610065073 HOKVYVGAPRMFOB-GOSISDBHSA-N 409.530 4.652 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c2ccccc2NC1=O 610111818 GOOIZSTXKLFWTP-HNNXBMFYSA-N 416.437 4.573 5 20 HJBD COc1ccc2c(c1)OCC[C@@H]2Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 610280714 VUDUFFREYVOFPX-IBGZPJMESA-N 404.422 4.770 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N[C@H](Cc3ccc(Cl)cc3)c3ccccn3)c2c1 611713072 VJHIAVRZWJEDGT-HXUWFJFHSA-N 405.845 4.982 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1ccccc1Br 612616192 ZVFRKBRWMUWLMX-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD CCC(=O)Nc1cccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728165659 NWVQIJJURMVEEQ-UHFFFAOYSA-N 401.850 4.654 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3ccc(OC)cc3[N+](=O)[O-])c(F)c2)c(F)c1 730453066 ULCHBMCVVOPQPE-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD COc1ccc(OC(=O)c2ccc(Oc3ccncc3)c(Cl)c2)c([N+](=O)[O-])c1 733910889 RIAQTIYZUAJMCN-UHFFFAOYSA-N 400.774 4.663 5 20 HJBD O=C(OCc1cnc(-c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1Br 739554254 AZVMVAMOTARPJF-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD O=C(CCNc1ncc(C(F)(F)F)cc1Cl)Oc1cc(Cl)ccc1[N+](=O)[O-] 744074862 QZBZEMFHRDYVQB-UHFFFAOYSA-N 424.162 4.723 5 20 HJBD COCc1nc2ccccc2c(C)c1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 746062833 NNUTZBZWDWLWSG-UHFFFAOYSA-N 400.818 4.608 5 20 HJBD C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 748017877 AAKQGRQKRWKGHZ-MRXNPFEDSA-N 420.421 4.530 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618981 MRVVFCJDMPCMAV-BDJLRTHQSA-N 413.430 4.641 5 20 HJBD COc1cc(CNC[C@@H](C)c2cccc(F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 748819374 GIHOCIDFCHFOKE-GFCCVEGCSA-N 416.371 4.577 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(C)c4ccccc4oc3=O)n2)cc1[N+](=O)[O-] 750268795 OSIAAEJDQSGWKQ-UHFFFAOYSA-N 421.434 4.694 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)C12CC3CC(CC(C3)C1)C2 751055981 ZDPJXYRIUJFCHO-UHFFFAOYSA-N 407.308 4.788 5 20 HJBD Cc1ccc(-c2csc(NC(=O)/C=C/c3ccc(Cl)nc3)n2)cc1[N+](=O)[O-] 759779776 GSMFFWXGRMWASU-QPJJXVBHSA-N 400.847 4.727 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)Nc1ccccc1SC(F)F 764492541 LEMKYDFZFOSDPX-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(OCc3cn4ccccc4n3)c2)c1F 764500155 UBBGCBNZMPSENZ-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1ccc([N+](=O)[O-])cc1Br 765860424 SAXPFFAQNOFZNA-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CS[C@@H](C)CCC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 773204014 ADRLIQFFOVVVBJ-LBPRGKRZSA-N 422.890 4.938 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@@H](c1ccccc1F)C1CCCC1 781719828 KKAGXCOPDMSEJK-OAQYLSRUSA-N 415.421 4.622 5 20 HJBD CC[C@H]1CC[C@H](C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 782400796 YLBXLDBSDTZMCB-AVGNSLFASA-N 416.396 4.700 5 20 HJBD Cc1nc(-c2ccc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc2)cs1 799303828 QGCNDSUTDSGFHC-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1Oc1ccncc1 800926075 UAPLQWRPQDALPA-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 804176765 GJSJUNFNMGOPCE-UHFFFAOYSA-N 417.487 4.710 5 20 HJBD Cc1c(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)sc2cc(F)ccc12 805706742 MTKVTPGOSNJSFJ-UHFFFAOYSA-N 413.386 4.664 5 20 HJBD Cc1nc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c2ccc(Cl)cc2)no1 809911224 JSWQXFPJOKXTPM-OAHLLOKOSA-N 422.228 4.504 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cnc(-c2ccccc2)s1 920777227 ICGYSVLDKXKMOW-GFCCVEGCSA-N 418.858 4.882 5 20 HJBD Cc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c(Cl)c1Cl 1319556257 KUYRDEJUKWAPLM-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD O=C(CCC(=O)N1CC[C@@](O)(C2CC2)C1)c1ccc2ccc3cccc4ccc1c2c34 1339421865 YAIRXZNHKUPQEB-MHZLTWQESA-N 411.501 4.920 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1C 10846653 VDAYAUCQAAKOSF-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)c1 16196279 DWXBCWNNGSTTNI-UHFFFAOYSA-N 422.462 4.779 5 20 HJBD CCCCN(CC)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 17442784 MXWZVDCSAJLYLD-UHFFFAOYSA-N 406.486 4.639 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(C(=O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 21375974 HZOPNICAQMEBQS-INIZCTEOSA-N 404.422 4.540 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])CC1 26956860 BHNQOCGIZNOXDU-UHFFFAOYSA-N 410.499 4.717 5 20 HJBD Cc1cc(C)n(-c2ccc(CNC(=O)c3cc4ccccc4c4cccnc34)cn2)n1 46312465 GOPRWPOVAJCIEC-UHFFFAOYSA-N 407.477 4.516 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCc1cccc2ccccc12 46697478 BCXLODQVZKMPPW-UHFFFAOYSA-N 406.485 4.667 5 20 HJBD COc1ccc(CS(=O)(=O)Cc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 48720791 XQHDLFAAAZBODF-UHFFFAOYSA-N 413.451 4.511 5 20 HJBD COc1cc(C(=O)N(C)Cc2csc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 60537271 SKQAQYMJLQFHGV-UHFFFAOYSA-N 422.409 4.692 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c(C)c2c1 78607537 RWZLQFSHLWUWAV-OAHLLOKOSA-N 423.469 4.749 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nc(-c2cccc(C(F)(F)F)c2)no1 138236377 ZIYLECGSEXMNAX-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCSc4ccccc43)cc2[N+](=O)[O-])CC1 196397822 YMUIUINZUMFJCQ-UHFFFAOYSA-N 411.527 4.974 5 20 HJBD O=Cc1ccc([N+](=O)[O-])c(Oc2nc(-c3cccnc3)nc3sc4c(c23)CCC4)c1 301122966 RKVJLRLKXSCGBC-UHFFFAOYSA-N 418.434 4.755 5 20 HJBD CN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])c1cccc(OC(F)(F)F)c1 430923231 DULYYXRNWOGJLP-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD Cc1nn(CC(=O)Nc2ccc(Cl)cc2C(F)(F)F)c2ccc([N+](=O)[O-])cc12 432220943 AFCFVIWTJDJWEU-UHFFFAOYSA-N 412.755 4.564 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2ccccc21 432331088 IYTQKIHQDXWTOT-INIZCTEOSA-N 408.376 4.688 5 20 HJBD COc1cc([C@@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])ccc1OCc1ccncc1 434955953 QJYATVVQPCMHDG-CYBMUJFWSA-N 414.849 4.799 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1ccccc1)C[C@@H]1CCCO1 436141171 VIKGIKDHPDCWON-FQEVSTJZSA-N 412.489 4.503 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)c1[N+](=O)[O-] 436311770 ZPGYODGNZQDUMU-UHFFFAOYSA-N 404.291 4.818 5 20 HJBD CC(C)c1ccc(CNc2ccc(C(=O)N3C[C@H](C)O[C@H](C)C3)cc2)cc1[N+](=O)[O-] 437236133 NIHQTLYFOYVLIO-CALCHBBNSA-N 411.502 4.580 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437921259 JCETUBCHKZLSDC-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 440922406 GFANVOGDIXODFG-UHFFFAOYSA-N 405.332 4.596 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cn1 441425562 SCSJBGIXVCJUCJ-UHFFFAOYSA-N 422.510 4.932 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](Oc4ccccc4Cl)C3)o2)c1 443652656 LLAMZLPPLPFDAW-KRWDZBQOSA-N 413.861 4.599 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)[C@H](C)C(F)(F)F 443808837 LYMSJJDGMICLAE-VIFPVBQESA-N 416.377 4.637 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1 443994065 RGGMJLOLCZKVDF-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@]1(C)OCCc2sccc21 460974983 BDXAUADENYLMNC-FQEVSTJZSA-N 420.556 4.766 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(C)=O)cc1C(F)(F)F 462428782 NSKDNRBAWMBOLL-AWEZNQCLSA-N 423.391 4.779 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 462772114 HCLABSDYHWAOOT-MOPGFXCFSA-N 420.513 4.754 5 20 HJBD C[C@@H](Sc1nc2sc3c(c2c(=O)n1C)CCCC3)c1ccccc1[N+](=O)[O-] 463000093 CLITYOYXQAZRAO-LLVKDONJSA-N 401.513 4.635 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(-c2ccco2)cc1F 470548803 YHEMLPCXXRVMCW-UHFFFAOYSA-N 419.206 4.938 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C(=O)Nc2ccccc2)c1C 470898726 UDWIIWRGOXUKEG-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2c(OCC)cccc2[N+](=O)[O-])c1 471086049 LFRUYYBZKVVDPW-UHFFFAOYSA-N 405.838 4.638 5 20 HJBD COc1cc(CNc2cccc3ccn(C)c23)c([N+](=O)[O-])cc1OCC(F)(F)F 471820368 GZCHLIXMMSVBIH-UHFFFAOYSA-N 409.364 4.648 5 20 HJBD O=C(Nc1ccccc1OCC(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 475000891 BSBHENFDFKGUEU-UHFFFAOYSA-N 405.382 4.615 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 478629928 DZKVIGOYBWDMCL-MRXNPFEDSA-N 415.559 4.926 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(Cl)cc2s1 484652677 JJVHXVWXFPCUGP-UHFFFAOYSA-N 423.903 4.849 5 20 HJBD CCc1cc(Br)cc2sc(NC(=O)c3ccccc3[N+](=O)[O-])nc12 484774185 CZLQNABCCGSLCA-UHFFFAOYSA-N 406.261 4.782 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488005699 KBGXDYFVKWUROH-UHFFFAOYSA-N 403.891 4.847 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)OCCO2 490529399 XMCJKAWTNAYBKK-UHFFFAOYSA-N 418.471 4.518 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cnn(Cc3ccc(C(F)(F)F)cc3)c2)c(Cl)c1 494404763 KUUIZLCCUUEAJD-UHFFFAOYSA-N 424.810 4.802 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496446087 FTQHWCRAILEQCY-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(F)cc1Br 497344298 FFITWUVVOCSDTJ-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD COCCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 500307466 SXVOUTDWTIUGHY-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)n2)c(C)c1 512120835 HLRTUBFSGQPNPN-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 515478973 SRPOTOOKYDUYQJ-OAHLLOKOSA-N 422.210 4.591 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CCc2ccc(F)cc21 518571513 WCVKGFMCGGAUOI-CQSZACIVSA-N 414.380 4.649 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1ccc(Cl)cc1[N+](=O)[O-] 520501534 BBLXCYFLSOJQQS-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD O=C(NC1CCC(c2ccccc2)CC1)c1ccc([N+](=O)[O-])cc1Br 523497949 OTDRCAZNZSEJMG-UHFFFAOYSA-N 403.276 4.814 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)CC[C@@H]1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 530676120 PBCPTLYSVCWMBT-VGSWGCGISA-N 422.554 4.719 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 534058006 GOQQHEQKYRYAKR-CYBMUJFWSA-N 415.446 4.958 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)s1 535469693 STAVCBBLAABIKQ-INIZCTEOSA-N 421.482 4.651 5 20 HJBD CC(=O)N1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c2ccccc21 536009100 ZDLRPCYYEMQYQV-FQEVSTJZSA-N 407.495 4.911 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(N2CCCC2)c1 538276133 HHWRXBJKTWYWKZ-HOTGVXAUSA-N 407.474 4.657 5 20 HJBD C[C@@]1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO[C@H]1C1CC1 542314378 OLIJFHSSZMYAEI-RBUKOAKNSA-N 421.291 4.665 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(OCC2CCCC2)CC1 543162672 HUFNOBJBJQLAJV-UHFFFAOYSA-N 412.939 4.538 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@@H]1c1cccs1 544051292 XNWBAZSZJQYATH-LJQANCHMSA-N 416.549 4.984 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2n1C 545904161 MHSPGNFLIIECON-GCJKJVERSA-N 420.513 4.804 5 20 HJBD COc1ccc(C2(c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)CCC2)cc1 546487941 FIPFYSJPICMODU-UHFFFAOYSA-N 404.426 4.529 5 20 HJBD COc1ccc(Cc2noc(-c3ccc(SC)c([N+](=O)[O-])c3)n2)cc1OC(F)F 547064834 UGGJUMSAPIOETA-UHFFFAOYSA-N 423.397 4.568 5 20 HJBD C[C@H](C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1)c1cccc([N+](=O)[O-])c1 553722795 SXYRATAYPLFFEP-BIENJYKASA-N 422.403 4.706 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2cccc(F)c2)no1 559151252 CCNJWMCEADUXNJ-SNVBAGLBSA-N 410.327 4.654 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560540166 QZJUEDSJNNTLAA-VLCRHTCISA-N 421.497 4.733 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccncc1OCc1ccccc1 565531324 JJYAYEQKHXCNTB-UHFFFAOYSA-N 404.348 4.595 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 566033029 DKEWCOZZQMENJK-KGLIPLIRSA-N 411.433 4.574 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 568653077 WXQYVMRWORSFIB-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CCc1ccc([C@H](NC[C@]2(CO)C[C@@H]2c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 577684907 ZSHLFPQRWJVXSZ-JRFVFWCSSA-N 406.482 4.595 5 20 HJBD C[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Oc2cccnc2)cc1 588771700 BGXYDJLMPGWROE-OAHLLOKOSA-N 416.437 4.683 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2cccc(C(F)(F)F)c2)C1 589942164 OVYKFKGRSFJVQL-UHFFFAOYSA-N 413.783 4.973 5 20 HJBD CC1(C)N[C@@H](c2csc(-c3cccc([N+](=O)[O-])c3)n2)N(CC2CCCCC2)C1=O 602759358 SZEYLHCMYNRHGO-GOSISDBHSA-N 414.531 4.508 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])cc2F)s1 603964243 LHJAJSXWSKMJIN-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1ccc(NC(=O)CNc2ccc(C)cc2OCc2ccccc2)c([N+](=O)[O-])c1 604010721 RCTYCVMGVNZETP-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CC(C)c1ccc(-c2nnc(SCc3cc([N+](=O)[O-])cc4c3OCOC4)o2)cc1 608929945 DVBVNPXGLFSJEQ-UHFFFAOYSA-N 413.455 4.927 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCSC[C@@H]1c1ccc(Cl)cc1 609700123 DBGRAJJQWJRNCZ-MRXNPFEDSA-N 402.859 4.925 5 20 HJBD CC(C)CCc1nc(CSCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)cs1 609769583 LCRFRHFBTMJNNN-UHFFFAOYSA-N 421.544 4.871 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccnn1Cc1cccc2ccccc12 609783754 JEQYFVGQBQWZFN-UHFFFAOYSA-N 420.856 4.827 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC 609906486 WXIUNEAUUGAIRH-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cc2)cs1 727707335 MXPUPLLVPAIERW-JTQLQIEISA-N 403.410 4.796 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2F)c2ccccc2)cc1 729825524 JSEYKSIBXGVZRC-FQEVSTJZSA-N 421.428 4.542 5 20 HJBD CCOC(=O)CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 730098672 MPPWUCFXBPMFFM-UHFFFAOYSA-N 401.462 4.616 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CC/C=C\c1ccc(Br)cc1 735233303 QJHGLURZUWRORB-RQOWECAXSA-N 415.085 4.728 5 20 HJBD COc1ccc(Cc2nnc(SCc3cc([N+](=O)[O-])ccc3Cl)o2)cc1OC 735416494 ABCXEKJJLWMJAU-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1ccc2ccccc2c1 737399630 PCMKHEGCMGOXAD-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@](C)(O)c3cccs3)c([N+](=O)[O-])c2)cc1 739903531 UPDFYTDWWOQPAO-NRFANRHFSA-N 411.483 4.537 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCc2c(cccc2N2CCOC2=O)C1 742794190 LNANBTFGDPDDRJ-UHFFFAOYSA-N 423.472 4.543 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)c(Cl)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 751128968 JFRPPYWDVXIJFV-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD CC(C)(NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)c1ccc([N+](=O)[O-])cc1 752441705 CDEPLIQAUDZYSB-RCRDTURJSA-N 421.335 4.680 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)cs2)cc1 752558684 JOTHFPAKDPPDQB-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD COc1cc(/C=C\c2ccc([N+](=O)[O-])cn2)ccc1OCc1ccc([N+](=O)[O-])cc1 753146161 KJBDKDYYYPEHEN-KXFIGUGUSA-N 407.382 4.656 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc(-c2ccccn2)ccc1Cl 754843464 XCNFYKLNGJJARX-UHFFFAOYSA-N 402.237 4.894 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755026754 XXZQKMMUNVPSPH-UHFFFAOYSA-N 404.220 4.767 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC(c2ccccc2)CC1 755029341 RSMXHQPIXXZNJI-UHFFFAOYSA-N 404.264 4.769 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 755461759 WULCHWXJUKJDPX-JTSKRJEESA-N 406.486 4.780 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cnn1CCC(C)C 757625610 OODBOYNXIXLASV-UHFFFAOYSA-N 413.522 4.642 5 20 HJBD CCS[C@H]1CC[C@H](N(C)Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765038783 QPKNLQPBYPRMRD-IRXDYDNUSA-N 421.592 4.573 5 20 HJBD O=C(CCc1cncc2ccccc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 765091649 KVZPRLGVUKXFTP-DEOSSOPVSA-N 413.433 4.803 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1 765849025 OCSGDDIZWGUXFX-MJGOQNOKSA-N 413.474 4.558 5 20 HJBD COc1cc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c([N+](=O)[O-])cc1F 766078727 AGJIXSNJYZZZSG-UHFFFAOYSA-N 419.390 4.739 5 20 HJBD O=C(NCC[C@@H](O)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768166511 ZXTHRTSCGPWXAL-OAQYLSRUSA-N 408.479 4.600 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(c3c[nH]c4ncccc34)CC2)ccc1Br 768871881 NWGGQEUPRNTKSX-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD C[C@@H](OC(=O)c1cnc(Cl)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 769185810 BTPPKBWGLTVDFC-LLVKDONJSA-N 424.800 4.764 5 20 HJBD CSc1cccc(C(=O)Oc2cccc(NC(=O)c3cccs3)c2)c1[N+](=O)[O-] 776840067 VQIZAPFXECVKSE-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cc(-c2cccnc2)nc2ccccc12 785420575 OLBMNSRKFCVEGL-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD CC(C)(C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 796818220 PBVZYGQZEBFQEJ-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 809434425 YRJAVRHWTHZASO-CQSZACIVSA-N 421.413 4.694 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cc2sc(N3CCCC3)nc2s1 813247337 UFXZJBGMXHEILS-UHFFFAOYSA-N 408.892 4.772 5 20 HJBD C[C@@H]1CCN(CCOc2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)C1 864023814 PCEYFSLMBOOKMJ-OAHLLOKOSA-N 423.435 4.946 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 918556563 FWHNPWUBNPZTKG-UHFFFAOYSA-N 421.409 4.667 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 919366431 PISVUUKEEVXUQI-CYZMBNFOSA-N 413.352 4.618 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] 921136099 BHXMYXPNJJLAMP-UHFFFAOYSA-N 406.803 4.683 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 1116375294 DYNUOEDYSVFTLL-UHFFFAOYSA-N 403.236 4.503 5 20 HJBD COc1cc(Br)c(COc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 7836943 LWRVLCSDFGNWKF-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)ccc1[N+](=O)[O-] 26332443 GETIOPHZLXFLEM-UHFFFAOYSA-N 420.425 4.565 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 56174295 ULSXZFFXAAGWFI-INIZCTEOSA-N 418.453 4.595 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OC[C@@H]2CCCO2)c1 56303185 AALUXCZAPWXVQF-WBVHZDCISA-N 416.499 4.580 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 58326335 IWZAKRFZHMMAEC-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD CC(C)c1cc(C(=O)OCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c2ccccc2n1 107692175 ZGUVPIPUXXREQK-UHFFFAOYSA-N 418.409 4.673 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc(C)c(OCC(F)(F)F)c(C)c2)cc([N+](=O)[O-])c1 195692708 OCGZEFBSERKHDY-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1cccs1)C[C@H]1CCCO1 215698616 VGZWTCUWMHMXQJ-OAHLLOKOSA-N 402.497 4.692 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NCc2ccc([N+](=O)[O-])cc2)cc1OC 237251552 APFRNAXIJAFCLI-JOCHJYFZSA-N 406.482 4.994 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(F)cc3Br)cs2)c1 237788300 CUCORLDKBQTXDX-UHFFFAOYSA-N 422.279 4.910 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2cc(C)c([N+](=O)[O-])cn2)cc1 301423067 GAOTYOKAYDWFEH-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 427571989 FEHKAWIEJQFEMB-UHFFFAOYSA-N 419.506 4.940 5 20 HJBD Cc1c(NC(=O)N(C)[C@H](c2ccc(Cl)cc2)c2cnccn2)cccc1[N+](=O)[O-] 429223155 QHZMKLJLCHVWDF-LJQANCHMSA-N 411.849 4.600 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)c2)n1 431130080 SIJLORBDKNBMNU-UHFFFAOYSA-N 423.473 4.660 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1OC 435820506 WCMAFOLNMCXIEF-UHFFFAOYSA-N 424.403 4.619 5 20 HJBD COc1cccc(CO[C@@H](C)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440613814 RRANNRJSTUDUBN-SFHVURJKSA-N 420.465 4.742 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C[C@@H](C)O)[C@H](C)Cc1ccc(Cl)cc1 441771303 WBWGJMZVWDHGAD-HZPDHXFCSA-N 419.909 4.711 5 20 HJBD O=C(Nc1cc2c(cc1Br)CCC2)c1cc2cc([N+](=O)[O-])ccc2o1 443863773 YLJXVNBNGRXZHF-UHFFFAOYSA-N 401.216 4.845 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 443973781 QBOVIAJNGGZGDX-GFCCVEGCSA-N 403.389 4.839 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1C(F)(F)F 444412699 QZDYQOHGISKBJC-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 462761010 LGUMCNDDYBYXMY-TZIWHRDSSA-N 420.513 4.513 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)Nc1ccc([N+](=O)[O-])c2ncccc12 463134151 XPTLIPDBRFBVCI-UHFFFAOYSA-N 406.373 4.508 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Nc2cccc(CSC(C)C)c2C)c([N+](=O)[O-])c1 463933919 XVERRWCZVVCSMS-HNNXBMFYSA-N 417.531 4.993 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](CSc4ccccc4)C3)o2)c1 464765665 OULFZGDHIHBGID-QGZVFWFLSA-N 409.511 4.907 5 20 HJBD COC(=O)c1cc(OCc2nc(C)c(-c3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 464891376 HGHHUKPYJJQTFG-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 468182787 KSIRTRXPPDKRHJ-UHFFFAOYSA-N 410.348 4.776 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1cc2ccccc2o1 468306817 KBPOCEIIJBONNU-LLVKDONJSA-N 403.232 4.523 5 20 HJBD CCc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3OC)cs2)cc1[N+](=O)[O-] 471354612 JQEWZQDMYVAQRO-UHFFFAOYSA-N 401.419 4.681 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 474251455 OINOKXLDZLESLW-GOSISDBHSA-N 406.486 4.681 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C 475637843 MGRHDDCLTLYDAN-UHFFFAOYSA-N 409.845 4.685 5 20 HJBD O=C1CCC[C@H]1[C@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 478510044 RZMZLEUYWGHZLH-VQTJNVASSA-N 410.495 4.720 5 20 HJBD CCC[C@@H](NCCC(=O)Nc1cc(Cl)ccc1OC)c1cccc([N+](=O)[O-])c1 484492817 KLAWIXOKZFJTRY-QGZVFWFLSA-N 405.882 4.716 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cc(F)c(F)cc1N1CCCCC1 484880449 LWUOBWAFYATGIX-UHFFFAOYSA-N 414.412 4.526 5 20 HJBD CS[C@H]1CCC[C@@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 485492978 OMPXQQVDAOZRQM-AEFFLSMTSA-N 400.500 4.578 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(C(F)(F)F)cc2Cl)c(C)c([N+](=O)[O-])c1 485955554 KFHCQIUNTOERMS-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2F)c1C(F)(F)F 486551403 SQQOCABBIACYCA-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD O=C(C[C@H]1CCOC1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 489299089 WFPFMJPXRSJQAG-GFCCVEGCSA-N 409.364 4.722 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 500375323 WJCRNZPQVJMGAK-WACMXJRTSA-N 423.513 4.740 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 507675746 BPOBTLCTOCPKEW-NSHDSACASA-N 415.343 4.504 5 20 HJBD O=C(Cc1c[nH]c2cc(F)ccc12)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 508814563 XUVMXZBLLZOAAM-UHFFFAOYSA-N 417.396 4.627 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OC[C@H]2CCCO2)c1 509249738 UYHHYBGXOXLXOX-OAQYLSRUSA-N 413.474 4.595 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(C2CC2)nc2ccc(Cl)cc12 512979744 QXDUOQDYQCLYMM-UHFFFAOYSA-N 409.873 4.989 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN(C)Cc3nncn3C)cc2[N+](=O)[O-])c1 514759248 XFDJZQGNKSGPBJ-UHFFFAOYSA-N 409.490 4.579 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3C)c12 516761220 OILINDJUMRATSC-UHFFFAOYSA-N 406.398 4.904 5 20 HJBD CCC1CCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 518939475 XZVMIYPOSLOIOW-UHFFFAOYSA-N 418.497 4.639 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc3c(c2)OCCO3)ccc1Oc1ccc(F)cc1 520211358 JPQCFLMZVRZPGY-UHFFFAOYSA-N 410.401 4.587 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(c2cccc(Cl)c2)CCOCC1 520464076 VQWDKTPAUJTIGI-UHFFFAOYSA-N 417.893 4.681 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3ccccc3OC(F)F)n2)cc1[N+](=O)[O-] 524025639 XCBUJEQASVBAGD-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@H]3OCCc4ccccc43)n2)cc1[N+](=O)[O-] 524489849 YTKSDBNRDVWZSU-LJQANCHMSA-N 409.467 4.669 5 20 HJBD Cc1nc(-c2cccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c2)cs1 524769256 RGBFIWIZXJTYJS-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cn1c(C(=O)Nc2cc(C(F)(F)F)ccc2SCC(F)F)ccc1[N+](=O)[O-] 525029231 LVTASXIVENXRGY-UHFFFAOYSA-N 409.336 4.562 5 20 HJBD CCOc1cc(NC(=O)Cc2cccc3ccc(OC)cc23)c([N+](=O)[O-])cc1OCC 532827109 IAYPIOYJUROUHL-UHFFFAOYSA-N 424.453 4.735 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccc(C(C)C)c1 534957647 MKBLHCYOUCHONG-UHFFFAOYSA-N 416.499 4.650 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 535940857 SDVXJCKVRMVNDH-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(CN2CCCCCC2)cc1 536096327 QYQGOKMEPJXLRG-UHFFFAOYSA-N 406.486 4.633 5 20 HJBD C[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)Cc2ccccc2F)c1 537022156 ANPXFYFEPBDSLM-KRWDZBQOSA-N 421.472 4.808 5 20 HJBD CC[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 537050828 AHQSBZMLZMZRSE-ZDUSSCGKSA-N 413.808 4.529 5 20 HJBD Cc1cccc([C@H](Nc2ncc([N+](=O)[O-])c(C)c2Br)c2ccccn2)c1 542506460 DZBYBMHEXWSPFO-SFHVURJKSA-N 413.275 4.966 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(COc2ccccc2OCc2ccccc2)n1 545529101 MIKBKMLRUGNTBD-UHFFFAOYSA-N 417.421 4.727 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2ccc(OCc3ccccn3)cc2)n1 546403146 ZVIIQOGIOOULLO-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 549000380 MTWYHMBVKWVJOU-UHFFFAOYSA-N 410.352 4.747 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 551091959 JOKFEMKVAQBPCK-OAHLLOKOSA-N 420.347 4.545 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1cnc(-c2ccc(Cl)cc2)s1 552438869 OCNYHTLNCQTKNX-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD COc1ccc2nc(C)cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c2c1 561408421 QFMVAMINVOLORE-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1sc(Br)cc1[N+](=O)[O-] 583416792 TXQDWPHOAHYJQR-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cl)c2OCC(F)F)c1 588771053 NSVOJASVJNVLRB-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ccccc2)c(C)n1 589541699 XUCQNWLKFDRTCY-UHFFFAOYSA-N 423.494 4.956 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)cnc21 599073754 NAVLUJMTSWMKJX-UHFFFAOYSA-N 416.441 4.916 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSCc1csc(-c2ccc3c(c2)OCO3)n1 608835207 QULGLXJBNDVZFN-UHFFFAOYSA-N 416.480 4.759 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Oc2ccccc2Cc2ccccc2)c([N+](=O)[O-])c1 609145054 OBZIIUGHGQJGNS-MRXNPFEDSA-N 406.438 4.600 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 609226171 SZUPIAAZTBBFHQ-GFCCVEGCSA-N 421.906 4.565 5 20 HJBD Cc1sc2nc(Sc3ncc([N+](=O)[O-])cc3Cl)n(C(C)C)c(=O)c2c1C 609890535 FAZYSUUPNIZUJN-UHFFFAOYSA-N 410.908 4.764 5 20 HJBD COc1cccc([C@H](C)c2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)c1 612917574 NWLYPHBOFPTOFG-JTQLQIEISA-N 404.220 4.568 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(Oc2ccncc2)c(Cl)c1 733909816 GZYGRIYGVKMNER-UHFFFAOYSA-N 400.774 4.663 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cc(C)nc21 734782256 RAOSETAZNXRVPD-GFCCVEGCSA-N 402.838 4.629 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 737519821 OEYGEDDZXKXPQF-UHFFFAOYSA-N 417.874 4.950 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(OC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 744246362 DEJXSZVMQMCSFR-UHFFFAOYSA-N 404.444 4.640 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 749909736 RRACHIKTAQXWOI-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749979875 CHHRCWSZNXEEIO-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1cc(F)cc([N+](=O)[O-])c1Br 754980917 MSRIPDFBCNUCGV-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 758359438 ANFJRYRFQJDATK-JXFKEZNVSA-N 401.438 4.634 5 20 HJBD C[C@@H](C(=O)Nc1ccc2c(c1)CCN2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 761682793 KUSYMYZOYPFPAE-CQSZACIVSA-N 411.458 4.635 5 20 HJBD CC(C)(C(=O)OCc1csc(-c2ccsc2)n1)c1ccc([N+](=O)[O-])cc1F 762990663 RNIBJHBTJZOWIE-UHFFFAOYSA-N 406.460 4.940 5 20 HJBD COc1ccc2c(c1)[C@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)CC2 763008357 RRTPFSXGUCONIK-QGZVFWFLSA-N 400.862 4.730 5 20 HJBD O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CN1CCC[C@H]1CCC1CCCCC1 767761300 MRRBWDKAMPECJJ-FQEVSTJZSA-N 410.518 4.601 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 770328325 OFHPAUCTOOEIAM-DNVCBOLYSA-N 423.282 4.585 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 783100661 ZCJUPLMJNYXVMW-UHFFFAOYSA-N 401.831 4.554 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1OCC(F)(F)F 790713597 SPYJENSNGZCHSB-UHFFFAOYSA-N 424.709 4.649 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1cccs1)CC(F)(F)F 790938171 PUIVUFWUYPZMMZ-UHFFFAOYSA-N 410.776 4.582 5 20 HJBD CCc1c(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cnn1-c1ccc(C(F)(F)F)cc1 791189629 YLKDKCHAQPHSPK-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD Cc1nc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c2ccc(Cl)cc2)no1 806253872 WSEQDMYICSNRDG-HNNXBMFYSA-N 422.228 4.504 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809923017 VVMZHLGJAHJPKR-IAGOWNOFSA-N 405.838 4.575 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cc([N+](=O)[O-])ccc1Cl 812557929 NLNBJRDQIURPNL-AULYBMBSSA-N 409.667 4.687 5 20 HJBD CC(C)COC[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccco1 812960208 HLHBFLRATMZXAP-AWEZNQCLSA-N 401.246 4.638 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1CC(F)(F)F 813375952 AXUIWBICGDAHDK-GOSISDBHSA-N 417.343 4.984 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 816819481 GKZXDJAOIKQQJS-UFIQOXCRSA-N 420.387 4.615 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1cccc([N+](=O)[O-])c1Cl 816898093 STDDBGAZMDJLCN-LLVKDONJSA-N 411.683 4.762 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 904508239 JPLQJFFPHMVTPZ-UHFFFAOYSA-N 421.388 4.704 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 914483757 FJTLWFQIKRHXAU-UHFFFAOYSA-N 421.419 4.876 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914508271 YSIQYKOLQMGAGD-SNVBAGLBSA-N 412.229 4.951 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)ccn2)cc1 917782636 SGYIUEWQYYVDGI-LFYBBSHMSA-N 419.437 4.510 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 919212780 XRHBLDNYMHSLRR-AWEZNQCLSA-N 410.426 4.957 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)C(C)C)c1C 919526867 XMTAUHPIVUHSTR-FQEVSTJZSA-N 409.442 4.513 5 20 HJBD CCCCCCOCc1cccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c1 920882954 AJXNFBGNGXQVHX-UHFFFAOYSA-N 400.431 4.673 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cc2)cc1 921244308 WSZJZKFEBWDMCT-APSNUPSMSA-N 416.433 4.799 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1116468171 DYPUCCXYKVRFOJ-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD Cc1noc(-c2ccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc2)n1 1251909257 WENZZMOSDWSRLN-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD COc1ccc([C@H](Nc2ncc(C)cc2[N+](=O)[O-])c2ccc(OC)cc2OC)cc1 1319113471 RSWFNAWBTLUNQZ-NRFANRHFSA-N 409.442 4.526 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC(OC[C@H]3CCOC3)CC2)o1 1320378387 VLVRKZYSKDAXIK-HNNXBMFYSA-N 420.893 4.526 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@H]1CCCc3c1cnn3Cc1ccccc1)CCC2 1320861434 BHDZQRZRNIJBHK-PKTZIBPZSA-N 402.498 4.884 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)o2)cc1 1322115521 ZLBTWUUMOBMDDV-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(N3CCCC3)n2-c2cccc(Cl)c2)o1 14731049 WMQZUIZENKEYSU-DHZHZOJOSA-N 417.878 4.785 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1Sc1nnc(-c2ccncc2)n1C1CC1 58457292 CDNJBAIIFNYKCA-UHFFFAOYSA-N 407.377 4.753 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCc2cccc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 64695492 BFKFYMQECIWBOJ-OAHLLOKOSA-N 424.888 4.789 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1SCC(F)(F)F 65722187 UDFCUFFTOLTLHR-UHFFFAOYSA-N 409.389 4.843 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCc2c(Cl)ccc(F)c21 78721436 WCXGWUJTCRJGDX-UHFFFAOYSA-N 420.871 4.927 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1ccc(Cl)c([N+](=O)[O-])c1 110763949 WUSHNGRGINNNJG-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@@H]1c1nc2ccccc2s1 150115167 UUTDOFKNPLZVRO-GOSISDBHSA-N 410.499 4.679 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cccc(NC(=O)c2ccc(C)cc2)c1 301425396 BXSPUYXUOPDVNR-UHFFFAOYSA-N 405.454 4.914 5 20 HJBD CC(C)(C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1csc(-c2cccs2)n1 410095164 PMGGFLITLUNTKK-UHFFFAOYSA-N 413.524 4.642 5 20 HJBD CCN(C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)[C@@H](C)Cc1ccsc1 426317425 MTAOHTPTIKJMHW-NSHDSACASA-N 411.321 4.821 5 20 HJBD COc1ccc([C@@H](CCC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 426760559 SFUHAZZGFPTLBW-HXUWFJFHSA-N 410.426 4.559 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427604980 UYBMYSKKIUFLHF-UHFFFAOYSA-N 405.454 4.764 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cc(Br)cc([N+](=O)[O-])c1 431454199 BPBDFOSPFOJRLZ-UHFFFAOYSA-N 405.248 4.536 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)Oc3ccc(Br)cc32)c1 436328402 VQYCETDFTOAZDP-JTQLQIEISA-N 423.288 4.507 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 437278907 BLSLHGHWIXVZIL-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(Oc2ccccn2)c1 437477612 XSVUOUQSIIHZCW-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1c(F)cccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 444075039 NZHWGQSMXRQDIL-VBKZILBWSA-N 406.844 4.760 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1 444730675 NTFNMRRWZLDKLH-UHFFFAOYSA-N 403.438 4.741 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccccc1C(F)(F)F 445640863 UTRKWPGMZGMUEX-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CN(C(=O)c1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cc1)C1CCCCC1 446002181 DCMJNEBVFONGOI-UHFFFAOYSA-N 409.486 4.571 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)CCc3cccc([N+](=O)[O-])c3)C2)nc2ccccc21 446098922 IVRNWCVUDWYGSI-IBGZPJMESA-N 420.513 4.864 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 448322555 RZNFYRPFEHJWKC-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](C)C[C@@H]1c1cccc(F)c1 461309753 WHSWELAXAIWTJM-IIYDPXPESA-N 406.841 4.764 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 463308722 KIENVNJFGJTNPP-SECBINFHSA-N 404.291 4.706 5 20 HJBD COCCC[C@@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 467996300 UCWPKAXVAJSBRC-GOSISDBHSA-N 407.308 4.657 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 470005552 ZDKJWXFQSORQDX-JTQLQIEISA-N 402.756 4.981 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1cncs1 470967920 KYBWTTBHNXUUSC-NSHDSACASA-N 403.847 4.988 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1 471442632 IVFGJNSLLUHLRI-UHFFFAOYSA-N 422.890 4.832 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccccc2s1 478152179 YELGQFXUCFFDEM-UHFFFAOYSA-N 401.513 4.883 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 482379277 JGUAFBKRDKBTEC-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 485525546 GZHYHLORCXPYFS-UHFFFAOYSA-N 404.291 4.943 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2C(F)(F)F)c(F)c1)c1n[nH]cc1[N+](=O)[O-] 486018307 PDFJOUJKBZLQHP-UHFFFAOYSA-N 410.283 4.520 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCCOc1ccccc1Br 490826809 MGNRAGOGCVTWQO-UHFFFAOYSA-N 407.264 4.772 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 496326257 KGFNQQDESFAEJB-OAHLLOKOSA-N 415.471 4.824 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1csc(Br)c1)C1CC1 500917733 KOTKMMMTCHAZMU-UHFFFAOYSA-N 420.288 4.705 5 20 HJBD C[C@H](Nc1ccc(Cl)c(OC(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 502584424 JEEGUQOBAPSFIP-QMMMGPOBSA-N 420.199 4.942 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(Cc3ccc(F)cc3)no2)cc1[N+](=O)[O-])c1ccccn1 504675589 JFHKIAFQZQYHSY-CQSZACIVSA-N 419.416 4.943 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(CC(C)C)cc2)C2CC2)c([N+](=O)[O-])cc1OC 509381213 SPKGTENBSWJWFI-JOCHJYFZSA-N 412.486 4.692 5 20 HJBD CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 509403936 MSMYJRWEYKZEJP-UHFFFAOYSA-N 406.891 4.650 5 20 HJBD CCCC(=O)NC[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 512408464 HKTSYWYSCRBBDC-HNNXBMFYSA-N 419.909 4.637 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cnn(C(C)(C)C)c1-c1ccccc1 512954267 FZTYTKKQQLDZTC-UHFFFAOYSA-N 406.486 4.528 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 515098130 INKKAGPNKXANRC-CHWSQXEVSA-N 416.302 4.957 5 20 HJBD C[C@@H](NC(=O)c1[nH]c2ccc(Br)cc2c1Cl)c1cccc([N+](=O)[O-])c1 516523470 BYRZLBKPOFFIMJ-SECBINFHSA-N 422.666 4.983 5 20 HJBD CCOc1cccc([C@H](C)NC(=O)Nc2cc(OCC)c(OCC)cc2[N+](=O)[O-])c1 520137432 KXLDVFDTKORMAD-AWEZNQCLSA-N 417.462 4.674 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(N[C@H](C)c3ccccc3)cc2)cc([N+](=O)[O-])c1 520422787 MYJOKSVDXNLKMG-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD Cc1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)c1 522874520 ZVLASGOHKMHVPP-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3CCN(C4CCCC4)C3)c([N+](=O)[O-])c2)cc1 524671596 WNWICOAZVMHSNS-LJQANCHMSA-N 408.502 4.584 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 524767885 BWXOCRKRCKDGNU-FCHUYYIVSA-N 421.541 4.996 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1cccc(Cl)c1)c1ccccn1 534726736 YNLNPAWPSXXBMK-QFIPXVFZSA-N 420.856 4.573 5 20 HJBD CC(C)Cn1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C(F)F)n1 535642452 RFIXXSZSONMJEN-UHFFFAOYSA-N 406.311 4.656 5 20 HJBD Cc1nn(C)c(C(=O)N[C@H](C)c2ccc(-c3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 537792907 QWVRLHGMKUCFQM-SNVBAGLBSA-N 404.879 4.510 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2ccccc2Cl)n1 538686650 FSFQHZBQIBTLAD-UHFFFAOYSA-N 417.878 4.786 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)Nc1cc([N+](=O)[O-])ccc1O 539975214 SBVARDGCJHUVDW-UHFFFAOYSA-N 423.372 4.624 5 20 HJBD CC(C)(C)Oc1c(F)cc(NS(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1F 541123085 JGNRVUROBORTFN-UHFFFAOYSA-N 420.821 4.505 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCSc2c(F)cccc21 541294692 UPGHMQWYUMICNJ-CYBMUJFWSA-N 412.895 4.833 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3c(F)cc(F)cc3Br)co2)cc1 542220204 WAJXRTHRIBYAPV-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 543173572 VNHLPWZZUZPFBK-KBPBESRZSA-N 405.882 4.590 5 20 HJBD CCOc1c(Cl)cc(-c2noc(Cc3cccc([N+](=O)[O-])c3C)n2)cc1OC 545534412 XBXMFKKJCYCIGE-UHFFFAOYSA-N 403.822 4.605 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(Cc4ccc(Br)s4)no3)c[nH]c2c1 545563866 YRJBGVLUTVHNCT-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD COCCC(C)(C)CNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 546065012 VQGDYDKUEHFIFX-UHFFFAOYSA-N 419.909 4.653 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(-c3cccnc3OC3CCCC3)no2)c(Cl)c1 547060984 XTBRWZZDGCYYOB-UHFFFAOYSA-N 400.822 4.605 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 553380014 BGLNJTDWUGQWHC-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561097438 YXYZWMUNQASZGU-BTYIYWSLSA-N 407.470 4.627 5 20 HJBD CC(C)(c1nc(-c2cccc(NC(=O)C3CCC3)c2)no1)c1ccc([N+](=O)[O-])cc1F 561872640 JEGMSCCCRHPMSS-UHFFFAOYSA-N 424.432 4.848 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@H]1COc2ccccc2C1 573599985 NXEJIFLCVYTHJK-QGZVFWFLSA-N 405.410 4.512 5 20 HJBD CC(C)c1ccc(-c2nc(-c3cccc(CN4CCCC4=O)c3)no2)cc1[N+](=O)[O-] 579171571 QAESJJSXFBIQMT-UHFFFAOYSA-N 406.442 4.558 5 20 HJBD CCCCN(C(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])[C@H](C)c1ccc(C)o1 603531159 HOMDEONYUSSQLZ-OAHLLOKOSA-N 404.463 4.907 5 20 HJBD CC(C)Oc1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1Cl 603946649 QNMGNOILYCECEE-UHFFFAOYSA-N 418.862 4.806 5 20 HJBD C[C@H](NC(=O)c1ccccc1OCCOc1ccccc1)c1cccc([N+](=O)[O-])c1 603966774 PWHKZRSXQNERNF-KRWDZBQOSA-N 406.438 4.544 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604095439 SVXFYCZLJMXELS-UWVGGRQHSA-N 423.313 4.715 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 604113776 GOANBBYKCRQSKM-UHFFFAOYSA-N 404.426 4.531 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1NC(=O)c1cccs1 608808757 BKHMHVVNPMQTOK-UHFFFAOYSA-N 420.450 4.502 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCCO1 608946416 NTNYAMXKTOCDOE-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccc(F)cc3)[C@@H]3CCCO3)cs2)c1 609598634 FXGIOBXUHPDKIE-PMACEKPBSA-N 413.474 4.867 5 20 HJBD O=C(NC1(C(F)(F)F)CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610705619 DFDOLIDPBZQYEQ-UHFFFAOYSA-N 400.740 4.865 5 20 HJBD O=C(NCCCn1cnc2ccccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198632 CBSLOAXQPXJZMG-UHFFFAOYSA-N 424.526 4.799 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@H]1CCCCO1 611471782 LKZFNLGKTTYFCL-YVEFUNNKSA-N 409.280 4.665 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cnc(Cc2ccc(F)cc2)s1 727100227 CZUIQWPHWCMHQQ-UHFFFAOYSA-N 419.865 4.707 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC([C@@H](C)NC(=O)OC(C)(C)C)CC1 730267967 VKSCFQHEKNWSSO-MRXNPFEDSA-N 421.538 4.507 5 20 HJBD CCCN(C(=O)COc1ccc(C=O)cc1[N+](=O)[O-])[C@H](C)c1cc2ccccc2o1 731616039 YGRCLVKJVKVCCL-OAHLLOKOSA-N 410.426 4.532 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cc1 734988060 VOYCLTFRHUMIQQ-NDEPHWFRSA-N 417.556 4.985 5 20 HJBD CC1(COC(=O)C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)COC1 744590495 URUMEIBORIYSMQ-YBEGLDIGSA-N 424.478 4.715 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)CC[C@H]1c1ccccc1 746337446 ORDZWIYAUNBFPA-GHTZIAJQSA-N 407.514 4.851 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(-c3n[nH]c4ccc([N+](=O)[O-])cc34)n2)c1 747866758 UQBIUAWFWVGKIO-UHFFFAOYSA-N 422.401 4.535 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(C[C@@H]1CCCO1)c1nc2ccccc2s1 750154288 LNQJXIGNPKUUQC-LBPRGKRZSA-N 417.874 4.684 5 20 HJBD CC(C)(NC(=O)c1ccccc1COc1cccc(C=O)c1)c1ccc([N+](=O)[O-])cc1 752763902 YUBUOXUKQAPXSZ-UHFFFAOYSA-N 418.449 4.651 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 755025447 XGMPEFAJOBKQPJ-CXTCDGGRSA-N 407.308 4.884 5 20 HJBD CCOc1cc(COC(=O)c2ccc(C)c(NC(=O)c3ccco3)c2)ccc1[N+](=O)[O-] 755505924 GKQGULXEYSGXTB-UHFFFAOYSA-N 424.409 4.504 5 20 HJBD Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1OCC(F)F 758250510 NTKHOMCCEXXJCH-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])c2)cc1 758508707 RALIZFWOUJCPQE-UHFFFAOYSA-N 400.431 4.709 5 20 HJBD CCOC(=O)/C(=C\c1ccc([N+](=O)[O-])c(Cl)c1)c1nccn1Cc1ccccc1 763175474 QXRXZSWXOFOLMG-ATVHPVEESA-N 411.845 4.597 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 767081994 AAPNGSPOTDVRPP-HUUCEWRRSA-N 414.458 4.890 5 20 HJBD Cc1oc2ccccc2c1CC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767727261 DGMJDWPWCDAZLJ-CYBMUJFWSA-N 407.382 4.546 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])NCC1CCC(c2ccccc2)CC1 781633630 YYUSUYQAQFHSTQ-UHFFFAOYSA-N 411.458 4.526 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798667543 SOGXNGKNZTURAY-VHSXEESVSA-N 418.755 4.734 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1nccn1-c1ccccc1 803068351 GEUAAFOJTGVCQX-ZIAGYGMSSA-N 415.833 4.506 5 20 HJBD COc1ccc(CNC(=O)/C(=C\c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 917846879 FXDOYIADDKHGCO-BOPFTXTBSA-N 412.442 4.661 5 20 HJBD CCc1ccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1 7168775 FNZSXWKCLMNNFT-OAHLLOKOSA-N 417.534 4.768 5 20 HJBD CN(C(=O)CSc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])cc1 11300862 HZVZZNQHKMLECZ-UHFFFAOYSA-N 403.847 4.658 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2c(C)nn(Cc3ccccc3)c2s1 19160859 QOVOZNAQDUGRHV-UHFFFAOYSA-N 406.467 4.923 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccc(F)cc2)c1Cl 21441417 FGNXEFSBDCMBJY-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(COC(=O)[C@H](Sc1ccccc1)c1ccccc1)Nc1ccccc1[N+](=O)[O-] 22705959 WCAIIZRHQDNWCU-OAQYLSRUSA-N 422.462 4.610 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 25521322 MLMLQDOXURWPKO-UHFFFAOYSA-N 423.900 4.907 5 20 HJBD COc1ccc(CSCC(=O)N2CC[C@@H](C)Sc3ccccc32)cc1[N+](=O)[O-] 31895404 MDXAVRMFPREOBC-CQSZACIVSA-N 418.540 4.754 5 20 HJBD C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 53261515 RDEBZOYFCYHMDK-CYBMUJFWSA-N 410.455 4.791 5 20 HJBD CC(=O)c1ccc(N[C@H](C)c2cc3c(cc2Br)OCCO3)c([N+](=O)[O-])c1 64029973 VMPFWCVFSICTOX-SNVBAGLBSA-N 421.247 4.504 5 20 HJBD CC[C@@H](C)N(Cc1ccccc1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 139211872 RPEZPIIZZZRVEP-QGZVFWFLSA-N 420.513 4.897 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@@H]1c1ccccc1Cl 301225965 DQJHYGOUFSFSBT-CQSZACIVSA-N 416.833 4.586 5 20 HJBD COc1ccccc1Oc1ccc(CNc2cc3ncnc(O)c3cc2[N+](=O)[O-])cc1 301232596 GFJKVUOZGJBHHQ-UHFFFAOYSA-N 418.409 4.657 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)ccc1[N+](=O)[O-] 302045429 MVJALIZEUIETOY-UHFFFAOYSA-N 412.412 4.829 5 20 HJBD CCn1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1-c1ccccc1 427127523 VZAPYAAKTXQFIC-UHFFFAOYSA-N 419.466 4.854 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 427798237 NWXYOJLBKQYGCS-XMMPIXPASA-N 412.489 4.840 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC(c2ncccc2Cl)CC1 428585506 ZBAMSGNVJMICQT-UHFFFAOYSA-N 416.909 4.555 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435944814 SWVRSZMXBVHWNE-UHFFFAOYSA-N 410.395 4.761 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2F)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 437451709 UUZXBDRHQWHOKD-IYARVYRRSA-N 420.440 4.989 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 441392158 IKCDOKVSMGAZON-OAHLLOKOSA-N 411.408 4.913 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 442782114 PNUUQKIKZGWQNC-UHFFFAOYSA-N 420.391 4.775 5 20 HJBD CC[C@@H](Oc1ccccc1Cl)c1nc(Cc2cc(OC)ccc2[N+](=O)[O-])no1 445581003 WHZRGDUQNPYBHH-MRXNPFEDSA-N 403.822 4.761 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1noc2c1CCCCC2 446427709 JMXSQODHHKQVKA-UHFFFAOYSA-N 407.426 4.683 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(CCc1ccccn1)Cc1ccc(F)cc1 446484412 IJYNAJVOEPOBFR-UHFFFAOYSA-N 408.433 4.714 5 20 HJBD CCOc1ccc2c(c1)CCN(c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])C2 447672821 VVNUAAWYMMGFGJ-UHFFFAOYSA-N 417.334 4.605 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)c([N+](=O)[O-])cc1OC 460548976 IDQIQCSDHAHHQO-NRKGENKXSA-N 418.877 4.783 5 20 HJBD CCCN(C(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@H](C)c1cc2ccccc2o1 464044806 TYDPCBUBPAFUBU-MRXNPFEDSA-N 423.469 4.896 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 464274660 IWNWWIWYSSPHFW-XDHUDOTRSA-N 414.480 4.904 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](CSc2ccccc2)C1 464329103 NJLOWQCUDVCUQS-CYBMUJFWSA-N 410.417 4.868 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] 464468445 BFISTUQVSHAUPA-GOEBONIOSA-N 412.511 4.808 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCc1ccccc1[N+](=O)[O-] 468909498 JKKTVPCZDAWBOC-HNNXBMFYSA-N 410.499 4.929 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2c(Cl)cccc2[N+](=O)[O-])cs1 471291630 JCFFUVMXJKTCQX-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD Cc1ccc(Cl)c(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1 475043334 XXJDNNDUJXIINM-MRXNPFEDSA-N 419.865 4.761 5 20 HJBD CCOC[C@@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 477585536 FBRMEXZZVZGYQW-MRXNPFEDSA-N 400.500 4.553 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 478049113 XPVRYIBBRHPHTL-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 478697282 HIGBPUKBNHNORL-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)cc2s1 484679933 NNJTZAWCRQSHME-UHFFFAOYSA-N 413.846 4.604 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N=c2c(C(C)C)c(C)[nH]c3ccccc23)cc1OC 485666693 YAYQOEZYHIHRHF-UHFFFAOYSA-N 423.469 4.656 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cnn1-c1ccc(Cl)cc1 486137394 WZHALFHOPNYIDY-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1-c1ncco1 486283170 KOAWAWGWXXBLJA-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@H]1n1ccnc1 488086663 VJZOTKAUGBAHFJ-HRAATJIYSA-N 422.510 4.666 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC(C)C 489868652 ATNAKVDAHUTWFA-HOTGVXAUSA-N 415.490 4.820 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3cc(C)c(C)cc3C)[nH]n2)c([N+](=O)[O-])cc1OC 490092067 RPRNDEUESOTTRA-UHFFFAOYSA-N 424.457 4.570 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 490228503 ATQDWTJKIHAUDF-UHFFFAOYSA-N 401.422 4.887 5 20 HJBD O=C(CCNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Nc1ccccc1 500895242 UILNMVBJVCSGLA-UHFFFAOYSA-N 409.417 4.645 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H](COc1ccccc1)c1ccccc1 502344832 JZCIFDZRUJCZFU-OAQYLSRUSA-N 408.479 4.623 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 504729005 BPNWVNKZQKQYCM-ZDUSSCGKSA-N 406.529 4.626 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 508317669 PUGGGAOYRIETLD-NSHDSACASA-N 414.405 4.749 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H](c1cccc(F)c1)c1cnn(C)c1 513089674 OYTFZZFMMNNRIM-HSZRJFAPSA-N 420.444 4.949 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Br)cc1)C1CCC1 525660201 KPYKLLGBQTYRBT-LJQANCHMSA-N 403.276 4.557 5 20 HJBD Cc1ccc([C@H](C)NCc2ncc(-c3ccc(Br)cc3)[nH]2)cc1[N+](=O)[O-] 530729454 RGAPIPVLXTWYGS-ZDUSSCGKSA-N 415.291 4.907 5 20 HJBD COc1ccc(OC(F)F)c(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 532046200 VJZRZQYUDVLOAX-UHFFFAOYSA-N 421.425 4.618 5 20 HJBD COc1cc([C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccncc1 532475030 QURVHVTTWZWGPM-SJORKVTESA-N 407.470 4.989 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN(Cc3ccc4c(c3)CCO4)C3CCCC3)n2)cc1 532952515 GDFNAQHIHRLYQW-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)CCc3c(F)cccc3Cl)co2)cc1 535509753 AYAPDLOFIHCJBT-HHHXNRCGSA-N 408.838 4.534 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(CNc2ccccc2)cc1 535511527 NMYHQXKHTBXZKW-UHFFFAOYSA-N 400.438 4.869 5 20 HJBD COc1ccc(C[C@H](C)C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 537150449 WUEDPLYTUOEXHB-AWEZNQCLSA-N 411.483 4.569 5 20 HJBD C[C@H](Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 537525103 NNJMZDJQVPYJDJ-KRWDZBQOSA-N 410.495 4.883 5 20 HJBD CCc1ccc([C@H](NCc2cccc(C(=O)NC3CC3)c2)c2ccc([N+](=O)[O-])cc2)o1 543424669 YPPNSENHQURJHD-HSZRJFAPSA-N 419.481 4.522 5 20 HJBD O=C1CCCCCCN1Cc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545076534 ANIVLAHUGZDIDW-UHFFFAOYSA-N 422.441 4.730 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546770527 NGBSYIXKJDCILC-ZDUSSCGKSA-N 416.421 4.527 5 20 HJBD CC(=O)c1cc(NC[C@@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 553144959 MFEHEDCWGDJTDW-XOBRGWDASA-N 405.857 4.695 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC1 557435107 MNMZHODDYOTBQP-VWLOTQADSA-N 421.541 4.782 5 20 HJBD Cc1cc(N(C)C)cc(C)c1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 559576142 CHDDRDNBJLXOCI-UHFFFAOYSA-N 406.280 4.601 5 20 HJBD CC(C)(NC(=O)CCCc1ccc(Br)s1)c1ccccc1[N+](=O)[O-] 561705561 IRQKYWOTXGBMTE-UHFFFAOYSA-N 411.321 4.793 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](c3ccccc3)[C@@H]3COCC[C@@H]32)cc1[N+](=O)[O-] 563544210 CNXIJWRADFWGDM-DYXWJJEUSA-N 408.498 4.537 5 20 HJBD CN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)c(C(F)(F)F)c1 566847945 BOBUPVJSQYZGLI-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)C3CCC(F)(F)CC3)cc2[N+](=O)[O-])C1 573037836 HEKGCYSWRFGRRF-GJZGRUSLSA-N 409.477 4.727 5 20 HJBD Cc1cc(CN(C)C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc(C)c1OCC(F)(F)F 573893450 RFCQBVVTBRUXGO-OAHLLOKOSA-N 424.419 4.915 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2ccc([N+](=O)[O-])cc12 589870427 VOMHQTLKMDKQLJ-XIKOKIGWSA-N 418.375 4.523 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3ccc(NC)c([N+](=O)[O-])c3)sc2C)cc1 602798819 WZNQTAIBWXRLFX-UHFFFAOYSA-N 412.471 4.719 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604077108 LFITUIDDGXRODE-QFIPXVFZSA-N 405.454 4.582 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccccc3)CCCCC2)cc1SC 609126272 XCJZSYBYENDGGR-UHFFFAOYSA-N 414.527 4.957 5 20 HJBD COC1CCC(N(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CC1 609647476 RKYVPSBQKDDYLG-UHFFFAOYSA-N 421.544 4.541 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 609865846 KHDZJKXMWNQSQE-ZMZPIMSZSA-N 404.875 4.969 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)N[C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O 609918563 AAFNLOYLYUCQKZ-SFTDATJTSA-N 421.522 4.644 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N2CCc3c2cccc3[N+](=O)[O-])o1 609972841 VICSBPYUIVRZRX-UHFFFAOYSA-N 414.421 4.552 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 610199242 FZHQGDRUZHLNLI-MAUKXSAKSA-N 402.878 4.996 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 610224956 OXIDRFJWKOSSOB-QGZVFWFLSA-N 420.465 4.602 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611203765 RPRCXUDPDLKBJU-UHFFFAOYSA-N 406.485 4.730 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)n1 727711591 MMPHLUKYWOFUOK-UHFFFAOYSA-N 400.822 4.848 5 20 HJBD O=[N+]([O-])c1cn(CN(CCc2ccccc2)Cc2ccccc2)nc1-c1ccncc1 742145809 OFFPOTXTGOGXAF-UHFFFAOYSA-N 413.481 4.556 5 20 HJBD C[C@@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)s1 745644057 VRRDTTHJNAKSLC-MRVPVSSYSA-N 404.669 4.919 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(C(=O)Nc2ccccc2)c1 746779643 KZCNUOIJXVJEAW-HNNXBMFYSA-N 422.462 4.933 5 20 HJBD C[C@@H](CCC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 750105078 JGIDRPQUKFJKQB-UONOGXRCSA-N 404.850 4.702 5 20 HJBD O=C(Nc1cnc(Cl)c(Br)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 759160710 TZZHWDDFMRVKOG-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cn1nccc1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 762714709 FIFDOFVPWBAALR-UHFFFAOYSA-N 409.489 4.639 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 763119112 PQYBKJHKZIWCEF-UHFFFAOYSA-N 410.430 4.984 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1Cl 763814816 PGVLDTLAWSQHMR-NSHDSACASA-N 408.863 4.544 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)cc2OC(C)(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 766574434 XWOZBCFMULFHDD-UHFFFAOYSA-N 405.382 4.689 5 20 HJBD CCCN(CC)c1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cn1 774290018 AEJQCKSOWLJTQX-QFIPXVFZSA-N 420.469 4.568 5 20 HJBD O=C(/C=C\c1ccc[nH]1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 783639346 PYLIJVKYIOOVFW-YWEYNIOJSA-N 415.808 4.837 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 791336678 QJUXRRIIBVBYLY-JTQLQIEISA-N 411.355 4.701 5 20 HJBD C[C@H](Nc1ccccc1NS(=O)(=O)c1csc([N+](=O)[O-])c1)c1ccccc1 799928447 ATFKCTVAZVNZEN-ZDUSSCGKSA-N 403.485 4.630 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3ccc(N)cc3[N+](=O)[O-])C2)n1 804670143 AFDBTJRMTUNHSW-AWEZNQCLSA-N 410.499 4.693 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cnc([C@H]2CCCO2)s1 811085439 NIMDSOUPLPMCNK-LLVKDONJSA-N 403.243 4.567 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)c1 816763583 XQUOCKZWSSOZOY-QMMMGPOBSA-N 419.812 4.875 5 20 HJBD Nc1ccc(NC(=O)c2ccccc2-c2ncc(-c3ccccc3)o2)cc1[N+](=O)[O-] 819892990 PMKJJISDOOWTKB-UHFFFAOYSA-N 400.394 4.751 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H]1CCCc2ccccc21 911577274 AZPCPCCQSCKQQY-FQEVSTJZSA-N 420.469 4.502 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1cccc(COc2ccccc2)c1 917940378 AZPFZNJUJDOJCQ-MRXNPFEDSA-N 421.453 4.622 5 20 HJBD Cc1c(C(=O)Nc2ccc(SC(F)(F)F)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1115852320 XFHOMFUIEOWYHL-UHFFFAOYSA-N 401.322 4.676 5 20 HJBD Cc1ccc(OC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2c1[C@H](C)CC2=O 1115898900 YBAOPNSUEMKQGX-VXGBXAGGSA-N 403.818 4.619 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2nncc3ccccc23)cc1 1116536892 LPDUNUMCTOOJDY-UHFFFAOYSA-N 415.409 4.624 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nnc(CCCc2ccccc2)o1 1117230830 APSDGMBKNGJJRP-UHFFFAOYSA-N 420.391 4.827 5 20 HJBD Cc1cn(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c2ccccc12 1320055264 SSIWWLUNHBVZKC-UHFFFAOYSA-N 406.467 4.644 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)cc1 1328091620 OFCNHQXEZITZHE-HNNXBMFYSA-N 424.457 4.784 5 20 HJBD COc1cc(-c2nnc(COc3cccc([N+](=O)[O-])c3)o2)ccc1OCCC(C)C 1345820131 XVETUCIJOKTMIA-UHFFFAOYSA-N 413.430 4.657 5 20 HJBD CC(C)(C)C(=O)NC1(c2ccc(NC(=O)c3cccc(F)c3[N+](=O)[O-])cc2)CCC1 1790697928 AQBAVBJPORVXMT-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H](c2ccccc2)C2CC2)c([N+](=O)[O-])c1Br 14301232 WKOTVHHTDKKMQR-OAHLLOKOSA-N 422.235 4.837 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1ccc2ccccc2c1)c1ccccc1[N+](=O)[O-] 14375993 IGKJLRWZFUPPGW-FQEVSTJZSA-N 406.438 4.561 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)OCc4ccccc4[N+](=O)[O-])cc3)o2)cc1 16287901 ZWQJWJSGXDMIHB-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccc(C(=O)c2ccc(Cl)cc2)cc1 20890562 QKJODWJTGWFQBJ-UHFFFAOYSA-N 424.840 4.805 5 20 HJBD Cc1ccc(C)c(-n2ccnc2SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 22133797 MDDKLAODLOCDFH-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD COc1ccc(-c2csc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)n2)cc1Cl 25921726 CNOIMZVSGDHSBF-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD COc1ccc(C(=O)Nc2ncc(Cc3cccc(C)c3Cl)s2)cc1[N+](=O)[O-] 26037417 JNQYEAHSIWOOMG-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1ccc(OC2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1C 47345035 CKSFLOVMTVTSSE-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)CCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53810173 ULYQWRYPDNAFGV-GOSISDBHSA-N 418.497 4.868 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)cc2o1 64821617 CZIMOPVEAZZLRQ-CYBMUJFWSA-N 417.425 4.865 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(Cl)cc3)CCC2)cc1SC 97548945 WEXPCSDHYBTZGH-UHFFFAOYSA-N 420.918 4.830 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)c(C)c1 109640628 VYUUNODNBSGOEC-CQSZACIVSA-N 411.487 4.627 5 20 HJBD CC(C)NC(=O)[C@H]1CCC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 236689397 GCKJFUVHUTZVNJ-GJZGRUSLSA-N 419.909 4.681 5 20 HJBD CN(C)Cc1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 237073001 DJLVZGNZBMOPNF-UHFFFAOYSA-N 409.461 4.878 5 20 HJBD COc1cc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc(OC)c1OC 237265058 QBVZVOCZXKMLJQ-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD CCc1nn(C)c(N[C@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1[N+](=O)[O-] 302170618 AFGMIWJQPDOVQP-AWEZNQCLSA-N 401.467 4.643 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 303286554 NJDWZGICJFYGTH-UHFFFAOYSA-N 423.759 4.686 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303933314 XPDWVHVTGKSISI-MJGOQNOKSA-N 414.480 4.698 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(Sc2ccc(Cl)cc2)CC1 427027688 OHDQYNWUESQEGC-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD COc1cc(/C=C/c2ncc(Br)s2)c([N+](=O)[O-])cc1OCC(F)F 434627819 OUDMVZIUSYCLQI-NSCUHMNNSA-N 421.219 4.637 5 20 HJBD O=C(NC1CCC(C(F)(F)F)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435934862 IBSJRDFXBVLFTJ-UHFFFAOYSA-N 406.379 4.671 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1SC 436235910 CHIBTAASYYMCPE-UHFFFAOYSA-N 418.518 4.684 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccsc1)c1cccnc1 440727799 PPVQWXBSAOVLAW-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Nc1cc(Cl)cc(F)c1F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 441600742 HRVNVDOGCLWEGK-UHFFFAOYSA-N 410.682 4.720 5 20 HJBD Cc1c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cnn1CCC(C)C 444234273 CZVKRWSJCAIZRU-UHFFFAOYSA-N 424.451 4.763 5 20 HJBD Cc1cccc(C)c1CCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444241063 QSDNYRIZOYTIOP-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc([C@@H](C)Sc3cc(Cl)ccc3Cl)n2)n1C 445605223 ROBDFBWYGGBNLI-SSDOTTSWSA-N 414.274 4.847 5 20 HJBD CCOc1cc(C(=O)N(CC)[C@@H](CC)Cc2ccc(C)cc2)c([N+](=O)[O-])cc1OC 460527341 SWLHHWFGYDMSSA-SFHVURJKSA-N 414.502 4.794 5 20 HJBD O=[N+]([O-])c1ccccc1CN(c1nnc(C2CCC2)n1Cc1cccs1)C1CC1 461507334 MGPKFIOHUWCYQR-UHFFFAOYSA-N 409.515 4.733 5 20 HJBD Cc1cc(N2CCC(NC(=O)CC3CCCC3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461932853 DNKPYWVGLWUFBB-UHFFFAOYSA-N 413.440 4.587 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1)CCC2 462603184 HTMVLKBEQGPEOR-YJBOKZPZSA-N 401.532 4.718 5 20 HJBD O=C(O)C/C(=C/c1cccc([N+](=O)[O-])c1)c1nc2cc(Br)ccc2s1 463044680 SWUAMOAYGTZYRD-WDZFZDKYSA-N 419.256 4.982 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 463912587 PBZXJMXOOHLYBG-KUHUBIRLSA-N 417.481 4.837 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(C)C 465921454 PXCVYEQFSJQNNF-QFIPXVFZSA-N 411.502 4.853 5 20 HJBD C[C@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1cccc([N+](=O)[O-])c1 467834993 NVJFMHNBVCSXFL-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD Cc1c(Br)cc(C(=O)N2CC[C@H](C)c3cccc(O)c32)cc1[N+](=O)[O-] 469277210 YEZOHSMZRGZTIK-JTQLQIEISA-N 405.248 4.525 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 470000281 PUBNAKUQIUDZKY-UHFFFAOYSA-N 402.756 4.983 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cc1OC 470196402 UUXWFMOWYFRCAY-HNNXBMFYSA-N 421.453 4.555 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 472263552 ZQZDOIMIZWQGDQ-SFHVURJKSA-N 416.546 4.760 5 20 HJBD Cc1ccc([C@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccccn2)cc1 472482960 GPVSQZUDLHHHHQ-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD Cc1ccc(-c2noc(CSCC(=O)c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 472657089 WDJWQZZAMHUZJX-UHFFFAOYSA-N 403.847 4.723 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1csc([N+](=O)[O-])c1 475587294 JHQYLQYUDMJUDI-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD COc1ccc([C@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)C(F)(F)F)cc1 482316656 IPCSHJUJUSTGKP-SFHVURJKSA-N 423.391 4.581 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CCn4cnnc4[C@@H]3C)cc2[N+](=O)[O-])c1 483442800 VXNAYLKPZPPOGL-KRWDZBQOSA-N 421.501 4.987 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 484053359 ZOXPCKKJLHMNSA-UHFFFAOYSA-N 406.442 4.641 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2cc(F)ccc21 484393944 BNDJVMAIPPDIRO-UHFFFAOYSA-N 414.380 4.824 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486017735 NNERUQRQGRMHSZ-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486092649 FXQKVIKZJXIERO-RTBURBONSA-N 404.388 4.908 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486136356 SLBIMGOBNAGYPK-LBPRGKRZSA-N 416.783 4.607 5 20 HJBD C[C@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488707326 WNOLCSJKJKEPQO-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccc(Oc3cccc(F)c3)nc2)cc1[N+](=O)[O-] 489384407 BAXRPNMKQICECM-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD COc1cc(OCC(=O)Nc2cc(Cl)ccc2OC2CCCC2)ccc1[N+](=O)[O-] 494262194 MEMNPIMLLFZCET-UHFFFAOYSA-N 420.849 4.596 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccn(-c2ccccc2Cl)n1 495214919 MGKQAYSAJJCEJW-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-n2ccnc2)c(Cl)c1 499035332 YUFRXSMRVHDXFG-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(F)c1)c1ccccn1 499306611 BSRRVRQABQYEIV-QFIPXVFZSA-N 422.460 4.839 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1OC(F)F)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 501772251 BSWSUQGZKKEGQK-HZPDHXFCSA-N 414.474 4.767 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)s1 504997906 GCKVSNDDMAGKCO-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 505351727 JSRCQJIFHQTBIM-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1nnc(-c2c(Cl)cccc2Cl)o1 511111024 WWWWBQKZCUKXFG-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1OCc1ccncc1 514425310 RTUANEOJKIUEFA-UHFFFAOYSA-N 419.393 4.576 5 20 HJBD CC(C)C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@@H](C)c1ccccc1 518984343 QNRUTOZRCOTFIM-DOTOQJQBSA-N 403.910 4.744 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)c1 520300669 UZTFSLUHNZGWDA-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Cl)cc2cccnc12 520438742 UALRRMFCKLURIH-JTQLQIEISA-N 406.225 4.856 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 520499741 ZGUXTBCHVVZKQU-JOCHJYFZSA-N 423.444 4.698 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(OCC(F)(F)F)c1 520683624 UHZJJXKZWKSJOH-JTQLQIEISA-N 418.755 4.595 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(C)[C@H](C)c1ccc(Cl)c(Cl)c1 521773241 RZNXGQBEFNSHSA-LLVKDONJSA-N 403.287 4.592 5 20 HJBD Cc1ccc(C[C@H](N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccn2)cc1 523739489 UGMAGMHAXCLTSH-AVRDEDQJSA-N 418.497 4.507 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 524543442 HIJLLTRNROJORM-PMERELPUSA-N 420.446 4.901 5 20 HJBD Cc1c(C(=O)Nc2ncnc3c2c(C)c(C)n3Cc2ccccc2)cccc1[N+](=O)[O-] 527084509 JFUSKGVPVGAYKQ-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 534256145 TZEGGYJNWMJXJV-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1csc(Br)c1)C1CC1 536522028 VNKCGOUPWOZQIJ-UHFFFAOYSA-N 420.288 4.705 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccnc3Oc3ccccc3)no2)cc1 537554990 ADUNUCLOMBRRHY-UHFFFAOYSA-N 410.336 4.972 5 20 HJBD Cc1ccc([C@@H](C)NC2CCN(C(=O)c3ccccc3Cl)CC2)cc1[N+](=O)[O-] 538020110 AZJOUNDQHKQRBZ-OAHLLOKOSA-N 401.894 4.512 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1 538813679 ZZJFZTCYZABBAJ-MUUNZHRXSA-N 402.516 4.820 5 20 HJBD C[C@@H](OCCCNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 538966562 RHXOSACQBCGQDO-QGZVFWFLSA-N 405.454 4.555 5 20 HJBD CCC1(CO)CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 539817987 PGPQQPYTYIDMMJ-UHFFFAOYSA-N 423.307 4.602 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCc1cc(Cl)sc1Cl 540002850 RNZCCQHYQRHFMN-UHFFFAOYSA-N 417.317 4.839 5 20 HJBD CC(C)[C@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1nc2ccccc2[nH]1 540480860 GRLDUIATOXSGPL-IBGZPJMESA-N 415.453 4.660 5 20 HJBD CN1CC[C@H](CNCc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1c1ccc(Cl)c(F)c1 542484228 VDLHYRAMIVSWML-KUHUBIRLSA-N 412.292 4.823 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NCC(=O)Nc3c(C)cccc3[N+](=O)[O-])s2)cc1 543518997 MMKGEXFZPFYOTF-OAHLLOKOSA-N 424.526 4.933 5 20 HJBD CCC(CC)n1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)n1 545739538 VVIZRTAVVLXRCF-UHFFFAOYSA-N 420.267 4.556 5 20 HJBD Cc1ccc(-c2noc(-c3cncc(-c4ccc5c(c4)CCO5)c3)n2)cc1[N+](=O)[O-] 546976525 FGBURLUKSVXSEU-UHFFFAOYSA-N 400.394 4.617 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 550861431 IJDYWZPDLJEMKH-UHFFFAOYSA-N 424.482 4.593 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 552270388 OHTNZRDHGYZUFC-INIZCTEOSA-N 422.403 4.571 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCC(C)C)c(OC)c2)c1 558755571 PDNZGNORKLZBGM-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD O=C(Nc1cccc(-c2ccccc2)c1)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 574304367 ZPQAHGMRARMLRQ-GOSISDBHSA-N 421.478 4.567 5 20 HJBD CCC[C@@]1(CO)CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 579647500 NULDQORAVHSARG-LJQANCHMSA-N 423.307 4.602 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)n2)c(C)c1 603941429 SXAACVNXXKBMLJ-UHFFFAOYSA-N 411.439 4.625 5 20 HJBD C[C@@H](NC(=O)CCCCc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 603967022 WKZMOTOANXVOMB-CQSZACIVSA-N 405.292 4.948 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(COc3ccccc3)c2)c1 604023438 MMCLSLBSZNSBOW-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1OCC 604390663 JCCLBNCFFJFDIY-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(COc3cccc(F)c3)c2)cc1[N+](=O)[O-] 609010825 VPGDYJLDLKXVAU-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD O=C(N[C@H]1CCc2c(Br)cccc21)c1cc2cc([N+](=O)[O-])ccc2o1 609022360 GEVRRWVEWYYYME-HNNXBMFYSA-N 401.216 4.521 5 20 HJBD CCC[C@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 609178838 GYMDLHPLMFJBIC-UZLBHIALSA-N 419.485 4.829 5 20 HJBD C[C@@H](NC(=O)CCCCOc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 609410116 FTEUEYNCLUAGMC-CQSZACIVSA-N 421.291 4.784 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H]3OCCc4ccccc43)c([N+](=O)[O-])c2)cc1 609586481 KRMQUBKLTFBPJV-QHCPKHFHSA-N 417.465 4.881 5 20 HJBD CC(C)(C(=O)NCc1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 610039350 IDJSRBYBSHJGFW-UHFFFAOYSA-N 404.466 4.768 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)c1ccc([N+](=O)[O-])cc1Br 610061404 KEFADHZQQATIIP-UHFFFAOYSA-N 401.216 4.547 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@H](CC(F)(F)F)c1ccccc1 610105210 HSNODGPZJHQBCP-GOSISDBHSA-N 409.408 4.910 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H]1c1cccc(F)c1 610305215 NFGHRSGASNNXQY-INIZCTEOSA-N 411.355 4.528 5 20 HJBD COc1cc(Cc2nc(O)c3c(-c4ccc(C)s4)csc3n2)ccc1[N+](=O)[O-] 610580252 HELWNQTXYAYAID-UHFFFAOYSA-N 413.480 4.941 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cccc(Br)c3CO)cs2)c1 610910608 UKUWBBWGVHJXEV-UHFFFAOYSA-N 420.288 4.585 5 20 HJBD C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO[C@H]1C1CC1 613439190 PKPKSSRBWVCRGQ-FPOVZHCZSA-N 404.532 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(C(=O)OCc2ccccc2)c1 727585356 IDVYTFLEMAQVSP-UHFFFAOYSA-N 419.437 4.582 5 20 HJBD CC(C)(C)OC(=O)N[C@H](CCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1 731792259 KNSHXDXEGWXBSE-GOSISDBHSA-N 424.457 4.843 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 734797922 FDLRBBDXASOLFF-FQEVSTJZSA-N 420.494 4.652 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 736810685 XRIZLCOJBLZCHU-LLVKDONJSA-N 419.484 4.629 5 20 HJBD CC(C)C[C@@H](C(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1 741113965 ARALJCMAFZQUDS-OAQYLSRUSA-N 411.502 4.579 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccccc1Oc1ccccc1 742398464 YUEJUZXLZMFGRH-UHFFFAOYSA-N 405.410 4.956 5 20 HJBD Cc1ccc(F)c(NC(=S)/C(=C(/O)c2cccc([N+](=O)[O-])c2)[n+]2ccccc2)c1 744117349 UHZJUNXPCKUZTC-UHFFFAOYSA-O 410.450 4.653 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccc(Cl)n2)n1 744500882 WHXPBVKAQWRQHO-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD COc1ccc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1SC(F)(F)F 744878328 LFPUBECELRIRPV-UHFFFAOYSA-N 405.325 4.711 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745576673 JLVPLZHMECVMPJ-UONOGXRCSA-N 413.405 4.657 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cccc(Cl)c1[N+](=O)[O-] 749909702 WPMZJIJUSICAFJ-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1cc([N+](=O)[O-])ccc1Br 751125852 QEIJRJWLXDZAOT-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD CS(=O)(=O)N1CCc2c(NC(=O)c3c4ccccc4cc4ccccc34)cccc21 754688043 VDKAYTQRBUKIMD-UHFFFAOYSA-N 416.502 4.567 5 20 HJBD Cc1ccccc1-c1nc(C(=O)O[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cs1 759928845 HIAOTIJTBJVEGO-SJORKVTESA-N 412.471 4.564 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cc(Br)ccc2N2CCCC2)cc1 763164845 NKOHEPWPMWVUJT-UHFFFAOYSA-N 421.320 4.766 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766233119 SCJPUFBGYJRCCA-HNNXBMFYSA-N 421.453 4.759 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767197371 YTFWAAMJKIXPFN-ZDUSSCGKSA-N 404.344 4.990 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781841943 XNPPFEFMZIVDAU-LBPRGKRZSA-N 407.448 4.628 5 20 HJBD C[C@H](OC(=O)c1ccccc1C(=O)N(C)c1ccccc1)c1ccccc1[N+](=O)[O-] 781865277 IDKMTCPFODTWGW-INIZCTEOSA-N 404.422 4.789 5 20 HJBD CC[C@H](C(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccc(F)cc1 791243771 DWHYXNAEHMFXBC-AWEZNQCLSA-N 421.266 4.575 5 20 HJBD O=C(Nc1ccccc1[C@H](O)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2s1 798261849 GTLCJKBFXLVVCE-FQEVSTJZSA-N 405.435 4.539 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OC1(Cc2ccc(F)cc2)CC1 802791649 ULCVPFQWYJMFOX-UHFFFAOYSA-N 401.437 4.612 5 20 HJBD O=C1OCc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC3CCCC3)cc21 809352776 HJFFQEWCZSRPIQ-UHFFFAOYSA-N 412.467 4.800 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncoc1-c1ccccc1 811217256 YHEMKEUGZKBWET-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CC(C)[C@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 813889580 HVWDXXLKUWREIZ-HNNXBMFYSA-N 404.467 4.526 5 20 HJBD COCCCn1c(S/C=C\c2ccc([N+](=O)[O-])o2)nnc1-c1ccccc1Cl 821688040 CWMRXGCCXFHQSF-XFXZXTDPSA-N 420.878 4.899 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(OCc2cccnc2)c(Cl)c1 915159929 RSXALWMEJOMPSN-YHYXMXQVSA-N 409.829 4.874 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 918609108 YQDNYPLJXMWXLN-SFHVURJKSA-N 418.497 4.696 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(Cl)c(Cl)c1 919209536 CQTSBALINVVDHY-SECBINFHSA-N 423.208 4.832 5 20 HJBD COc1cccc(CCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1OC 920330446 ZUNDVXKHMKFZLS-UHFFFAOYSA-N 407.422 4.817 5 20 HJBD CSc1cccc(C(=O)N2CCC(Nc3ccccc3Cl)CC2)c1[N+](=O)[O-] 1116666658 TZDXALJQIUTPDO-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD Cc1ccc(OC(=O)c2cc(-c3ccco3)nc3c2cnn3C(C)C)c([N+](=O)[O-])c1 11236024 YLNMRLSGVJJNBS-UHFFFAOYSA-N 406.398 4.708 5 20 HJBD O=[N+]([O-])c1ccc(NCCNc2ncc(C(F)(F)F)cc2Cl)c2ccncc12 18058113 YUOGBRPJYLZCFZ-UHFFFAOYSA-N 411.771 4.734 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC3CCCC3)cc2)cc1OC 29026633 OSAQTTVPMYGGJJ-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccnc1 29294818 QOGUEIPPPIUZMZ-OAQYLSRUSA-N 402.454 4.579 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3c(c2)CCO3)cc1 30837077 KARMZAYHUDOGFC-UHFFFAOYSA-N 406.394 4.583 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)o2)cc1 42918208 YUMJSXDJIPMJNW-UHFFFAOYSA-N 417.368 4.731 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 46717075 QZTZNINKHKHHRC-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 60709654 KGMRXWJRVJRANI-INIZCTEOSA-N 424.284 4.806 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 211958322 HBUVWWTUUMLJIZ-NVNXTCNLSA-N 417.421 4.508 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)SCCC(=O)Nc2ccccc2[N+](=O)[O-])n1 409843511 ORWSBBLJYHGSRD-AWEZNQCLSA-N 412.471 4.776 5 20 HJBD O=C(O)[C@H](CCNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)Cc1ccccc1 426642068 DZRGLHHYNIGINA-QGZVFWFLSA-N 410.495 4.747 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1O 427247196 YJBGEAFLMNRKQD-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(c3ncccc3Cl)CC2)cc1[N+](=O)[O-] 428827309 LZRUYQBVKVYEHA-AWEZNQCLSA-N 402.882 4.602 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1noc(-c2ccc(Cl)cc2)n1 430444290 ACJMXZQYFUQYDU-SNVBAGLBSA-N 412.789 4.535 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 431675035 GYMJRXVMTAFKKD-KNQAVFIVSA-N 424.545 4.568 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1 432678844 MVTVCYZURKHBQU-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(NCCSCc1ccccc1F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435822334 OYBGEWOWEJTESG-UHFFFAOYSA-N 424.472 4.623 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)cc1 436306606 KXTVIBJJXFVNFL-LBPRGKRZSA-N 410.395 4.632 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC3CCC3)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 438027943 RGGHDOBPWKDOFY-UHFFFAOYSA-N 418.497 4.903 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccccc2Br)CC1 439032315 GXXZDIVNRTXQJP-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COC[C@H](Cc1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441069977 SUKBISSGDVLZEZ-SFHVURJKSA-N 422.506 4.734 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)n1 441105976 TXJMQKPSYIHOCU-OAHLLOKOSA-N 407.430 4.677 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H]3CCCn4cc(C)nc43)c([N+](=O)[O-])c2)cc1 443877080 JKTHEYAFRCAQFA-GOSISDBHSA-N 419.485 4.650 5 20 HJBD Cc1cc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1F 444426572 SXROGLJTIRISHP-UHFFFAOYSA-N 410.395 4.679 5 20 HJBD Cc1cc(F)ccc1-c1ccc(-c2nc(-c3c([N+](=O)[O-])nc(C)n3C)no2)cc1F 445622208 XKBKFJWYKZNQKA-UHFFFAOYSA-N 411.368 4.607 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 446481035 FFRJYKSHNLPFCX-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD CCc1cc(=NC(=O)c2cc(C)sc2Br)c2cc([N+](=O)[O-])ccc2[nH]1 462616560 MIMXITXSPINYDY-UHFFFAOYSA-N 420.288 4.512 5 20 HJBD CC(C)Oc1ccc(Cl)cc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464625049 GPCNBFIWBRFPPK-UHFFFAOYSA-N 418.877 4.719 5 20 HJBD O=C(NCc1ccc(F)cn1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466542230 QGLMPPKUSDSIRV-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSC[C@H]1CCC2(CCCCC2)O1 466736676 UECRVFJDDCSZLN-MRXNPFEDSA-N 406.504 4.508 5 20 HJBD Cc1ccc([C@@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(S(C)(=O)=O)c2)cc1 467858417 ITPAEZKIUHAFPH-GAJHUEQPSA-N 424.522 4.747 5 20 HJBD O=C(CN1CCCC[C@H]1c1nc2ccccc2o1)Nc1ccc([N+](=O)[O-])cc1Cl 474218922 PAJGAMQXSKLSJU-KRWDZBQOSA-N 414.849 4.555 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc1-c1ccccc1 479353705 WAKJJYKEMRYYQM-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CCC(=O)c1ccc(Nc2ccc(OC)c(Oc3ccc(C)nn3)c2)c([N+](=O)[O-])c1 480093454 JFSLGKSAQNLXRW-UHFFFAOYSA-N 408.414 4.830 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)c1 482437335 VOAYESWGDWHWJI-UHFFFAOYSA-N 416.437 4.525 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCc2ccccn2)c1 484469500 DXYVPHMGSXLVJS-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD COc1cc(CN(C)C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1SC 493280884 NARCFAZPTHMXHS-CQSZACIVSA-N 403.504 4.536 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3C)n2)cc1C(F)(F)F 499609948 INMGKYXAPRYQHG-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCCOc3ccc(C(F)(F)F)cc3)c1)OCOC2 500473660 WZZXQZZMBPAXTA-UHFFFAOYSA-N 415.389 4.792 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1sc(Br)cc1[N+](=O)[O-] 506715272 SFOSHDHAEKAQBS-UHFFFAOYSA-N 411.302 4.606 5 20 HJBD CCCOc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc2ccccc12 513474861 HYLNLARRYVUKFY-UHFFFAOYSA-N 417.368 4.786 5 20 HJBD O=C(CSc1nccn1-c1ccc(C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 520329396 PGSFDIHLOVHQMU-UHFFFAOYSA-N 407.373 4.774 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](CC(C)C)N[C@H](C)c2ccccc2)c1 520394553 PYUKZQAPAKRURN-AEFFLSMTSA-N 415.559 4.812 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1Cc2ccccc2[C@@H](c2ccccc2)C1 520498486 PNBNXJIZSGSGRT-OAQYLSRUSA-N 401.466 4.571 5 20 HJBD Cc1cc(CN(C(=O)COc2ccccc2[N+](=O)[O-])C2CCCC2)c2ccccc2n1 521135668 LQCNKIYRYFPEFL-UHFFFAOYSA-N 419.481 4.802 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1sccc1C(=O)C(C)(C)C 525147228 JREJXQRVMNQBEB-JTQLQIEISA-N 410.879 4.945 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCOC4(CCC4)C3)o2)c(Br)c1 532628484 WXFCOXFIYZYTIV-ZDUSSCGKSA-N 421.291 4.809 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cccc(Oc4cnccn4)c3)cs2)c1 533211718 FKERIJDPMCIXMX-UHFFFAOYSA-N 405.439 4.913 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 535147790 JXFOPFBPXQDNGH-LLVKDONJSA-N 417.940 4.518 5 20 HJBD C[C@@H](NCCNC(=O)c1ccccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 536845867 MGUKFGCVSVDBTH-CQSZACIVSA-N 413.474 4.543 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 539931829 OHVWFFXOAIAYJI-UHFFFAOYSA-N 421.501 4.900 5 20 HJBD CCOc1cc(Sc2nnc(-c3ccco3)n2Cc2ccco2)ccc1[N+](=O)[O-] 540034524 ZIPZUIQDCQLAEF-UHFFFAOYSA-N 412.427 4.638 5 20 HJBD Cc1noc(C)c1CN(Cc1ccc([N+](=O)[O-])cc1Cl)Cc1c(C)noc1C 541072447 BGWCOXHWBJCJNL-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 542524834 BTCMHWAYBYPGAG-VQIMIIECSA-N 409.511 4.865 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 542542289 JTFLOYDXHHHSHG-OAHLLOKOSA-N 421.428 4.753 5 20 HJBD COc1cc(CN(C)[C@H](C)c2ccncc2)ccc1OCc1ccc([N+](=O)[O-])cc1 543624263 VVZQNTLQSYDERC-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc([C@@H](C)c4ccc([N+](=O)[O-])cc4F)n3)cc2)n1 544920103 WZIOKEAOKQOVEO-HNNXBMFYSA-N 421.432 4.662 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(Cc2coc(-c3ccc(F)cc3)n2)no1 545595825 ITNUHKLKEPJHBT-YWEYNIOJSA-N 410.336 4.672 5 20 HJBD CC(C)OCc1ccccc1-c1noc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1 545639194 BSMKPGNCVWEUQG-UHFFFAOYSA-N 408.458 4.837 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)c1 549013322 UDROIGSASZQUMC-SSEXGKCCSA-N 424.478 4.554 5 20 HJBD COc1ccc(CNC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OC1CCCC1 550667616 IAANLBRGZRYPSY-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCOCC1 557727423 GITYPMWCPAQSRM-UHFFFAOYSA-N 406.548 4.814 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H](c3cccc(OC(F)(F)F)c3)C3CC3)nc(O)c2c1 561358958 QFYJYTYBJIBKLB-MRXNPFEDSA-N 420.347 4.705 5 20 HJBD COC1(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])CCC(c2ccccc2)CC1 561662670 YMFVWOZWTFREGG-UHFFFAOYSA-N 414.527 4.790 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(COCc3ccccc3)c2)c1 566925729 HNFFFRYFGCCIFV-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 569533585 LVRCXQMANWJXBW-UHFFFAOYSA-N 403.866 4.800 5 20 HJBD C[C@H](C(=O)N(Cc1csc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 573299201 YODHNBPWHPBGLD-NSHDSACASA-N 409.305 4.714 5 20 HJBD Cn1ncc2ccc(CNC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc21 589721934 OKFXLFZVNQLCBF-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 603614881 KZPHWJPLHWOWFE-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)NCc4cccc([N+](=O)[O-])c4)cc3)o2)cc1 604472424 GGNWCBVMEVUHGC-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1c2ccccc2CC[C@@H]1C(=O)Nc1ccccc1 608873201 YKGKCLBNHWKHBP-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1OCc1ccc2ccccc2n1 609066118 IPJXPQHGMANMDU-UHFFFAOYSA-N 419.393 4.576 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(Cn2cccn2)cc1 609755071 BSFGZVBJHSUIOR-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD CC(C)(C)C[C@H](O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609836469 CEUUCGDXTWJLIX-HNNXBMFYSA-N 406.866 4.567 5 20 HJBD CCc1nc([C@H]2CCCCN2Cc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)no1 611263305 RVBVMKXUJSUHJK-QGZVFWFLSA-N 412.446 4.536 5 20 HJBD C[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc12)c1ccc(OCc2cccnc2)cc1 611700499 HJQBQYHWDFVRGC-OAHLLOKOSA-N 401.426 4.685 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 729036905 INMLNODSDXGTDO-GFCCVEGCSA-N 409.895 4.807 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 729036948 LBPPCLQRCBCYQI-LBPRGKRZSA-N 409.895 4.869 5 20 HJBD C[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1cccc2ccccc12 731917705 XSDHHAWVQQXPNW-LBPRGKRZSA-N 406.410 4.917 5 20 HJBD COc1cc(C=O)c(Br)cc1Oc1ccc2ncccc2c1[N+](=O)[O-] 732568327 PVUHWFFRUAKAFL-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 734850247 WOTWNXQCZVCPHG-FQEVSTJZSA-N 403.486 4.628 5 20 HJBD Cc1ccc(Sc2ccc(CNCC[S@](=O)C(C)(C)C)cc2[N+](=O)[O-])cc1 735085170 IQVPZASRWGIQPV-MHZLTWQESA-N 406.573 4.691 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNC(=S)Nc2cccc(Br)c2)cc1 739436777 FORZHDLGLOEZDB-UHFFFAOYSA-N 408.321 4.667 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761010340 ISERUYDCWDWFNR-SECBINFHSA-N 408.197 4.870 5 20 HJBD CC(C)C[C@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 768280236 OJGDSIWLAOSQSN-AWEZNQCLSA-N 408.907 4.536 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1cc2cnn(C(C)C)c2nc1Cl 768339824 ZQXGVGZXYJPVKH-UHFFFAOYSA-N 401.898 4.984 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1OC 770006845 KJJPHPMMYDYOJC-OAHLLOKOSA-N 405.838 4.634 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)cc2OC2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 770691592 QKOFQTHRJBYCLT-UHFFFAOYSA-N 403.366 4.524 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccc(Cl)cc3Cl)no2)C1 771198546 DJEPHLXUWBVOTQ-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD Cn1ccc(COC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)cc1=O 785643722 TZSRMBAVYFIWLI-UHFFFAOYSA-N 423.468 4.989 5 20 HJBD C[C@](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 790974140 UDXZBCDQWRHXGB-INIZCTEOSA-N 404.747 4.524 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(COCc4cccnc4)n3)cc2)cc1 809363274 NNRHKTCOTNDOFS-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD CSc1ccccc1NC(=O)CN(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809934110 IBGUUFFWTLYQEU-UHFFFAOYSA-N 414.314 4.694 5 20 HJBD CN(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812897293 ZRRZAVBANQWVFL-UHFFFAOYSA-N 416.648 4.509 5 20 HJBD O=C(NCc1cc(F)ccc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812921630 AJMXPHGTSVPWQC-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD O=C(Nc1nnc(/C=C\c2ccco2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813119837 LVAHBMTXSGLYDR-ARJAWSKDSA-N 411.226 4.769 5 20 HJBD CC(C)(C)c1ncsc1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 813305868 MTKVHTZUNKENJJ-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD COc1ccc(Br)c(-c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)c1 1118133093 RFFVXXWJHOKAJR-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD C[C@H](Sc1ccc2c(c1)OCCCO2)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10603284 SMCDGPZKSOKGBC-NSHDSACASA-N 408.863 4.529 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OCC(F)(F)F 29903272 WACUGLABGONIMN-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD CNc1ccc(C(=O)N(Cc2cccs2)Cc2ccccc2OC)cc1[N+](=O)[O-] 30476747 ZPTYZVMQWXIUSU-UHFFFAOYSA-N 411.483 4.549 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1Br 71138193 LKFXSRDIKXZVMM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COC(=O)c1cc(C(=O)N2CCC[C@H]2c2cccc3ccccc23)cc([N+](=O)[O-])c1 72024307 ICBOFSXRXDEESL-NRFANRHFSA-N 404.422 4.512 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 107514379 GIUKIDVUCCZXIE-HXUWFJFHSA-N 422.441 4.501 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)Cn2cc([N+](=O)[O-])nc2C)c2cccs2)cc1 116043858 VZYDGOOMUXFSQN-SPLOXXLWSA-N 412.515 4.581 5 20 HJBD COc1ccc([C@@H]2CCCCCN2Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 116910688 SXJDSFHPYMSGMK-FQEVSTJZSA-N 408.458 4.771 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(Cl)ccc2N2CCOCC2)cc1[N+](=O)[O-] 301844064 AQQXBJRFHBZGOR-UHFFFAOYSA-N 417.893 4.635 5 20 HJBD CCCc1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429351160 SBWWPPOBOILOIZ-UHFFFAOYSA-N 423.448 4.699 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 430329575 JPMVDIAHDATORX-VIFPVBQESA-N 415.646 4.511 5 20 HJBD CCOc1cccc([C@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436239648 MODZJMYRPJXLFB-AWEZNQCLSA-N 404.441 4.710 5 20 HJBD Cc1ccccc1C(C)(C)CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436361076 BQRKINYTAXWAPE-UHFFFAOYSA-N 420.459 4.976 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])CC1 436421126 PEHJFSRSJGJKMP-UHFFFAOYSA-N 419.407 4.762 5 20 HJBD CCC1(CNC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)CCC1 438522713 HOGITSQKYULSDJ-UHFFFAOYSA-N 413.440 4.527 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444245565 XYQSQPZAOREKNN-RDTXWAMCSA-N 418.443 4.978 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccccc1Sc1ccccc1 444634616 ZKMPLUCBVHTYDZ-UHFFFAOYSA-N 404.451 4.552 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(Cl)cc1Cl 445372477 ZLSMWCLZSQOOFE-BAQGIRSFSA-N 419.224 4.636 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cccc(F)c2)on1 448538423 NVFVTVZXNFIYBD-UHFFFAOYSA-N 401.419 5.143 5 20 HJBD Cc1ccc(CN(C(=O)CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)C2CC2)o1 462205450 ZRBVYKXGNMBQJY-UHFFFAOYSA-N 420.469 4.843 5 20 HJBD O=[N+]([O-])c1ccc(NS(=O)(=O)c2c(Cl)ccc3ccccc23)c2cccnc12 462384687 QXUCWDJLJXMTGT-UHFFFAOYSA-N 413.842 4.750 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccs3)o2)nc1OCc1ccccc1 462438924 GDALNWOVMSVYNN-UHFFFAOYSA-N 412.452 4.832 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC)C[C@@H]1CCN(Cc2ccccc2)C1 462608353 WCUWXTQKAASRSN-PKTZIBPZSA-N 423.557 4.534 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCCc1ccccc1[N+](=O)[O-] 467981905 SYCZFCIBVSORKF-UHFFFAOYSA-N 415.902 4.638 5 20 HJBD CCCn1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc2ccccc21 469417299 BIKVXDVZGOTCTC-UHFFFAOYSA-N 407.470 4.643 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 470700010 TXCZABMVIBLYMQ-FQEVSTJZSA-N 404.850 4.682 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC(C)C)c2ccccc2OC)cc1OC 471465657 RGNOMPYWXLTCIO-KRWDZBQOSA-N 416.474 4.528 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 472017456 PDPRNSIAINNLGR-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CCOc1ccc2c(c1)CCN(C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C2 475188647 DERZOFYTRPZQQV-UHFFFAOYSA-N 409.364 4.603 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2[C@@H]1C 479787324 YOFOVVKVUHXNLN-NSHDSACASA-N 420.263 4.517 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc(N4CCCC4)cc3)no2)c2ccccc12 482556555 NPJYJLWVXNKPKG-UHFFFAOYSA-N 422.488 4.853 5 20 HJBD Cc1ccc([C@H](N[C@@H](C)c2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 483826608 WAANGTYZPYYLNJ-SBUREZEXSA-N 424.522 4.747 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)c1ccccc1 485740896 YYDGXALLSBMUEH-CQSZACIVSA-N 406.394 4.685 5 20 HJBD CSc1cccc(C(=O)N[C@@H](c2c(F)cccc2F)C(F)(F)F)c1[N+](=O)[O-] 486420657 VAYOBHCUFUCPRR-AWEZNQCLSA-N 406.332 4.628 5 20 HJBD COc1ccc(-c2csc3nc(-c4ccc(C)c([N+](=O)[O-])c4)nc(O)c23)cc1OC 486458072 ROXCVUGZBUCUIR-UHFFFAOYSA-N 423.450 4.965 5 20 HJBD O=C(c1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1)C1CCC1 487021549 UAATUBNTIRDRLH-UHFFFAOYSA-N 423.469 4.653 5 20 HJBD C[C@@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 488273973 SBBPULHPVAJQLD-OAHLLOKOSA-N 424.888 4.913 5 20 HJBD CC1(C)C[C@@H](N[C@@H](Cn2ccccc2=O)c2ccccc2)c2cc([N+](=O)[O-])ccc21 503901150 HOZUUWALLYBZHM-YADHBBJMSA-N 403.482 4.510 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 504929187 HYAOIJUWGVJHIU-FQEVSTJZSA-N 407.514 4.769 5 20 HJBD CCN1CCC(N(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 506690650 RGJSQBOWHBOOTC-UHFFFAOYSA-N 422.323 4.533 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(-n3cccn3)cc2)cc1[N+](=O)[O-] 509572861 RVFSYRNKNVQQKJ-UHFFFAOYSA-N 413.481 4.838 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)sc2C)cc1 512072347 GNSAAVJUWLVDFD-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1ccsc1 512748030 GLZDOCCTSXGMMC-FQEVSTJZSA-N 416.886 4.984 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](c1c[nH]c2ccccc12)C(F)(F)F 513133754 NUJNGPWCFSWWJZ-LJQANCHMSA-N 420.391 4.606 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)cc1 515513993 MIGBSWRPHNLXQR-GOSISDBHSA-N 422.915 4.519 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)N[C@@H](CC)c2ccccc2)no1 516214114 VNANCKZLFPWJKO-PXNSSMCTSA-N 422.485 4.524 5 20 HJBD Cc1cc(C(=O)N2CCCc3cc(Br)cc(Cl)c32)ccc1[N+](=O)[O-] 517154520 SOIWKFXYIAAKKB-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cnn1C 518271240 PJEQIFGEHNPMGM-CYBMUJFWSA-N 400.866 4.933 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)c2)cc1 519093017 TUONWQNYTQDMHS-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1cccc(C(=O)c2ccccc2)c1 534135419 DQNYXLYYJZDBPA-MRXNPFEDSA-N 400.434 4.519 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534793996 HAHLXDMMKOZJGS-ZDUSSCGKSA-N 415.446 4.958 5 20 HJBD CC(C)[C@@H]1C[C@@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 537864324 VSAZPGRYAKOZHU-REWPJTCUSA-N 420.513 4.741 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1OC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 538145113 RVPBCLNYGNAQFX-NEPJUHHUSA-N 404.373 4.658 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCC2CCCC2)CC1 540458041 KEFKVGDNMCUFDE-UHFFFAOYSA-N 406.548 4.907 5 20 HJBD Cc1nc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)nn1-c1c(Cl)cccc1Cl 546965566 KQPOFIGIXLVWMN-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 561411806 WCELWMAGGXOGLE-QGZVFWFLSA-N 424.422 4.832 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OCC1CC1 568301579 MPWSRALMHQFSHX-UHFFFAOYSA-N 405.248 4.707 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CCOCc1ccccc1 570358644 LSDJRBVBXAFZAN-INIZCTEOSA-N 402.516 4.821 5 20 HJBD COCCC[C@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1 571072930 NLOPHEMBISJKBN-CQSZACIVSA-N 411.285 4.842 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2cccc(C(F)(F)F)c2)nn1C)c1cccc([N+](=O)[O-])c1 575419371 IMXGCNLEUVXBJS-GFCCVEGCSA-N 418.375 4.756 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(C2(OC)CCC(c3ccccc3)CC2)no1 589153455 SCUSVKUWEIRBQF-UHFFFAOYSA-N 409.442 4.853 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 603990254 KMDKOJJIEVFBPZ-HDICACEKSA-N 410.518 4.523 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)NCCc3ccc([N+](=O)[O-])cc3)s2)cc1 609356395 YCBCXQAAHJLPBI-MRXNPFEDSA-N 424.526 4.938 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 609786404 IDFGASOIRZJFSW-UHFFFAOYSA-N 412.877 4.798 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 727390913 ANNIHWAVPFQNFX-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD COc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1OC1CCCC1 728497437 FTZRGRLADFUEBO-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(COC(=O)[C@H]1C[C@@H]1c1cccc2ccccc12)Nc1ccc([N+](=O)[O-])cc1Cl 730873479 LSBTZKBCQKTTOX-MSOLQXFVSA-N 424.840 4.687 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732393099 WEKDHDJZGIPEQY-ZIAGYGMSSA-N 413.455 4.677 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc2ccc(Cl)cc2[nH]1 732546992 QBTWHIQDPMGLGV-SECBINFHSA-N 400.847 4.830 5 20 HJBD CC(C)Oc1cccc([C@@H](O)CNC(=O)c2cc3ccccc3c3cccnc23)c1 735119667 BZEATWZMCWXLES-QHCPKHFHSA-N 400.478 4.639 5 20 HJBD Cc1ccc([C@@H]2[C@@H](C)CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 738282633 ADKJTYMMBHFNFC-UUOWRZLLSA-N 418.497 4.558 5 20 HJBD C[C@@H](OC(=O)CCNc1ncc(C(F)(F)F)cc1Cl)c1cccc([N+](=O)[O-])c1 744069103 HNJBRZAPOWDURU-SNVBAGLBSA-N 417.771 4.768 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ncc(-c2ccccc2Br)o1 744873315 RWIVSFDUENABPR-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746057489 ATDXVDQVSCQQJN-NSHDSACASA-N 419.846 4.695 5 20 HJBD COc1ccc(C(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cc1OC 746694058 MQGKPLYKFKIOJM-JTQLQIEISA-N 404.469 4.585 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749909863 TUDYEMGSTIISHZ-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(\Cl)c1nc(O)c2c3c(sc2n1)CCCC3 751205544 NTBSYBMGNLPHAO-WQLSENKSSA-N 403.847 4.626 5 20 HJBD CC(C)c1nnc(CCC(=O)OCc2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)o1 752216248 HDTLFFUHLJDVGY-UHFFFAOYSA-N 411.414 4.570 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)C(=O)Nc2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 752559305 UHYWMTLKUTWZTP-SECBINFHSA-N 415.204 4.533 5 20 HJBD C[C@@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1ccccc1[N+](=O)[O-] 757663929 JYZBNADSPIZAAM-OAHLLOKOSA-N 415.494 4.536 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758289456 STUBXGMQVJEKJA-UHFFFAOYSA-N 405.376 4.686 5 20 HJBD O=C(Nc1ccccc1SC(F)(F)F)c1ccn(-c2ccccc2[N+](=O)[O-])n1 764196251 CHXIOCACXNROTM-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC=C(c2ccccc2C(F)(F)F)CC1 764320673 ZIJCZVXSPMFOKK-UHFFFAOYSA-N 412.314 4.821 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 764389443 CETPTQPSQQSXNO-FXAWDEMLSA-N 402.878 4.757 5 20 HJBD CCCOc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 768304271 ZWPDHACRTACCSO-GFCCVEGCSA-N 411.380 4.842 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cc(C)on2)c([N+](=O)[O-])cc1OCc1ccccc1 768439045 YKTNXNDLJZFKJP-FQEVSTJZSA-N 423.469 4.816 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C/c1ccc([N+](=O)[O-])c(Cl)c1 774116775 ANBTYQUOEWDLGY-XFFZJAGNSA-N 421.762 4.921 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc([N+](=O)[O-])c(Cl)c1 774924937 KFRSKDXHKSMDFP-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H]1/C=C/c1ccccc1 781045641 HEZYTMQDESKUFO-XVJNWHFHSA-N 419.250 4.814 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)C1CCC1 781630192 QZUXVSPEADFGJI-FQEVSTJZSA-N 417.849 4.747 5 20 HJBD O=C(OCCc1ccccc1[N+](=O)[O-])c1ncoc1-c1cccc(C(F)(F)F)c1 784894334 WZFDVWKVNHAQEU-UHFFFAOYSA-N 406.316 4.668 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)c(C)c1 791905393 XXGHPFMEVYPXFD-AWEZNQCLSA-N 403.276 4.604 5 20 HJBD Cc1c([C@H](C)N[C@@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cccc1[N+](=O)[O-] 813881541 LVSZIZZUFWCWCS-DLBZAZTESA-N 403.523 4.876 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cnc(Oc2ccccc2)cn1 815230879 SCKGDENZRAKKKH-UHFFFAOYSA-N 419.315 4.553 5 20 HJBD CC(C)[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 915370334 ZODFWFWCRJYMBM-INIZCTEOSA-N 411.241 4.722 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)cc([N+](=O)[O-])c1 920902548 JDHFBCWRZUUVSK-NSHDSACASA-N 421.331 4.890 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2nc(-c3ccccc3)nc3ccccc23)c1 1248724937 NBCHVXCGLMCVNP-UHFFFAOYSA-N 401.378 4.784 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1nc(C(C)(C)C)cs1 1318303324 OYSWLZSURKGVDM-SECBINFHSA-N 412.309 4.602 5 20 HJBD O=C(OCc1csc(C(F)(F)F)n1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1321031805 RKLLWCIOUPRBJG-UHFFFAOYSA-N 415.374 4.551 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1323370684 USAFRRDLGGYJIB-FQEVSTJZSA-N 407.495 4.974 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1 1326228324 WOVAPWRJBQMYIT-SEJPIABJSA-N 422.529 4.919 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(-c4cccc(Cl)c4)o3)cc2)c(C)c1[N+](=O)[O-] 1329037998 CRUJHVHQOCOBTN-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD Cc1cccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)c1 10015378 PRFIHXSRMDVWNW-NSHDSACASA-N 418.862 4.726 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2)nc1-c1ccc(OC(F)F)cc1 10955205 CFFRIITTYYIWPJ-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(CSc1nc2ccccc2n1C(F)F)Nc1ccc(Cl)cc1[N+](=O)[O-] 16702329 UXZHLWZUCUMDLR-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1 19200252 LUXDVSYTTPKEOJ-UHFFFAOYSA-N 419.306 4.656 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OCC(C)C 47545988 ABMBWGPRMFIQRR-AWEZNQCLSA-N 418.515 4.851 5 20 HJBD CCCCOc1cc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1OC 71891480 AJAQSSLIRAJOCX-CSKARUKUSA-N 421.453 4.648 5 20 HJBD NC(=O)c1ccc(CNc2ccccc2NC23CC4CC(CC(C4)C2)C3)c([N+](=O)[O-])c1 72078576 GNIKVUYXEOJJRZ-UHFFFAOYSA-N 420.513 4.687 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C/c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC1=S 210579954 AGRBYMMAVBYPMJ-ATVHPVEESA-N 421.503 4.894 5 20 HJBD Cc1cc(N2CCC(OCc3ccccc3F)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301925780 LKPAGXYMZXZHGM-UHFFFAOYSA-N 422.460 4.685 5 20 HJBD O=C(CCCNC(=O)[C@@H]1CC=CCC1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 409745041 GGZRZQQBMHUWMA-QGZVFWFLSA-N 423.469 4.578 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 410266806 QFQMBEIHJBVZQP-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CCCn1ccnc1CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 430502119 IJYQYGFMERTVBR-UHFFFAOYSA-N 422.485 4.698 5 20 HJBD C[C@H](CCc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435821079 ZVEKHQGEBSSKAA-CQSZACIVSA-N 406.432 4.711 5 20 HJBD Cc1cc2nnc(Sc3cc(C(F)(F)F)ncc3[N+](=O)[O-])n2c2ccccc12 436268649 HQVTWKLWRDVFSD-UHFFFAOYSA-N 405.361 4.664 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438230206 YOFWFNIVACTJHZ-INIZCTEOSA-N 413.474 4.847 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)n1 439131153 VLYGXRQUJBDAML-QGZVFWFLSA-N 416.437 4.502 5 20 HJBD C[C@@H](c1ccncc1)N1CCC(NC(=O)c2cc3ccccc3c3cccnc23)CC1 439766227 MKWSGXAIHHOLCE-SFHVURJKSA-N 410.521 4.738 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 443959872 NZYAYGPCTJUCKT-KRWDZBQOSA-N 407.829 4.610 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@H]1c1cccc(N(C)C)c1 448025847 FZTPXGIJLHIPSD-QRWLVFNGSA-N 407.474 4.609 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccnc1Oc1cccc(F)c1 462443745 ZCQUBPXFQXIQLI-KRWDZBQOSA-N 423.444 4.806 5 20 HJBD CC1(C)[C@H]2OCCC[C@H]2[C@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 462764607 GPNJXALNSGMSHD-WMQCIHAUSA-N 400.450 4.819 5 20 HJBD O=[N+]([O-])c1ccc(NCCc2nc(-c3cccc(Cl)c3)no2)cc1OC(F)F 462840790 MYLCAPPANBUEHU-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cn(Cc2ccccc2)nc1-c1ccccc1 465451685 CMGFIGLHFZACPI-UHFFFAOYSA-N 416.412 4.898 5 20 HJBD CC[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1nc(C(F)(F)F)cs1 467010642 KMAIXUXOHXALNA-SECBINFHSA-N 400.382 4.518 5 20 HJBD Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)ccc1NC(=O)CC(C)C 469252685 OKFBGUNQUMPFGJ-UHFFFAOYSA-N 406.442 4.688 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 471421263 BWHRIFUDXQXMSR-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD COCCC[C@H](NCc1cccc([N+](=O)[O-])c1C)c1ccc(Br)cc1 477738106 BBLPPVRCVPKEJS-SFHVURJKSA-N 407.308 4.923 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)c2cc3ccccc3o2)cc1SC 482545854 ZPASPZNHSMBIMB-GFCCVEGCSA-N 400.456 4.905 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1cccc(Cl)c1 482750932 WZNCITXLKINIQZ-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nccs3)c2)cc1SC 483380666 UDQJQJSNYGAJAZ-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2cc(Br)ccc2C1 484505155 VPQWILNRNFCRNR-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl 488205471 TVZVTFFSCROSGB-WDEREUQCSA-N 412.273 4.588 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)o2)c(C)c1 488800023 DKBJHRCYJXPLJV-CQSZACIVSA-N 412.471 4.689 5 20 HJBD Cc1cc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)ccc1Oc1ccncc1 489368291 ZHVOVGNRBDCRRR-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCCc1nnc(S[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)o1 489632337 KBDVNVFXLMOWGM-SFHVURJKSA-N 412.471 4.711 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3cccc(F)c32)cc1[N+](=O)[O-])c1ccccn1 491079202 JLEMRSJDELNRMF-CQSZACIVSA-N 406.417 4.505 5 20 HJBD COc1cc([C@@H](C)NCCc2ccc(Cl)cc2[N+](=O)[O-])ccc1OC(F)F 495439194 LDWVRRCFSPJVIV-LLVKDONJSA-N 400.809 4.752 5 20 HJBD CC(=O)C[C@@H](C)NC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 501455895 SPJHEMYRIHMOEM-RFRKOGIWSA-N 423.494 4.619 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 507313231 WPZWOSSLRPGZKW-ZDUSSCGKSA-N 406.435 4.642 5 20 HJBD Cc1ccc(Cc2nc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)cc1 508088039 IPXHUNHJTXFTQV-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 510228481 LTMNNRMGGJHQBG-KXBFYZLASA-N 408.458 4.627 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 521218389 CHSKSUUOGYOWJV-ZDUSSCGKSA-N 412.433 4.762 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Cl)cc3)no2)c(Br)c1 523109978 IJUQUXCKBNWCQO-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC(C)(C)OCc1cccc(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c1 523160705 KYTWNHBTTMVOMS-UHFFFAOYSA-N 411.502 4.758 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2cc([N+](=O)[O-])ccc2C)cc1OC 523562331 GQPXYPBPYVYSNW-GOSISDBHSA-N 412.486 4.548 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 523882196 FKOBFYUWSGBHFP-MUUNZHRXSA-N 401.488 4.820 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(F)c(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 525474294 NZXHHCRISAEJFF-NSHDSACASA-N 416.359 4.833 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@@H]3c3ccc(Cl)cc3)cs2)c1 538124069 GDYUEQAXIIVMCZ-RBUKOAKNSA-N 415.902 4.992 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(Br)cs1 538235127 YHXMPQOLQPZLPN-UHFFFAOYSA-N 415.334 4.849 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCCO)[C@H]3CCc4ccccc43)cs2)c1 538732830 VNNHXCJKMIMZIC-NRFANRHFSA-N 409.511 4.590 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)CCCc3ccccc3Cl)co2)cc1 542184941 BMCBPPRYXVHCAQ-MHZLTWQESA-N 404.875 4.785 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1F 542527737 MFFDQOCZTGYBGQ-XCLFUZPHSA-N 413.836 4.792 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 543175985 XSAYJWLLTJYVDO-NSHDSACASA-N 412.273 4.813 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 543471233 DXGGTUPPMJXONH-HZPDHXFCSA-N 402.466 4.762 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 543471775 LGJMRAUVLGCKLU-JTQLQIEISA-N 411.227 4.556 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1F 543908003 FKQXONVGTPFKNT-UHFFFAOYSA-N 401.369 4.851 5 20 HJBD Cc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1NC(=O)c1ccco1 544723984 OVIYQVJYGVYTAD-UHFFFAOYSA-N 404.382 4.774 5 20 HJBD Cn1ccnc1Sc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 545825960 FCBDICYVKLRNBZ-UHFFFAOYSA-N 414.462 4.535 5 20 HJBD O=C(c1ccccc1)N(Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)C1CC1 546431055 MULGEXOXAMCFJC-UHFFFAOYSA-N 420.450 4.664 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(-c4ccc([N+](=O)[O-])cc4C)no3)cs2)cc1 547102809 ICCYDFURERLMOD-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(CSc4ccc(F)c(F)c4)n3)c2c1 547268343 XBCFVXGSRVRFDT-UHFFFAOYSA-N 402.382 4.620 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3ncnc4sc([N+](=O)[O-])cc34)C2)nc2ccccc21 561229731 YSPSFAXHJSYORZ-CQSZACIVSA-N 422.514 4.914 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 564664521 TYXNXCPOLXHKSH-HUUCEWRRSA-N 416.909 4.526 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2nn(C(C)C)cc2c1 568905112 SJIOAMIQWWKWDT-UHFFFAOYSA-N 417.263 4.849 5 20 HJBD Cn1ccnc1[C@H](NCc1ccccc1Oc1ccccc1)c1ccc([N+](=O)[O-])cc1 569133302 JAVWFSVKXFFNKS-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD COc1c(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cccc1[N+](=O)[O-] 571960198 OKDFSDBOCBHUOO-UHFFFAOYSA-N 405.332 4.752 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)c2ccccc2)c1 573102101 HWGSLEFHSVSTAN-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 579176009 UWKADZBRSUVWKV-SNVBAGLBSA-N 411.227 4.637 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc2c1 603784805 RBKRBJDHOMVCHC-YRNVUSSQSA-N 424.434 4.960 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c12 603966973 SBMPDRQHJPLNCV-GFCCVEGCSA-N 420.400 4.737 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C(=O)c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 608895976 HFCMZCCEUOJNPK-UHFFFAOYSA-N 401.831 4.502 5 20 HJBD CC(=O)CCc1ccc(OCc2cccc(C(=O)Nc3cccc([N+](=O)[O-])c3)c2)cc1 608932330 DTSHMHKEKYZJAM-UHFFFAOYSA-N 418.449 4.948 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 609700233 OAIZZIWCQRYZMB-DNVCBOLYSA-N 402.541 4.701 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(Cl)c2Cl)cc1OC 609796108 MZXRSXPCJFKYOA-SNVBAGLBSA-N 413.257 4.800 5 20 HJBD CSc1ccc(O[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 610106569 MPLNIIYXNLYSED-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc2c(s1)-c1ccccc1CC2 619214440 QMGHPIKZVWOLED-UHFFFAOYSA-N 422.462 4.836 5 20 HJBD CCCOc1cc(NC(=O)[C@H]2Cc3ccc(F)cc32)c([N+](=O)[O-])cc1OCCC 619516108 MQFPEWGKEHZCSR-INIZCTEOSA-N 402.422 4.590 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCCC1)O2)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 619566519 SBCVBCYPAXDJOC-UHFFFAOYSA-N 423.469 4.879 5 20 HJBD Cc1ccc(COc2ccc(C[C@H](C)NC(=O)c3cc(F)ccc3[N+](=O)[O-])cc2)cc1 727098198 VFJQEVLVWQIJHR-KRWDZBQOSA-N 422.456 4.982 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccccc1OCC(F)(F)F 727640804 MACJCNFMELSQAX-SNVBAGLBSA-N 419.739 4.562 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727706396 BHANGDQVXZNSIC-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1cc(F)ccc1[N+](=O)[O-] 728490890 ARMOLMQMYWIUDH-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)sc2C)s1 728838246 VXVBZJXTPVSRNN-UHFFFAOYSA-N 418.456 4.866 5 20 HJBD C[C@@H](OC(=O)CCCC(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 729025700 CCDFTIYITQIQFC-LLVKDONJSA-N 404.385 4.700 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)OCc3nc(C4CC4)no3)cc2[N+](=O)[O-])cc1 733418108 ODHHGMJWGDNAAD-UHFFFAOYSA-N 411.439 4.672 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 734801410 NKXMSOKLWZJABT-GOSISDBHSA-N 414.531 4.639 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnn(Cc2ccccc2Cl)c1 735802000 QOMWIUCLOOKRRN-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)NCCc2ccccc2[N+](=O)[O-])n1 742007509 LAIKGLGBZQIDCU-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 746137959 IUEIOEONXLDZQI-UHFFFAOYSA-N 406.364 4.686 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1 747441552 REEAHBCHGJSCIH-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 750218014 OJEWXNXJBATSDM-OAHLLOKOSA-N 423.265 4.839 5 20 HJBD C[C@@H](Oc1ccc(Cl)c(Cl)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751652996 SNSRHMFHSSPVOM-SECBINFHSA-N 411.197 4.554 5 20 HJBD Cc1cc(COc2ccc(C=O)cc2)oc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 752762099 PFQTZYWRYWGHQQ-UHFFFAOYSA-N 422.437 4.553 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccccc1 754633438 XLNGVGBMZBCELK-HNNXBMFYSA-N 409.255 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)c1 756177959 GYMSANSESXGSJZ-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD Cc1c(Cc2noc(Cc3cccc(NC(=O)OC(C)(C)C)c3)n2)cccc1[N+](=O)[O-] 762317849 BVROEVCPKMBHEZ-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD C[C@@H](c1ccc(Br)cc1)c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 762344393 YYXRBWSAAINTKT-JTQLQIEISA-N 422.210 4.610 5 20 HJBD O=C(c1ccc[nH]1)c1cc(Cl)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 766544794 JPKVUVJQEFGUQB-UHFFFAOYSA-N 404.209 4.713 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@@H](c1cccc(F)c1)c1ccccn1 770014539 POWNXRIMQFYXRR-SFHVURJKSA-N 400.797 4.693 5 20 HJBD O=C(CCSc1ccc(F)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136613 KSANUHHCLGRAAK-OAQYLSRUSA-N 412.442 4.944 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1C 781312673 GKRJJLJPZSWTKZ-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1COc1ccccc1 781627736 UVZRUHCEVISOEE-UHFFFAOYSA-N 421.409 4.653 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c(Cl)c1 781761049 PMAUYRXYRYBMCO-UHFFFAOYSA-N 403.866 4.888 5 20 HJBD CO[C@@H]1Cc2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc2C1 784236087 RWULKUYSSBTYQH-OAQYLSRUSA-N 417.465 4.573 5 20 HJBD C[C@@H]1C[C@@H](N(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCN1Cc1ccccc1 809919819 HNIHKWKPEYHHBD-WBVHZDCISA-N 416.909 4.765 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038350 IJYDQMTZCBMTDY-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1ccc(-c2ccc([N+](=O)[O-])cc2)s1 818194292 FQTDQQUXOASMRW-GZTJUZNOSA-N 421.390 4.576 5 20 HJBD Cc1cc(C(=O)COc2ccc([N+](=O)[O-])c(F)c2)c(C)n1Cc1ccc(F)cc1 921267935 GSWJXIVHVUGUGW-UHFFFAOYSA-N 400.381 4.601 5 20 HJBD C[C@@H](c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3)N1Cc2ccc([N+](=O)[O-])cc2C1 1116330984 MMQGPRCCVSELBW-NEPJUHHUSA-N 410.499 4.507 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc(OC)c(OC)cc3[N+](=O)[O-])n2)cc1 7794415 QITWVJFTXMDURJ-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD CC(C)Cc1ccc(CC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 19419576 POANXEDPLLPFEY-OAHLLOKOSA-N 409.442 4.690 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cc1ccccc1 56196005 UYWIHGBIDWCNQZ-MSOLQXFVSA-N 403.482 4.863 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)c(C)c1 58625924 CFJJVDYSILWYEW-UHFFFAOYSA-N 417.465 4.761 5 20 HJBD CC(C)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1I 72068375 NRHPPECWTCHECN-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD CN(C)CCNC(=O)c1cccc(CNCc2c3ccccc3cc3ccccc23)c1 237182346 VWOVPMCAVSPVRT-UHFFFAOYSA-N 411.549 4.574 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccc(OC(F)F)cc2)o1 255936441 AVKDNVMKSFKKKI-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Oc2ncc([N+](=O)[O-])cc2Br)cc1 302013956 DQZRFVBJMPVMAU-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(Oc3ncc(Br)cn3)cc2)c(F)c1 302769794 MRPLGNUTWQAMGS-UHFFFAOYSA-N 406.167 4.871 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 303573451 LMJLSMFRXLNDGB-IBGZPJMESA-N 407.495 4.874 5 20 HJBD Cc1ccc(Sc2ccc(CNCC3(CC(=O)O)CCC3)cc2[N+](=O)[O-])cc1 426633821 JMQDZXSUTXJOJE-UHFFFAOYSA-N 400.500 4.789 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccc(-c2ccccc2)cc1 426733399 MLTQYKWJFYZPTR-HSZRJFAPSA-N 415.449 4.529 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 429833360 TWFGELQBPGHPLJ-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Br)c(F)c1 430198523 RRAWDNUZIWIYMU-INIZCTEOSA-N 422.254 4.543 5 20 HJBD Cc1c(NC(=O)N2CC=C(c3ccccc3F)C2)cc(Br)cc1[N+](=O)[O-] 435322662 FRNYWFQMSBIXER-UHFFFAOYSA-N 420.238 4.736 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)c1[N+](=O)[O-] 435523360 VBVPOBCBAOLALX-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437091518 CKCKCGSGRRYZLO-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)CSc3ccc([N+](=O)[O-])cc3)ccn2)c1C 437150041 MUMZRDYLRPLVCW-UHFFFAOYSA-N 423.494 4.807 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1)c1ccoc1 437245318 JOQCGSUZDVJXFV-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCCc4c([nH]c5c(F)cccc45)C3)o2)c1 439484750 QGQZBFDBYGKBJX-UHFFFAOYSA-N 420.444 4.861 5 20 HJBD CCCN(C(=O)c1ccc(C)c(NC(=O)c2ccco2)c1)c1cccc([N+](=O)[O-])c1 441430729 SZJFCIPGBHSAPA-UHFFFAOYSA-N 407.426 4.805 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443854247 JRAVSBCPCLEIMR-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CC[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(C)c1 444166173 JXVYHOCWQRIPPP-MRXNPFEDSA-N 418.468 4.716 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1F 444230290 YYFUZLAJNRPBHC-GFCCVEGCSA-N 410.395 4.898 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 444286814 JCFZGMDCORXLBJ-LJQANCHMSA-N 418.880 4.978 5 20 HJBD CCc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1ccccc1Cl 447889729 SHELVQOLRNZCNS-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD CNc1c(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cccc1[N+](=O)[O-] 462903503 AQPHFFWRDSLUFY-UHFFFAOYSA-N 423.513 4.570 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccncc3OCC(F)(F)F)s2)cc1 466414265 IOIYUBODNPSBOG-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@H]1CCCOC1 466549946 GTDHMNKPMUAFLH-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD CCCCOc1ccc(CNc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1Cl 467006305 HMJJVMQPVSIASL-UHFFFAOYSA-N 418.924 4.504 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)Nc3ccc(Br)cc3[N+](=O)[O-])ccc2NC1=O 467011782 CSQNUAOHHCTKOL-MGPLVRAMSA-N 420.263 4.640 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cccc(NC(=O)[C@H](C)n2cccn2)c1 468640725 BUSXPUITNFPUFI-MOKGIYGOSA-N 405.458 4.506 5 20 HJBD Cc1c(NC(=O)c2ccc3c(c2)nc(C)n3Cc2ccsc2)cccc1[N+](=O)[O-] 472646228 BAPVRZYFTZEYJL-UHFFFAOYSA-N 406.467 4.923 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@H](c1ccccc1)C2 477802654 TTZLURMTCYUDQM-QHCPKHFHSA-N 420.440 4.611 5 20 HJBD Cc1ccc(C(C)(C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 486121831 ZSRRVUHQIWXCLN-UHFFFAOYSA-N 405.454 4.542 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1F 486127223 KROUYIVSPGGJHS-UHFFFAOYSA-N 421.219 4.737 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1nc(-c2ccccc2F)cs1 488934664 KHMZFFYFXIOFQK-UHFFFAOYSA-N 400.435 4.796 5 20 HJBD CCN(Cc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])[C@@H](C)c1cccc(O)c1 491239470 LLTQFLVLOUUAOC-ZDUSSCGKSA-N 410.417 4.536 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493076988 HRZUMTAJJZULTH-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 496497516 QTDMOLJTKAEEGS-GOSISDBHSA-N 403.410 4.581 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497811622 JFTUMFHMNSPWQT-HOTGVXAUSA-N 414.767 4.527 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 498236397 ITXWXRLIDWNBCN-OAHLLOKOSA-N 400.435 4.553 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cccc1OCC(F)(F)F 498270300 KARDEZKFBNFWGB-UHFFFAOYSA-N 405.332 4.645 5 20 HJBD CN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H]1CCSc2ccc(Br)cc21 504632905 CLERSPJAEZEDON-GFCCVEGCSA-N 413.318 4.728 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc21 504715729 IDOLTWPVBLQOBH-LBPRGKRZSA-N 402.838 4.585 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)CC2)cc1 512163520 GPRVVLHDWLFNIQ-UHFFFAOYSA-N 418.497 4.574 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(F)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 518245738 CJZFMRVSEFDCJC-HSZRJFAPSA-N 419.456 4.854 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 518378755 DWAJUBGRQSZAME-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c(OC)c1 518725194 LQSUPQOZYBEBHH-HNNXBMFYSA-N 422.437 4.685 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C1CC1)[C@@H]1CCc2ccccc21 520410229 WHPTZHUYZZHCFV-FZKQIMNGSA-N 400.862 4.694 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)n1 521343472 TYCXHHYXVHZMSH-HNNXBMFYSA-N 405.458 4.735 5 20 HJBD CCC(CC)(NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 522670514 SBXHIUQLZWKIPI-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD Cn1c([C@H]2CCCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 523390902 IQULAXCAGLANQZ-GOSISDBHSA-N 413.865 4.894 5 20 HJBD CC(C)(C)n1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2)c(-c2ccc(F)cc2F)n1 525631906 NWVPCQZHDPWOLB-UHFFFAOYSA-N 400.385 4.744 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1cccc2c1CN(c1nccs1)C2 534005604 MUFSHIXELPXJCO-UHFFFAOYSA-N 409.471 4.832 5 20 HJBD Cc1ccc(Br)c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 534161912 ASNOGTMZMIASND-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2csnn2)cc1 539338637 XURZWYLKQRICQR-UHFFFAOYSA-N 400.485 4.866 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N(c1ccccc1)c1ncccc1Br 540272306 BDEQBABDKCFUNW-UHFFFAOYSA-N 416.206 4.870 5 20 HJBD O=C(Nc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cn1)C1CC1 540793123 QUIDYESDWUCWDL-UHFFFAOYSA-N 412.833 4.864 5 20 HJBD COc1ccc(NC(=O)[C@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 543928505 RMDTVRNDTSWCJT-GJZGRUSLSA-N 409.467 4.902 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2cccc3cccnc23)no1 545010396 NFYOFQDOARGEHD-LBPRGKRZSA-N 410.817 4.910 5 20 HJBD CCCOc1c(Cl)cc(-c2noc(COc3ccccc3[N+](=O)[O-])n2)cc1OC 546247981 KMCXPBXTOYOWCL-UHFFFAOYSA-N 419.821 4.675 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 557187795 JYFBCDIJCIXARC-XMMPIXPASA-N 422.406 4.670 5 20 HJBD CCOc1cc(C(=O)Nc2nc3c(C)ccc(Cl)c3s2)c([N+](=O)[O-])cc1OC 557943982 KGILAFQEQNYDNH-UHFFFAOYSA-N 421.862 4.826 5 20 HJBD C[C@@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)N1CCC(Cc2ccccc2)CC1 562190546 SZVTUPDWZXLKJO-KRWDZBQOSA-N 406.486 4.584 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 569388716 CXKZLAGIKIHWKM-GOSISDBHSA-N 418.515 4.565 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)[C@@H]1c1cccs1 569956667 RXHMFQWRFQECMN-MRXNPFEDSA-N 409.305 4.571 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(c4c(Cl)cccc4Cl)CC3)nc(O)c2c1 571954345 RDJYROIGVWTJIG-UHFFFAOYSA-N 419.268 4.934 5 20 HJBD C[C@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1nccn1-c1ccccc1 573877446 BLXCHYZGIOSKJD-HNNXBMFYSA-N 424.482 4.573 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc(Cl)cc3[N+](=O)[O-])CC2)c(OC)c1 603492976 WEGPMQLGWFPEFB-UHFFFAOYSA-N 406.866 4.658 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2F)n1 603989859 KUVMVNMXDCJHMX-CQSZACIVSA-N 414.462 4.656 5 20 HJBD CN(CCCC(=O)OC(C)(C)C)C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 608969729 MKFGAVIDFPIYLZ-UHFFFAOYSA-N 413.474 4.532 5 20 HJBD Cc1cc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)ccc1Oc1cccnc1 609493996 KKFYXEHIMHRLPY-UHFFFAOYSA-N 416.393 4.597 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cccc(Oc3ccnc4ccccc34)c2)cc1 609658187 UTMUGKPWRNGWJY-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD Cc1cc(F)ccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609853139 AJCJGBMKVDTUCM-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=C(CCc1cc(Cl)cs1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609907207 WBGNQTPBHQSGPQ-UHFFFAOYSA-N 406.813 4.833 5 20 HJBD Cc1cc([C@@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(C)s1 610347804 YZTSMYTUPQCJKM-CQSZACIVSA-N 403.504 4.587 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610881049 KUAVKUFSDGKKGE-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD COCc1cccc(COc2c([N+](=O)[O-])cnc3ccc(Br)cc23)c1 612661161 QBUWVNUTGWTERZ-UHFFFAOYSA-N 403.232 4.631 5 20 HJBD COc1ccc([C@H](CC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 617339742 QGUKJLFAOQDYMZ-SFHVURJKSA-N 412.467 4.638 5 20 HJBD Cn1nc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c2ccccc21 619657427 RDWOTYFLJWOLLZ-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2c(Cl)cccc2Cl)o1 726640523 IXKCUENGVAOODO-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=C(c1cnc(-c2ccccn2)s1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166908 QEDSLOPBTREMRQ-UHFFFAOYSA-N 414.874 4.824 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc([N+](=O)[O-])ccc4Cl)CC3)c2c1 732575995 SDUOAOLTEIIUTA-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD CCN(C)C(=O)c1cccc(OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 733765220 OEFOMNBDXHNTBH-UHFFFAOYSA-N 420.421 4.698 5 20 HJBD COC(=O)NCc1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 735323950 IKIYJXXDQICMDQ-UHFFFAOYSA-N 420.469 4.831 5 20 HJBD O=C(OCc1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Br 740409925 JQIWYHKJOLRRND-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746186731 UMDWAHAVWNSXQV-CQSZACIVSA-N 420.487 4.748 5 20 HJBD O=C(NCc1nnc2ccc(C(F)(F)F)cn12)c1c2ccccc2cc2ccccc12 746509846 MLLVMLOTKMSPOD-UHFFFAOYSA-N 420.394 4.985 5 20 HJBD Cc1c(C(=O)Nc2nc3ccc(Cl)cc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748605134 OKUOLFMGSWEHLQ-UHFFFAOYSA-N 406.807 4.635 5 20 HJBD CCc1ccc([C@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccc([N+](=O)[O-])cc2)o1 753723981 DOBRHHVSQMKDNY-XMMPIXPASA-N 420.513 4.704 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 759457105 FRIKRCAUVCKZOT-CVDCTZTESA-N 421.497 4.514 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)s1 760530467 ALXLGCMOWBENCS-UHFFFAOYSA-N 401.444 4.570 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)C[C@@H](C)c2ccccc2)c1=S 764963525 OZNOIJOVYUALGO-MRXNPFEDSA-N 411.531 4.702 5 20 HJBD Cc1cc(Cl)ncc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 766801789 JCDIYFFGWADZRS-ZDUSSCGKSA-N 411.849 4.772 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccc(C(=O)N2CCCC2)cc1 775242394 NCFOWQHBRPUMOJ-UHFFFAOYSA-N 414.483 4.551 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H](c2ccc(Br)cc2)C2CCC2)cc1 776605199 JLKFQVXLNXCQMS-RBUKOAKNSA-N 405.292 4.522 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 776831983 RKZJKLRDSIDONF-CQSZACIVSA-N 405.882 4.669 5 20 HJBD CC(C)Oc1ccc(COC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1Cl 778320871 YCVMOBBGZPORMO-UHFFFAOYSA-N 416.817 4.646 5 20 HJBD CC(=O)c1ccc(NCc2ccc3c(c2)CN(C(=O)OC(C)(C)C)C3)c([N+](=O)[O-])c1 780627951 OXUZORSZPWSBPR-UHFFFAOYSA-N 411.458 4.660 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 783720769 WQCZZYBVVYWVHZ-UHFFFAOYSA-N 415.490 4.734 5 20 HJBD C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809951218 BRCJFJPKMRNOLJ-NDHGSNPTSA-N 401.315 4.832 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812812242 BVTSWOXBPWNCEL-UHFFFAOYSA-N 422.894 4.722 5 20 HJBD CN(C(=O)c1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1)c1ccccc1 813247141 XGTGSZKNJABROY-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CNCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc1 825111558 KEPOXPNKWHUKRG-KRWDZBQOSA-N 423.416 4.560 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 914479978 JNSNJWPOEASLBS-JTQLQIEISA-N 412.229 4.951 5 20 HJBD COc1cc(-c2nnc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)ccc1OC(C)C 1322270835 NVSVSYOFLQLWAY-UHFFFAOYSA-N 424.457 4.708 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2OCc2cn3cccc(C)c3n2)cc1[N+](=O)[O-] 23015115 FIAGZEVAXZTMQZ-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C\c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC1=S 23042700 AGRBYMMAVBYPMJ-SFQUDFHCSA-N 421.503 4.894 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 71935513 RFYDEGHYCKLGFU-GFCCVEGCSA-N 421.906 4.506 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(Cl)c4c(c3)OCO4)n2)cc1[N+](=O)[O-] 105350006 GYRKJSVNFYRFMN-UHFFFAOYSA-N 417.830 4.661 5 20 HJBD O=C(Cc1c(F)cccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106188817 DYMSXVMHDHIGMR-UHFFFAOYSA-N 404.747 4.521 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2Br)cc1[N+](=O)[O-] 219051778 YDWLCTJPELAXJM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)C(=O)Nc1ccccc1 237556004 FKDBDOROPGYQBL-HNNXBMFYSA-N 409.417 4.643 5 20 HJBD COc1ccc2oc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)c(C)c2c1 301391738 HNIZLXSVYFYKQT-CYBMUJFWSA-N 411.414 4.788 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2ccccc2[N+](=O)[O-])ccc1OCCC(C)C 410154851 PVHWGCPBSLUTMF-INIZCTEOSA-N 415.490 4.589 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 410227878 CURMXHWKCHUCKC-OAHLLOKOSA-N 418.490 4.571 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Cl)cc2Nc2ccc(F)cc2)c1[N+](=O)[O-] 426373370 UKCYPPOQDAOZPR-UHFFFAOYSA-N 403.801 4.669 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 429962011 GZAVUWLOVZBHSS-VIFPVBQESA-N 417.181 4.867 5 20 HJBD CCCN(CC(C)C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435488261 BCPRDWOCKKCDHG-UHFFFAOYSA-N 421.544 4.641 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1c(F)cccc1F 436105378 POIREOQZMVKYIN-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC1CCN(c2nc3ccccc3s2)CC1 436308648 CFJJVVAIGMBLRX-UHFFFAOYSA-N 423.420 4.699 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)s1 443747687 MLWPPWJNTUFGJM-LLVKDONJSA-N 424.320 4.854 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)CC1 444298939 ZKMLHRKYETVBAU-UHFFFAOYSA-N 419.569 4.656 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Sc1nnc(C2CC2)n1C1CC1 447671381 MKZJDOHFEXIDKI-UHFFFAOYSA-N 421.351 4.599 5 20 HJBD O=C(Nc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)cc1)c1ccccc1 463130209 FIHKNOGYFMGNLG-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD C[C@@H](Sc1nnc(CCc2ccccc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 463233175 XRDTXTQCYBMBLK-CYBMUJFWSA-N 423.454 4.666 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)NCCc2ccccc2[N+](=O)[O-])CC1 466146459 OLAYZSPAXHZMKP-UHFFFAOYSA-N 406.486 4.516 5 20 HJBD O=[N+]([O-])c1ccc(O)c(NS(=O)(=O)c2ccc(Oc3ccccc3Cl)cc2)c1 467772444 ODPJTZXYNZZRAH-UHFFFAOYSA-N 420.830 4.547 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H](c2c(F)cccc2F)C1 469376365 CNJBAUDWXOLRAT-SNVBAGLBSA-N 400.303 4.522 5 20 HJBD Cc1nc(NC(=O)[C@@H](C)Sc2ccnc3cc(Cl)ccc23)ccc1[N+](=O)[O-] 475299673 GEAYHMAXTALPNU-LLVKDONJSA-N 402.863 4.619 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 475739128 MRASKWGASUPAQJ-KBPBESRZSA-N 400.866 4.922 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@](C)(C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 482580080 PMTPAAIKFICCFO-BLVKFPJESA-N 422.407 4.624 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 485591211 KOCINKLSXACVQW-MRXNPFEDSA-N 424.428 4.569 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](CSc2ccccc2)C1 489902564 BCCKVENTNHSHLM-OAHLLOKOSA-N 402.541 4.961 5 20 HJBD C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 497809160 TYAXFOUFILITIX-CQSZACIVSA-N 422.416 4.869 5 20 HJBD O=C(c1ccnc(-c2ccc(Cl)cc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 502088787 MYGYAXILIMNCGM-UHFFFAOYSA-N 408.845 4.510 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(Cl)c1-n1cccn1 502918503 IMATZDUJDSJFQY-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 509493019 GSDRDZBRRPFJCG-UHFFFAOYSA-N 405.389 4.766 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 510774642 WCMNUHNJLWYUMF-BRWVUGGUSA-N 424.501 4.596 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)CC1(C)C 511801736 LGGULHJMSAVCBB-JTHBVZDNSA-N 423.557 4.580 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@H]2F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 516109521 KEUICYUGUQBDTA-KGLIPLIRSA-N 408.813 4.670 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 517079473 DXAVXSCHGHLENS-KBPBESRZSA-N 407.474 4.514 5 20 HJBD CCN(CC)CC1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)CC1 521792062 PJKGOPCEUSBMDK-UHFFFAOYSA-N 410.518 4.532 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc(Cl)c2O)cc1[N+](=O)[O-])c1ccccn1 522975665 JWOWRJILDWNQTD-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Sc2ccccn2)cc1 523313906 MNAOMTKAIQFCID-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD CCCN(C(=O)COc1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(F)cc1)c1cccnc1 524834932 KSQVMUOUQOHQHT-HSZRJFAPSA-N 423.444 4.536 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1cccc(CSC2CCCC2)c1 525371531 DMHJQJATIDQEII-UHFFFAOYSA-N 422.528 4.580 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 531135885 ZIBAGZCYDAUNOD-LJQANCHMSA-N 401.825 4.587 5 20 HJBD CCc1cccc(C)c1NC(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534060241 SYQRJLQCGZMWRI-UHFFFAOYSA-N 411.483 4.993 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2ccccn2)cc1OCc1ccc([N+](=O)[O-])cc1 534332053 MJQFKFFMPQVFAR-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NC2CCC(F)(F)CC2)cc1 534920734 UXCBMUONHLMMKH-UHFFFAOYSA-N 406.385 4.703 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 535124432 CEOJSOBBQGJHQM-OAHLLOKOSA-N 413.865 4.603 5 20 HJBD COc1cc(CN2CCC(C(F)F)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 536679506 CODDIODBWHRZKI-UHFFFAOYSA-N 406.429 4.660 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 536860804 AQCKPFJCFPIZFD-FQEVSTJZSA-N 403.438 4.643 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 537621619 ATBBNFZJRXXCDL-MHZLTWQESA-N 400.525 4.693 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1cccc(COCc2ccccc2)c1 539383582 QXWHZNMNBPNWHJ-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](c2ccc(F)cc2)c2cccs2)c1 539500787 KFPLQBPLIYIBGJ-OAQYLSRUSA-N 414.458 4.779 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccccc2n1C 540769953 JDWJDERHSSPXOR-CQSZACIVSA-N 412.515 4.865 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)oc12 541583644 VFUWYLREICXLER-QWHCGFSZSA-N 400.406 4.860 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 543893881 KPVCAJZWJNBREP-HXUWFJFHSA-N 403.438 4.935 5 20 HJBD CCCCCn1nc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c2ccccc2c1=O 547102053 ACGXVFDUNGDGJY-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD CC(C)(NCc1cn(-c2ccc(Cl)c(Cl)c2)nn1)c1ccc([N+](=O)[O-])cc1 547290487 RSQBDUWPVRYPKT-UHFFFAOYSA-N 406.273 4.507 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2cn3ccccc3n2)cc1 547766624 HRSFPGVHGGXHFC-UHFFFAOYSA-N 402.410 4.561 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@](F)(c4cc(F)cc(F)c4)C3)co2)cc1 551407502 WPWWOCAXDGHCSS-HXUWFJFHSA-N 403.360 4.599 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 553226106 ZSVDOQUWZYPNEO-KXBFYZLASA-N 400.500 4.695 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(N[C@H](C)c3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 553731196 VRWLPKDAHFWTGE-GFCCVEGCSA-N 413.865 4.959 5 20 HJBD Cc1cccc(-c2cnc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)s2)c1 554110192 SUQMMPRYLXMYLS-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3ccc4ccccc4c3)n2)ccc1[N+](=O)[O-] 554816879 XXKGYHLCGCSUBN-AWEZNQCLSA-N 405.410 4.870 5 20 HJBD Cn1c([C@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)nc2ccccc21 559143989 DJTWCRIYYQQVKV-GOSISDBHSA-N 404.392 4.837 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(C(F)F)CCCCC2)cc1[N+](=O)[O-])c1ccccn1 572966492 ZLJPCAGORUGFFY-CQSZACIVSA-N 418.444 4.861 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1 579991743 AZOWNFDBAVIWMJ-OAQYLSRUSA-N 410.518 4.710 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cc([N+](=O)[O-])c1 580227059 MKKDIXOMNGMIOI-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD COC(=O)[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(OC)c1 600847902 YRKQXESENUOWGU-NRFANRHFSA-N 408.410 4.722 5 20 HJBD Cc1ccc(NC(=O)NCc2cccc(NC(=O)c3cccc(F)c3)c2)cc1[N+](=O)[O-] 604080156 YELPWIGJZOBVGK-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD COc1ccc(CC(=O)N(c2cccc(C(F)(F)F)c2)[C@H](C)C2CC2)cc1[N+](=O)[O-] 609011212 IQASLDWNHJRONW-CYBMUJFWSA-N 422.403 4.996 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 609011447 ZWKQHCQTQBEJAR-FQEVSTJZSA-N 416.886 4.767 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cccc1OCC(F)(F)F 609022615 VJRXEUPVXJUJQN-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD CCCOc1cc(NC(=O)[C@@H]2CCOc3ccccc32)c([N+](=O)[O-])cc1OCCC 609101757 APFWWDWGRSLRIY-MRXNPFEDSA-N 414.458 4.677 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 609388756 AYIMUXZUWPAICL-QGZVFWFLSA-N 419.890 4.645 5 20 HJBD Cc1nc2c(cnn2C(C)C)cc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609482410 MESLRBKGDHUCKJ-UHFFFAOYSA-N 407.474 4.818 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 609785134 JIIOVIXYIWSRQB-UHFFFAOYSA-N 400.822 4.603 5 20 HJBD COc1ccc(C(=O)Nc2cc(C)ccc2SCC(F)(F)F)cc1[N+](=O)[O-] 609908106 AVYJPBCKPNIDAU-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD COc1cc(C(=O)N2C[C@@H](c3ccccc3C)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 609976421 ODYUWPZQDCEMKT-KBPBESRZSA-N 420.412 4.531 5 20 HJBD CCCn1ccc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc21 610042331 GXLWFQRMYNLQDC-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Br)c1cccc(Cl)c1 610043014 VHPUTMAIEIZVSU-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 617753185 AYVJEAXTORAZNM-HZPDHXFCSA-N 402.878 4.669 5 20 HJBD CCC(CC)(C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1ccc(Cl)cc1 726838453 MBCUAOFRBHLOLS-UHFFFAOYSA-N 418.877 4.796 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1cc([N+](=O)[O-])ccc1Br 731992079 CCAHORUROCWJDY-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1c([C@H](NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)C(C)C)oc2ccccc12 732645775 QEZKQVWYZKTEOB-OAQYLSRUSA-N 406.442 4.513 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)C2CCCCC2)c1 735023041 YCVCIOQMMJFWNV-JVTNTXMCSA-N 412.511 4.828 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1Br 739565330 WIYKINOXKNRVGJ-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD CCc1nc2ccccc2c(C(=O)O[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c1C 741834927 FOMIXUYJIZVLJA-HNNXBMFYSA-N 421.453 4.506 5 20 HJBD COc1cc(C(=O)OCC[C@@H](C)OC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 744306827 GKDFLWJUPRLLQM-GFCCVEGCSA-N 409.822 4.631 5 20 HJBD CCOC(=O)c1cc(C(=O)Oc2ccc(Sc3ccncc3)cc2)cc([N+](=O)[O-])c1 749533292 QMSYXWBKXKFERH-UHFFFAOYSA-N 424.434 4.537 5 20 HJBD C[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc2cc(Cl)ccc2n1C 750220690 JNASBVAKMYACGO-NSHDSACASA-N 405.863 4.531 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccncc2)c(Cl)c1 751130064 PRGZPSWZIJWNEV-UHFFFAOYSA-N 412.833 4.754 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)OC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 753536839 BSZVTBVXLJXHFF-LLVKDONJSA-N 421.247 4.542 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1cc(F)cc([N+](=O)[O-])c1Br 754959432 SQHCJMYBTGCSKK-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)ccc1N1CCCC1 754975309 CLEXHIBHHDVNNA-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC[C@@H]1c1ccccc1 755016712 XXHSZUXQSXSRER-DOMZBBRYSA-N 421.266 4.762 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)CCc3cccc([N+](=O)[O-])c3)cc2)cc1 755309223 VZNKCGLSKXZPDR-UHFFFAOYSA-N 404.422 4.694 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OCC(F)(F)F)cc1 760497340 KRUBWJQOUJIESB-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)c4cc(F)ccc4[N+](=O)[O-])cc32)c1 764516563 DACRMZHKJDFHLA-UHFFFAOYSA-N 419.412 4.998 5 20 HJBD Cc1nc(-c2ccc(S(=O)(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)s2)cs1 765026578 UMEUFHHIGQLKCW-UHFFFAOYSA-N 415.905 4.542 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H](C)c2nc3ccccc3n2C(F)F)cc1Cl 765457643 MHLAVNZMJFVYRP-VIFPVBQESA-N 424.791 4.953 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(Cl)cc(Br)c1F 766389780 SUEQLFZZWSQYLQ-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2noc(C)n2)cc1 777686044 JUHLCBDIAHCYGB-HNNXBMFYSA-N 414.487 4.848 5 20 HJBD O=C(NOCc1ccc(C(F)(F)F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780947659 ZHEVROWZHSDMQL-UHFFFAOYSA-N 412.367 4.562 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2cncc(C)c2)cc1[N+](=O)[O-] 785646621 NMTAJGPORXGDNK-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD Cc1cccc([C@@H](NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c2ccccn2)c1 790912248 QVERNTNJWHWIJP-OAQYLSRUSA-N 413.836 4.539 5 20 HJBD C[C@H](c1ccc(Br)cc1)S(=O)(=O)Cc1cc([N+](=O)[O-])ccc1Cl 793081535 MOSDSNZQHLCYOH-SNVBAGLBSA-N 418.696 4.687 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 796440416 ZEIMGLRKFUORNK-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(-c3nncs3)cc2)CC1 798266037 JYTJZMXMVIFOHT-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])c(F)cc3N)c(C)c2)n1 801868610 ZVBJHCBVILGUHL-UHFFFAOYSA-N 418.475 4.793 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1F 804804234 WVPCXYXTGISFLI-AWEZNQCLSA-N 410.401 4.619 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])o1 809935315 RRLZYJIUULFXKR-QGZVFWFLSA-N 419.268 4.893 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cc(O)nc2ccc(F)cc12 811130624 LXILWZISHHZQBT-UHFFFAOYSA-N 411.172 4.652 5 20 HJBD CC(C)N(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(CC(=O)N(C)C)cc1 815108463 XAFYMXFVAPXCLE-UHFFFAOYSA-N 423.435 4.659 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815482356 MSDLHJLEXUEQPG-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(C=C1CCCC1)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 917562956 XODOGBDPEVZHTC-UHFFFAOYSA-N 400.818 4.906 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)COc1cc(Cl)ccc1[N+](=O)[O-] 920993192 VVQCJEXAZPREID-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cccc(COc3cccc(F)c3)c2)o1 1117192229 VVRDDTSFTUXAJU-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD CCOc1cc(-c2nnc([C@@H](C)Oc3cccc(Cl)c3)o2)c([N+](=O)[O-])cc1OC 1322425096 ODQWLPZQCXSTNU-LLVKDONJSA-N 419.821 4.846 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1322442776 QYSQFJXPJQVGOU-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD COc1ccc(-c2nnc(/C=C\c3ccc([N+](=O)[O-])cc3)o2)cc1Br 1324205741 XIGPQBDVRPPYCF-WTKPLQERSA-N 402.204 4.586 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339606600 YACSVUJSCGXRKM-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD Cc1ccccc1CN(C)C(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214206 KGWAIRFJYZJAGS-ZDUSSCGKSA-N 401.513 4.652 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(NC(=O)c3cccs3)cc2)c1 24470093 ACJDPXOHAUVYRL-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD CCCOc1cccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 30495010 VMFSLDOIQKSJLE-LBPRGKRZSA-N 411.380 4.842 5 20 HJBD COc1cc([C@@H](C)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])ccc1OCC(C)C 47049024 IACPSHXJBICKMW-MRXNPFEDSA-N 415.490 4.541 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2)C1 58326094 AZNSKFBIJNMAJS-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 60934221 MPPDRFBEXHOZOO-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CC=C(c4cccc5ccccc45)CC3)c1)OCOC2 63762787 XKFYBJULSADHMW-UHFFFAOYSA-N 402.450 4.904 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 64850285 SIFIBRGSKVQSQN-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD CCc1cc(Sc2nc(-c3ccccc3F)n[nH]2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64905656 QCYBOFYSMZPDON-UHFFFAOYSA-N 422.445 4.690 5 20 HJBD Cc1ccc(C(=O)CCC(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)s1 105356357 FWJFDXIJXOQUQO-UHFFFAOYSA-N 415.496 4.998 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106189418 UPQDEGSDEYISJN-UHFFFAOYSA-N 419.359 4.763 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)cc([N+](=O)[O-])c1 195694337 CYDNWMQJZADDBR-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3ccc(F)cc3)n2)nc1-c1cccc([N+](=O)[O-])c1 195704301 VAUXUKJDHMAHRC-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccnn3C[C@@H]3CCOC3)cc2[N+](=O)[O-])cc1 237968253 LRDIDUQRSUEEDD-SFHVURJKSA-N 424.526 4.900 5 20 HJBD Cc1cc(N2CCC(OCc3ccc(F)cc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301912201 CYJYTHIOVUQTOL-UHFFFAOYSA-N 422.460 4.685 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(NCc2ccccc2Sc2ccccc2)s1 301927647 IHLUNXBJUIBOFV-UHFFFAOYSA-N 420.537 4.823 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccnn2CCC)CC1 410292022 VTYOKRJDHHJXEM-UHFFFAOYSA-N 416.547 4.723 5 20 HJBD Cc1ccc(C)n1C1CCN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CC1 447673190 XCWONFULDIHHAM-UHFFFAOYSA-N 418.366 4.904 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(F)c1)c1ccccn1 462615049 NLNFRPHSOBFOBR-VGSWGCGISA-N 407.445 4.603 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 463956251 VRAOIPNDCASESJ-OAHLLOKOSA-N 414.531 4.958 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1 464705367 ATZJBGJPSDDYPA-UHFFFAOYSA-N 401.369 4.662 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 471347978 WUTMMSDROCEXFH-OAHLLOKOSA-N 413.474 4.752 5 20 HJBD CN(Cc1cc(F)c(F)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475189987 DKLONAQUFUAJJT-UHFFFAOYSA-N 407.270 4.695 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1c(F)cccc1Cl 477242148 XSSRRKUJISQGQK-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc1)c1ccccc1 477894773 ZGAHLPDRNRKZOG-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD COc1cccc(Nc2nnc(Sc3ccc4ncccc4c3[N+](=O)[O-])s2)c1 480430576 IFNUJPZPEILANQ-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)C(=O)c2cc3ccccc3c3cccnc23)n1 484912732 UANNFDKSTZJEQC-AWEZNQCLSA-N 406.511 4.857 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(Cc2c(F)cccc2Cl)o1 485373799 FDZCOKLCWKVBLL-UHFFFAOYSA-N 409.826 4.662 5 20 HJBD O=C(c1csc(Cc2ccc(F)cc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486100163 ZWYRSJOVEPRGHP-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD CCOC(=O)c1ccc(N(CC2CC2)C(=O)c2cccc(SC)c2[N+](=O)[O-])cc1 486249908 KDKXCPVKPJSGGL-UHFFFAOYSA-N 414.483 4.550 5 20 HJBD COc1ccc(Cc2nnc(SCc3c(Cl)cccc3[N+](=O)[O-])o2)cc1OC 488764329 LIOQAHMKDCFKQW-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD CCOc1cc(C(=O)NC2CCC(C)(c3ccccc3)CC2)c([N+](=O)[O-])cc1OC 489232714 NBXZLTCMCLZAOG-UHFFFAOYSA-N 412.486 4.632 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489441464 DUTFJFRCIKDPRS-XJABCFGWSA-N 411.502 4.740 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 489848127 XTAQHODWRXYIDH-INIZCTEOSA-N 423.444 4.873 5 20 HJBD C[C@@H]1CSCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 490189302 WWPZQOQDUPYJGT-ZDUSSCGKSA-N 406.891 4.866 5 20 HJBD O=C(Nc1ccc(OCC2CC2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 497989655 KAQSLMBFJRPNTG-UHFFFAOYSA-N 410.348 4.577 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(F)cc1OCCC(F)(F)F 500238456 PJYCVODDCWZUPY-UHFFFAOYSA-N 416.327 4.716 5 20 HJBD CCC[C@@H]1C[C@H](C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 503135117 BBPNNMNXRMJLKE-CRAIPNDOSA-N 424.457 4.574 5 20 HJBD CCc1ccc(C(=O)Nc2nc(-c3c[nH]c4ncccc34)c(C)s2)cc1[N+](=O)[O-] 503902265 QXASZWPXKAMDFQ-UHFFFAOYSA-N 407.455 4.718 5 20 HJBD COCCN(C(=O)C[C@@H]1CCC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 504375363 UZIRZSVFHGBLOQ-JKSUJKDBSA-N 417.531 4.914 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C 505476538 MGRLWXOPJDSFQR-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 510890699 VKWZYGBQFFGDGN-SWLSCSKDSA-N 416.302 4.501 5 20 HJBD CCCN(CC)C(=O)c1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 511780055 HPPFTJPXJOBVPA-UHFFFAOYSA-N 411.502 4.518 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 512081850 FDZFLUUPELOUFX-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD COc1cc(OC)cc(Oc2ccc(CNCc3ccc(OC)c([N+](=O)[O-])c3)cc2)c1 520958809 LLSARMXDDMEOHM-UHFFFAOYSA-N 424.453 4.703 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n(-c2ccc(F)cc2)n1 520973098 HZFJIDJOXKMEFB-UHFFFAOYSA-N 400.385 4.609 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1nc(-c2cccc(Cl)c2)no1 523755817 LOUBFLJHBMNMSU-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1 525594638 DBNLDZMWMBUVIU-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3ccccc3[C@@H]2C)cc1[N+](=O)[O-])c1ccccn1 531363491 URXHXBWGZZXDHX-IRXDYDNUSA-N 416.481 4.922 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCO[C@]3(CCSC3)C2)ccc1Oc1ccc(F)cc1 533188332 ITSULPOUUCVOOD-DYESRHJHSA-N 418.490 4.671 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2nc3ccccc3s2)CC1 533925032 MFAYGIGNNMGNME-UHFFFAOYSA-N 420.494 4.635 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccccc2O)cc1[N+](=O)[O-] 534647601 NWKPHTXLHARQRR-UHFFFAOYSA-N 408.435 4.506 5 20 HJBD C[C@@H](CCNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 537640211 JIEMIWJEFLBMRU-JTQLQIEISA-N 422.450 4.684 5 20 HJBD CCC[C@@H](NC1CCN(C(=O)NC2CCCCC2)CC1)c1cccc([N+](=O)[O-])c1 537978734 FCTHHUQJNQIZAD-OAQYLSRUSA-N 402.539 4.532 5 20 HJBD CN(C(=O)[C@@]1(C)CCc2ccccc2C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251241 HCGCRUAIRXFHRW-QFIPXVFZSA-N 407.495 4.876 5 20 HJBD COc1ccc(C2(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)CCCC2)cc1OC 538559890 NUHIXKFIUFMQCI-INIZCTEOSA-N 412.486 4.610 5 20 HJBD COc1cc(CN[C@@H](C)c2cc(C)sc2C)c([N+](=O)[O-])cc1OCC(F)F 542509230 QIQSAAQMXKXMRZ-NSHDSACASA-N 400.447 4.776 5 20 HJBD O=C(Nc1cnn(CC(F)F)c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542658387 KIIVVNKJEATKJJ-UHFFFAOYSA-N 410.446 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cc(C(F)(F)F)cc(C(F)(F)F)c1 544184123 XLFRXBZTKWBLIQ-UHFFFAOYSA-N 408.298 4.931 5 20 HJBD C[C@H]1C[C@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 544369709 OIBVALHWJMZOLP-UONOGXRCSA-N 423.307 4.912 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@@H]2c2ccc(C(F)(F)F)cc2)n1 544541659 XRFDFTGDRZFYMO-CABCVRRESA-N 418.375 4.922 5 20 HJBD CC(=O)N1CCC[C@@H](c2noc(-c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)n2)C1 545057279 JKIJASLQHLEKHC-MRXNPFEDSA-N 424.482 4.522 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3cccc(-c4cscn4)c3)no2)cc1 545142929 UXLGFJWNXHNVDJ-UHFFFAOYSA-N 407.455 4.813 5 20 HJBD O=C(NCCC[C@H]1CCCCO1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 545454331 OHAFZDBCOFTAFU-GOSISDBHSA-N 400.500 4.825 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cc1 546324678 LULKZVIOQLXTTH-KPKJPENVSA-N 414.421 4.713 5 20 HJBD CC(C)(C(=O)N1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1F 546528111 HXPDUUZEWKCURA-UHFFFAOYSA-N 408.376 4.592 5 20 HJBD CC(C)(C(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1 555115879 XPOARKFYVWUDCP-UHFFFAOYSA-N 411.433 4.559 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 557058160 BJXZBPUSTTWARM-GOSISDBHSA-N 409.269 4.799 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 557240296 VSELXULQOABZGL-HXUWFJFHSA-N 403.438 4.643 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccccc3OC(F)F)no2)c2ccccc12 567408249 DJERSALAZXDDOA-UHFFFAOYSA-N 419.387 4.854 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@@H]3c3nc4ccc(Cl)cc4[nH]3)o2)cc1 570364996 AUPITTVRZXJIDJ-QGZVFWFLSA-N 424.848 4.512 5 20 HJBD C[C@@H](Nc1ccccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-])c1ccccc1 577247982 SZEIJOGFPYFKQF-QGZVFWFLSA-N 404.470 4.843 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 582147806 HTTWDIMZMFRGQF-HNNXBMFYSA-N 412.471 4.638 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCC[C@@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])C1 604485652 OJFKITAWPRJTTD-CBGDNZLLSA-N 423.513 4.760 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 604493837 ZSUPVRXGLDTJGB-LLVKDONJSA-N 420.384 4.525 5 20 HJBD O=C(NC1(c2nccs2)CCCC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 609699210 YQMYEHZPBLGNAR-UHFFFAOYSA-N 408.483 4.994 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)s2)cc1 609860288 YZFYWKDULHDKQV-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD NC(=O)c1ccc(CNc2ccccc2OCc2cccc(Cl)c2)c([N+](=O)[O-])c1 609894241 XZBISULLJZEQLS-UHFFFAOYSA-N 411.845 4.538 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291583 WZKOLLAGKJQEJF-HNAYVOBHSA-N 422.403 4.854 5 20 HJBD C[C@H](C[C@H]1CCOC1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610742585 PAAGLXHBJCCSTK-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD CO[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1Cl 610787786 PTZAZUPGOQTGEV-GOSISDBHSA-N 403.891 4.849 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1ccccc1OC(F)F 729955944 PMMSPCIMZUDHMU-UHFFFAOYSA-N 423.315 4.913 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 732045262 FWXADRSKSQGWSO-SFHVURJKSA-N 413.440 4.621 5 20 HJBD CC(C)(C)c1cnc(COC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)o1 733412133 CBOVUJRUSQLQKY-UHFFFAOYSA-N 409.442 4.849 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](Cc1ccc(Cl)cc1)C(F)(F)F 733945862 BDGSWOQIWFARRH-OAHLLOKOSA-N 403.740 4.587 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1cc([N+](=O)[O-])ccc1Cl 736680476 GLKPTPORIJMWLI-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD C/C(=C/C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1Cl 742874178 KCCGUFBNTTWHIL-PFONDFGASA-N 400.862 4.722 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1ccc(Cl)c([N+](=O)[O-])c1 743264397 QDHLUOZOIDCLRT-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745070679 WPSPUUVOHLVUJE-PBHICJAKSA-N 418.877 4.633 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)c(OC)c2)cc1[N+](=O)[O-] 746514975 DGZSEISEOLTELF-UHFFFAOYSA-N 415.446 4.765 5 20 HJBD C[C@H]1[C@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746851583 WEJBRDHJEKWSKC-FHSNZYRGSA-N 408.376 4.604 5 20 HJBD C[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccccc2)cc1 748015927 YDZZITWXBFRXDY-INIZCTEOSA-N 420.421 4.530 5 20 HJBD O=C(NC[C@H]1CC2c3ccccc3C1c1ccccc12)c1cc(F)c(F)cc1[N+](=O)[O-] 750563475 IVXOFMSTBHLQLT-RJVDIYDSSA-N 420.415 4.900 5 20 HJBD CCC1(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)CCC1 751211767 DOAYSYZVTKTQOL-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C 754434145 RCSLCKMMRPDJQI-UHFFFAOYSA-N 414.462 4.569 5 20 HJBD O=C(Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-])c1scnc1Br 755711630 AWHJPVROMSCKOJ-UHFFFAOYSA-N 419.256 4.624 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)NC(c1cccs1)c1cccs1 756941826 HTBRMTCYLITZHX-UHFFFAOYSA-N 407.904 4.960 5 20 HJBD Cc1cc(C)c2cc(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)sc2n1 760481464 YCRLXZIALURRNX-UHFFFAOYSA-N 409.423 4.833 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(Cc3ccc(Cl)cc3Cl)no2)C1 761323778 QFAWLWVEDQZARZ-INIZCTEOSA-N 419.224 4.741 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)Nc1c(C)cc(C)cc1Cl 765526253 PTHOAFLJQNVEKZ-MRXNPFEDSA-N 418.877 4.616 5 20 HJBD CC(C)=C[C@H]1[C@H](C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)C1(C)C 765868310 GPSUSCWCLFTBSD-PKOBYXMFSA-N 412.490 4.620 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@H](c4ccc(C(F)(F)F)cc4)C3)n2)cc1 767881729 FUBZZUNDUOEZBT-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD O=C(Cc1ccc(OCc2ccccn2)cc1)OCc1ccc([N+](=O)[O-])cc1Cl 778093602 HYPZGOFMTLKKDX-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC[C@@H](c2ccc(F)cc2)CC1 778507506 LXANENDGXCIPEO-QGZVFWFLSA-N 411.477 4.744 5 20 HJBD C[C@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 780076905 YRCRSZFPYJSPDU-QMMMGPOBSA-N 424.196 4.575 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(Br)ccc1F 788795302 LIRSZJJXAPULJL-MRVPVSSYSA-N 422.176 4.761 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N 791349291 OYWXHVQSWOTGRR-UHFFFAOYSA-N 413.380 4.595 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C(F)F 791458504 YJSHDRCCVMCLNA-UHFFFAOYSA-N 412.776 4.697 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)c2ncccc12)c1cc(F)c([N+](=O)[O-])cc1F 791948766 CPCBYSHEDVRIHQ-UHFFFAOYSA-N 412.396 4.664 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(C2CC2)cnc1Cl 796903744 GNHUJAZXGDWMRO-LJQANCHMSA-N 409.829 4.862 5 20 HJBD O=C(OCc1csc(-c2ccc(Br)cc2)n1)c1cccc([N+](=O)[O-])c1 797467343 IQIAQTCNSJQMEE-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)CCc1ncc(-c2ccccc2Cl)o1 797472198 ZHEZVNQHEBRMBD-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(-c2noc(C(F)F)n2)cc1 805637310 LVQGIFDEFHDTRR-UHFFFAOYSA-N 409.732 4.593 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 812775754 FWUBLNDPKWVPGI-NRFANRHFSA-N 404.894 4.593 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1OC 916668822 BTBIBSOJKUIKLH-UHFFFAOYSA-N 414.245 4.631 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)Nc1cc([N+](=O)[O-])ccc1F 917610896 GMQQAGFUCDEGNZ-UHFFFAOYSA-N 417.393 4.748 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3ccc(-n4cccn4)nc3)c3ccccc3n12 918550944 OZJOZOHZEYZWSG-UHFFFAOYSA-N 418.460 4.782 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(NC(=O)C3CC3)cc2)c2ccc([N+](=O)[O-])cc2)o1 1323786011 QQWKKNWMXKBWGK-QHCPKHFHSA-N 419.481 4.978 5 20 HJBD O=C(Nc1cccc(OCc2ccc(F)cc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1329819834 DTUJRQYIQPIYTG-UHFFFAOYSA-N 407.401 4.861 5 20 HJBD CCCn1c(SCc2ccccc2[N+](=O)[O-])nc2sc3c(c2c1=O)CCC3 6097329 RTHDADMCMTWKDV-UHFFFAOYSA-N 401.513 4.557 5 20 HJBD Cc1nc(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n(CC(C)C)c1C 16678760 SPMLOIQDNNMHEM-AWEZNQCLSA-N 401.492 4.967 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 47865239 VXHHGDIBWMKAHZ-MRXNPFEDSA-N 407.373 4.574 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1 49983166 DJSBTTNEXKSQJD-UHFFFAOYSA-N 410.499 4.676 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(Oc3ccccc3Cl)cc2)c(C)c1[N+](=O)[O-] 60364104 KWBONTSNMTWULS-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] 65889089 WNPRSECADGCCOV-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)nc1-c1ccc(F)cc1 247975239 CJAFWWJXJONJHF-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2ccc(C(=O)NC3CC3)cc2[N+](=O)[O-])CC1 301062740 DYBPGJFKXNAQGI-UHFFFAOYSA-N 418.497 4.661 5 20 HJBD Cc1cc(C)cc(-c2nnc(Sc3ncc(Br)cc3[N+](=O)[O-])o2)c1 301628057 AKWAGAZMPFINCB-UHFFFAOYSA-N 407.249 4.570 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3cccs3)n2Cc2ccco2)c(F)c1 301813374 ZCLCBKNDDNOIIT-UHFFFAOYSA-N 420.422 4.986 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)c3ccncc3)c2)c2cccc([N+](=O)[O-])c2n1 302041027 WJTDJMTXTCFWDM-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD CC(C)c1cnc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 303967564 RBNAAPMMUNTNOO-CYBMUJFWSA-N 411.487 4.995 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)c1sc(Br)cc1[N+](=O)[O-] 409578893 CFPQQDUMONQZAZ-UHFFFAOYSA-N 416.105 4.717 5 20 HJBD COc1cccc(-c2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)c1OC 429263339 LQQZHLUMQHXQAI-UHFFFAOYSA-N 413.499 4.760 5 20 HJBD Cc1cc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)ccn1 432691602 ZUTFAODSACUMSO-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD O=C(N[C@H]1CCSc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435819693 XYJBODDZQOHXRC-SFHVURJKSA-N 404.466 4.787 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437171654 ODFFFNRZKSMIHT-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD CSCc1cccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 438404005 ZJZYMQKUABXKNB-UHFFFAOYSA-N 406.482 4.613 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCCC[C@@H]1/C=C/c1ccccc1 440878419 UAOANFKRSOSKHS-DOJUMQAQSA-N 417.465 4.947 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 443204093 YSPZXDQDJULYNG-GHMZBOCLSA-N 412.309 4.849 5 20 HJBD CCN(Cc1ccc(OCc2cccs2)c(OC)c1)c1ncc([N+](=O)[O-])s1 443440556 SJGFIOGJPIVADA-UHFFFAOYSA-N 405.501 4.727 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443865208 AWCREHJQIXGFRY-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 446483626 CYBKWGSMUKHWHE-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)N1CCc2ccc([N+](=O)[O-])cc2C1 447078160 SAPKMSYHJKRBTN-UHFFFAOYSA-N 411.405 4.681 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 460543287 IBPWXSVGTIPCGI-UHFFFAOYSA-N 424.419 4.894 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(OC)c2)ccc1OCc1ccncc1 462787270 ARABBCGNRZPWCG-OAHLLOKOSA-N 409.442 4.759 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CC[C@@H](CSc2ccccc2)C1 464331088 DXJZVAOWWWGWLJ-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](NCCc1ccccc1[N+](=O)[O-])c1ccccc1 467974144 SIYKKJMAHREPJJ-HSZRJFAPSA-N 419.481 4.506 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1cc(-c2ccc(OC)cc2)cs1 470978799 WLXHDGHQFRMYMF-UHFFFAOYSA-N 412.467 4.661 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 472986145 TYGIDJRRUSBEAV-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD COc1ccc(-c2csc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 475938086 FZQUYAZZXUSBFG-AWEZNQCLSA-N 411.483 4.892 5 20 HJBD COc1ccc(NC(=O)[C@H](C)SCc2ccc(OC)c(Cl)c2)c([N+](=O)[O-])c1 478631863 JSWHCTQAVCBTGO-NSHDSACASA-N 410.879 4.526 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N(CCC(F)(F)F)Cc1ccccc1 479759344 YBAZSJPCROQCFX-UHFFFAOYSA-N 415.799 4.912 5 20 HJBD O=C(Nc1ccc2oc(O)nc2c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 481516776 ITXXFSASOQNPAX-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@H](c1ccccc1)C(F)(F)F 485607378 DUCXGULZUGQXGP-LJQANCHMSA-N 424.422 4.617 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(N3CCC(c4nc5ccccc5s4)CC3)n2)cc1 486428797 AKNIUPHMLLJMQS-UHFFFAOYSA-N 407.455 4.639 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])s2)cc1F 487289725 MDCGENRSKWLNQD-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 488047882 XBNBSNSMAOLCEQ-INIZCTEOSA-N 403.438 4.647 5 20 HJBD COC(=O)c1cccc(-c2nc(CN(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)c1 490159231 QHHUDCCDENTAMC-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD O=C(Nc1ccc(Oc2ccc(C(F)(F)F)cn2)c(F)c1)c1ccc([N+](=O)[O-])[nH]1 499783474 ZJKSSGIOIKDGCQ-UHFFFAOYSA-N 410.283 4.520 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cc1OCCC(F)(F)F 500190759 PHCAQDXXTYMMON-UHFFFAOYSA-N 418.368 4.796 5 20 HJBD COc1ccc(CN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC2CC2)c(OC)c1 503218962 RIOQTSXZNCQBBN-UHFFFAOYSA-N 423.469 4.679 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccccn3)cc2)cc1SC 504172258 BICBFBYMJKMZMG-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(F)cc1 506004708 GKBLGVVKNUHOCI-HNNXBMFYSA-N 408.433 4.969 5 20 HJBD CNC(=O)c1ccc(CN(C)Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 506128346 DKVRVMOYOMGZSZ-UHFFFAOYSA-N 423.444 4.518 5 20 HJBD CCN(C/C=C\c1ccccc1[N+](=O)[O-])CC(=O)Nc1c(Cl)cccc1Cl 506279727 GUTQEQMKTYKWQY-VURMDHGXSA-N 408.285 4.875 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccc(C)cc4[nH]3)C2)c1 508250286 VDOLHQRQGXXFOQ-CQSZACIVSA-N 410.499 4.521 5 20 HJBD COc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc(Cl)c1OCC(C)C 513035282 FAPGHUXXYKVTTI-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2N2CCCCC2)c1 516334545 XBRAKIDPLOJVMN-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 519095603 DCGJHCRUZQJDMA-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCCOc1cccc2ccccc12 522672907 HOVNHUWXVBYLIR-UHFFFAOYSA-N 424.478 4.858 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNc1cccc(CS(=O)(=O)C2CCCCC2)c1 536099828 STHRAKKKWQWNJY-JXMROGBWSA-N 414.527 4.968 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CC[S@](=O)c3cc(Cl)ccc3Cl)c2)c1 537558442 YXRYWTIWZWURSR-SANMLTNESA-N 410.282 4.573 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)Cc1cccn1C 538718336 LOPHNOITAKYBLT-UHFFFAOYSA-N 404.264 4.588 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 539199618 IXNUYVHMAXGLOE-LCIZUOBNSA-N 408.857 4.967 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)CSc3ccccc3[N+](=O)[O-])n2)cc1 544047815 MOTOLDIWMLUSHB-UHFFFAOYSA-N 418.525 4.566 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(F)(F)F 544449549 PPIDVPODLRXSQC-NSHDSACASA-N 400.328 4.726 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 545113992 XFJRQNOSXDMDQQ-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccc(Oc5cccnc5)cc4)n3)c2c1 546491451 YSWHKCSWIVCCIG-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 555008524 ADTWMTOKAKKALN-WMZOPIPTSA-N 407.829 4.601 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CCC1)c1sc(Br)cc1[N+](=O)[O-] 556492726 TWKABTMMLVKWAF-UHFFFAOYSA-N 415.696 4.881 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2CC)c1 558788656 MSUUQWFWKHHVLB-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD COc1cc(Cc2noc([C@H](F)c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 561908679 QGVXFMRORCWEDE-MRXNPFEDSA-N 411.311 4.655 5 20 HJBD CCN(Cc1ccncc1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 564493308 ALVVEEVBSYRBAX-UHFFFAOYSA-N 413.481 4.865 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(Cl)s1 568964654 UXEBJGLVIJYFMP-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1ccc(Br)c(F)c1)c1cccc([N+](=O)[O-])c1 572097602 YSGAOUCCYCULTC-SGTLLEGYSA-N 421.266 4.964 5 20 HJBD COC(=O)[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(OC)c1 600847911 YRKQXESENUOWGU-OAQYLSRUSA-N 408.410 4.722 5 20 HJBD COc1ccc(OC2CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)cc1 603816433 GRGWZPDYVACJQQ-UHFFFAOYSA-N 412.467 4.502 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1 604104011 XWSAINSRLWGEGC-GFCCVEGCSA-N 415.902 4.795 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C(=O)OC(C)C)ccc2Cl)cc1[N+](=O)[O-] 608897298 WGMJZFNSXTZDBL-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)Cc2cccc([N+](=O)[O-])c2)cc1 610228656 NBCPOKKELSTZTC-DEOSSOPVSA-N 403.482 4.733 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 610665655 RQDUORLHRUYQJC-UHFFFAOYSA-N 421.375 4.533 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 610899947 JWDLHMZUGYFVGW-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Br)c(F)cc1Cl 612050654 ULNGLGIVIMCELF-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 731549266 WIPWCROJEWNATF-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD C[C@@H](OC(=O)c1coc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 733600252 NTCHMGYJYMSFDT-SNVBAGLBSA-N 422.315 4.544 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])o1 734889885 JDDKLDRCHMWULJ-UHFFFAOYSA-N 417.801 4.786 5 20 HJBD COc1cc(C(C)=O)ccc1OCCCC(=O)Oc1cc([N+](=O)[O-])c(Cl)cc1C 735643813 RKFMHECDCDNHAE-UHFFFAOYSA-N 421.833 4.532 5 20 HJBD COc1cc(COC(=O)CCc2cc(Cl)cs2)c([N+](=O)[O-])cc1OC(F)F 736946717 XYHGUJMLGHBGHX-UHFFFAOYSA-N 421.805 4.596 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)o1 744491377 LJSZGSYLIHBQDO-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C(C)(C)c1ccc2ccccc2c1 745416502 WLAKZSGDWZIORK-UHFFFAOYSA-N 406.438 4.516 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1cc(Cl)ccc1[N+](=O)[O-] 750103110 QLIPEXJNHBTABQ-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OC3C[C@@H](C)O[C@H](C)C3)cc2[N+](=O)[O-])n1 756057221 SKTSFYQMMYIMEE-VXGBXAGGSA-N 408.501 4.624 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 758363661 VINVERCIWPXVSB-LJQANCHMSA-N 415.446 4.563 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(COc3ccccc3)n2Cc2ccco2)o1 768400549 GUOSYJGEZFEQNE-BENRWUELSA-N 424.438 4.763 5 20 HJBD O=C(Nc1sccc1C(=O)Nc1cc([N+](=O)[O-])ccc1O)c1ccccc1Cl 770855857 GWIRTHLJSHUBKA-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3sc(Br)cc3[N+](=O)[O-])co2)cc1 773605724 ZUSKZBBALJQBFV-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1ccc(-c2nc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cs2)o1 776135594 HEPCJLXXAWKWQO-IBGZPJMESA-N 421.434 4.961 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1[N+](=O)[O-] 776732292 RIKOQPYMJQAMTQ-UHFFFAOYSA-N 416.440 4.601 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCO[C@@H](C)C2)cc1 779953734 GHAHDWBAJQEUTD-HNNXBMFYSA-N 415.515 4.574 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@H]1CCc1ccccc1 782167385 OAJADBMVQYBIIQ-HNNXBMFYSA-N 403.276 4.595 5 20 HJBD CC(C)Oc1ccc(NC(=S)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 782387708 PNMSXLBTQYHWGY-UHFFFAOYSA-N 413.421 4.887 5 20 HJBD O=C(OCc1nnc(C2CC2)n1C1CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 783131210 MUXDIEXAOZLLAD-UHFFFAOYSA-N 420.425 4.548 5 20 HJBD C[C@H](N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc(N)c([N+](=O)[O-])c1 788640393 RZDSMLRUQOMFBB-FYSMJZIKSA-N 403.482 4.942 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Br)c(F)c1 797574660 GYURDVFMDROKJY-HNNXBMFYSA-N 411.202 4.613 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(-c2ccccc2)nc2c1c(C)nn2C 800148112 XLEMXXOWPDWHFU-UHFFFAOYSA-N 419.416 4.552 5 20 HJBD COc1ccc2cc(NC(=O)c3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])ccc2c1 803456279 OLUZDNRSGGPHRN-UHFFFAOYSA-N 418.352 4.619 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 804408271 GTOHSMVHBWPYCK-OAQYLSRUSA-N 422.506 4.693 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 812862584 WMERVWKHADDLAB-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD O=C(Nc1cccc(Oc2cnccn2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812897369 HAESCRBKLNXGDT-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD COc1cc(Br)ccc1CCC(=O)Nc1cccc([N+](=O)[O-])c1Cl 813245885 NELUQKXMUQWQPV-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD O=C(NC[C@@H](O)c1c(Cl)cccc1Cl)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813483908 GRBPWBBMESTOEX-GFCCVEGCSA-N 424.067 4.672 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@H]1C[C@H]1C 819099238 RQNLURRTRGLQQB-QGHHPUGFSA-N 422.268 4.915 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c(OCc2ccccc2)c1 917787203 DKYDUMRXIKZSLW-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD O=C(COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)Nc1ccccc1-c1ccccc1 919212473 BLJWAJMJBAPBEO-UHFFFAOYSA-N 416.389 4.804 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(Cl)cc1Cl 919213468 KVKMNJQUXWVNRA-VIFPVBQESA-N 423.208 4.832 5 20 HJBD CC(C)(C)c1ccc2c(c1)CCN(C(=O)C1CCN(c3ccccc3[N+](=O)[O-])CC1)C2 1318021672 FOKHCCDHUWTUNI-UHFFFAOYSA-N 421.541 4.694 5 20 HJBD CC(C)(C)n1c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])nc2ccccc21 1324773493 UNHHSPWYSGXWTM-UHFFFAOYSA-N 423.292 4.776 5 20 HJBD C[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C(c1ccccc1)c1ccccc1 1330234478 IXFDZRJZNPHYCR-QGZVFWFLSA-N 401.466 4.841 5 20 HJBD Cc1cc(C(=O)COc2cccnc2[N+](=O)[O-])c(C)n1CCc1ccc(Cl)cc1 21167452 KWCJPFGSIIRQEE-UHFFFAOYSA-N 413.861 4.566 5 20 HJBD O=C(CCSc1ccccc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 59253299 HACSUKWUYUXZLL-UHFFFAOYSA-N 416.396 4.807 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 65511897 BLOUAKIXFJYRSC-HSZRJFAPSA-N 419.481 4.625 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCc3nc(C)no3)cc2[N+](=O)[O-])c1 236741570 XBWXMCHERCPQLQ-UHFFFAOYSA-N 410.474 4.843 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(OC2CCCCC2)CC1 261379652 FQRQEQIWOXIPCM-UHFFFAOYSA-N 415.515 4.672 5 20 HJBD COc1ccc(OCCSc2cc(Br)ccc2OC)c([N+](=O)[O-])c1 303904355 LVVIVUUDGVAHEK-UHFFFAOYSA-N 414.277 4.546 5 20 HJBD CN(Cc1cccc(Cl)c1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426263304 KWAXXLRVESNGSG-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 426580432 QVLNFWODZNWSQA-UHFFFAOYSA-N 418.862 4.672 5 20 HJBD COc1cc(/C=C\c2ncc(Br)s2)c([N+](=O)[O-])cc1OCC(F)F 434627818 OUDMVZIUSYCLQI-IHWYPQMZSA-N 421.219 4.637 5 20 HJBD CO[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@@H](C)C1 443069014 OBXSRLGOZJJJIF-JSGCOSHPSA-N 409.280 4.617 5 20 HJBD CC(=O)c1ccc(Oc2cccc(CNC(=O)Nc3ccccc3)c2)c([N+](=O)[O-])c1 443954509 CPFHJBWJZMWECG-UHFFFAOYSA-N 405.410 4.911 5 20 HJBD CCO[C@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(CC)CC 444177827 UCKJFQIRHCSUDA-MOPGFXCFSA-N 410.489 4.534 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@@H]1C[C@@]12CCOC2 460764094 WGKDSSPMCQFSCG-HNAYVOBHSA-N 421.375 4.722 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-n3nc(C)cc3C)cc2)c1 461564602 WHULJCFUFDNDCX-OAHLLOKOSA-N 410.499 4.610 5 20 HJBD Cc1cccc(NCc2cccc([N+](=O)[O-])c2C)c1C(=O)NCCc1ccccc1 462227710 BBRCHWFRYKZBSM-UHFFFAOYSA-N 403.482 4.796 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(Br)cc1OCCCO)c1ccc([N+](=O)[O-])cc1 462956885 JZUIOKIUZSFSEX-KBPBESRZSA-N 423.307 4.530 5 20 HJBD CNc1c(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 462981338 OBKHEWWRPNOSAS-INIZCTEOSA-N 421.501 4.587 5 20 HJBD COc1cc(CSc2nnc(C3CC3)n2Cc2ccccc2)c([N+](=O)[O-])cc1F 463409305 DHKUUXUKLXTRJE-UHFFFAOYSA-N 414.462 4.552 5 20 HJBD C[C@@H]1CCN(CCOc2ccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2)C1 465070717 YIARHJDOWPMLFE-CQSZACIVSA-N 418.881 4.613 5 20 HJBD CCCS(=O)(=O)Nc1cccc(NC/C(C)=C\c2cccc([N+](=O)[O-])c2)c1C 470186214 QGQKOFZBSIGPOB-QINSGFPZSA-N 403.504 4.570 5 20 HJBD CCN(CCCN1CCCC1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 472321353 ZOUNXLPHBUDMMC-UHFFFAOYSA-N 412.490 4.727 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 472833424 KUUYJZHDAIKIQH-HZPDHXFCSA-N 410.499 4.828 5 20 HJBD Cc1cc2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc2cc1F 478175841 TZUCJRLTGAKYGE-UHFFFAOYSA-N 403.438 4.991 5 20 HJBD COc1cc(C(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)cc([N+](=O)[O-])c1C 480088871 JHFKZGGUTIUOIM-UHFFFAOYSA-N 411.433 4.552 5 20 HJBD Cc1c([C@@H](C)N[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cccc1[N+](=O)[O-] 482096405 QXMAAXJEDPUIOW-SJLPKXTDSA-N 410.518 4.571 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 483267286 IWZAKRFZHMMAEC-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 488686249 NSYDNPNRIZVFLI-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD C[C@H](Sc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1cccc(Br)c1 488752210 QTHRAPAKWBCWFE-JTQLQIEISA-N 416.318 4.614 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489879522 DJBHODMLCSHZHC-HOTGVXAUSA-N 415.490 4.822 5 20 HJBD CC(C)(NCc1ncc(-c2ccc(Br)cc2)[nH]1)c1ccccc1[N+](=O)[O-] 492395494 HIICHUBCVBSZSF-UHFFFAOYSA-N 415.291 4.772 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1 498498234 YDIDBNYGRGAXQG-GFCCVEGCSA-N 424.375 4.624 5 20 HJBD O=C(NCC1CCSCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 499338618 JTPPRWUZTXLNIG-UHFFFAOYSA-N 406.891 4.914 5 20 HJBD O=C(NC[C@H](c1cccc(Cl)c1)N1CCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 501034922 DTTNZTVZKYAGAC-GOSISDBHSA-N 423.300 4.860 5 20 HJBD CCOC(=O)c1cc(C(=O)N(CC)[C@H](C)c2cc3ccccc3o2)cc([N+](=O)[O-])c1 507938800 SJGXZTZHUQNELZ-CQSZACIVSA-N 410.426 4.741 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(CNc2ccccc2[N+](=O)[O-])n1 508645520 NWOOCJBHTFOMDL-INIZCTEOSA-N 416.865 4.802 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 515172649 VVHOZPPEVVMLBY-UHFFFAOYSA-N 421.331 4.807 5 20 HJBD O=C(Nc1cccc2c1C(=O)NC2)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 515320019 CKALDAYKVOSYIT-UHFFFAOYSA-N 423.812 4.536 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] 517368455 RICMKEQKNFRMIQ-SNVBAGLBSA-N 416.890 4.952 5 20 HJBD COc1ccc(CC(=O)NCC(C)(C)c2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 517851650 ZNDJBENDIMGWLI-UHFFFAOYSA-N 411.285 4.547 5 20 HJBD COc1cccc(NC(=O)[C@@H](N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)c1 531443624 FQIAMFUYOAITBL-HXOBKFHXSA-N 419.481 4.942 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 534499868 GPHYMXXCZXFZAE-UHFFFAOYSA-N 416.359 4.515 5 20 HJBD CN(C(=O)c1ccc(OC(F)(F)F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154130 UUGLTKKKCFJWPF-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(C)(C)C(N)=O)cc1 538567085 LZWREFHPNUGMHU-UHFFFAOYSA-N 415.515 4.502 5 20 HJBD O=C1CCC(=O)N1Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 539922478 XTMKZSBXEFGLNR-UHFFFAOYSA-N 421.478 4.584 5 20 HJBD COc1ccc(NC(=O)N2CC=C(c3c(C)[nH]c4ccccc34)CC2)cc1[N+](=O)[O-] 541106451 KNNKOMAHXRFKJS-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD C[C@@H](COCC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541693813 RZNMOIASTJIJPW-LBPRGKRZSA-N 420.453 4.574 5 20 HJBD C[C@@H]1C[C@@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 542272307 NKTQKJZAGMROCD-CVEARBPZSA-N 400.500 4.681 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 542539442 YNMZKUFQPJNOMQ-AWEZNQCLSA-N 408.433 4.676 5 20 HJBD C[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1nc(-c2ccccc2)cs1 543519930 WVXGJSJVINSTGU-CYBMUJFWSA-N 407.455 4.619 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)s1 543958928 LKZDGMAAEMFNMI-LBPRGKRZSA-N 421.327 4.708 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 544070029 ZSLFFOSXNIOIDE-OAQYLSRUSA-N 416.437 4.533 5 20 HJBD CCc1nc([C@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 544468577 LPZGMRZAHYKEFI-BTYIYWSLSA-N 424.526 4.953 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 545760034 LUIWOUYOQJLUMF-LSDHHAIUSA-N 419.359 4.867 5 20 HJBD COc1c(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)cccc1[N+](=O)[O-] 552760554 KPCFUSCOVOVTHP-UHFFFAOYSA-N 401.781 4.710 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 552765747 PHYJIRPKRVWWPX-IBGZPJMESA-N 422.403 4.820 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1CCCC[C@]1(C)O 552976766 JWIPBUCWYGZMSR-JQVVWYNYSA-N 420.575 4.889 5 20 HJBD COc1ccccc1[C@H]1C[C@@H](C)CCN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 553487638 AWMANELALDSUHO-YCRPNKLZSA-N 407.470 4.627 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1OCCc2sccc21 558078770 NTZBYGCXCKUAHB-SGTLLEGYSA-N 406.529 4.591 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2)cc1 562026372 NZPOOJXNOVRULT-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1sc2cccc(F)c2c1COC 570009272 VDROPVLSWJIMTK-UHFFFAOYSA-N 404.419 4.746 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC2(CCOCC2)[C@H]1C1CC1 578001875 SBCKHIJIADRXPL-OAQYLSRUSA-N 424.522 4.777 5 20 HJBD Cc1cc(C(=O)NC2CCN(c3c(Cl)cc(Cl)cc3[N+](=O)[O-])CC2)c(C)o1 587821119 SIMCSLGHNSCOCL-UHFFFAOYSA-N 412.273 4.510 5 20 HJBD O=C(c1cnc(-c2ccccc2)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742270 SFDWUHFHKPEOBB-UHFFFAOYSA-N 421.400 4.923 5 20 HJBD CC(C)N(CC(F)(F)F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603961207 NXPSNQXXUKRGMM-UHFFFAOYSA-N 404.332 4.505 5 20 HJBD COc1ccc(CC(=O)N[C@H](Cc2ccc(Cl)cc2)c2ccccc2)cc1[N+](=O)[O-] 609011095 ZKKZVIMUINHAAX-HXUWFJFHSA-N 424.884 4.900 5 20 HJBD Cc1c(C(=O)N[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cccc1[N+](=O)[O-] 609186828 UYSSFSOXYPVSAN-INIZCTEOSA-N 411.458 4.973 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3ccc(Cl)cc3)CC3CC3)o2)cc1 609744786 XVFFFWSNZYSMMF-UHFFFAOYSA-N 412.877 4.753 5 20 HJBD COC(=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611207014 RBNMNKOWCWWDAV-UHFFFAOYSA-N 414.483 4.597 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Oc3ccc(C)cc3[N+](=O)[O-])s2)cc1OC 728063530 RDIIOVUHPHIUPO-UHFFFAOYSA-N 414.439 4.572 5 20 HJBD CC(C)c1cccc(N(C)C(=O)c2ccc(I)c([N+](=O)[O-])c2)c1 730486082 QRHPJEKZGTYQED-UHFFFAOYSA-N 424.238 4.599 5 20 HJBD C[C@H](NC1(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCCC1)c1ccccc1 733266719 FRBMTMPQJMWCOJ-HNNXBMFYSA-N 401.894 4.642 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 734353454 MNXKQOADAUZFIR-HXUWFJFHSA-N 423.494 4.616 5 20 HJBD CC(C)(C)n1ncc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1C(F)(F)F 734890610 FXWFMBVONUATKF-UHFFFAOYSA-N 405.760 4.576 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cc2s1 736008974 MYLLKGDWHCOYHV-UHFFFAOYSA-N 420.834 4.679 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)c1 741923380 QKAULWNKRKACJU-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CS[C@@H]1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 742383385 MGEJMTFUHVCLGR-BXUZGUMPSA-N 411.321 4.991 5 20 HJBD C[C@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 743198421 ZGYXTTSEJPVQCE-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@@]12CCCc1ccccc12 744156223 CTJFDKXVYAEVGW-NZQKXSOJSA-N 404.422 4.587 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2ccccc12 745074034 NGRLVCOPXLBCQI-OAHLLOKOSA-N 406.438 4.596 5 20 HJBD C[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cl)cc2)no1 746065080 YGQVAVPWOBDADN-NSHDSACASA-N 419.846 4.695 5 20 HJBD COc1ccc(Cc2nnc(SCc3cc(Cl)ccc3[N+](=O)[O-])o2)cc1OC 746389792 XIEALGGGXVQBQU-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCC(c2cccc3ccccc23)CC1 746800316 ZBNMTZFBOYEMCR-UHFFFAOYSA-N 414.465 4.504 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 749357653 NOXXZXLRCYTDIU-QGFLDLQWSA-N 402.454 4.677 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749935334 VLKRSGLXQSCMHG-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 759030469 XDPGBJXKVLRDSI-XOBRGWDASA-N 412.829 4.743 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1cccc(Cl)c1Cl)c1cccc([N+](=O)[O-])c1 763398835 MGJWOUZRMSWEPP-WDEREUQCSA-N 411.241 4.576 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1nnc(-c2ccccc2)s1 766742224 KBTHSNHCYYMQTR-UHFFFAOYSA-N 421.887 4.602 5 20 HJBD C[C@@H]1CN(c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)CCO1 770596397 YLLUVLPHCLNJRU-OAHLLOKOSA-N 410.499 4.556 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 772239090 XPVJXXSLQCMNGJ-SNVBAGLBSA-N 419.812 4.743 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1OC(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774881712 CUOPNKLDSKOMLA-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccc(OC(F)F)c1 774924384 CTPPRYZAGZTLFZ-WDEREUQCSA-N 413.808 4.527 5 20 HJBD C[C@H](NC(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 784221277 DLHJQKRYLOBNFS-BBRMVZONSA-N 407.392 4.874 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)n(C)n1 790826281 LDXRUQOCMIWXJS-UHFFFAOYSA-N 419.485 4.546 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Br)c(Cl)c1)c1ccc([N+](=O)[O-])cn1 794949703 QKSBZEMEQFKRTK-KPKJPENVSA-N 411.639 4.509 5 20 HJBD CN(Cc1nc2cc(Br)ccc2o1)Cc1cc([N+](=O)[O-])ccc1Cl 795936694 GUGWNCUUQBRXCY-UHFFFAOYSA-N 410.655 4.784 5 20 HJBD CCCc1[nH]nc(C(=O)O[C@@H](C)c2nc(C)c(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 796265814 GXFZLEKLFSBROD-NSHDSACASA-N 418.837 4.805 5 20 HJBD CCCOc1ccc(CC(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)cc1OCCC 800151964 VJSYWYJXZFWGCC-UHFFFAOYSA-N 404.438 4.801 5 20 HJBD C[C@@H](OC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F)c1ccc(C(F)(F)F)nc1 808955030 BPOXDLFIRQDYAT-MRVPVSSYSA-N 408.254 4.945 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccco1 809913232 AOCBSEPZQOHJOH-QGZVFWFLSA-N 415.833 4.609 5 20 HJBD Cc1nc(N[C@@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)ccc1[N+](=O)[O-] 811869660 USUOECPQFODJJI-KRWDZBQOSA-N 400.479 4.756 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(OC(F)F)cc1 812874768 GQHQLBRDNJNBLR-MRVPVSSYSA-N 405.184 4.994 5 20 HJBD CCN(CCc1cccs1)C(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 914517362 XKHCJLWIOSGCKD-UHFFFAOYSA-N 401.532 4.597 5 20 HJBD CN(C)c1ccc([C@H](CNc2cccnc2[N+](=O)[O-])c2c[nH]c3ccccc23)cc1 916983626 ZNKDIGHSUDNHKN-IBGZPJMESA-N 401.470 4.781 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1317800871 IJWXHLYGTTUJII-UHFFFAOYSA-N 424.432 4.585 5 20 HJBD C[S@](=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 1319285827 AMAZPVSQBUGVFP-NDEPHWFRSA-N 400.431 4.876 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3ccc(Br)cc3[N+](=O)[O-])o2)c1 1322322377 PJTVOTJCNQSUGA-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(Cc3ccc4ccccc4c3)o2)C1 1324083631 RALGGSAKMJGPSE-HXUWFJFHSA-N 400.394 4.588 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nnc(-c4ccc5ccccc5n4)o3)cs2)cc1 1326050134 QSLINWDJFUEAMM-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD Nc1c(C(=O)Nc2ccccc2-c2ccccc2)cc(Br)cc1[N+](=O)[O-] 1340031872 KBZPLURGTSUFPT-UHFFFAOYSA-N 412.243 4.859 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Nc2ccccc2Cl)CC1 1343499413 CIMZBGJTVYHFQR-UHFFFAOYSA-N 402.882 4.919 5 20 HJBD O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1(c2ccc(NC(=O)C3CCC3)cc2)CCC1 1787608890 MDNIEMVJLVEXFS-NTEUORMPSA-N 419.481 4.542 5 20 HJBD C/C=C/C=C\C(=O)Nc1ccc(C2(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)CCC2)cc1 1791246381 YPVRIOJGDVQSPN-ZPYFUIHZSA-N 423.444 4.614 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)cc1 5474200 YBXDGLZFZXHGKY-LBPRGKRZSA-N 409.427 4.857 5 20 HJBD CCCCN(C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 32799813 XAZLIBRHVBUOQX-GOSISDBHSA-N 420.307 4.769 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 42223563 RIJDPOUEGJVMJT-CQSZACIVSA-N 403.866 4.563 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(Cc1ccccn1)c1ccc(Br)cc1 55751994 VTNCPJGSUPKDLI-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD COc1cc(C(=O)Nc2c(Cl)cc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 58902870 ICCQZYXAUNGMGN-UHFFFAOYSA-N 405.621 4.825 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2ccc([N+](=O)[O-])cc2)cc1 59309044 GJTNRSMYUBWTMG-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD O=[N+]([O-])c1cccc(CNc2nc(-c3cnccn3)nc3sc4c(c23)CCCC4)c1 60113292 CFUIDPPOROEFCZ-UHFFFAOYSA-N 418.482 4.547 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OCC(F)(F)F 140806463 NHYQYZCOQMMHPO-JTQLQIEISA-N 418.755 4.595 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCCCNc3ccccn3)o2)c(Cl)c1 237814912 DVPLZDCUXUZTMM-UHFFFAOYSA-N 400.866 4.885 5 20 HJBD Cc1ccc([C@@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N2CCCC2)o1 237976656 PXABAWVNHPJFES-LJQANCHMSA-N 412.515 4.546 5 20 HJBD Cc1c(NC(=O)c2oc3ccccc3c2CSc2ncccn2)cccc1[N+](=O)[O-] 303005707 PIZUUBZAYXVGAN-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD C[C@H](Cc1cccc(C(F)(F)F)c1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 303757563 DHZYCHHRDMDFEE-OAHLLOKOSA-N 408.420 4.931 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426447145 GYJNSCWZLMJDLV-HNNXBMFYSA-N 422.485 4.998 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 427589431 YVRJKBPXBQCKLP-UHFFFAOYSA-N 415.877 4.648 5 20 HJBD CC(=O)Nc1ccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cc1F 433874377 JNLIQGJPVQZCRD-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437163774 IEHAVZHNCSZKJA-KSSFIOAISA-N 408.376 4.511 5 20 HJBD CSc1cccc(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)c1[N+](=O)[O-] 440904509 OHNICEULQGYMCJ-UHFFFAOYSA-N 416.890 4.849 5 20 HJBD COc1cccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c1[N+](=O)[O-] 444025361 UJXIPFLYCFHLHW-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD CC(C)(NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Cl)c(Cl)c1 445012295 JVPQUAOFXQWOEE-UHFFFAOYSA-N 422.272 4.512 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(/C=C\c3ccc(Cl)c(C(F)(F)F)c3)n2)n1C 445625467 ZUIWOIAEETVFMZ-XQRVVYSFSA-N 413.743 4.529 5 20 HJBD CCCCCc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 446516814 ZSJSCQYSNQWRGB-UHFFFAOYSA-N 421.400 4.506 5 20 HJBD COc1ccc([C@@H](NCc2cc(OC)c(F)cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 464082463 OQXRIAHOTOCUQW-QFIPXVFZSA-N 414.408 4.769 5 20 HJBD COc1cc(CSCc2nc(-c3ccc(F)c(F)c3)no2)c([N+](=O)[O-])cc1F 464092069 LQBPEUMYTSBXKQ-UHFFFAOYSA-N 411.361 4.504 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(c2cccs2)CCCCC1 464641110 BLTHCBAKBBIHBM-UHFFFAOYSA-N 414.527 4.928 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1c(Cl)cccc1[N+](=O)[O-] 470624726 LKWKJZYXUVEMIP-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)c(Br)c1)c1ccc([N+](=O)[O-])s1 470706509 GHMHNOMXVZEQSP-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](c1cccc(Cl)c1)c1ccccn1 473397690 DLCSGFZQHNYMMU-JOCHJYFZSA-N 424.888 4.741 5 20 HJBD COc1ccccc1[C@@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 475223124 HXOFHVIBOYFDSF-GFCCVEGCSA-N 409.364 4.644 5 20 HJBD Cc1noc([C@H]2CCCCN2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 476185163 YVSQZHWHPRVCOD-LJQANCHMSA-N 423.429 4.838 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@H]2CCCO2)c1 481552516 SHQCTJYLVQPJCL-QGZVFWFLSA-N 402.472 4.517 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)[C@@H](C)C2CC2)cc1 484287183 UTFCDRPZGLPOQK-JKSUJKDBSA-N 414.527 4.911 5 20 HJBD CC1(C)[C@H](C(F)(F)F)CCN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485683670 JMRRYTQEMHTWIO-GOSISDBHSA-N 422.403 4.977 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NCc1nc(-c2cccc(C(F)(F)F)c2)no1 486101955 KYRCFCYROTYQPJ-UHFFFAOYSA-N 408.336 4.674 5 20 HJBD Cc1noc(C)c1CN(Cc1c(Cl)cccc1[N+](=O)[O-])Cc1c(C)noc1C 493131961 LMBTUVIHPOUSSU-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD O=C(NCc1ccc(CN2CCc3ccccc32)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497660778 IVEJNNROBBTDNV-UHFFFAOYSA-N 421.884 4.741 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 502280645 MMEAKTFKGJMKNI-YLJYHZDGSA-N 423.494 4.616 5 20 HJBD CC1CCN(C2CCC(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)CC2)CC1 502767700 MFOPCKBQSKLUMF-UHFFFAOYSA-N 416.566 4.558 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)oc12 512951237 NBSAVWYEGMEKLI-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 514193619 RXPCKIFVPNWBNK-UHFFFAOYSA-N 414.330 4.991 5 20 HJBD Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)sc1Cc1cccc(Cl)c1 515635025 YHGORDIVUXQJLQ-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)CN1CCCC1 516556068 YLEWDFZIVYBVDA-OAHLLOKOSA-N 417.893 4.502 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC2(c3ccc(Br)cc3)CCCC2)c1 516779016 XRDCOJQZMXJWLJ-UHFFFAOYSA-N 419.275 4.565 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2cc(C)cc([N+](=O)[O-])c2)c1 517927961 BAPXVGLEZWCVEE-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1c(Cl)cccc1[N+](=O)[O-] 522542048 KXZYQKJJOUYTIR-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD CC(C)n1nccc1NC(=O)[C@@H](C)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522716234 WBLYFBYVBBTPHN-OAHLLOKOSA-N 409.446 4.604 5 20 HJBD CNc1ccc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 532590776 YCGVWIMTCFMFBB-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD CN(C(=O)CCCCOc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 532827912 YIRHTGHDYJFXOS-UHFFFAOYSA-N 407.264 4.569 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Cc2cccnc2)CC1 536525849 XWAIDBVWIQYMID-UHFFFAOYSA-N 413.543 4.833 5 20 HJBD CS(=O)(=O)Cc1ccccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 538834204 AHBZSQFALWASNH-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN2CCC(C(F)F)CC2)cc1 539834591 IEKCWDISTQKJPL-UHFFFAOYSA-N 412.440 4.925 5 20 HJBD COc1ccc(CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C2CCCCC2)cc1OC 539899053 MJMXIDQBJYEGHY-UHFFFAOYSA-N 412.486 4.516 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)c(F)cc3Br)co2)cc1 540040491 UDXAKJQGODNTDF-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)N=c2cc[nH]cc2[N+](=O)[O-])nc1-c1ccccc1 540979628 JHEPVMAEDQYGAW-QGZVFWFLSA-N 421.457 4.559 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 541384495 UXTQYOHLYYJDIB-UHFFFAOYSA-N 410.455 4.561 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1nc(-c2ccccc2)cs1 541659966 FDBVMHRAFBVMFA-OAHLLOKOSA-N 410.499 4.706 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC1CCOCC1 543441650 IZHZVLOQYTZOKV-HNNXBMFYSA-N 416.449 4.590 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 546470124 CHTAGERNSQUKOF-TWGQIWQCSA-N 413.405 4.678 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(C(F)F)s2)ccc1Oc1ccccc1Cl 546760136 BKLKHYNETHZYEU-UHFFFAOYSA-N 412.805 5.442 5 20 HJBD CCOCCC1(c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)CCCC1 547153373 AKTRHLKEQJQMGX-UHFFFAOYSA-N 424.295 4.570 5 20 HJBD COc1cc(Cc2noc(-c3cccc(-c4csc(C)n4)c3)n2)ccc1[N+](=O)[O-] 547190136 AJUUQHNZLSOZNT-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD CC(C)(NC(=O)c1cccc(NC(=O)c2cccs2)c1)c1ccccc1[N+](=O)[O-] 553719892 NZXWRHDRQWKILJ-UHFFFAOYSA-N 409.467 4.574 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2sccc2C(=O)c2ccc(C)cc2)c1 554942585 IYDRLJVXKLXIKU-UHFFFAOYSA-N 410.451 4.847 5 20 HJBD CN(C)C(=O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 555190886 VUOGNSCCJTTZHR-FQEVSTJZSA-N 409.511 4.633 5 20 HJBD C[C@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1c(F)cncc1F 557785965 QXIHESVYGGVWFF-LBPRGKRZSA-N 415.421 4.910 5 20 HJBD CC(C)[C@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 557946877 LUIHVZJOXOMVMK-JOCHJYFZSA-N 414.527 4.617 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(CCn4ccc5ccccc54)no3)cc12 563651040 RTOCEWSZGSBTIQ-UHFFFAOYSA-N 406.445 4.929 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](Nc2ccc(S(N)(=O)=O)c([N+](=O)[O-])c2)C(C)C)cc1 600847478 DNGMBKFSWIHDEH-VLIAUNLRSA-N 405.520 4.565 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638854 XHAHJCOGRAHJKE-SECBINFHSA-N 402.806 4.969 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(=Cc2cccc(F)c2)CC1 603928951 XMXFYOOQJWWYFJ-HNNXBMFYSA-N 400.475 4.921 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H](c3cccs3)N(C)C)c([N+](=O)[O-])c2)cc1 608971860 VBCBLEICADPVST-HXUWFJFHSA-N 424.526 4.932 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCSC[C@@H]1c1ccc(Cl)cc1 609700089 AGKPPWFUHXGPQU-QGZVFWFLSA-N 408.932 4.657 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC1(c2cccc(Br)c2)CCC1 609784649 LADMAFYOHIQHNC-UHFFFAOYSA-N 423.694 4.749 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1cc(Cl)ccc1Cl 610219613 WYOIUMWCRSIHKT-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD COc1cc(CN[C@H](C)c2cnccc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 611293153 SCSAPLWOLUDRIV-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(CC(=O)Nc2ccccc2F)cc1 728006704 YXXIJZXXLBMAAA-NTEUORMPSA-N 419.412 4.567 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N(CC(F)(F)F)c1ccccn1 728165704 PREDCZUZTCDIRU-UHFFFAOYSA-N 416.359 4.943 5 20 HJBD C[C@@H](Nc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)CC(=O)N2C 728287020 RINOAMLWUBOSQT-SECBINFHSA-N 413.783 4.959 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 736000725 DOGAKTJRNMFWAV-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CCc1cccc(CC)c1NC(=S)NCCCNc1ccc(C)cc1[N+](=O)[O-] 739461582 RFICJCVSVHDOJL-UHFFFAOYSA-N 400.548 4.817 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 742909592 KUGLVCQSQYPMFY-WMZOPIPTSA-N 405.564 4.577 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 744379512 OTPZCAVUWNNMFP-LLVKDONJSA-N 421.400 4.711 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccccc1C(F)(F)F 751109360 XFDMHJIHRPJQCL-SECBINFHSA-N 417.181 4.867 5 20 HJBD O=C(c1cc(-c2ccncc2)nc2ccccc12)N1CCCc2c1cccc2[N+](=O)[O-] 754409649 ONMRHBYQSFBIJU-UHFFFAOYSA-N 410.433 4.798 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(Cc1cccs1)CC(F)(F)F 758525602 MTFCWKXJJXIGII-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cccc([N+](=O)[O-])c1Br 759150754 VRBMNTNOHOVIIH-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)sc2ccccc12 763403262 KJJNQGSVTBAHCR-UHFFFAOYSA-N 406.366 4.517 5 20 HJBD CCC[C@@H](N[C@@H](C)c1cc(F)ccc1N1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 765990299 FCAYNEJOYWVJJB-KSFYIVLOSA-N 415.509 4.887 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCC(O)(c3ccccc3C(F)(F)F)CC2)c1 769836429 WPSOHRCEWNDCDN-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(CCCO)[C@H]1CCCc2ccccc21 770020336 RIRCCAHUBSSMMV-SFHVURJKSA-N 403.866 4.542 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)CC2)c1 770805044 SLGQCYDILCNJDN-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133983 JGALQBQDIGUITI-NRFANRHFSA-N 415.405 4.900 5 20 HJBD O=C(CCSc1ccccc1F)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136751 LGCFUWNSUYOSOL-OAQYLSRUSA-N 412.442 4.944 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)csc1Br 776341374 PKIAUGUHUUZFTL-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 778718431 JMCAAOZOOWFIAK-UHFFFAOYSA-N 411.792 4.702 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1C 781316606 SYASEYDDLADOQR-LLVKDONJSA-N 411.241 4.702 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nnc(-c2cccc(Cl)c2)o1)c1ccc([N+](=O)[O-])cc1F 784695707 JTPJUJWTUGPYKP-WDEREUQCSA-N 419.796 4.845 5 20 HJBD CC[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 796257203 VKDJEVZKTCQCGY-HNNXBMFYSA-N 409.280 4.615 5 20 HJBD CC(C)(C(=O)OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccccc1[N+](=O)[O-] 796445157 KVKSOVMJMKQMCF-UHFFFAOYSA-N 408.332 4.776 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])C3)cs1 804591124 WOEKLXCZYRJZTF-UHFFFAOYSA-N 402.457 4.559 5 20 HJBD CN(C)CCn1ccc2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc21 813014722 NZIBSMLKZDBGGK-UHFFFAOYSA-N 421.284 4.670 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccnc(Oc2ccc(F)cc2F)c1 813241973 JCKNMKGLJQCYLA-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815239746 RJCADQFSGMYDJD-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)SCc1cc([N+](=O)[O-])ccc1OC 861871419 ODRVOHLUGRXTLM-CQSZACIVSA-N 402.516 4.989 5 20 HJBD O=C(CSCc1ccc(Br)cc1)Nc1cc([N+](=O)[O-])c(F)cc1F 897461933 BEVXJHXGXSFWDT-UHFFFAOYSA-N 417.231 4.507 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 917487251 CLTJPBMRHJGMIP-UHFFFAOYSA-N 406.273 4.640 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)n2)c(C)o1 918181177 FXBWYMULWSVWJM-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD CCCOc1cc(NC(=O)C(C)(C)C2CCOCC2)c([N+](=O)[O-])cc1OCCC 1116894699 NSWSDMXVXMEHCV-UHFFFAOYSA-N 408.495 4.564 5 20 HJBD CC(=O)N(c1ccc(C)c(C)c1)c1nc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cs1 1319534891 NLBQHRKJVGLITM-UHFFFAOYSA-N 422.510 4.869 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1ccc(Br)c([N+](=O)[O-])c1 7843031 ZYIUVBSSSMXTHI-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)CCNc2ccccc2[N+](=O)[O-])cc1 11369517 HCNLYHKPJOJRDN-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD O=C(CSc1nccn1-c1cccc(Cl)c1)Nc1cc([N+](=O)[O-])ccc1Cl 21421920 ZLHTWXLPKBVIQS-UHFFFAOYSA-N 423.281 4.818 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2sc3ccccc3c2Cl)cccc1[N+](=O)[O-] 22925110 PZINTAONVPMYIP-LLVKDONJSA-N 418.858 4.955 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@H]2c2ccccn2)cc1[N+](=O)[O-])c1ccccn1 55523966 QKEVTOFSFYZWOW-KKSFZXQISA-N 417.469 4.535 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1ccc(NC(=O)c2ccc(F)cc2)cc1 236856113 SDEGDTDZJFMCTP-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD CCNC(=O)c1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 236979208 YGTJOGNAEVPXKN-UHFFFAOYSA-N 423.444 4.566 5 20 HJBD O=C1CCCN1C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 238053204 AEELOISMCULTKN-OAQYLSRUSA-N 421.522 4.777 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(C)c(OC)c1 410376379 UBGBYHNVJRELDW-UHFFFAOYSA-N 404.488 4.921 5 20 HJBD COc1ccc(CN[C@H](CC(=O)O)c2cccc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 426771203 ZRJVGERGRAZPHF-HXUWFJFHSA-N 422.437 4.701 5 20 HJBD Cc1ccccc1-n1nc(C)c2cc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)sc21 428505205 VYIYMVXUZUHMKH-UHFFFAOYSA-N 406.467 4.889 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccccc2CC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 428848087 HGKBDQWXBUFAAT-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3ccc(OC(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 429569138 KWLUOMWALVXIRM-UHFFFAOYSA-N 422.485 4.520 5 20 HJBD Cc1cccc(C)c1OC[C@@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436155993 OMPFCGLUTYVVOR-MRXNPFEDSA-N 418.468 4.635 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cc(Cl)cc(F)c1F 442226109 NYUWFFPMKPUNKQ-UHFFFAOYSA-N 409.698 4.867 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1sc(Br)cc1[N+](=O)[O-] 445173569 PFZDSWZNYVFYIM-UHFFFAOYSA-N 420.216 4.559 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@@H]2C)c1[N+](=O)[O-] 446246266 FCBXYNVYGUUHPZ-LRDDRELGSA-N 406.891 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN(c2cccc3cnccc23)C[C@@H]1C 446313952 UENPKDKIUMEIBL-INIZCTEOSA-N 419.485 4.502 5 20 HJBD COc1cccc(C(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)c2cccc(OC)c2)c1 446497235 WSTODJNMWQMNQK-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD COc1cccc([C@@H](C)CCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c1 448137317 MVTUYGLWULHRFU-HNNXBMFYSA-N 414.458 4.677 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C 463968136 YSDFBZKDTANAAZ-HXUWFJFHSA-N 410.518 4.588 5 20 HJBD CC(C)(C)Nc1ccccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 466442901 ZYMTVRKQKHPOSP-UHFFFAOYSA-N 411.380 4.999 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 467219589 SJSNNDUHVVQORF-LJQANCHMSA-N 403.866 4.694 5 20 HJBD Cc1ccc(N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])cc1NC(=O)CCN(C)C 468036653 FCQLMLVSBDCFHL-NQIIRXRSSA-N 424.545 4.617 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)Nc1cc(F)cc([N+](=O)[O-])c1 470704649 JPALCIKMNWBXTP-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD CC[C@@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1nc(C(F)(F)F)cs1 475563225 VLPJFJCMUVAKLG-SNVBAGLBSA-N 402.398 4.960 5 20 HJBD CCCOc1ccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(C)c1 475892085 NIPXTQZKXRIAMX-UHFFFAOYSA-N 414.505 4.568 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2cccc(Cl)c2O1 476104428 AQUREQPENFKVPJ-NSHDSACASA-N 415.858 4.799 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2Cc2ccc(C(C)C)c([N+](=O)[O-])c2)n1 483434493 WMHVFTYGQXZMAS-KRWDZBQOSA-N 403.504 4.689 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)nnc1-c1cccnc1 485410770 KNFBUILHPYNOJN-UHFFFAOYSA-N 422.445 4.621 5 20 HJBD CCSc1cc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccn1 485699750 VFLJREZGSLNFRB-UHFFFAOYSA-N 423.494 4.611 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)c1[N+](=O)[O-] 486255295 WFIKXMGIWJWEFP-AWEZNQCLSA-N 411.483 4.864 5 20 HJBD CSc1cccc(C(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)c1[N+](=O)[O-] 486411019 RUGFTIQDBIZSLZ-CYBMUJFWSA-N 402.369 4.879 5 20 HJBD O=C(NC[C@@H]1CCN(CCc2ccccc2)C1)c1cc2ccccc2c2cccnc12 487107936 JHFCNHFTRLBWDK-NRFANRHFSA-N 409.533 4.682 5 20 HJBD NC(=O)N1CCc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc21 487181342 OXAPHVJDGNHBLS-UHFFFAOYSA-N 412.833 4.562 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)N(C)Cc3cccc4[nH]ccc34)cc2[N+](=O)[O-])C1 495116472 BIKBRQWSSMOQEP-MRXNPFEDSA-N 406.486 4.585 5 20 HJBD CCn1c(S[C@@H](C(=O)OC)c2ccccc2)nc2cc3ccccc3cc2c1=O 495279613 KOMGRAQXOKFLPE-HXUWFJFHSA-N 404.491 4.576 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(F)c1)c1ccccn1 498894380 VGVYXYFIQYMPRG-XOBRGWDASA-N 411.458 4.515 5 20 HJBD Cn1c(C(=O)Nc2ccccc2NC(=O)c2ccc(C(C)(C)C)cc2)ccc1[N+](=O)[O-] 500772431 GZZSLGUCMJOJIP-UHFFFAOYSA-N 420.469 4.735 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2CCCc2ccccc2)cc([N+](=O)[O-])c1OC 502357367 XGWGQURRRLWSCI-IBGZPJMESA-N 412.486 4.630 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccnc(OCc3ccccc3)c2)cc1[N+](=O)[O-] 504929023 FXLICFAMOUPDKZ-QGZVFWFLSA-N 407.470 4.818 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)Cc1ccc([N+](=O)[O-])cc1 506543025 UGFUZJZEYZKJHX-UHFFFAOYSA-N 407.470 4.664 5 20 HJBD COc1cc(C(=O)NC2(c3ccc(Cl)cc3)CCCCC2)c([N+](=O)[O-])cc1OC 509083621 SJYWUFFIGNBSPK-UHFFFAOYSA-N 418.877 4.855 5 20 HJBD COc1cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cc(OC)c1OCc1ccccc1 513856976 FIQQCJJBDRMYAM-UHFFFAOYSA-N 419.437 4.745 5 20 HJBD CSc1cccc(NC(=O)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 515114139 ACNCBLIAMDHRRN-UHFFFAOYSA-N 414.409 4.569 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 515589490 GNTUVJDORWDSGN-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD Cc1ccc(C)c(OCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c1 516341819 HXCYRDZRDDGYOH-UHFFFAOYSA-N 414.458 4.561 5 20 HJBD CCc1c(C(=O)COc2ccc([N+](=O)[O-])cc2-c2cccs2)[nH]c(C)c1C(C)=O 516372349 BGWMRGLCQSSEJL-UHFFFAOYSA-N 412.467 4.987 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)cc1-c1nc2ccccc2[nH]1 517656225 YIIBDNDLGUEXTA-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1Cc2ccccc2[C@@H](c2ccccc2)C1 522744229 HWCFDGVBBIOUIH-OAQYLSRUSA-N 406.869 4.965 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC1CCCC1 523954746 BFWJNMLHASAWKJ-UHFFFAOYSA-N 404.850 4.977 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(Cc2ccccc2)n1 531206574 XWDWILPUMSHDJJ-CQSZACIVSA-N 421.482 4.543 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1 534896788 BKSYMYSKEVLKPY-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N[C@H](C)c3ccccc3[N+](=O)[O-])n2)cc1 537148317 QTDCOBBXPHMIKW-OAHLLOKOSA-N 408.458 4.578 5 20 HJBD CCOc1ccc(C(C)(C)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 541234458 TYOGJVQAALSTLS-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 541529104 RKGMMAUVEVPCFI-VBKZILBWSA-N 423.494 4.950 5 20 HJBD C[C@@H](C(=O)N(C)[C@H](C)c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 543457408 KNJGAXWAMWPSQT-VXGBXAGGSA-N 409.255 4.820 5 20 HJBD COC(=O)[C@H](C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 544731216 MUAKQJMIHULFJX-CQSZACIVSA-N 416.499 4.699 5 20 HJBD Cc1nc(Cc2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cs1 544912575 TWFCZABWLODDRZ-LBPRGKRZSA-N 422.470 4.569 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(-c3nc([C@@H](C)c4ccncc4)no3)cc2[N+](=O)[O-])C1 547220509 XQPRHXHKYDLLCL-XHSDSOJGSA-N 407.474 4.674 5 20 HJBD Cn1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccc(Cl)cc2)n1 547270285 RQQYATWSQSKTQQ-QGZVFWFLSA-N 420.256 4.546 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1OCCc2sccc21 550861162 BYGAQOPQBILOML-GTNSWQLSSA-N 415.496 4.668 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)c1 554904800 CHLLCBCJQLYFFV-GOSISDBHSA-N 414.849 4.501 5 20 HJBD Cc1c(CNC(=O)N(C)[C@H](C)Cc2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 558015270 MFOQIVQMDSZHOZ-CYBMUJFWSA-N 409.408 4.695 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1cnc(-c2ccc(Cl)cc2)s1 560140943 IBDZXRIMFZEWST-UHFFFAOYSA-N 419.915 4.780 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 565905402 MFTBSVOQOISOGF-AWEZNQCLSA-N 400.740 4.521 5 20 HJBD COc1ccc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]32)cc1OCc1ccc([N+](=O)[O-])cc1 566036471 KDOQNAOYXCQARC-GVXSCFBNSA-N 416.477 4.610 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@H]1Cc1ccccc1Br 583957445 ZFICOTOHYBSACT-AWEZNQCLSA-N 409.305 4.656 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1OCC 589980502 FOVPIPAJWFRLPO-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD O=C(CCOc1ccc2ccccc2c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638135 CIEBSQRAFWSUPL-UHFFFAOYSA-N 402.353 4.757 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](C)c1cccc(C(F)(F)F)c1 604443033 QFJMHHATSNLMMY-QWRGUYRKSA-N 416.783 4.912 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCC[C@H]1Cc1ccccc1 606206626 UZZSGLCHELQBEH-NZQKXSOJSA-N 410.517 4.682 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)Nc1ccc([N+](=O)[O-])cc1F 608871041 QUWJMSLMOIAKSY-UHFFFAOYSA-N 417.393 4.748 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cnc(Cc2ccc(F)cc2)s1 608896267 UAANRWDSUBIBEB-UHFFFAOYSA-N 413.474 4.832 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 608904025 IZHIAISQOURVRY-UHFFFAOYSA-N 423.498 4.675 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)[C@@H](C)c1cc2ccccc2o1 608941082 USKMEWMIQNAMEL-AWEZNQCLSA-N 410.426 4.726 5 20 HJBD COc1ccc(CC(=O)N[C@@H](Cc2ccccc2Cl)c2ccccc2)cc1[N+](=O)[O-] 609011889 LYGFIEVNHVMVRJ-FQEVSTJZSA-N 424.884 4.900 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc2ccccc2c2cccnc12 609202311 NZGFOPDZJVHVFF-UHFFFAOYSA-N 409.405 4.939 5 20 HJBD CCCCN(C(=O)CSc1ccccc1[N+](=O)[O-])C1CC(C)(C)NC(C)(C)C1 609518173 JXKAAAOOAWVQQN-UHFFFAOYSA-N 407.580 4.625 5 20 HJBD COc1ccc(-c2nc(COc3ccc(Br)cc3[N+](=O)[O-])co2)cc1 610219595 VSMYDGXSOJBLFH-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD COc1cccc([C@H](C)c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 612918135 SWHBODRQZMRPFM-NWDGAFQWSA-N 403.822 4.932 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cc(-c5ccccc5F)on4)n3)c2c1 612962003 OTLPUSOFLOREQZ-UHFFFAOYSA-N 405.345 4.511 5 20 HJBD Cc1c(C(=O)Nc2cnc(-c3cccc(C(F)(F)F)c3)cn2)cccc1[N+](=O)[O-] 618908748 UMSXVIFAELLLAH-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD CCn1c(SCCC(=O)Nc2ccccc2F)nc2cc3ccccc3cc2c1=O 644524245 NDUCURVXOHFJTM-UHFFFAOYSA-N 421.497 4.830 5 20 HJBD Cc1ccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)c(C)c1 727584926 BCIKADFNCDDKRX-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 729666726 XKMLYQHXMAGGDK-UHFFFAOYSA-N 404.872 4.689 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2noc3c2CCCC3)cc1 731241704 OPCLKTRZYNVJMU-UHFFFAOYSA-N 424.409 4.620 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCCc2cc(Cl)cc(F)c21 731586370 XPGCAYRXUBHHHH-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD COC(=O)CCCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 740739503 FEPXBXSVFPKSSW-UHFFFAOYSA-N 401.462 4.616 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)OC(c1ccc(Cl)cc1)c1ccc(Cl)cc1 741113748 YGGXUSNEYOPNFI-UHFFFAOYSA-N 420.252 4.821 5 20 HJBD COc1cccc(-n2c(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])nc3ccccc32)c1 741989320 JBZFGLHAEUXVNG-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD C[C@@H](OC(=O)[C@@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1cccc([N+](=O)[O-])c1 744068437 DRBAILRAADVKJK-BEFAXECRSA-N 414.458 4.508 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1cc([N+](=O)[O-])ccc1Br 751093809 JAUJUTWIZNGOFS-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751709755 DPGAVPJUVVFMFK-BAUKFBFWSA-N 413.392 4.666 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@@H](OCCCc2ccccc2)C1 752619171 AJNQHAATMRVKBO-QGZVFWFLSA-N 403.866 4.504 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755029767 YIYREFOMEQTUSO-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CCn1c(SCc2ccc(Cl)c([N+](=O)[O-])c2)nnc1-c1ccccc1OC 760150158 DMXCJDMRUZXICC-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Cl)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760521158 GEKLFDBHEBIXSZ-LLVKDONJSA-N 420.780 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)c1F 764053052 NOYCQLOFAPTIIS-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1nc(Cl)ccc1Cl 764938354 GVSNEOQCRXRJGB-UHFFFAOYSA-N 414.270 4.528 5 20 HJBD Cc1nc(-c2ccc(S(=O)(=O)Oc3c(Cl)cccc3[N+](=O)[O-])s2)cs1 765202574 ISNDPWCNBIGVIS-UHFFFAOYSA-N 416.889 4.509 5 20 HJBD CCN(c1ccc2ccccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])c2cccnc12 766362006 DVSVVBCACSSHKO-UHFFFAOYSA-N 407.451 4.511 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OCC(F)(F)F)c1 770533077 BKSCJGSETKQVSY-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C[C@@H](C)N(C)C(=O)OC(C)(C)C)no1 771121088 OIXGAFRYWSTRGI-OLZOCXBDSA-N 422.507 4.629 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCCCc2cc(Br)ccc21 771415042 BOTWVGIOUSYPHC-UHFFFAOYSA-N 411.202 4.619 5 20 HJBD CC(C)c1ccccc1OCC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131969 CCLKMDZZNZMJDJ-QHCPKHFHSA-N 406.438 4.825 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(-c2ccccc2)no1 781259112 JFHYEHWCOWSXQE-AWEZNQCLSA-N 411.417 4.649 5 20 HJBD COC(=O)[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Cl)c1Cl 781592113 BAUMTUFYKVKMFC-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD CCn1c(SCc2ccc(Br)c([N+](=O)[O-])c2)nnc1-c1ccccc1 788098476 QSCRANBYANNCKW-UHFFFAOYSA-N 419.304 4.928 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 798544592 CMQHBJHHLZPOEO-UHFFFAOYSA-N 414.330 4.854 5 20 HJBD CC(C)(C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)c1csc(-c2cccs2)n1 800292638 GHJXQLIMFVQNJL-UHFFFAOYSA-N 406.460 4.940 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC(c2c[nH]c3ccc(F)cc23)CC1 805314234 MQXJHKKBFQGPKF-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1sc(SC(F)(F)F)nc1C 819990317 WMVNDDDOKMSQFD-UHFFFAOYSA-N 405.423 4.770 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)c2)n1 920271901 ZPLAFBRSTXMZLV-UHFFFAOYSA-N 409.471 4.930 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921267130 JXPONHBLCXBEAF-UHFFFAOYSA-N 408.429 4.650 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)c(F)c1 1339041950 YXJRHQBTGBSKIA-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nnc(-c3cc4c(s3)CCC4)o2)c1Br 1345736244 JJGXWZCGSRKGDE-UHFFFAOYSA-N 410.224 4.764 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 4808498 BTXRJUWZIIYNNV-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1[nH]c2ccc(C(=O)N[C@@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])cc2c1C 12860311 IDWBSICKPOCPRI-FQEVSTJZSA-N 423.469 4.506 5 20 HJBD CCn1c(SCC(=O)N[C@H](C)c2cccs2)nc2cc3ccccc3cc2c1=O 22178782 DULIDWNRPZEYQM-CQSZACIVSA-N 423.563 4.601 5 20 HJBD CSc1ccc(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)cc1[N+](=O)[O-] 22588249 TZJJIZRCDAAJSG-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD Cc1nc(-c2cccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)cs1 23135618 NLLXXCLOFYAXFE-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3ccccc3)cc2)nnn1-c1cccc([N+](=O)[O-])c1 24353539 VYKFHIDXEBAANK-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)n1cccn1 28435869 VOXIVYPYJAJTAQ-LBPRGKRZSA-N 419.363 4.753 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 58233859 LKFFSICPTZLFQQ-CQSZACIVSA-N 423.856 4.992 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1cc2c(cc1[N+](=O)[O-])OCCO2 61114632 MHVOXOBYWLKJQU-UHFFFAOYSA-N 403.605 4.579 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@@H](c2ccc(F)cc2)C1 108079302 RYGKSKLZZLIPEJ-JOCHJYFZSA-N 422.412 4.740 5 20 HJBD CCc1nc(CN(C)[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 109101726 CQQVBHRHGCFPEO-NRFANRHFSA-N 424.526 4.734 5 20 HJBD Cc1nc(N2CCc3c(cccc3NC(=O)Nc3ccccc3)C2)ccc1[N+](=O)[O-] 301627624 BLNFKKXONMQFIB-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2cccc(F)c2)C1 428715133 XFPDYCSUNPZUIR-CQSZACIVSA-N 422.406 4.728 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc(O)c([N+](=O)[O-])c2)cc1 436966326 PQQDVZZFTFQALI-JOCHJYFZSA-N 405.454 4.510 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120814 VCWMBZGSOKKDJN-MSOLQXFVSA-N 415.287 4.652 5 20 HJBD O=C(Nc1c2c(nn1-c1ccccc1)CCC2)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437368134 YRUFSJQFTSDBSU-UHFFFAOYSA-N 416.359 4.540 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1Sc1c(F)cc([N+](=O)[O-])cc1F 440592917 CWGAPBSMDUCSKR-UHFFFAOYSA-N 406.414 4.523 5 20 HJBD COC(=O)Cc1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440901877 ZXKXWBILBBQSQB-UHFFFAOYSA-N 422.462 4.714 5 20 HJBD O=C(Nc1cc(Cl)cc(F)c1F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 442212384 ZJGBUZRBURJCBR-UHFFFAOYSA-N 411.792 4.602 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)oc12 445489190 DKRJJXUGTKKEKW-CQSZACIVSA-N 409.442 4.569 5 20 HJBD Cn1ccnc1[C@@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 446849162 WHSWRPBOPNMTDO-QGZVFWFLSA-N 410.449 4.639 5 20 HJBD CCCN(C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@@H](C)c1cc2ccccc2o1 446912206 XCJMRHFTBBMIDR-AWEZNQCLSA-N 421.457 4.900 5 20 HJBD CCN(c1ccc(C)cc1C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462174358 GYXATQNROWGEIX-UHFFFAOYSA-N 403.287 4.734 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=C(c2c[nH]c3ccccc23)CC1 462902014 JRCZNQNWVMELIH-SFHVURJKSA-N 403.482 4.961 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)c(Cl)c1 464839581 QBQGLVVSQCWAMI-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H](CSc2ccccc2)C1 467217782 OHEPVXXASAGHBM-AWEZNQCLSA-N 405.907 4.572 5 20 HJBD CCCOc1cc(F)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 470410997 GTSTZYITYDACDE-UHFFFAOYSA-N 417.437 4.598 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Cc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 472955197 CRNKWNDBSXOORG-UHFFFAOYSA-N 400.862 4.505 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)c1c[nH]c2cc([N+](=O)[O-])ccc12 478893672 DWRKDIWWWALRSK-UHFFFAOYSA-N 418.434 4.876 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(Cc3ccccc3)nc2-c2ccccc2)c(F)c1 479928668 SGORHOZUGWMQAG-UHFFFAOYSA-N 416.456 4.936 5 20 HJBD O=C(Cc1coc2cc(F)ccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 480827355 JJQBAYWCLFUWHF-UHFFFAOYSA-N 410.323 4.614 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1nc(Cc2ccccc2)no1 485288921 OTHLFFJPHDVNQI-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)c2cccc(OC(F)(F)F)c2)cc1SC 485569108 OEWPZQVYVVVVOJ-UHFFFAOYSA-N 416.377 4.501 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 485948466 BOLUYTWVAPLYGQ-INIZCTEOSA-N 419.485 4.572 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(OCC3CC3)c(F)c2)c1[N+](=O)[O-] 486426051 DRXHUPHSUGMERK-GFCCVEGCSA-N 404.463 4.736 5 20 HJBD COc1ccccc1CC(C)(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486712906 YWDASOYERXTBIU-UHFFFAOYSA-N 410.392 4.507 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCOc2ccccc21 487418022 HDCUJAKSHBJECG-HNNXBMFYSA-N 400.500 4.639 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)c1cnn(C)c1 489600456 XGUZEPSRYPHGRG-GFCCVEGCSA-N 416.890 4.624 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)NCc4ccc([N+](=O)[O-])cc4)cc3)o2)cc1 490095857 QRDOWIKYCYTHOA-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2cc(Br)ccc2F)cc1[N+](=O)[O-] 492962015 PAQWTRWUEAIHRJ-GFCCVEGCSA-N 424.270 4.707 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 498829035 CJSJYWQUBRMEDE-JTQLQIEISA-N 403.287 4.503 5 20 HJBD CCc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2c(CC(C)(C)C)noc2n1 503184623 YKWTZYLAYNCHCH-UHFFFAOYSA-N 410.474 4.554 5 20 HJBD COc1ccc([C@@H](C)NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1Br 505572876 OOICNVWCQZWEPY-LLVKDONJSA-N 408.252 4.557 5 20 HJBD CCSc1ccc([C@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])cc1 505611164 QLCCSIUKKSPRLZ-AWEZNQCLSA-N 410.499 4.844 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2ccc(Br)cc2Cl)CC1 507128938 MRTGJGIPAABCIX-UHFFFAOYSA-N 423.694 4.781 5 20 HJBD COc1cc(CNCc2ccc(F)cc2F)c([N+](=O)[O-])cc1OCc1ccccc1 507910762 AXAGPFNETUZMLR-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD CC(=O)c1sc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1-c1ccccc1 514562108 BUXNGZPKTADUTQ-UHFFFAOYSA-N 407.407 4.919 5 20 HJBD Cn1ncc2c(O)nc(/C=C\c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)nc21 515606624 HRAIJQMNZPVJPK-WTKPLQERSA-N 423.816 4.593 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1Br 522879158 RNWKJBONNIYFJI-VIFPVBQESA-N 413.655 4.510 5 20 HJBD CCN(Cc1ccccc1NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)C(C)=O 532732120 ZPXRPSZZENWLKJ-UHFFFAOYSA-N 423.469 4.844 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(-c2cccc(Cl)c2)s1 537688395 NMFOCURZGMGOLC-UHFFFAOYSA-N 402.863 4.944 5 20 HJBD COc1cc(/C=C(\CC(=O)O)c2nc3cc(Cl)ccc3s2)ccc1[N+](=O)[O-] 538857795 HVQDARKUSXAHSS-IZZDOVSWSA-N 404.831 4.882 5 20 HJBD CCOc1ccc(C(C)(C)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 541234456 TYOGJVQAALSTLS-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NC[C@@H]2CCCO[C@@H]2c2ccccc2)c1Br 543450019 XSUQMQPTZOFCOT-WMLDXEAASA-N 406.280 4.641 5 20 HJBD COCCC(C)(C)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 544708835 ZXNMOKGPSHZRCR-UHFFFAOYSA-N 411.296 4.770 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(CCCOc2cccc3ccccc23)no1 544794943 BQPRLIDHPKDYSH-UHFFFAOYSA-N 405.410 4.722 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3coc(-c4cccs4)n3)no2)ccc1NC1CC1 546301670 RDXWEZHCZGLTNJ-UHFFFAOYSA-N 409.427 4.526 5 20 HJBD CCSc1cccc(F)c1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 555142972 QWQBQAKPHKNWRP-UHFFFAOYSA-N 424.397 4.666 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Sc1ncc(-c2ccccc2)n1Cc1ccco1 566581126 JNNAORQLKLLMLC-UHFFFAOYSA-N 409.471 4.776 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(OCc3ccc(F)cc3)c2)c1 572858518 FMDCWGOVLWEZQN-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 603784412 FKZZQQLUCAUROU-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2s1)c1cc2c(cc1[N+](=O)[O-])OCO2 603941984 QVLLNMPOKYGLNS-UHFFFAOYSA-N 419.418 4.853 5 20 HJBD CC(C)COc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 603967183 VTUOIQCCZVIXCG-UHFFFAOYSA-N 412.364 4.823 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1 608948041 QKPVPDIDXBYZEP-UHFFFAOYSA-N 422.828 4.809 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)cc1 608978781 JLFUNXQRJDHQJG-KRWDZBQOSA-N 414.405 4.530 5 20 HJBD CCC[C@@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 609179354 KXBQRYHPCAZSBX-FUHIMQAGSA-N 410.518 4.743 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 609445125 NYRHSEDHVKBUDP-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609791808 TWGAARZFJCOMMT-IFXJQAMLSA-N 420.494 4.740 5 20 HJBD CCOC(=O)c1ccnc(Sc2ncc(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 609960861 HJNQFBBSHYLWIM-UHFFFAOYSA-N 405.819 4.626 5 20 HJBD Cc1ccc([C@H]2[C@H](C)CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609997882 ZEYRDGJKLGKXKU-IVZQSRNASA-N 405.454 4.770 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610045913 CJFJJWRELJJPMG-YPMHNXCESA-N 407.239 4.515 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@H](C)c1cccc(Cl)c1)c1ccccc1[N+](=O)[O-] 610222651 WWLWYKHVYZXXIL-KDOFPFPSSA-N 418.877 4.551 5 20 HJBD COCCN(Cc1nc2cc([N+](=O)[O-])ccc2o1)[C@H](C)c1cccc(C(F)(F)F)c1 610581539 QVUZIFHYTBILPS-CYBMUJFWSA-N 423.391 4.964 5 20 HJBD COc1cc(CN(Cc2ccc(C)o2)C2CC2)c([N+](=O)[O-])cc1OCC(F)(F)F 611254290 RDHMSCZUOGHLKZ-UHFFFAOYSA-N 414.380 4.611 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Sc3nnc(-c4ccc5ccccc5c4)o3)c2c1 611700137 DPEKTABFDCPHOH-UHFFFAOYSA-N 401.407 4.892 5 20 HJBD COc1cc(CN(C)Cc2ccc(C)cn2)ccc1OCc1ccc([N+](=O)[O-])cc1 616152030 BMFGYPARBFOJQK-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3cc(C=O)ccc3[N+](=O)[O-])c([N+](=O)[O-])c2)cc1 727430600 CEYQGDWWGNPNIN-UHFFFAOYSA-N 421.365 4.669 5 20 HJBD CC(C)[C@H](CC1CCCCC1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 734613520 HPUCDMYMRXOMQH-SFHVURJKSA-N 401.463 4.931 5 20 HJBD CCc1ccc(OC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)c([N+](=O)[O-])c1 734737291 NIIXTCZYYHWLHO-UHFFFAOYSA-N 400.431 4.652 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1Cl 740775220 HCRWRUNKFXAXHJ-UHFFFAOYSA-N 422.821 4.823 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1 743024351 RKUHBHOKUYIUQC-CYBMUJFWSA-N 416.503 4.989 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C(=O)COc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 752913268 UPLCZONTOCYLOX-UHFFFAOYSA-N 408.813 4.634 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c(Cl)c1CNCc1cc([N+](=O)[O-])ccc1Cl 756899806 CFMDYIJFUISPRD-UHFFFAOYSA-N 409.248 4.825 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(=Cc2ccccc2F)CC1 757002109 KOLCOOJYDZHOJN-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD C[C@@H](CSCc1ccccc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 772974177 CWCLQVSEBNJJQA-HNNXBMFYSA-N 412.467 4.863 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CN1CCC(O)(c2ccccc2C(F)(F)F)CC1 775121314 QXRVVURMZPJIDR-ALCCZGGFSA-N 406.404 4.610 5 20 HJBD Cc1occc1-c1nnc(SC[C@@H](O)c2ccc([N+](=O)[O-])cc2)n1-c1ccccc1 776271360 LIRNEYMIJFDKQC-LJQANCHMSA-N 422.466 4.570 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1[C@H]2CCC[C@H]12 776697374 ZLKDNFIDDUGFHW-YZVOILCLSA-N 414.845 4.517 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@H]2NC(=O)c1csc([N+](=O)[O-])c1 778025939 ZLUBYBMVBPXARR-MRXNPFEDSA-N 417.487 4.811 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)c2ccc(OCc3ccccc3)cc2)cc1[N+](=O)[O-] 782788167 CKVDNEZWSBZGPJ-UHFFFAOYSA-N 421.409 4.667 5 20 HJBD CCCCn1nc(C)c(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Cl 785520063 AOIRUWLIIRLAGE-UHFFFAOYSA-N 404.820 4.862 5 20 HJBD COc1cc(C=O)c(Br)cc1Oc1nc2ccccc2cc1[N+](=O)[O-] 788838570 VXLVGZQRCSEYJQ-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD Cc1c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)noc1-c1ccccc1F 788841328 LFWCCEXMGAZWAB-UHFFFAOYSA-N 404.785 4.672 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)c1ccccc1Br 789035291 VUDHPPOKIDHKEB-SNVBAGLBSA-N 418.199 4.532 5 20 HJBD O=C(NCCc1cccc(OCC(F)(F)F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809917165 BAKFJYZTBZFQIC-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(NC(=O)C2CC2)cc1 812875208 PIZCREYJEANSQB-JTQLQIEISA-N 422.268 4.741 5 20 HJBD Cc1ccc(CNc2cccc(C)c2C(=O)NCCc2ccccc2)cc1[N+](=O)[O-] 864002904 WRCYSOXNUOQYGU-UHFFFAOYSA-N 403.482 4.796 5 20 HJBD CCOc1cc(/C=C2\C(=O)Nc3cc(Cl)c([N+](=O)[O-])cc32)ccc1OC(F)F 915114366 LEQQURJAWCSYEV-WZUFQYTHSA-N 410.760 4.741 5 20 HJBD C[C@@H]1Oc2ccc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cc2NC1=O 916840356 CNWMNXOXTUCSBJ-HNNXBMFYSA-N 418.453 4.694 5 20 HJBD COc1cc(CSCc2nnc(-c3ccc(Cl)cc3)n2C)c([N+](=O)[O-])cc1F 1116625388 FIQHDMPSEPWAFG-UHFFFAOYSA-N 422.869 4.625 5 20 HJBD O=C(c1cccs1)C1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 1117669139 CQJBRIZZWDRMLJ-UHFFFAOYSA-N 413.282 4.698 5 20 HJBD Cc1csc(CCCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 1251908737 LANDJDSTODTZJT-UHFFFAOYSA-N 412.471 4.906 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c(Br)c1 1320713549 WSOKXLQTYRYTTG-CQSZACIVSA-N 416.275 4.878 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc3nc(C(F)(F)F)cn3c2)n1 1325515816 XFTGBMWJYUOVNT-UHFFFAOYSA-N 403.320 4.595 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)s2)cc1OC 1325830455 VRZCQZFIGQEOBV-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD Cc1cccc(C(=O)NCc2cn(-c3ccccc3)nc2-c2ccccc2)c1[N+](=O)[O-] 18157275 NNIRRTJOVIQIFY-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nn(-c2ccccc2)c(=S)s1 28790469 UEJCYVKHGMZWLT-UHFFFAOYSA-N 418.525 4.611 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 49766457 CXGMFSDJTVXIBJ-CQSZACIVSA-N 401.488 4.617 5 20 HJBD COc1ccc2nc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)sc2c1 64859616 VQFXHCDSUMIVKQ-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c1 71895041 HCCXZNOOVVDABF-IZZDOVSWSA-N 401.394 4.733 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)c1 147049452 VNVSJGGMEKACRY-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD COc1ccc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1Br 301396205 FYQBDEFIPJCMTH-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD COc1ccccc1-c1nnc(Sc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1C 301847179 NEEWZSLPNRVVPZ-UHFFFAOYSA-N 410.377 4.569 5 20 HJBD Cc1cc(N2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)c2cccc([N+](=O)[O-])c2n1 302162909 KHPLJMVWZGRWJQ-ZDUSSCGKSA-N 405.380 4.508 5 20 HJBD O=C(Nc1cccc(COC2CCCCC2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303507342 WFWJTTAFKVQBEP-UHFFFAOYSA-N 421.453 4.950 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc(C(F)(F)F)cs1 426630669 VLWVXDPNXVOJME-SNVBAGLBSA-N 414.409 4.505 5 20 HJBD O=C(O)C[C@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccccc1 426637479 DRZYRQIUBSWEEY-GOSISDBHSA-N 424.428 4.874 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](c1ccc(Cl)cc1)c1cnccn1 429223541 ZOGMTBDGPCSJJF-IBGZPJMESA-N 411.849 4.600 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cc(Cl)ccc1Br 430314632 KNXXLYZJFIDGEK-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)C3CCC(CO)CC3)cc2[N+](=O)[O-])cc1 434256108 YCVCUDZIJSQQIR-UHFFFAOYSA-N 414.527 4.678 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC)c1 437186949 DASBUEPMBLIJKY-QGZVFWFLSA-N 424.375 4.608 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438618562 KASCVECJPAWDKG-GFCCVEGCSA-N 415.334 4.509 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2cccc(CSC3CCCC3)c2)cc1[N+](=O)[O-] 441068408 NJIINRNIEWPWEO-UHFFFAOYSA-N 413.499 4.656 5 20 HJBD C[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1ccc(Br)s1 443502807 RIVHHTYVLXESSC-ZCFIWIBFSA-N 407.087 4.749 5 20 HJBD Cc1cc(C)cc(CCNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444070217 CVECDTUSIKACBW-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444113986 UOFYXSKXTWUPON-ZCYQVOJMSA-N 412.489 4.640 5 20 HJBD CCCCOc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 446007436 OUUWICVROKCDAL-UHFFFAOYSA-N 407.264 4.717 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])nn1[C@H](C)C1CC1 446425338 RCOAFRUOIQNYOO-MRXNPFEDSA-N 420.469 4.902 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3ncc(C)cc3[N+](=O)[O-])C2)n1 447686356 DYWRWGIFEFLRFF-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccco2)nn1C(C)C 447866472 RHVHXUZUVHXBHS-UHFFFAOYSA-N 400.460 4.997 5 20 HJBD COc1ccc2sc(C(=O)Nc3ccnn3-c3ccc([N+](=O)[O-])cc3)c(C)c2c1 460169627 TVZYQLZTXBSZOW-UHFFFAOYSA-N 408.439 4.565 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)cc1 460603763 ZRFZTBORSCISAG-UHFFFAOYSA-N 404.466 4.864 5 20 HJBD CCN(C[C@H](C)OC)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 462866931 DCHNPWCDADRDDJ-ZDUSSCGKSA-N 422.865 4.546 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)cc1OC 463127443 BJPJEWYIZCJFBW-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD COc1cc(CNc2cc(Cl)ccc2OC[C@H]2CCCO2)c([N+](=O)[O-])cc1F 464084840 ZTHRPWFCDBULMM-CQSZACIVSA-N 410.829 4.566 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 464666542 SWZXQVAFUVDBBP-UHFFFAOYSA-N 414.849 4.688 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 466719645 NINGRAKGUKOWCE-JOCHJYFZSA-N 407.495 4.956 5 20 HJBD COCCN(C(=O)C[C@H]1CC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 468991076 KEVMFGIFSREJGK-CABCVRRESA-N 403.504 4.524 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C(F)(F)F)c2C)cc1SC 471840445 RZZKYFVJFFKKJM-UHFFFAOYSA-N 400.378 4.905 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccnc3)n2Cc2ccccc2)c(F)c1 476492581 ITQLLTRAWJIXOX-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 477124624 VZDXBWNJXBKMQQ-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 478040665 NHAOMIWKXFQTDG-CYBMUJFWSA-N 415.393 4.588 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1Nc1ccc(Cc2nnc3n2CCC3)cc1 478165670 DUSRTARVCOSLGE-RXVVDRJESA-N 417.513 4.547 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C\c1ccc2cc([N+](=O)[O-])ccc2n1 478336989 FOCHBZUXTLVYAS-VURMDHGXSA-N 402.859 4.760 5 20 HJBD COc1ccc2ccccc2c1-c1cc(CSCCn2cc([N+](=O)[O-])cn2)on1 481987988 YXZMHLOAFBSGNC-UHFFFAOYSA-N 410.455 4.542 5 20 HJBD CN(C)C(=O)C[C@@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])c2ccc(Cl)cc21 484564049 LTBARGRNRAVJKG-CYBMUJFWSA-N 410.861 4.510 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1sc(Br)cc1[N+](=O)[O-] 485929882 VCEVOZUBSNKXDC-UHFFFAOYSA-N 409.183 4.776 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C 486138089 OFQITYBSPZQFOA-HNNXBMFYSA-N 408.458 4.902 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1c(Cl)cc(F)cc1Cl 486730034 MHZPPDKZRNHOHO-UHFFFAOYSA-N 411.138 4.990 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 488041160 HHZAFSMOVWUCAS-HNNXBMFYSA-N 410.426 4.575 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(F)(F)F)c1C 489157228 NPPPNSXTUQMGPY-UHFFFAOYSA-N 414.405 4.913 5 20 HJBD CCCN(CC(F)F)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 498440377 GWYHMDKFLNCMQB-UHFFFAOYSA-N 422.453 4.665 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 504097816 XSIHINJARJWOIL-WMZOPIPTSA-N 413.543 4.662 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1)c1cccc(C(F)(F)F)c1 504798815 YMOQGKYVEUCUEC-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CCOc1ccc([C@H](C)NC[C@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 504893064 BFIXALKHCMHNQR-DLBZAZTESA-N 403.548 4.618 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cscn2)c1 505052499 ULEVLNPDJNXKCM-CYBMUJFWSA-N 415.496 4.750 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccccc2n1C(C)C 506499370 JRZOKGVJUYDTBS-UHFFFAOYSA-N 412.515 4.958 5 20 HJBD C[C@H](NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1ccc(Oc2cccnc2)cc1 516474935 BQPKZOMUEBQXMW-INIZCTEOSA-N 416.437 4.614 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1OCCc1ccccc1 517169050 STVSEMXEXPLTDH-UHFFFAOYSA-N 405.454 4.575 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])C1 518800530 DIGGWAHKOKBMAU-HZPDHXFCSA-N 420.469 4.984 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520387737 IBLDJEVPJCYNRE-KDOFPFPSSA-N 418.877 4.778 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 520811370 OCJMROACPWPGCJ-QGZVFWFLSA-N 424.501 4.582 5 20 HJBD CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@H](C)c1ccccc1 521177766 JEKZVALXRSIZQD-OAHLLOKOSA-N 403.910 4.888 5 20 HJBD CCc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cs1 522542550 KFHHQZOLIOPTCU-UHFFFAOYSA-N 413.524 4.737 5 20 HJBD Cc1cc(C(=O)COc2ccc(F)cc2[N+](=O)[O-])c(C)n1CCc1cccs1 524265054 SFPXUURBZFIQKW-UHFFFAOYSA-N 402.447 4.718 5 20 HJBD O=C1CCc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)c(F)cc2N1 531772587 PGAFEXFGAZUIJN-UHFFFAOYSA-N 421.384 4.663 5 20 HJBD C[C@H](Cc1c(Cl)cccc1Cl)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 534738554 HLCCSAFBUPIDAN-SNVBAGLBSA-N 420.252 4.516 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(C)(C)C)cc1 535662916 YKLRMOVCKVOITJ-UHFFFAOYSA-N 416.499 4.800 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)s2)cc1 536544943 XFXFTVLHMNGRDC-UHFFFAOYSA-N 423.494 4.840 5 20 HJBD C[C@@H](Oc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cn1)c1ccccc1 536549484 ANDWAQCPAPVQIM-QGZVFWFLSA-N 417.465 4.935 5 20 HJBD C[C@H]1CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@H](c2ccsc2)O1 537648727 YZWBEXNVBRVPBB-SCLBCKFNSA-N 401.513 4.742 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cn(C)nc3-c3ccccc3)n2)cc1[N+](=O)[O-] 537865421 QBKGZOKAQSNTAQ-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CC(C)[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 537921118 GDLQKMPBWSCSAZ-HNAYVOBHSA-N 400.500 4.679 5 20 HJBD CCOc1ccc([C@H](C)Nc2cccc(N3CCN(CC4CC4)CC3)c2)cc1[N+](=O)[O-] 538527200 WIRVWLHMGOOSHF-SFHVURJKSA-N 424.545 4.699 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCC[C@H]2c2ncon2)cc1 538716523 XFZFRRIGFKVCFK-INIZCTEOSA-N 400.822 4.761 5 20 HJBD COc1cc(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc(OC)c1Br 540550812 MPFHNLTUXYNBOW-UHFFFAOYSA-N 424.251 4.635 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 543519972 ZDTXIWNWQRQUBX-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(Cc2cc(Cl)cc(Cl)c2)CC1 544090105 JFNHKUBBLYONDN-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CCC1(c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)CCN(C(=O)c2ccccc2)CC1 544954254 HAQFKQXBEJJMFT-UHFFFAOYSA-N 420.469 4.537 5 20 HJBD CC(C)Oc1cc(-c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccn1 547093222 NOGOBWAKSAVZAN-LLVKDONJSA-N 404.810 4.620 5 20 HJBD Cn1ccnc1[C@H](NCc1ccccc1OC1CCCC1)c1cccc([N+](=O)[O-])c1 552040915 KPRBHRKKOWAIOT-JOCHJYFZSA-N 406.486 4.529 5 20 HJBD CC[C@@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 557468610 NNPBSYYBEXOFCR-GOSISDBHSA-N 421.453 4.555 5 20 HJBD CC[C@H](C)N[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccco1 561356576 RYJMTISNMIYBIK-CMPLNLGQSA-N 422.354 4.778 5 20 HJBD O=C1CC[C@@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n2cccc21 564267603 BRUBAGPPAILISX-IBGZPJMESA-N 416.393 4.805 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 569652798 FRETVHNXANFSES-XLIONFOSSA-N 410.518 4.674 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nccn1-c1ccccc1 579879981 ZVVABIDYFGPIQL-OAHLLOKOSA-N 410.499 4.774 5 20 HJBD CCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@H](c1ccccc1)c1ccc(F)cc1 609304611 LWHYXAUDEYQVEJ-HSZRJFAPSA-N 408.429 4.751 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3noc([C@@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)cn2)n1 609475800 YGNWRLMKNINMCV-CQSZACIVSA-N 422.470 4.696 5 20 HJBD C[S@](=O)Cc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609762677 NMIPTNBZNUCCDA-LJAQVGFWSA-N 410.451 4.518 5 20 HJBD O=C(c1ccc2nc(C(F)F)[nH]c2c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609858940 UVEQUALVRWYKCV-UHFFFAOYSA-N 400.385 4.998 5 20 HJBD Cc1[nH]nc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1-c1cccc(Cl)c1 610354531 IHXBSCNQVUGHHC-UHFFFAOYSA-N 411.849 4.773 5 20 HJBD Cc1nc([C@@H]2CCCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)no1 611263284 NYVFOLUALURTOK-KRWDZBQOSA-N 402.838 4.927 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1C(=O)O 617725441 IVXAKCSDGDXKOY-UHFFFAOYSA-N 400.456 4.888 5 20 HJBD O=[N+]([O-])c1ccc(SCc2noc(Cc3ccc(Br)cc3)n2)c(F)c1 618964359 APJSCUDISSTNPA-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD Cc1c(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)cccc1[N+](=O)[O-] 726632229 CGEXLXZLFNOLES-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccccc3[N+](=O)[O-])c([N+](=O)[O-])c2)cc1 727431301 NXLHFXVTPDPXBS-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)sc1-c1ccccc1 734423539 QGXDEEGIOFJTJI-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@@H](OC(=O)C1(c2ccc(F)cc2)CCCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 744861891 KYHJTFOBRPNDQL-OAHLLOKOSA-N 414.433 4.506 5 20 HJBD C[C@@H](OC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1)c1cccc([N+](=O)[O-])c1 748936835 SGZOMQYOAMURQQ-GFCCVEGCSA-N 412.833 4.624 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 754956674 PIWFVYGYMGTDJU-HSZRJFAPSA-N 419.481 4.579 5 20 HJBD Cc1ccc2cccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)c2n1 754965714 BKNVDNNZQNUWLE-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 755358117 XDKGSCJQDBPQIF-HNNXBMFYSA-N 413.474 4.752 5 20 HJBD CCOC(=O)Nc1ccc(Br)cc1NCc1cccc([N+](=O)[O-])c1C 763369527 HRBDXEWEBFBZTM-UHFFFAOYSA-N 408.252 4.846 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(-c4ccc(F)c(F)c4)no3)sc2c1 767928854 TWJOBLDVZSBFNW-UHFFFAOYSA-N 406.395 4.825 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)c1cc(F)c(Cl)nc1Cl 773416485 RIUCYZDCHGZHFU-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD O=C(N[C@@H](c1cc(F)cc(Br)c1)C1CC1)c1cc(F)ccc1[N+](=O)[O-] 775958434 GWISUGFPBMYZAI-MRXNPFEDSA-N 411.202 4.517 5 20 HJBD O=C(Cc1c(F)cccc1Cl)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135855 SPDYWAVCNHRZNH-HXUWFJFHSA-N 400.793 4.658 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c2c1N(C(=O)c1csc([N+](=O)[O-])c1)CCC2 777780097 SBYQSSNSRJOSLQ-UHFFFAOYSA-N 417.487 4.905 5 20 HJBD O=[N+]([O-])c1ccc(CN(CCCOc2ccccc2)C2CCOCC2)cc1Cl 783676174 PANMMIGIZGKPKX-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784530976 ZRCWBECMYNMBCZ-CQSZACIVSA-N 415.371 4.792 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccccc1C(=O)Nc1ccc(Cl)cc1 798124506 XAWBIRLEUUHFBU-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD O=C(OCc1cnc(Cl)cn1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799552744 GQIDBMMZGKRQHD-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H](C)c2ccsc2)c([N+](=O)[O-])c1Br 800877999 SDJPZTXXBBZSNJ-SSDOTTSWSA-N 402.226 4.509 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)s1 810276554 SXDGVWDMASDRIF-UHFFFAOYSA-N 416.459 4.668 5 20 HJBD CC(C)(CCc1noc(-c2[nH]c3ccc(Br)cc3c2Cl)n1)[N+](=O)[O-] 812813639 MMDLMCZYJHBBKN-UHFFFAOYSA-N 413.659 4.622 5 20 HJBD O=[N+]([O-])c1cc2c(cc1-c1nc(-c3ccccc3OCc3ccccc3)no1)OCO2 904463878 DBDLWCAJHMOPLQ-UHFFFAOYSA-N 417.377 4.620 5 20 HJBD COc1ccc(-c2nnc(SCc3cc(F)ccc3[N+](=O)[O-])n2CC(C)C)cc1 915791726 GPNAYWYVZJIBAY-UHFFFAOYSA-N 416.478 4.949 5 20 HJBD COc1cc(C(=O)N2CCC(C3CCCCC3)CC2)c([N+](=O)[O-])cc1OC(F)F 916439379 JCNXIGONUPPPDC-UHFFFAOYSA-N 412.433 4.637 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(Cn1c(=O)oc2ccc([N+](=O)[O-])cc21)C1CC1 920306206 UUWQMBLQTPVNRT-OAHLLOKOSA-N 403.438 4.839 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(COc2ccc3oc4c(c3c2)CCCC4)o1 1117214102 AJKXSMXRPDWJSC-UHFFFAOYSA-N 421.409 4.761 5 20 HJBD CC(C)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)c(Cl)c1 1322144387 KMOVJOACVMFHKT-UHFFFAOYSA-N 408.285 4.905 5 20 HJBD CC(C)c1cccc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c1 11060684 IMYOLVKASLVHRB-UHFFFAOYSA-N 417.534 4.941 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1cccc(C)c1[N+](=O)[O-] 15647835 LZJHKMSMFXHZRY-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=C(COC(=O)[C@@H](Sc1ccccc1)c1ccccc1)Nc1ccccc1[N+](=O)[O-] 22705955 WCAIIZRHQDNWCU-NRFANRHFSA-N 422.462 4.610 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC[C@@H](C)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 31782452 RTAVRKZXVBLJCM-OAHLLOKOSA-N 404.470 4.610 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2cccc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 52932978 OJXJMUSHRFNTMW-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD CCCc1ccc([C@H](NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(C)C)cc1 61966831 XUKCELCSRPPTOG-LJQANCHMSA-N 417.893 4.653 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 111167582 PTDIYVLWEHTJAL-UHFFFAOYSA-N 419.441 4.670 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccnc(Oc3ccc(F)cc3)c2)c(Br)c1 301568506 WDPIXXKMANGVLF-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@H](c3ccccc3)C2)nc1OCc1ccccc1 302178703 PRMWNLKVYDCZQB-CTNGQTDRSA-N 405.454 4.901 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)c1 304065255 SOVBFKFJEPZDSM-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD Cc1cccc(C[C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)c1 426645353 ZOWNDDRGUVMMHM-QGZVFWFLSA-N 410.495 4.665 5 20 HJBD C[C@@H](CC(=O)c1cccs1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426959950 MZAWGWPWHZZEPL-HNNXBMFYSA-N 424.478 4.627 5 20 HJBD CC(C)(NCC(=O)Nc1ccccc1Oc1ccccc1)c1ccccc1[N+](=O)[O-] 429245387 JWUTVAKXPSPWKP-UHFFFAOYSA-N 405.454 4.851 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(OC(F)F)cc1 435874020 HCQUJBWILKKHFE-CYBMUJFWSA-N 408.404 4.774 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)C1 437111988 RXUXUAABHVUICN-OGWHTMIXSA-N 419.591 4.823 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437163773 IEHAVZHNCSZKJA-KDOFPFPSSA-N 408.376 4.511 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@@H]4CCCN4Cc4ccc(F)cc4)n3)c2c1 438224190 OJPXRLRFSAIDCB-FQEVSTJZSA-N 421.432 4.526 5 20 HJBD Cc1sc(CNC(=O)Cc2cccc([N+](=O)[O-])c2C)nc1-c1ccc(Cl)cc1 439113505 RFAKBNGGSSJOJE-UHFFFAOYSA-N 415.902 4.847 5 20 HJBD COc1cccc(CO[C@H](C)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440613813 RRANNRJSTUDUBN-GOSISDBHSA-N 420.465 4.742 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)[C@H](C)c1ccccc1 444097961 ORTAWUAAJBOOIA-UONOGXRCSA-N 406.432 4.882 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)co2)cc1 444128265 FAGDPNUUVIAFLS-UHFFFAOYSA-N 409.445 4.575 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cnn(-c3ccccc3)c2)no1 445430168 FUUCGRRXQNSOPB-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)N1CCC[C@H]1c1cccc2ccccc12 446893401 FNZXZWXORXEALL-NRFANRHFSA-N 415.453 4.671 5 20 HJBD CN(Cc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 447708161 QXLALQZJRMRQCC-UHFFFAOYSA-N 400.438 4.965 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1ccc(OC)cc1OC 462433486 ZQOUEBLTHVBVAN-LAUBAEHRSA-N 412.486 4.544 5 20 HJBD CCN(C(=O)NCCc1ccccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 466141460 KJEHTYIWZRKCQS-AWEZNQCLSA-N 409.408 4.949 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2cc(F)cc(Br)c2)cccc1[N+](=O)[O-] 466303316 RLOLUFVSTDTHKH-SFHVURJKSA-N 421.266 4.711 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 466843756 WAAFAUJVHHKRLY-INIZCTEOSA-N 400.500 4.635 5 20 HJBD O=C(Cc1cccc(C(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 468321642 QGKFDOPWUHVMGS-UHFFFAOYSA-N 402.319 4.666 5 20 HJBD COc1cc(OC)c2cc(CCCNC/C(C)=C\c3cccc([N+](=O)[O-])c3)[nH]c2c1 468745574 BPUWYLLACMPQTM-YBEGLDIGSA-N 409.486 4.719 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)cc1 470130741 FBIRWBLUYJMSII-INIZCTEOSA-N 408.227 4.865 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](OCc2ccccc2F)C1 470684415 UNRMIMTZZMVZGQ-NQIIRXRSSA-N 414.477 4.510 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)n1 477856363 QFORZDYFDDJCSR-CQSZACIVSA-N 411.483 4.664 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CN(C)C(=O)N2 478980278 KUFZXTFHCLIBPT-UHFFFAOYSA-N 414.487 4.717 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(NC(=O)C(C)(C)C)ccc2C)c1 480181973 SRNCBHYWQDGVSJ-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@](C)(C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 482580084 PMTPAAIKFICCFO-CWTRNNRKSA-N 422.407 4.624 5 20 HJBD CCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccc(Cl)cc1 482699374 UHUAANNRVKLFTP-UHFFFAOYSA-N 423.860 4.659 5 20 HJBD CCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnn1-c1ccccc1Cl 482713850 YJZOCOPLXWQNJU-UHFFFAOYSA-N 410.817 4.995 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC3(c4ccc(Cl)cc4)CC3)cc2)c(C)c1[N+](=O)[O-] 483086605 ZUKGBSMRMRWXCE-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)c1cccc(Cl)c1 486606810 QDDWOSDRRIWJOP-UHFFFAOYSA-N 422.934 4.694 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2cccc(OC)c2)CC1 486691148 YYVDVKNYFUZJQW-UHFFFAOYSA-N 400.500 4.735 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@H](Oc2ccccc2Cl)C1 487701410 PMKUFCNVMUKMTN-LBPRGKRZSA-N 414.767 4.561 5 20 HJBD CCC(=O)Nc1ccc(C)c(NCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c1 490363795 HODJQXNPOPSVIN-UHFFFAOYSA-N 423.416 4.516 5 20 HJBD Cc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 498607081 NTZXPCCMMHRRGB-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 498939225 FQYUBAVMTYYDHH-LBPRGKRZSA-N 406.385 4.571 5 20 HJBD COc1cc(CSCc2nc3ccccc3[nH]2)c([N+](=O)[O-])cc1OCC(F)F 502654249 OEOTWMHFIQUSNZ-UHFFFAOYSA-N 409.414 4.557 5 20 HJBD COc1cc(CN(C)Cc2csc(C)n2)ccc1OCc1ccc([N+](=O)[O-])cc1 506675115 KDBSDHHMEWYTOI-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C/C=C\c1ccccc1[N+](=O)[O-] 508591513 YMYVSGXTNXYMPE-KVICFZKQSA-N 413.355 4.824 5 20 HJBD Cc1cc(N(C)C)cc(C)c1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 511337541 LJGZHDZHRYROFZ-UHFFFAOYSA-N 406.280 4.601 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3)C2)nc2ccccc21 511469282 MNWALGCZIVKJPB-OAHLLOKOSA-N 410.449 4.684 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])c2)cn1 512933805 MGBYQJBVFILKRM-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD Cc1c([C@H](C)Nc2ccc3c(c2)N(CC(F)F)C(=O)CS3)cccc1[N+](=O)[O-] 516578547 YJKPQBQZWQVRJQ-LBPRGKRZSA-N 407.442 4.780 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ccc(OCc4cccnc4)cc3)no2)c1 517631734 YTZFVNPCUXHKIS-WDZFZDKYSA-N 400.394 4.789 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(CCN(CC)CC2CC2)CC1 521123993 NTSSRCOFSPTPSX-UHFFFAOYSA-N 402.539 4.523 5 20 HJBD CC(=O)Nc1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 524171391 ZRVOIBBZHMTZQH-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCc2ccccc2[N+](=O)[O-])c1 525141589 MKDOBDUCXRFPDW-UHFFFAOYSA-N 403.866 4.553 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N(C)Cc1cccc(C(F)(F)F)c1 532929745 VHYSISXFLJVYAY-LLVKDONJSA-N 415.799 4.726 5 20 HJBD CC(C)(C(=O)N[C@@H](CCc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 545828366 VUEZJNXBCSJAHX-KRWDZBQOSA-N 412.383 4.691 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@](F)(c2ccccc2F)C1 549329372 INBBXLOXUDZXMT-HXUWFJFHSA-N 415.421 4.568 5 20 HJBD CC(C)(NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 550978843 YEPAMBDFROCCOU-UHFFFAOYSA-N 415.799 4.730 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1cccc(Cl)c1F)c1ccc([N+](=O)[O-])cc1 552066005 VXZGZGOOPXCCPR-IBGZPJMESA-N 416.884 4.778 5 20 HJBD Cn1nccc1[C@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 569437620 PBDVXLXIAIHEPZ-INIZCTEOSA-N 421.457 4.532 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)c(NC(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 573185389 VETJDYHWNQLRIK-HNNXBMFYSA-N 421.428 4.657 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(Oc2ccc(F)cc2)cc1 603804539 ZBEWFMUJXOEXER-UHFFFAOYSA-N 409.417 4.868 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(c2ccccc2F)CC1 605474127 GWOMIMMZXCGDOK-UHFFFAOYSA-N 414.480 4.700 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H]2CCN(c3ccc(F)c(F)c3)C2)o1 608836512 OCVYRUCZIKVICS-OAHLLOKOSA-N 413.424 4.749 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)NC(=O)Cc3ccccc3[N+](=O)[O-])cc2)c1 609032973 IKRKRPBGTXKXJB-SFHVURJKSA-N 404.466 4.902 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1csc(Br)c1 609193785 GPYLCYHORXOEJX-UHFFFAOYSA-N 422.304 4.748 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccc2)cc1)c1csc([N+](=O)[O-])c1 609454476 NFQANHMECJAMLI-LJQANCHMSA-N 421.478 4.886 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1 609852818 RQURMDZLRNMFSL-UHFFFAOYSA-N 419.224 4.542 5 20 HJBD O=C(c1ccnc(OCC(F)(F)F)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 610175025 UBQMRSZCNOSMBT-UHFFFAOYSA-N 409.364 4.520 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1F 610226148 NDVLTIVIIBRJTP-UHFFFAOYSA-N 415.465 4.769 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 613019212 FLJILLJZQXKHFR-UTKZUKDTSA-N 414.477 4.638 5 20 HJBD C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO[C@H]1C1CC1 613439192 PKPKSSRBWVCRGQ-PZJWPPBQSA-N 404.532 4.565 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 726605506 FXYJXINGEKDHOS-INIZCTEOSA-N 415.490 4.592 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3ccc(OC(F)F)c(Cl)c3)[n+]2[O-])c1 731490096 QNWWPKYDBLDSDT-XQRVVYSFSA-N 419.771 4.715 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H]1c1ccc(F)c(F)c1 732973669 ZUSUEUUGCFUUQT-MEDUHNTESA-N 410.804 4.657 5 20 HJBD CSCC[C@@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 736348105 LOAHNULIQIQCCY-FZKQIMNGSA-N 407.923 4.659 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 742890748 WVSHAFSNYSRJMX-LULHVWEPSA-N 411.458 4.832 5 20 HJBD CS[C@@H]1CC[C@H](N[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 743484950 ULGQOFSOLCWYNA-ZSZQSSIHSA-N 419.934 4.802 5 20 HJBD O=C(OCCn1cc([N+](=O)[O-])cn1)c1sc2cc(C(F)(F)F)ccc2c1Cl 748121025 YEZJWPMSMZDNER-UHFFFAOYSA-N 419.768 4.535 5 20 HJBD CCOc1cc(CN2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 755000004 AZBAQKCIAMYUOY-NRFANRHFSA-N 404.894 4.593 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1cc(F)cc([N+](=O)[O-])c1Br 755010865 DSZOZJUXDZWLIA-UHFFFAOYSA-N 421.144 4.854 5 20 HJBD O=C(Nc1cnc(Cl)c(Br)c1)c1cc2cc([N+](=O)[O-])ccc2s1 759162772 ITWUKSCSQCKKSF-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1ccc(F)cc1 759455507 NGRATTTVJRASKT-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 762311023 YXBLQWCVFHZQDQ-XYOKQWHBSA-N 405.370 4.519 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])OCc1nc(-c2c(F)cccc2F)cs1 768011123 NNTAWZBKFHFZMI-UHFFFAOYSA-N 420.393 4.509 5 20 HJBD Cc1cccc([C@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 769304112 WBWFLVCTYVSKJQ-HXUWFJFHSA-N 408.479 4.518 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 769698720 AGWYRICWABQEKY-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD CCN(CC)Cc1cc([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1OC 775416641 VVLURKNSDXUSBF-HNNXBMFYSA-N 405.926 4.949 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nnc(-c3cccs3)n2-c2ccccc2)cc1 776272421 STDFVQPIGDOBBM-KRWDZBQOSA-N 424.507 4.730 5 20 HJBD O=C(N1CCCC[C@@H]1c1nc2ccccc2o1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778038591 BIVJOODGRMXPIL-MRXNPFEDSA-N 401.369 4.582 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CCO2)cc1 779229372 BCDHOEOUGMWUEV-YJBOKZPZSA-N 407.369 4.582 5 20 HJBD COc1cc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1SC 781259895 JJEQIKARYQIHFI-UHFFFAOYSA-N 405.475 4.769 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cccc(C2SCCS2)c1 781577799 BOOBNOCTQKNGDI-UHFFFAOYSA-N 419.484 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 782837247 ITCJBGSJHOEUPP-IBGZPJMESA-N 403.866 4.534 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@H]1c1ccc(Cl)s1 783633364 OBGLIYKYQBJGFL-ZIAGYGMSSA-N 404.831 4.812 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 784257352 MZVQRSKJTDOVSI-UHFFFAOYSA-N 407.879 4.716 5 20 HJBD C[C@H](Nc1ccccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1ccccc1 799929346 UFRFVGSIZXZYKM-AWEZNQCLSA-N 415.446 4.708 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)N(CC2CC2)c2ccccc2)c(Cl)cc1Cl 809825495 PGYAPQGPBKPPNQ-UHFFFAOYSA-N 401.271 4.507 5 20 HJBD CCN(CC)C(=O)CCC1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809974046 JRQXNNQOATVBDU-UHFFFAOYSA-N 416.349 4.762 5 20 HJBD C[C@H](c1ccncc1)N1CCC(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 811484844 NAJPIUGJWHFAEN-CYBMUJFWSA-N 409.317 4.612 5 20 HJBD Nc1c(Cl)cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1Cl 916114631 QRZHNUADTBUZCH-UHFFFAOYSA-N 424.162 4.677 5 20 HJBD C[C@@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)CCC(=O)N2 917079543 QNUMBGNXPAMLDP-SECBINFHSA-N 424.335 4.580 5 20 HJBD COc1cc(C(=O)Oc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCc1cscn1 919971722 CKSZTFUJHIYEPR-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1csc(Cc2ccc(Cl)cc2)n1 1321310599 NXRDEUYIPGWDNL-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1-c1nnc(-c2nn(C(C)C)c(=O)c3ccccc23)o1 1322771826 KRQPYVNAAUFDIJ-UHFFFAOYSA-N 419.441 4.528 5 20 HJBD Cc1ccc(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)cc1 1785749569 DVXVDDOZKHWNMK-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD O=C1c2ccccc2N[C@H](c2cccn2-c2ccc([N+](=O)[O-])cc2)N1Cc1ccco1 7113048 LFBKNISIDCQCTJ-QFIPXVFZSA-N 414.421 4.745 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 29848826 KIOZNOMIYUWUDM-JOCHJYFZSA-N 404.491 4.861 5 20 HJBD C[C@H](OC(=O)c1[nH]c2ccccc2c1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 46767472 HUJBITIUJPABTF-JTQLQIEISA-N 412.789 4.698 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 60348719 FPSAWUZRGWIVJZ-OAHLLOKOSA-N 401.488 4.706 5 20 HJBD O=C(Nc1cccc(Cn2cccn2)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107790092 WNNURSNFBVEFAA-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)c1 145732176 BAEIIZVMSMZCKC-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD NC(=O)Cc1ccc(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cc1 237896468 AVQWFBULFZFDTN-UHFFFAOYSA-N 411.845 4.680 5 20 HJBD COc1ccc(N(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C(C)C)cc1F 303165123 UQNTVUMDCJVOKS-UHFFFAOYSA-N 415.446 4.921 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 427390256 KWYGQHUQARIUHX-GFCCVEGCSA-N 401.875 4.940 5 20 HJBD Cc1cn(C2CCCCC2)nc1NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 434205859 HUEYTOYUQJZCLX-UHFFFAOYSA-N 411.384 5.268 5 20 HJBD COc1cccc(C(=O)N[C@@H](C)c2cccc(OCc3ccccc3)c2)c1[N+](=O)[O-] 435969292 SKZRHCLXUQLRJK-INIZCTEOSA-N 406.438 4.673 5 20 HJBD Cc1cccc(C(C)(C)CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436239568 LXNGEQQGYHRNFK-UHFFFAOYSA-N 402.469 4.836 5 20 HJBD O=C(NCCc1ccccc1Cl)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436321438 ZTGIZACZAMJMMC-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD CSc1cccc(N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436364796 ICYCKKLXZJORMD-UHFFFAOYSA-N 410.445 4.958 5 20 HJBD COc1ccc(Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])cc1Oc1ccc(C)nn1 436388492 MOLSKJRPWUHAPV-UHFFFAOYSA-N 421.335 4.652 5 20 HJBD Cc1cc(C)c(-c2cc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])n[nH]2)cc1C 439119827 PCJRQJMENKBCHN-UHFFFAOYSA-N 418.375 5.181 5 20 HJBD Cc1ccccc1[C@H]([C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N(C)C 443005609 WTDZBZZAVDHCQF-IERDGZPVSA-N 410.543 4.808 5 20 HJBD CCOc1ccc(C2(CN[C@H]3CCCc4ccc([N+](=O)[O-])cc43)CCOCC2)cc1 447534866 AMWYZLHPFJWMGJ-QHCPKHFHSA-N 410.514 4.709 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)cc1[N+](=O)[O-] 463700178 VAFAHNHSCVHAIX-INIZCTEOSA-N 419.485 4.986 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1C 465349765 JNOZYRIMFCDMKI-UHFFFAOYSA-N 414.483 4.923 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CC[C@@]2(CCOC2)C1 466524941 GTVDXVLUHGKPSG-HXUWFJFHSA-N 418.902 4.652 5 20 HJBD C[C@H](O)C[C@@H](C)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467791643 ZCSCZPJIYDSZJH-OLZOCXBDSA-N 408.907 4.536 5 20 HJBD CC[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ncc[nH]1 470699632 RFVPDHXTDPAEGM-HNNXBMFYSA-N 400.822 4.645 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(c1ccc3cc(Br)c(F)cc3n1)CC2 472393993 DRNHAYXVCWFXKJ-UHFFFAOYSA-N 402.223 4.607 5 20 HJBD COc1cc(C(=O)N2CC=C(c3c[nH]c4cc(C)ccc34)CC2)cc([N+](=O)[O-])c1C 478677421 VGOPJOYKASWVSU-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(NC(=O)c3cccs3)c2)cccc1[N+](=O)[O-] 480063048 VXQZNHPBWPRJJV-UHFFFAOYSA-N 410.455 4.539 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 483339372 ITUULTACFGNWKV-KHYOSLBOSA-N 410.449 4.846 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc(Cl)c1 484593391 RLNQSEWBBOMUIB-UHFFFAOYSA-N 401.271 4.892 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)c(C)c1 488784264 QEHYZSZDQLOSJM-CQSZACIVSA-N 412.471 4.689 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2cccc(F)c2)c(F)c1 491331777 HUTIQCOYGWBUCR-UHFFFAOYSA-N 413.380 4.774 5 20 HJBD CCOc1cc(CSc2nnc(COc3cccc(C)c3)o2)ccc1[N+](=O)[O-] 492057928 BWRULIWMQIBNDY-UHFFFAOYSA-N 401.444 4.556 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nnc(C3CCC3)n2c1 494077689 ICPIVXWWECFYQB-UHFFFAOYSA-N 411.487 4.659 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1 499624423 HVEFCZLPWMHZFS-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 500874715 ZDJRMMNCVGHOEV-CYBMUJFWSA-N 421.482 4.736 5 20 HJBD O=C(Nc1cc(F)ccc1OC[C@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 502308999 JFPKPNVVMOZEDD-OAHLLOKOSA-N 416.430 4.759 5 20 HJBD CN(c1ccc(Oc2ccccc2)cc1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 503424968 YBJTYDFWNJLRTM-UHFFFAOYSA-N 418.858 4.866 5 20 HJBD COc1cc(C)c(CN(C)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 506055692 MTNCCAZDZPPWDY-UHFFFAOYSA-N 413.499 4.676 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1 507167817 OBEPJLGJONFOTG-UHFFFAOYSA-N 414.462 4.507 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(C2CC2)n1Cc1ccco1 511063487 HQWWHPMDOYFIHV-UHFFFAOYSA-N 414.487 4.785 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)N2CCCC[C@H]2C)c(Cl)c1 511100842 XNYFSGOIIAXNOD-CQSZACIVSA-N 417.893 4.884 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)ccc1OCCC(C)C 511884497 LQSMBMFLUYKIPB-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 513014880 NZFFURXESYNXQT-CQSZACIVSA-N 423.391 4.693 5 20 HJBD CCCOc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1Cl 515447950 KRTZINLXRLCVRM-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD CSc1nc(C)c(CCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c(C)n1 521074563 ICWFWFBSJINWBS-UHFFFAOYSA-N 414.531 4.632 5 20 HJBD CCCn1ccc2cc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)ccc21 522784145 ZGALEYXZASPPJP-UHFFFAOYSA-N 403.442 4.612 5 20 HJBD Cc1c(CS(C)(=O)=O)cccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 524200209 CBZUMXJTLKUTPH-UHFFFAOYSA-N 416.524 4.788 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 524388493 GTFUAUORQONBDS-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CC(C)(C)CNC(=O)c1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 525046752 KSXXZKNWAYHYQW-UHFFFAOYSA-N 423.513 4.960 5 20 HJBD C[C@H]1CCC[C@H](C)N(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 525567687 AXLKLAAVFZNWEX-RYUDHWBXSA-N 411.289 4.738 5 20 HJBD Cc1ccccc1[C@@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])CCO1 525705732 PEYAMDXDVBBBCW-QHCPKHFHSA-N 402.450 4.712 5 20 HJBD Cc1nc2cc(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2n1C 525799059 BHWMLAZJHOWENT-UHFFFAOYSA-N 424.404 4.540 5 20 HJBD COc1cc(CN(C)Cc2cccc(O)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 532502160 GUCRSQDZSIYPCU-UHFFFAOYSA-N 408.454 4.520 5 20 HJBD CN(C(=O)[C@H]1C[C@H]1c1ccc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151271 ZAXBFAZFNYCCJI-GJZGRUSLSA-N 415.421 4.763 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 537577767 NJUYNNNECOGBKU-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 539358841 GUUJQHCCPVXPFO-SJORKVTESA-N 419.481 4.948 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(S(=O)(=O)c3ccc(Cl)c4ccccc34)c2)c1 539768201 NRRVWPVHAGGGIM-UHFFFAOYSA-N 413.842 4.502 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 541509860 OWSTZTVNOUYEQG-VLIAUNLRSA-N 423.494 4.950 5 20 HJBD CCc1ccc([C@H](N[C@@H](C)c2ccc(NC(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 543403262 UACZONINXPESOO-LHSJRXKWSA-N 408.458 4.681 5 20 HJBD CC1CCN(c2ccc(-c3nc(COCc4ccccc4)no3)cc2[N+](=O)[O-])CC1 545648289 XBUZATRFYFIHDG-UHFFFAOYSA-N 408.458 4.598 5 20 HJBD Cc1cc(Cc2noc(-c3cccc(OCc4cccnc4)c3)n2)ccc1[N+](=O)[O-] 547266230 KCQWVWQDGOSXHZ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD COc1cc(C(=O)N[C@H]2CSC[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 560761049 VJFRGEZHIHMWHN-FZMZJTMJSA-N 422.890 4.530 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 563987195 XOEGFGYRTBGALO-SXGZJXTBSA-N 400.450 4.846 5 20 HJBD COc1ccc(CC(=O)Nc2ncc(-c3ccc(Cl)cc3)s2)cc1[N+](=O)[O-] 567436880 DLCWBRXBWWZMRR-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1csc(-c2cccc(NC(=O)NCCc3c[nH]c4ccc([N+](=O)[O-])cc34)c2)n1 576859308 VOBJWEXEYLALSN-UHFFFAOYSA-N 421.482 4.872 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc2cc(Br)ccc2c1 577012308 SXCKDJLCVNGOTH-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD Cc1c(NC(=O)NCCc2c[nH]c3cccc(Br)c23)cccc1[N+](=O)[O-] 582454569 FWTYDPCNQYPXLG-UHFFFAOYSA-N 417.263 4.511 5 20 HJBD Cc1ccc(Sc2ccc(/C=C\c3nc(O)c4cnn(C)c4n3)cc2[N+](=O)[O-])cc1 603942270 ZZOAHQOXVCCLTB-POHAHGRESA-N 419.466 4.607 5 20 HJBD COc1ccc([C@@H](N[C@@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604478616 BMTBYNGLPMIBGB-XDHUDOTRSA-N 419.481 4.700 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3ccc4ccc(C(F)(F)F)nc4c3)cc2N1 608779001 ZNZKAVHTZYBQQQ-UHFFFAOYSA-N 403.316 4.839 5 20 HJBD C[C@@H](NC(=O)N(CCc1ccccc1)Cc1ccncc1)c1cccc([N+](=O)[O-])c1 608893462 YWCQQFCCQGSGPE-GOSISDBHSA-N 404.470 4.505 5 20 HJBD CCc1noc(-c2ccc(CCNCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)n1 609223715 LFNOHBQTFUGTOM-UHFFFAOYSA-N 418.453 4.800 5 20 HJBD COc1ccc(-c2csc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1F 610037212 KZRKSEDYWNITNO-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD COc1ccc2c(c1)OCC[C@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 610234141 ISUWKRBSCPWMNU-HXUWFJFHSA-N 420.421 4.649 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cnn(CC4CC4)c3)o2)c(Br)c1 611183177 WNFWIZKDZQTSTG-UHFFFAOYSA-N 417.263 4.836 5 20 HJBD Cc1cccn2cc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)nc12 611205054 SFDHNMJHKZJFEY-UHFFFAOYSA-N 410.499 4.516 5 20 HJBD COc1ccccc1C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 612489843 ZYIGURQYYLDXEZ-HXUWFJFHSA-N 409.486 4.658 5 20 HJBD COc1ccc(CSCc2nc([C@H]3CCc4ccccc4C3)no2)cc1[N+](=O)[O-] 612951097 ALPFJJXJCRICJC-KRWDZBQOSA-N 411.483 4.692 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 619638140 PKSOYJWODSAVGY-GOSISDBHSA-N 409.446 4.547 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3ccc4ccccc4n3)no2)c2ccccc12 680689662 MDSWVWDOFMQCNA-UHFFFAOYSA-N 418.456 4.725 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c(F)c1 726594941 PIMZWOHUEMJKQO-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc(Oc2ccc(C)cn2)cc1 733322515 CHJNZKYKTGTOSE-HNNXBMFYSA-N 422.441 4.538 5 20 HJBD Cc1ccc(NC(=O)[C@H](NC(=O)OC(C)(C)C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 740812286 BHIGNNJWRIHVJE-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OCC(F)(F)F)cc1 742469618 DXZIUJUNVGPMIQ-UHFFFAOYSA-N 411.336 4.575 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1cc([N+](=O)[O-])ccc1Br 751109657 AIXBIOOFLCSHJL-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC[C@@H]1c1ccc(Cl)cc1 755011165 HNWKIQIGQHDQCU-CYBMUJFWSA-N 413.630 4.737 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)cc2Cl)o1 757958143 MGZKCTGLFOFOPN-VIFPVBQESA-N 408.197 4.870 5 20 HJBD Cc1nnc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1[C@H]1CCC[C@@H](C)C1 758166397 WEWKYVUHBZJOLG-JMSVASOKSA-N 421.498 4.504 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1 758487109 VYONWKQZVZYXBO-UHFFFAOYSA-N 410.401 4.773 5 20 HJBD Cc1ccc(-c2csc(NC(=O)/C=C\c3ccc(Cl)nc3)n2)cc1[N+](=O)[O-] 759779775 GSMFFWXGRMWASU-DAXSKMNVSA-N 400.847 4.727 5 20 HJBD CC(=O)c1cc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n(C)c1 761857371 HYMXKTIHMBOBFB-UHFFFAOYSA-N 404.382 4.645 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3ccc(C(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 763744018 ZXLKZLVCCRSLGS-UHFFFAOYSA-N 406.486 4.856 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 772927225 DOMPCHJUOIHAEN-KDOFPFPSSA-N 407.829 4.507 5 20 HJBD Cc1ccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1NC(=O)c1ccccc1 773994632 AJBNBNVLCUVVFB-UHFFFAOYSA-N 415.449 4.667 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1C(F)(F)F 776219086 WDROSAKQHHPLAF-SFHVURJKSA-N 402.328 4.955 5 20 HJBD CC(C)(C)[S@@](=O)Cc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 777216449 QSYWPIRQUDNLJN-LJAQVGFWSA-N 415.559 4.723 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CC2(CCC2)Oc2ccccc21 784069675 NRHLZZRIPFQUKG-OAHLLOKOSA-N 418.902 4.903 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc(N2CCCCC2)cc1 788504514 OJJWSKDVTHQYPN-AWEZNQCLSA-N 403.479 4.844 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)nc2)cc1Cl 791879669 BPMMFZMOOJDFDV-UHFFFAOYSA-N 419.771 4.849 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OC[C@H](OC(C)C)c2ccccc2)cc1SC 795389349 NQYRVIOCOIDUQJ-SFHVURJKSA-N 405.472 4.648 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 806362020 OPEKGPRPIFKVIS-UHFFFAOYSA-N 414.845 4.707 5 20 HJBD O=C(c1cc(=O)c2ccc(F)cc2o1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 808322765 SIEVCPBAMLSFKG-UHFFFAOYSA-N 416.792 4.551 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](O)c3ccc(C(F)(F)F)cc3)o2)c1 808426924 ZDBJYCQHMPWCOG-SFHVURJKSA-N 406.360 4.697 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])OCc1cccc2cccnc12 808947436 HBGPENRWQSODHE-UHFFFAOYSA-N 419.359 4.707 5 20 HJBD Cc1cc(Cc2noc([C@@H](NC(=O)OCc3ccccc3)C(C)C)n2)ccc1[N+](=O)[O-] 809433721 PPYLYQASXXYZHG-FQEVSTJZSA-N 424.457 4.501 5 20 HJBD Cc1cccc2[nH]cc(CC(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c12 811215913 BESYHHMZRKPOHL-UHFFFAOYSA-N 407.451 4.794 5 20 HJBD CSc1ccc2cc(Cn3cc([N+](=O)[O-])c(=O)c4ccccc43)c(Cl)nc2c1 813578888 KIBBSXUSCUVDMZ-UHFFFAOYSA-N 411.870 4.882 5 20 HJBD Cc1nccnc1CCOC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 816236352 ZXFDZSSBGKDFPA-UHFFFAOYSA-N 413.817 4.538 5 20 HJBD CN1CCC(N(C)c2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)CC1 864019931 DIZYURHEVRANMN-UHFFFAOYSA-N 422.451 4.756 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cccc(Cl)c1)c1ccc([N+](=O)[O-])s1 912605641 HIWPFTMKWINAAE-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCCc1nc2ccccc2s1 918530514 MKYMVAIBMBOVPN-CYBMUJFWSA-N 402.497 4.861 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@H](C)c3ccc(F)cc3F)cc2)c(C)c1[N+](=O)[O-] 918764455 HWSCOOGHCBMHPR-CQSZACIVSA-N 415.396 4.653 5 20 HJBD Cc1c(COC(=O)c2ccc3c(c2)C[C@H](c2ccccc2)OC3=O)cccc1[N+](=O)[O-] 918887074 HORJODJTJQHVCX-JOCHJYFZSA-N 417.417 4.714 5 20 HJBD CCCN(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)[C@H](C)c1cc2ccccc2o1 919765477 CHIXHETXTWJOPS-OAHLLOKOSA-N 406.442 4.691 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OS(=O)(=O)c1cccc2cnccc12 920316525 BKZHUBMHNCXREG-UHFFFAOYSA-N 406.419 4.578 5 20 HJBD CCOc1ccc2c(c1)CN(C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CC2 1325711017 ZQUIVFKDAPZNTE-UHFFFAOYSA-N 409.364 4.603 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c(Cl)n1 1338400109 PGHVYRNIPCDSCX-BETUJISGSA-N 402.882 4.686 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)ccc1[N+](=O)[O-] 5635477 HAMQSMJDLNVOSQ-INIZCTEOSA-N 404.422 4.754 5 20 HJBD COC(=O)c1cc(C(=O)Nc2nc(-c3cc4ccccc4o3)cs2)cc([N+](=O)[O-])c1 9872676 BPPRFULIEPMHHD-UHFFFAOYSA-N 423.406 4.503 5 20 HJBD O=C(CSc1ncnc2scc(-c3ccccc3)c12)Nc1cccc([N+](=O)[O-])c1 15277167 NEWXDHFVZCQQAJ-UHFFFAOYSA-N 422.491 4.997 5 20 HJBD Cc1nc2ccccc2nc1S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 28190932 NIJQBTADFNMGCE-NSHDSACASA-N 402.863 4.619 5 20 HJBD C[C@@H](Sc1ccc(Br)cn1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 52914798 WKALIHSXMPPDMT-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)CCCOc1ccc(Cl)cc1 53681335 ZGVIHDOCURBMQE-CQSZACIVSA-N 416.865 4.760 5 20 HJBD C[C@@H](Cc1cccs1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 64861912 UTFRPYBMROCYIJ-LSDHHAIUSA-N 410.499 4.585 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CCN(C(=O)c2cccc3ccccc23)CC1 105120480 LBYJAPVVIORABG-UHFFFAOYSA-N 417.465 4.547 5 20 HJBD Cc1cc(NC(=O)CSCc2cccc(Cl)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 105525496 QKDLHJTXBKWITB-UHFFFAOYSA-N 416.890 4.614 5 20 HJBD CSc1ccc(Cl)c(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)c1 105530272 PZIRPMAUMLEXLD-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 108784559 HBICXOBMVJTGJI-YCRPNKLZSA-N 409.490 4.567 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(Br)cc1Br 219573813 PHTULQYLLJBKIK-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cn(-c3ccccc3)nc2-c2ccc(F)cc2)cs1 237920410 ZNUVDKMYGRFGSX-UHFFFAOYSA-N 408.458 4.938 5 20 HJBD Cc1cc(N2CCc3c(cccc3NC(=O)Nc3ccccc3)C2)ncc1[N+](=O)[O-] 301420065 DSGCIVAWKIDKJH-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1Cl 303473285 ODBBAXVDYIJCOZ-UHFFFAOYSA-N 401.806 4.543 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)cc1SC 410361686 VSTMQBLZFLIJMJ-JEOXALJRSA-N 406.891 4.644 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@@H]3c3ccc(F)cc3F)n2)cc1 432006886 MQLKUCULEHTPBB-GOSISDBHSA-N 400.385 4.650 5 20 HJBD CN(C)[C@@H]1CCCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 432791144 FFZJSIGCHGCKPG-QGZVFWFLSA-N 403.910 4.957 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC(C(=O)c2ccc(F)cc2)CC1 434680324 IHHACTKTMSTBST-UHFFFAOYSA-N 400.450 4.616 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)n1 436099219 FFAMTMOLCZPLAI-UHFFFAOYSA-N 406.445 4.699 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437359278 PLAYCATUGWLQNW-OAHLLOKOSA-N 407.373 4.590 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 439934842 SPVIWTLANNVSGY-NSHDSACASA-N 419.388 4.621 5 20 HJBD COc1cccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1OC 440420202 GIUGMXYGLYAQIQ-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD C[C@H](NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1)c1ccc(Br)s1 440866176 QRANUBGWBYWERL-ZETCQYMHSA-N 421.219 4.511 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1scnc1-c1ccccc1 445801135 CZVFLVLGWSJGGW-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD CCCNC(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(C)C)cc1Cl 462223260 ICJHHQIVXHVTTM-UHFFFAOYSA-N 405.882 4.787 5 20 HJBD O=C1c2cc(Cl)ccc2N[C@H](/C=C\c2ccccc2[N+](=O)[O-])N1c1ccncc1 463013718 SWHNAQWMFLEGIF-VORVDVFJSA-N 406.829 4.755 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463633052 BGJCBAVYWDDNDL-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)Cc2cccc(Cl)c2)cc1OC 465014282 BQOCMCRKEWWRSL-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)nc2)cc1Cl 469875545 WOFPZSXXSFGNBW-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD COCCN(C(=O)C[C@H]1CCC[C@@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 469918004 MQHFRVMUYPNVFU-GOEBONIOSA-N 403.504 4.524 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 473921019 UEBAENILALUQJH-LBPRGKRZSA-N 408.389 4.618 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccccc2F)C1)c1sc(Br)cc1[N+](=O)[O-] 482867450 OBJNLWRXWDFGLU-VHSXEESVSA-N 413.268 4.624 5 20 HJBD CCc1cc(C)cc(CC)c1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 484873061 UBFLIMCBRUUETE-UHFFFAOYSA-N 402.516 4.909 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1F 485397385 VLSGLDUIGABBBS-OAQYLSRUSA-N 421.407 4.870 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccc3cc([N+](=O)[O-])ccc3n2)CC1)c1ccccc1 485830099 DGMKDLZRYYCFDD-OAQYLSRUSA-N 418.497 4.740 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncoc1-c1ccccc1 490274812 PSAQFBOTSOSCKO-UHFFFAOYSA-N 411.483 4.928 5 20 HJBD O=C(c1csc(CCc2ccccc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493161922 VKZJNOUXLYLEFP-UHFFFAOYSA-N 407.495 4.641 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 493208330 FHTVEBHATYFYNT-ORAYPTAESA-N 421.856 4.540 5 20 HJBD Cc1c(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cccc1C(=O)N1CCCC1 499588740 VMLZILXTXQFHFM-UHFFFAOYSA-N 409.486 4.689 5 20 HJBD C[C@@H](NC(=O)CCSc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 503963900 WWZFWOCLDXAVBT-GFCCVEGCSA-N 409.305 4.717 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@H]1CCCOC1 504861036 GZTALXDEYIHWHO-KBPBESRZSA-N 420.918 4.944 5 20 HJBD CCc1cc(=N[C@@H](c2ccccc2)c2ccccn2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 507118366 XYLYNUSTWQDLKJ-QHCPKHFHSA-N 411.465 4.633 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)Nc3cc([N+](=O)[O-])ccc3C)c2)cc1 511299087 XHTSZPKJVIDRSN-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NC1CC(c2ccc(F)c(Cl)c2)C1 512596036 ABUALSUCXWNHOU-UHFFFAOYSA-N 405.857 4.517 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@H]3CCO[C@@H](C(C)C)C3)n2)cc1[N+](=O)[O-] 514151264 JGMNKNSPPCTTPB-KBXCAEBGSA-N 403.504 4.807 5 20 HJBD O=[N+]([O-])c1cccc(OCCSc2nnc(-c3ccccc3)n2Cc2ccco2)c1 514563294 KTVZQMILXVMZMZ-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@H]2CCCC[C@H]21 516111464 UKRLWBIGVFYYFY-INWMFGNUSA-N 400.397 4.577 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 516339160 ONTQFVJFKLCPOG-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD CCc1ccc(N(C(C)=O)c2nc(CSc3ncccc3[N+](=O)[O-])cs2)cc1 518525213 MBJHNAIFHMRRMW-UHFFFAOYSA-N 414.512 4.986 5 20 HJBD Cc1cccc(COc2ccc(NS(=O)(=O)c3ccccc3[N+](=O)[O-])c(C)c2)c1 521541923 PXJCRYMEZXGYGJ-UHFFFAOYSA-N 412.467 4.591 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 521587956 LMYFSELBFWPZMB-CYBMUJFWSA-N 407.392 4.778 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Br)ccc1Cl 524054820 ZISANPMGCCDAHP-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD Cc1nc2cc(NC(=O)c3ccc(N4C[C@@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)ccc2n1C 525217416 MPNZORVVRVORHJ-GASCZTMLSA-N 421.501 4.525 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 532244164 VVOJKNKUMNDJFD-UZUQRXQVSA-N 424.522 4.747 5 20 HJBD COc1ccccc1CC1CCN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)CC1 533264561 HRVUGCSQKFBFQT-UHFFFAOYSA-N 421.497 4.756 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCn4cccc4[C@H]3c3ccccc3)o2)c1 533495212 DFJXAIMDNJYCKA-XMMPIXPASA-N 414.465 4.699 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(C)C)cc1C 534274958 VKGBOJIRLQJPIK-UHFFFAOYSA-N 404.488 4.681 5 20 HJBD Cc1ccc([C@@H](C)NC[C@H](O)COC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 538278849 GCTPQLPHWLEJRQ-XXBNENTESA-N 420.509 4.721 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](Cc3ccccc3)c3ccccc3F)n2)c1 540440869 QBBUWEAJAAUCKZ-NRFANRHFSA-N 418.428 4.858 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)[C@@H](C)OC)c1 540729853 DUCHJPQARRUAAM-CABCVRRESA-N 403.504 4.551 5 20 HJBD C[C@@H](C(=O)N(C)CCCc1cc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 541602760 VQLYYMXBCULWPA-OAHLLOKOSA-N 411.433 4.584 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@](=O)Cc4ccccc4)c3)c12 543437240 HFXVUTCQSBVRRC-SSEXGKCCSA-N 418.478 4.731 5 20 HJBD Cc1c(Cc2nc(Cc3nc4cc(Cl)ccc4s3)no2)cccc1[N+](=O)[O-] 545579601 CYXHMJVJHQJGGL-UHFFFAOYSA-N 400.847 4.731 5 20 HJBD CC(=O)N1CCc2c(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)cccc21 546013636 XWBIFBUXPHNIDZ-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD CC(C)(C)c1nc(Cc2noc(CCOc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 547215316 JXILNCNRCGIRPD-UHFFFAOYSA-N 422.894 4.598 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 547373893 NVXSYWIQKGCRNP-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD COc1c(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(Cl)cc1C(=O)N(C)C 551817218 OIANPDMZZRLIDK-GOSISDBHSA-N 417.893 4.793 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(C(=O)N2CCCC[C@H]2C)c(Cl)c1 556078683 YTGRVWIQOCHXKZ-CQSZACIVSA-N 415.877 4.824 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2c1 560719446 BMVFRCARZCNDSF-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD CC(C)(c1nc([C@@H]2CSc3ccccc3O2)no1)c1ccc([N+](=O)[O-])cc1F 561927207 RFODTBGZJNRDPH-HNNXBMFYSA-N 401.419 4.669 5 20 HJBD CC(=O)Nc1ccc(-c2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1C(F)(F)F 566882284 NXCNJPYLQMOAJN-SNVBAGLBSA-N 420.347 4.774 5 20 HJBD O=C(NC[C@H]1COc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 574117238 MRGQJWBMZURMHM-HNNXBMFYSA-N 405.410 4.685 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 576896171 QQPATWLVCYNEJV-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCc2c(F)cc(F)cc21 578692785 GKWFUIMYAIYTJL-UHFFFAOYSA-N 409.392 4.688 5 20 HJBD Cn1cc(CNCc2nc(-c3ccccc3F)cs2)c(-c2ccc([N+](=O)[O-])cc2)n1 589513087 WLGCGFFZMCKJRC-UHFFFAOYSA-N 423.473 4.548 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3[nH]c4ccc(Br)cc4c3C2)c2ccncc12 603849954 XOQBPIBIQDSGOI-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3ccc(C)cc3C)s2)cc1[N+](=O)[O-] 604449564 JLTAVDAJGMCKLP-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD COc1ccc(CCNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1OC(F)F 608837266 RJPZFSFYKQWUBF-UHFFFAOYSA-N 418.396 4.797 5 20 HJBD COc1cc(OCC(=O)Nc2cc(F)ccc2Oc2ccccc2)ccc1[N+](=O)[O-] 609022783 NOAJVPQYFCPQKF-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 609500742 RYLSOCKEUFFWTM-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1ncc(C(F)(F)F)cc1Cl 618965026 PTDJJHZUBHMGCV-UHFFFAOYSA-N 409.748 4.532 5 20 HJBD COc1ccc(CNC(=S)N[C@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 726471857 GHZRGWDCSUWWHA-SNVBAGLBSA-N 414.314 4.636 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1F 729659230 PHQPEBUBDNECEP-JTQLQIEISA-N 403.410 4.796 5 20 HJBD COc1ccc(C(=O)O[C@@H](C)c2sc(Cc3ccccc3)nc2C)cc1[N+](=O)[O-] 739589969 AZGDDTCJBJJRSA-AWEZNQCLSA-N 412.467 4.877 5 20 HJBD C[C@H](Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1nc(C2CC2)no1 742350994 IAEJWHAWKSYFED-LBPRGKRZSA-N 419.393 4.769 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745064840 WEHBFXGNVZFYKC-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD C[C@@H](OC(=O)c1c[nH]cc1-c1ccc(F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745268729 QRQNODXZDVKJRN-GFCCVEGCSA-N 422.372 4.697 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745344930 CWDOSYFGRAXZIX-COLVAYQJSA-N 423.252 4.576 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1 746074546 FQZGFRGVSJAMFD-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD CC(C)OC(=O)CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746220001 CRBYVTKKTWHSQR-UHFFFAOYSA-N 401.462 4.615 5 20 HJBD CN(C[C@H](O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCSc2ccc(Br)cc21 750068946 WAWNXDAECVMRGG-SJORKVTESA-N 423.332 4.560 5 20 HJBD Cc1ccc(CN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C2CC2)o1 750841860 TVAARXYUPRPSRW-UHFFFAOYSA-N 413.655 4.717 5 20 HJBD COc1cc(C(=O)OCC(=O)C2CCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 754067113 LRQLJJXKXBCDKL-UHFFFAOYSA-N 419.817 4.575 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCc2c(Cl)ccc(F)c21 758313664 NVXIOOBJFHMHLQ-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 761501044 DETHXTNKFBMRQD-LBPRGKRZSA-N 408.389 4.823 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1)c1ccccc1 762937181 KWTXUTORINIUOB-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1cccnc1)c1ccc(Cl)cc1 763408368 NSUYNRNZQLNWCT-UHFFFAOYSA-N 403.772 4.768 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764216867 MHMXRQDLEDAYHR-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 768626922 SKVJRMZNYPPOKF-CYBMUJFWSA-N 403.360 4.725 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1SCCc2ccccc21 776220275 KAMFKFKAHBMPED-RTWAWAEBSA-N 406.463 4.653 5 20 HJBD COCC(=O)N1CCc2cc(NC(=O)c3c4ccccc4cc4ccccc34)ccc21 777997601 WDJDAOZOICNVNT-UHFFFAOYSA-N 410.473 4.781 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1ccc([N+](=O)[O-])cc1Cl 778089044 YEKYJJGVVBRXKN-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)cn1)c1cc(F)c([N+](=O)[O-])cc1F 778139851 HIBFNWLQTYWACM-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)Sc1ccc([C@H](C)NCCC(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1 782529185 HFOVXCUQENQVHW-AWEZNQCLSA-N 405.495 4.914 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1ccc(SC(C)(C)C)cc1 784258904 LWDHIILWSWWIPS-UHFFFAOYSA-N 401.488 4.679 5 20 HJBD C[C@@H](OC(=O)C[C@@H](O)c1ccc(OCc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 784631708 YDFJIYAVZYXHNJ-UZUQRXQVSA-N 421.449 4.902 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)s1 790457488 XOYAORLLSBOWSL-CQSZACIVSA-N 400.435 4.688 5 20 HJBD Cc1cc(C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)c(C)n1CC(F)(F)F 795248189 YMLFIPNCCVPLMH-UHFFFAOYSA-N 408.735 4.630 5 20 HJBD COc1c([N+](=O)[O-])cc(NCC2CCC(F)(F)CC2)c([N+](=O)[O-])c1Br 799981138 UOGASOFDRMORJU-UHFFFAOYSA-N 424.198 4.512 5 20 HJBD CCN(C(=O)[C@@H](C)OC(=O)c1c(C)cccc1[N+](=O)[O-])c1cccc2ccccc12 805792870 IBBALDSYEPGSGR-MRXNPFEDSA-N 406.438 4.655 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4c(Cl)nc5sccn45)no3)cc12 809473617 ZVMYYCHUIWPVSL-UHFFFAOYSA-N 419.853 4.761 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812844147 MGWFIFQAIFNUTK-UHFFFAOYSA-N 417.632 4.969 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](c1ccccc1)[C@@H](O)c1ccccc1 814664168 RLLLHILJKYEMJU-YADHBBJMSA-N 405.454 4.808 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2cccc(Cl)c2Cl)cc1[N+](=O)[O-] 815406913 ADGHFTCKCBIOOH-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1cc(/C=C2/N=C(C(C)(C)C)OC2=O)c([N+](=O)[O-])cc1OCc1ccccc1 834717612 JNYHMTYWJOGXOB-MHWRWJLKSA-N 410.426 4.525 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(N4CCCC4)cc3)cc2[N+](=O)[O-])CC1 912657363 WAUDBIJPMFKEFM-UHFFFAOYSA-N 408.502 4.684 5 20 HJBD Cc1occc1C(=O)Nc1cc(Cl)ccc1C(=O)OCc1ccccc1[N+](=O)[O-] 914958055 IAVLVRNLOQTDJJ-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(F)cc2OC(F)F)cc1[N+](=O)[O-] 1319663694 JYTQFLDEWULNLN-NEPJUHHUSA-N 411.380 4.765 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2nc(-c3ccccc3)n[nH]2)o1 1339064555 LTSLYVRSBPKQFG-UHFFFAOYSA-N 409.833 4.583 5 20 HJBD C[C@@H](OC(=O)c1ccc(Oc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 5976574 CMDJOZIACALETA-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](C)c1cc(F)c(Cl)cc1Cl 8248979 KQQUKJKEUSLWJH-SNVBAGLBSA-N 400.237 4.638 5 20 HJBD Cc1cc(Br)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 9861246 FUGQNVDYFFJZPR-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD COc1ccc(CN(C)[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 16554755 QXJQDULMVCAEGX-QHCPKHFHSA-N 419.481 4.724 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 48372726 YDFYYVOVIJOUTA-UHFFFAOYSA-N 418.453 4.819 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3cnccc23)cc1[N+](=O)[O-])c1ccccn1 52928133 DMTKIVPMNNBDOB-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 55641803 LMUVEOSMHYQMPS-LLVKDONJSA-N 410.373 4.634 5 20 HJBD CC(=O)c1ccc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)c([N+](=O)[O-])c1 60875216 WLDZNFVJSWPNMZ-UHFFFAOYSA-N 413.437 4.657 5 20 HJBD CCOc1c(Cl)cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 65864918 DBXGWGKRTNNXRE-UHFFFAOYSA-N 406.866 4.706 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@H](C)c2ccccc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 97166849 GRYAVUGCVWFOMD-GFCCVEGCSA-N 403.822 4.831 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2n1C 117493565 HMUNHMVLKXSFAW-QRWLVFNGSA-N 424.526 4.716 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1 237346644 KZPUKCZHGYBUQN-NRFANRHFSA-N 410.445 4.825 5 20 HJBD COc1cc(Br)c(CNc2ccc([N+](=O)[O-])c3cccnc23)cc1OC 301140354 DZJAKJWNEHCFGQ-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD Cc1cc(N[C@@H](C)c2cc(F)ccc2N2CCC(O)CC2)c(Cl)cc1[N+](=O)[O-] 301985916 LZHVUCOIABIXGL-ZDUSSCGKSA-N 407.873 4.830 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N1CCC(F)(c2ccccc2OC(F)(F)F)CC1 410168617 LFIORQBKSFDDCP-UHFFFAOYSA-N 412.339 4.594 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 429813449 RHAYVUJHVXKSIS-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COCCn1c(SCc2nc3cc([N+](=O)[O-])ccc3o2)nc2cc(Cl)ccc21 434791182 BFVRWTFODBBJCU-UHFFFAOYSA-N 418.862 4.678 5 20 HJBD O=C(N[C@H]1CCSc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435814990 CEOWJKNXPADQKY-IBGZPJMESA-N 422.456 4.926 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@H](c2ccccc2)c2ccccc21 436267048 BWFXXTYCBGARNE-JOCHJYFZSA-N 410.433 4.573 5 20 HJBD COc1ccccc1C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)C(C)C 437983809 GCSYWJLKJVTWQL-HXUWFJFHSA-N 411.502 4.545 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2O1 443570133 WIZLODGMXNBTNE-RUZDIDTESA-N 414.461 4.691 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c2)n1 444026509 YDAVCRXEUZBVSB-UHFFFAOYSA-N 424.435 4.838 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3csc(-c4ccc(C(C)C)cc4)n3)n2)n1C 445612120 FWYDQZSZLBPXEU-UHFFFAOYSA-N 410.459 4.601 5 20 HJBD COc1ccc([C@@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 445923007 FWTYHEXMNQOYHX-QHCPKHFHSA-N 408.429 4.581 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@H]3CCCc4ccc([N+](=O)[O-])cc43)c2)n1 447596176 BUSXLEKZACUZQK-IBGZPJMESA-N 423.494 4.672 5 20 HJBD O=C(CCCc1nc2ccccc2s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460357027 QRPPEKZGRSJNRA-FQEVSTJZSA-N 413.524 4.801 5 20 HJBD Cc1ccnc(Oc2cc(NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)ccc2C)c1 462182856 QRTLHHDUUMOAQC-UHFFFAOYSA-N 406.442 4.758 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463717700 WKIABMUFZAAAMY-AWEZNQCLSA-N 421.419 4.569 5 20 HJBD COc1cc(CN[C@H](c2ccccc2OC(F)F)C(F)(F)F)c([N+](=O)[O-])cc1F 464485943 HGUXUFGJIWLEKS-OAHLLOKOSA-N 424.297 4.737 5 20 HJBD COc1cccc(NC2CCN(C(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)CC2)c1 465149381 XUUDCBAGVMELBA-UHFFFAOYSA-N 411.502 4.618 5 20 HJBD COc1ccc(CNC(=O)N2CCc3cc(-c4cccc(F)c4)ccc32)cc1[N+](=O)[O-] 465710693 AEBCLKXIRIOTGV-UHFFFAOYSA-N 421.428 4.682 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2)C[C@@H]1C 466871635 UHWDZAXDBUPQJN-FUHWJXTLSA-N 414.527 4.742 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c1 467107011 NHXNWLXCSZNRFY-UHFFFAOYSA-N 408.404 4.919 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1OC[C@@H]1CCCCO1 467163707 VDWNNHWSDSKYIR-INIZCTEOSA-N 419.865 4.518 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 471350198 CUWYRPGKYMVRQW-UHFFFAOYSA-N 402.300 4.612 5 20 HJBD C[C@H]1[C@H](Cc2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 471436028 JNDNLGAIKRFIBM-FPOVZHCZSA-N 410.517 4.634 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccccc1OC(F)F 472474090 YXTLQJOYDTUTKD-UHFFFAOYSA-N 410.442 4.671 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)CC1)CC1CC1 475576701 VDUXTTMVHBGWOZ-UHFFFAOYSA-N 402.539 4.578 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](OC)c1ccccc1Cl 479675276 MIYAGPHZOREANW-KZULUSFZSA-N 408.907 4.866 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1SCc1ccc2c(c1)OCO2 480790165 YTJKTHDUVXBTOE-UHFFFAOYSA-N 408.304 4.665 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1nc(Cc2ccccc2)no1 483635210 DMGRECRDFYAYCR-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 490132110 ZVPYLUDPGWXSRO-UHFFFAOYSA-N 412.405 4.610 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498969709 GIJCQJQEPPDEIN-UHFFFAOYSA-N 401.894 4.513 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1Cc2cc(Br)ccc2C[C@@H]1C 499891564 XACVNGLFHSGMBC-LBPRGKRZSA-N 418.291 4.953 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 504934684 JCOYFXNUSCHDAR-FQEVSTJZSA-N 424.888 4.769 5 20 HJBD C[C@@H](NC(=O)Nc1ncc(Cc2cccc(F)c2)s1)c1cccc([N+](=O)[O-])c1 509614521 BQKTZVNQRHTUIA-GFCCVEGCSA-N 400.435 4.664 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)cc2F)s1 510216766 WUNBANFVPSPODL-UHFFFAOYSA-N 421.450 4.651 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 511604613 GRQSNNHICCMNRE-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1cc(C2CC2)nn1-c1ccccc1 513815985 FVLQGJCEYYMXLP-UHFFFAOYSA-N 408.483 4.530 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 514929493 PHFCHBOVRZYDIP-OAQYLSRUSA-N 402.454 4.553 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(C4CCN(C5CC5)CC4)n3)cc2)c1 515251997 IZWCPLNWVSZIOI-UHFFFAOYSA-N 406.442 4.779 5 20 HJBD CCCN(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCN(Cc2ccccc2)C1 522326518 MNMBHTWQEUHDOA-AUSIDOKSSA-N 424.545 4.742 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1cc2cccc([N+](=O)[O-])c2[nH]1 523267403 WVPXOUMLSXRECP-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ncc(-c2ccccc2)s1 523878206 GNGZFPPYLWNMAO-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)c2)n1 524825294 COKYXCSOMRDSNM-UHFFFAOYSA-N 405.458 4.505 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](CCO)c3ccccc3)c([N+](=O)[O-])c2)cc1 524850404 LAQXBLOKDRKMKU-HXUWFJFHSA-N 405.454 4.691 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1cccc(COCc2ccco2)c1 539636457 USASDLNYBFLQSV-MRXNPFEDSA-N 409.442 4.734 5 20 HJBD CCCOCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 540435354 JJPYLACLXGGWFW-UHFFFAOYSA-N 402.516 4.952 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 540458233 HZABNVPRKZIDSE-CQSZACIVSA-N 415.515 4.876 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)CC1 544413643 ICAJZKGBDRGVHU-OAHLLOKOSA-N 424.476 4.613 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544651139 BACBVVGEIUJGJV-PZJWPPBQSA-N 415.465 4.574 5 20 HJBD COc1ccc(C(=O)CC(C)(C)Cc2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1 545078804 CRXSCRYFWBBVAG-UHFFFAOYSA-N 423.469 4.727 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cc1 545572335 ZOKQCEXBKVJVDG-MDWZMJQESA-N 414.421 4.713 5 20 HJBD CCOCc1ccccc1-c1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 545638812 HPKZPNCXFIIRQQ-UHFFFAOYSA-N 411.461 4.790 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2ccc(NC(=O)CC(C)(C)C)cc2)n1 545691707 NWOMMUDVTQHGHE-UHFFFAOYSA-N 408.458 4.919 5 20 HJBD CC(C)(C)Oc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 546989795 GHTRZTTZGLAQPO-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD Cn1c([C@@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)nc2ccccc21 559143990 DJTWCRIYYQQVKV-SFHVURJKSA-N 404.392 4.837 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Oc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)c2)cc1 559715521 PVDGZFYJEGTWEV-UHFFFAOYSA-N 417.421 4.833 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)N3CCOc4ccc([N+](=O)[O-])cc4C3)ccc2o1 571812756 ROOIIPGSJQYTDK-UHFFFAOYSA-N 424.457 4.751 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@H]1c1ccc(Br)cc1[N+](=O)[O-] 602760760 QSHKLUHDEYQEJN-OAHLLOKOSA-N 410.655 4.597 5 20 HJBD CCOc1ccc(NC(=O)COc2cc(C(F)(F)F)ccc2Cl)c([N+](=O)[O-])c1 603818089 IPFJBCNLSGQYBT-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 604023133 OIJFCXBHPBBUSP-QFIPXVFZSA-N 418.497 4.742 5 20 HJBD CC[C@@H](Cc1ccccc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609034063 SYDPCGJCAVYWMU-HNNXBMFYSA-N 412.433 4.843 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c(Cl)cccc1[N+](=O)[O-] 609499810 VPJNGWPRVVEDSA-BRPSZJMVSA-N 418.721 4.888 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@@H](c4ccco4)C3)cc2[N+](=O)[O-])n1 609529664 WZSDIPFDZXBXGQ-CQSZACIVSA-N 415.496 4.734 5 20 HJBD CC(C)(C(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccc([N+](=O)[O-])cc1 610063278 MAGHKFYJBGDAMO-SFHVURJKSA-N 423.296 4.779 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H](C)[C@@H]2C)cc1[N+](=O)[O-] 610178694 UQPUDMWNAOFKHJ-CABCVRRESA-N 412.511 4.808 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610665846 QWRXIWJKGZZSED-ITGUQSILSA-N 412.330 4.553 5 20 HJBD COc1ccc(-c2ccc(CN3CCCC[C@H]3c3noc(C4CC4)n3)o2)c([N+](=O)[O-])c1 611263538 SKBNEHUTDNXGGG-SFHVURJKSA-N 424.457 4.851 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCCc2sccc2C1 681991112 FITPXUZSNUOSHZ-UHFFFAOYSA-N 410.445 4.610 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCC(=O)Nc3ccccc3[N+](=O)[O-])c(F)c2)cc1 730345306 IRELAUYATRRRCE-UHFFFAOYSA-N 408.385 4.505 5 20 HJBD Cn1c(C(=O)O[C@H](CC(F)(F)F)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 731994365 NKGVSDGTDLOIIY-GFCCVEGCSA-N 421.169 4.546 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1[N+](=O)[O-] 737583746 LRTHUNKBXGXQFY-UHFFFAOYSA-N 415.471 4.844 5 20 HJBD CC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](O)c1c(F)cccc1F 742713372 IQDFUVDOSQZMRH-PKOBYXMFSA-N 419.453 4.598 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCC[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 742823980 GIKSDFTUKPUAOY-LJQANCHMSA-N 402.516 4.587 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@H]1CCN(C2CC2)C1 746165922 JEWVIBDHGZLUOW-FQEVSTJZSA-N 410.517 4.900 5 20 HJBD Cc1c(C(=O)N2CCC[C@@H]2c2ccc(Cl)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618447 FQJRUAQNJGVMDM-MRXNPFEDSA-N 403.822 4.751 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1c(F)cc(Br)cc1F 757228649 AAUQPXDSVWYDGU-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)c1nc(Cl)ccc1F 759899187 ZGENCXAAGLANIN-UHFFFAOYSA-N 402.765 4.932 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)c(Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 763439021 ZKPOFLZYZDLQGB-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)c1ccc(-c2nnc(SCC(=O)Nc3ccc(F)c([N+](=O)[O-])c3)o2)cc1 768352275 NRTIPCXWAKUVQB-UHFFFAOYSA-N 416.434 4.638 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n[nH]1 768706023 GSZYSXDFXNNFMD-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD O=C(OC[C@@H]1CCO[C@@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 769361079 GVLFMYZTPFXYLM-QFBILLFUSA-N 410.451 4.653 5 20 HJBD Cc1c(COC(=O)Cc2cccc(NC(=O)OC(C)(C)C)c2)cccc1[N+](=O)[O-] 772113179 KEDHHCCZBWKBPK-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 784635180 XDGQDUVHCHBGID-UHFFFAOYSA-N 421.497 4.596 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(NC(=O)c3ccco3)c(Cl)c2)c1F 790328731 RSXGYQITFHPHBR-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@@H](c3cccc(Br)c3)C2)c([N+](=O)[O-])c1 795081851 UJYOSSCINYFKLP-LJQANCHMSA-N 419.275 4.528 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)c1cc([N+](=O)[O-])ccc1Br 797577106 RVKIFPYWJRXURF-QFBILLFUSA-N 411.255 4.796 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 800356949 HSJIILRCUZKJNN-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD COc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CC2)cc1 812481007 HKSSULBIULZWMZ-JOCHJYFZSA-N 414.502 4.731 5 20 HJBD COC[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(Cl)c1 813247954 DDPLJCFEGPLHNJ-AWEZNQCLSA-N 403.649 4.673 5 20 HJBD C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817867301 WMMCNZUIPNZLNF-VIFPVBQESA-N 419.812 4.632 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H]1c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 904698266 HNAAJLFXCIJSQP-ZDUSSCGKSA-N 416.459 4.932 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1 916060233 HFQJVFGCJYTPHK-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 917631369 OHMCSDQJSFEDAR-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD Cc1nc(-c2ccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)co1 920765921 NJSJCOMQZRORSZ-NSHDSACASA-N 401.806 4.618 5 20 HJBD COc1ccc2ccc(OC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2c1 920791706 DOGWNCBICLAVLZ-LBPRGKRZSA-N 401.802 4.783 5 20 HJBD O=C(CCOc1cc(Cl)ccc1Cl)OCc1nc2cc([N+](=O)[O-])ccc2o1 1116221737 LGXUOCRZEAISLS-UHFFFAOYSA-N 411.197 4.555 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cccnc2Sc2ccccc2)o1 1117191310 IHRHSGRDNUYJNA-UHFFFAOYSA-N 406.423 4.770 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCN(c4cccc(F)c4)CC3)cs2)c1 1318638450 FKGMDWFAZDKXNF-UHFFFAOYSA-N 412.490 4.616 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1nc(-c2c[nH]c3ccccc23)cs1 1323068335 JWSDCVONSFWGCL-UHFFFAOYSA-N 417.450 4.862 5 20 HJBD COc1ccc(OCC(=O)Nc2ccccc2Sc2ccccc2)c([N+](=O)[O-])c1 7035614 GHUSFHLINAUMRQ-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C(=O)c1cccc([N+](=O)[O-])c1 12122090 WDKHYDWCHBPXNH-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC=C(c2c[nH]c3ncccc23)CC1 44222876 FCZFNOZXTOLSHR-UHFFFAOYSA-N 404.451 4.615 5 20 HJBD COc1ccc(NC(=O)c2cccc(-n3nccc3-c3ccccc3)c2)c([N+](=O)[O-])c1 58635728 ZDSLEGSDOVLAON-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD CCc1cc(NCc2ccc(-n3ccnc3)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 63254707 ZDHVFODROXACOD-UHFFFAOYSA-N 418.432 4.551 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(C1CC1)[C@H]1CCc2ccccc21 64809226 FTROPXDQQSEKDA-SFHVURJKSA-N 420.387 4.828 5 20 HJBD O=C(NCc1ccccc1-c1ccc(CN2CCCC2)cc1)c1csc([N+](=O)[O-])c1 71947864 OUCMXOAMTQDXKC-UHFFFAOYSA-N 421.522 4.849 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)c(C(F)(F)F)c1 302037814 KMJMGAIMVNZFMX-UHFFFAOYSA-N 408.302 4.670 5 20 HJBD Cc1nn(CCC(=O)N[C@@H](c2ccc(CC(C)C)cc2)C(C)C)c(C)c1[N+](=O)[O-] 303131894 KMMQSPNRBJJSFK-OAQYLSRUSA-N 400.523 4.510 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 429836241 HEXBSWXJWQRUTR-UHFFFAOYSA-N 406.486 4.879 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccccc1[N+](=O)[O-] 431997511 AGFCAWKNNVHUER-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(OC2CCCCC2)CC1 436248423 XQCUPNVJRNDLFO-UHFFFAOYSA-N 404.510 4.635 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1O 436671541 AIQPMYIMLGRBJN-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)n1 437394601 IRMXTMQKHAJZMZ-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD C[C@H]1C[C@H](c2ccccc2F)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816596 RROJEYLXHATQSO-MEDUHNTESA-N 409.364 4.950 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437959260 DSBKNJUMFIEZQH-XOBRGWDASA-N 417.893 4.698 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 439961552 IKZYYBFUIKHULZ-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD CCOCC1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 440626504 QPNJHCYYUDKDDD-UHFFFAOYSA-N 422.840 4.613 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2/C=C\c2ccccc2)cc([N+](=O)[O-])c1OC 440891594 FZGSRIGGELVGOO-HSNDNRACSA-N 410.470 4.710 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1Cl)c1ccccc1[N+](=O)[O-] 440998148 IEWVAIJKIMGNMG-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD CN1CCOc2ccc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc21 441066094 KYNALWVEZZCFKI-UHFFFAOYSA-N 421.478 4.827 5 20 HJBD CCOc1cc(NC(=O)Nc2cnn(CCC(C)C)c2C)c([N+](=O)[O-])cc1OCC 442192627 GQHYEEQKAIEFEB-UHFFFAOYSA-N 419.482 4.587 5 20 HJBD COc1cc(CN(C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(SC)cc1OC 443974903 XFNVSKLWNXEZMN-UHFFFAOYSA-N 419.503 4.615 5 20 HJBD O=C(Cc1noc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccccc1Oc1ccccc1 445328773 VTMZIBRUDAULTC-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD COC1(c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)CCCC1 460809620 ATPQVIVNZLNYNF-CQSZACIVSA-N 409.446 4.629 5 20 HJBD COc1ccc(-c2ccc(CNCc3ncc(-c4ccccc4)cn3)o2)c([N+](=O)[O-])c1 461410089 SNJBQYFVGRHQPP-UHFFFAOYSA-N 416.437 4.610 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1 466498749 GMZXLBGEWCOEAG-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCOCc1ccccc1 466733760 DTBPBTWIKLOWRJ-UHFFFAOYSA-N 402.516 4.774 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2c([nH]c3c(F)cccc23)C1 467796765 HJPZVDSRYQJPQE-CYBMUJFWSA-N 413.474 4.671 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 470080835 YIDMRVGLIMAQBQ-UHFFFAOYSA-N 417.263 4.911 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(-c3cccc(Cl)c3)sc2c1 470227990 ZXXMJWRYOJTGEW-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1C(=O)N(C)C(C)C 470454768 BKOQTLWADHQDFA-UHFFFAOYSA-N 423.391 4.655 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)cc2-c2nc(C3CC3)no2)cc1[N+](=O)[O-] 471615459 TXESMRNJELPBQB-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD COc1c(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)cccc1[N+](=O)[O-] 474893710 FNILCHPFIVXFMY-QGZVFWFLSA-N 402.859 4.838 5 20 HJBD Cc1c(CNC(=O)NCc2cccc(COCc3ccccc3)c2)cccc1[N+](=O)[O-] 478756842 HKVWLIRCJOUHMW-UHFFFAOYSA-N 419.481 4.619 5 20 HJBD CCC(=O)c1ccc(N[C@@H](C)[C@H](OC2CCOCC2)c2ccccc2)c([N+](=O)[O-])c1 480095113 SQFUYZGIPDDDOO-HJPURHCSSA-N 412.486 4.925 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@@H]2CCCO2)c1 481552515 SHQCTJYLVQPJCL-KRWDZBQOSA-N 402.472 4.517 5 20 HJBD CCc1c(NC(=O)c2csc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481869321 OEPADZNRZSWUOR-UHFFFAOYSA-N 410.377 4.676 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 482347714 DXKZMQJUFGAKAF-AWEZNQCLSA-N 421.297 4.716 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)cc(Br)c1O 482645136 ZXUAJRIXVZZOND-UHFFFAOYSA-N 411.277 4.736 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3sc(C)nc3C)cs2)c1[N+](=O)[O-] 485736942 JWIUNOUHWPSYGS-UHFFFAOYSA-N 406.514 4.766 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)cc2)n1 489062926 HGYVFGNYZQLCCS-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CNC(=O)c1ccc(N[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)c([N+](=O)[O-])c1 489336494 VFAALRIJSGWGEH-FQEVSTJZSA-N 411.408 4.629 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cccnc1 496551002 KGDFIXGMZPBWEJ-GOSISDBHSA-N 401.875 4.956 5 20 HJBD C[C@H](c1ccccc1)N(C)C(=O)CN(C)C(=O)c1cc2ccccc2c2cccnc12 500188129 JVAXCYHHORQUFW-GOSISDBHSA-N 411.505 4.680 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])c2cccnc12 500202464 DONZDGCGHACYRA-UHFFFAOYSA-N 423.322 4.866 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN(Cc1nnc(-c2ccccc2Cl)o1)C(C)C 502781610 REOOMPKKGKYVQV-UHFFFAOYSA-N 416.865 4.717 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)c3cccc4c(=O)c5ccccc5[nH]c34)C2)cc1F 506289572 OOLKMCGGWQOYOJ-WKILWMFISA-N 416.452 4.505 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CCc2cc(F)ccc21 508211014 XPORGCXMJYPYOT-CQSZACIVSA-N 414.380 4.649 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(-n2cccn2)c(F)c1 508769752 MCEZGIASGJWWGJ-ZDUSSCGKSA-N 414.462 4.523 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cc(C)no2)c([N+](=O)[O-])cc1OCc1ccccc1 509815530 VINXULAIIFHYFF-LJQANCHMSA-N 423.469 4.816 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 510453575 FHMVCHNZKWEGQH-UHFFFAOYSA-N 404.392 4.646 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](n2ncc3ccccc32)C1 511734128 LUZYJKFEZBEEEE-GOSISDBHSA-N 424.526 4.924 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3ccccc3Cl)CC2)cc1OC 512226648 JJTFLJQQVCQIFC-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(NC(=O)CC(F)(F)F)cc2)cc1[N+](=O)[O-] 513031895 OOBJGLQPZOEXLJ-UHFFFAOYSA-N 409.364 4.861 5 20 HJBD C[S@@](=O)Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 513089662 OXMFIBKGLULNCO-MUUNZHRXSA-N 418.902 4.677 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3sccc3Br)c1)OCOC2 516838023 PLSPZVCUGYYDGG-UHFFFAOYSA-N 402.291 4.719 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)C1CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 517154676 YTYIXMWVGMPIOW-LJQANCHMSA-N 402.834 4.638 5 20 HJBD C[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 520450346 MOCCMZQVEFZMEQ-KRWDZBQOSA-N 420.469 4.852 5 20 HJBD O=c1c2ccc(Cl)cc2nc2n1CC/C2=C\c1cc([N+](=O)[O-])ccc1OC(F)F 520470260 YKQPJWIGXWIQQI-JXMROGBWSA-N 419.771 4.504 5 20 HJBD Cc1nc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])nc2ccccc12 521773277 BNTLCFYKQIBXKG-UHFFFAOYSA-N 413.306 4.508 5 20 HJBD C[C@H](NC(=O)N1CCSC[C@@H]1c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 522613484 PXJVWZLBAVNVHG-SCLBCKFNSA-N 405.907 4.809 5 20 HJBD O=C(N[C@H](c1ccccc1)C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 523303193 LNUATVDZEAGPTG-OAHLLOKOSA-N 407.373 4.752 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 530387543 QIXOIQKKVLFHBU-LJQANCHMSA-N 409.421 4.883 5 20 HJBD COc1ccc(CC(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 532341214 XIFZVUTTXFLRHH-KRWDZBQOSA-N 416.783 4.561 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533984808 MXZQKJBSIOJEEQ-CYBMUJFWSA-N 400.504 4.727 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCSC[C@@H]1c1ccc(Cl)cc1 535389099 HYAQIOIPIGWDDG-LJQANCHMSA-N 415.902 4.589 5 20 HJBD CCS(=O)(=O)Nc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 538835026 SMWRHQXWYHKPDX-UHFFFAOYSA-N 417.512 4.697 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 539151023 DDDJCDCYSLDSCP-FJNPEDAXSA-N 424.881 4.514 5 20 HJBD Cc1ccc(-n2nc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2C)cc1 539423861 HLBMHMCDPDSWHY-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(CCC(=O)N3CCCC3)cc2)cc1[N+](=O)[O-] 540788033 TYFXWPZZCUMTNO-QGZVFWFLSA-N 411.502 4.722 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 543120535 AIRNADNAAOEWLF-JTQLQIEISA-N 416.833 4.819 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(c4cc(C)[nH]n4)CC3)c([N+](=O)[O-])c2)cc1 543435512 YNUZCUOXZALCMW-UHFFFAOYSA-N 419.485 4.571 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(C(C)(C)C)cs1 543511204 GECGNGKPLUTJRS-NEPJUHHUSA-N 401.492 4.811 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cccc4cnccc34)n2)c(Br)c1 545000289 UMIBCAQXQISFJW-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cnc4ccsc4c3)no2)c(Br)c1 546420355 ZXDKTWIELMUHNQ-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD NC(=O)c1cccc(N2CCC(CCc3cccc(C(F)(F)F)c3)CC2)c1[N+](=O)[O-] 552952055 OMRWXTYZBYBTGI-UHFFFAOYSA-N 421.419 4.562 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 555426812 AMVKNHGHFANHLQ-UHFFFAOYSA-N 415.755 4.868 5 20 HJBD COCC[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 560129352 UYHRYOFBONTGDL-KRWDZBQOSA-N 411.285 4.647 5 20 HJBD C[C@H](N[C@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 564664518 TYXNXCPOLXHKSH-CABCVRRESA-N 416.909 4.526 5 20 HJBD Cc1ccc(CN2CC[C@H](CN(C)C(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)C2)s1 566002712 YHEAZMYZYCNKLK-QGZVFWFLSA-N 415.559 4.682 5 20 HJBD CCc1c(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1cccc(C)c1 580754176 DOUUHSAACDVOSV-UHFFFAOYSA-N 403.442 4.918 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSCc3ccc(Br)cc3)n2)cc1 603748173 DKKUZDIBCBDAQF-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD CSc1ccc(C(=O)Nc2nc(-c3sc(C)nc3C)cs2)cc1[N+](=O)[O-] 603770264 YGIKFRFINZANGB-UHFFFAOYSA-N 406.514 4.766 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCCC[C@H]2c2noc(C3CC3)n2)c([N+](=O)[O-])c1 603872228 IQWNKKONCMRYSF-IBGZPJMESA-N 418.453 4.818 5 20 HJBD Cc1nc2ccccc2c(-c2ccccc2)c1CC(=O)NCc1ccc([N+](=O)[O-])cc1 603998647 PYWUQOUQSAFYRS-UHFFFAOYSA-N 411.461 4.977 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)s1 604104327 PZNYFAVEVYMPKF-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604240628 FLIWXZWWBSNMMQ-UHFFFAOYSA-N 401.426 4.597 5 20 HJBD Cc1ccc(Sc2ccc(CNC3CCN(CC(F)F)CC3)cc2[N+](=O)[O-])cc1 608782136 BKMHNUHTIZKDIX-UHFFFAOYSA-N 421.513 4.874 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 608963870 BNFGRCCQSCIRLJ-SFHVURJKSA-N 421.236 4.862 5 20 HJBD CCc1ccc(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)c([N+](=O)[O-])c1 609650601 KARJZETVXHCAPH-HSZRJFAPSA-N 422.506 4.772 5 20 HJBD Cc1nc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c2ccc(F)cc2)cs1 609784037 GWPWJRPOPLBHKC-UHFFFAOYSA-N 419.865 4.928 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 609837493 URKBDHSIRVBGFT-HUUCEWRRSA-N 412.515 4.883 5 20 HJBD O=C(c1ccc(N2CCCCCC2)nc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609858987 YOGJZKASFNRUJK-UHFFFAOYSA-N 408.502 4.960 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])ccc21 609973938 PBSGDBBUKBRFQK-UHFFFAOYSA-N 418.375 4.747 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207718 NXHMYPGENYLDDT-PWIZWCRZSA-N 416.543 4.707 5 20 HJBD COC(=O)c1cc(NC(=O)Nc2ccc(COC(C)(C)C)cc2)c(C)c([N+](=O)[O-])c1 617016121 QPSHPKHYUMMRGH-UHFFFAOYSA-N 415.446 4.649 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1csc2ccccc12 729962623 USKJDVHDHVTOAH-ZDUSSCGKSA-N 406.467 4.701 5 20 HJBD Cc1nc(CCC(=O)OCc2cc([N+](=O)[O-])ccc2Cl)oc1-c1ccccc1 734782632 YMSIMFRUUWIRLB-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COc1ccc(C(=O)c2ccccc2)cc1 736159472 UOBQRSHQPWEFJC-UHFFFAOYSA-N 424.840 4.805 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1Br 739579603 KFGNZZUYNTUONC-GFCCVEGCSA-N 408.248 4.603 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 742024637 ZAZICKBKUCNEQW-HNNXBMFYSA-N 405.292 4.759 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C1CCC(c2ccccc2)CC1 745500739 HPDREGPAUIHZJG-UHFFFAOYSA-N 407.426 4.662 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1 746061629 WUPGROFPMXDLPE-JTQLQIEISA-N 419.846 4.938 5 20 HJBD C[C@H]1CC(=O)c2c(OC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc(F)c21 747629276 YEOXKGSREUSXNX-JTQLQIEISA-N 412.398 4.767 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)[C@@H](C)c1ccccc1OC 748619499 MNXNSMSNKCRIMS-HNNXBMFYSA-N 415.446 4.742 5 20 HJBD Cc1nc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])sc1Cc1ccccc1Cl 751092151 AGTKWEKSKDNUTP-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(F)c(F)c1 752333378 RAUKQKZFSOAUFM-OAHLLOKOSA-N 412.845 4.982 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c2Cl)cc1 754828006 VEZDFRDERAYUJH-UHFFFAOYSA-N 412.877 4.979 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 760872180 ZISDKMZYQUJUAY-QVKFZJNVSA-N 403.910 4.583 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1ccsc1)c1cccc([N+](=O)[O-])c1 762023669 KXXNQXYEEPODES-IERDGZPVSA-N 410.495 4.522 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775481588 BJODNQUVXQEPHM-UHFFFAOYSA-N 417.487 4.940 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@H](c1c(F)cccc1F)C(F)(F)F 776005439 BRCFBDGSHHTCEF-CQSZACIVSA-N 423.725 4.629 5 20 HJBD Cc1cc(C)cc(OCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135246 POCPMPSCTKOORV-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD C[C@H](SCc1ccccc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138723 RVUXVCHLHKCBBQ-KKSFZXQISA-N 408.479 4.944 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790739561 FKTIOTJIXHFFAV-UHFFFAOYSA-N 422.840 4.544 5 20 HJBD COc1cc(COC(=O)CCc2ccccc2Cl)c([N+](=O)[O-])cc1OC(F)F 791317651 ZGTDCDXTLFYLFQ-UHFFFAOYSA-N 415.776 4.534 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cn1nc(Nc2cccc(F)c2)sc1=S 795643726 HKLRAJUJKHRYJS-UHFFFAOYSA-N 419.507 4.597 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 797292523 PJFCAKXXHRKGDC-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2cccc(Cl)c2[N+](=O)[O-])cs1 814773631 CVKKCQUOMMKZJS-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1cc2c(Cl)cccc2s1 825213342 XXNTVTISLCMDRW-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD COC(=O)c1cccc(NCc2c(Br)cccc2[N+](=O)[O-])c1OC(C)C 864011689 RKHADVAAQWPCIV-UHFFFAOYSA-N 423.263 4.543 5 20 HJBD C[C@@H](Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)N(C)C(=O)OC(C)(C)C 904659716 ZTCFFGLSRPFWEF-NSHDSACASA-N 418.475 4.657 5 20 HJBD COCCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H](C)c1cccc(C(F)(F)F)c1 914516395 OABHTIMDBVRLGL-QIENPNARSA-N 422.403 4.863 5 20 HJBD O=C(Oc1cccc(NC(=O)C2CC2)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 916423941 UOBMKVVOBGQAPY-UHFFFAOYSA-N 418.405 4.955 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CCCCC1 917805628 AINKVCQBNKRPNB-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCCCc1nc2ccccc2s1 918530515 MKYMVAIBMBOVPN-ZDUSSCGKSA-N 402.497 4.861 5 20 HJBD CC(=O)[C@H](OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 920543743 AIIWVOBTGNNONW-NYHFZMIOSA-N 419.437 4.650 5 20 HJBD COc1ccc(-c2cc(Nc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)ccc2OC)cc1 1321334802 OFJBHJVHJIYGFP-UHFFFAOYSA-N 419.437 4.907 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cn2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 1332025255 BYFYICQCZARUSP-UHFFFAOYSA-N 409.829 4.634 5 20 HJBD CCOc1cc(-c2nnc(-c3cccc(C)c3[N+](=O)[O-])o2)cc(OCC)c1OCC 1341224083 UAMRGLYEFMAKEY-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(-c2nc(-c3ccccc3)n(-c3ccccc3)n2)o1 1345792261 KHLGTAHRASSXCH-UHFFFAOYSA-N 410.393 4.560 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3cccn3)cc2C(F)(F)F)c1 32519693 QXFDARDJKMQDDP-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD C[C@H](Sc1ccc(Br)cn1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 52915090 YVVQWMKHORDOQO-VIFPVBQESA-N 407.249 4.656 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])sc(C)c1CC 57788320 HJVCGPFCTKLABS-UHFFFAOYSA-N 408.501 4.678 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nc2ccccc2n1-c1ccccc1 68875853 XKOHMUYNWAABPQ-UHFFFAOYSA-N 400.438 4.813 5 20 HJBD CCOC(=O)c1csc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1 104670952 BUCUJDGWKZHLJN-UHFFFAOYSA-N 423.516 4.619 5 20 HJBD C[C@H](Nc1ccc(Nc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1)c1ccccc1 302140063 FCAGWCCSODNTSV-ZDUSSCGKSA-N 417.512 4.977 5 20 HJBD CCc1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1CCC(C)C 427200482 PHTAWZCEEXQEKT-UHFFFAOYSA-N 413.503 4.776 5 20 HJBD CCOc1cc(CN(Cc2ccc(Cl)cc2)Cc2cnn(C)c2)ccc1[N+](=O)[O-] 430259590 FMQHXEXESKDLNM-UHFFFAOYSA-N 414.893 4.583 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@@H](C)[C@H]2C)cc1OC 430660131 MTXCHZGKNLXFJN-OLZOCXBDSA-N 402.472 4.532 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436140438 IWODORUPKWJUQS-UHFFFAOYSA-N 411.505 4.572 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccc(F)cc3F)C3CCCC3)o2)cc1 438878277 YSSGZXIDLWSNPE-UHFFFAOYSA-N 414.412 4.868 5 20 HJBD Cc1ccc(Sc2nsc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 440311124 DYVOTOOGYZOHQL-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1)N1CCN(c2cccc([N+](=O)[O-])c2)CC1 440769698 FMQZZMXYYLSWND-UHFFFAOYSA-N 402.454 4.616 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] 444784313 NHYXHFVFBQMOEG-HNNXBMFYSA-N 415.799 4.778 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 463957194 GFSNINBMZYZEHD-GOSISDBHSA-N 423.391 4.836 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466264414 QUOHURMEBCACJQ-TZMCWYRMSA-N 406.891 4.697 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)NCCc3ccccc3[N+](=O)[O-])ccc2C)c1 467989744 GGZBUZVQAPRTIQ-UHFFFAOYSA-N 406.442 4.763 5 20 HJBD CC[C@H]1CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC[C@H]1c1ccccc1 469996410 NERSMFKCWHPGHB-SIKLNZKXSA-N 421.541 4.854 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1nnc(-c2cc3ccccc3o2)s1 470504861 PDVYYOLUMGEHJQ-UHFFFAOYSA-N 409.427 4.523 5 20 HJBD CCC[C@H](N[C@@H](C)c1cc(F)ccc1N1CCN(C)CC1)c1cccc([N+](=O)[O-])c1 482529760 BLPZOVJMHHMLQG-JTSKRJEESA-N 414.525 4.678 5 20 HJBD CC(C)c1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1Cl 482729030 SHPDXWFTHRKPBC-UHFFFAOYSA-N 416.840 4.878 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC)cc1Br 487001736 PKWUWCKVYDUWDE-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CSCc2coc(-c3ccccc3)n2)cc1[N+](=O)[O-] 488104130 JCDHODXQLMFGHU-OAHLLOKOSA-N 411.483 4.669 5 20 HJBD CCn1c(SCc2ncc(-c3cccs3)o2)nnc1-c1ccc([N+](=O)[O-])cc1 489411727 PUYXZVMMDWDHCF-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD COc1cc(CNCc2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)F 491024544 TXORSRUYVBDULB-UHFFFAOYSA-N 420.334 4.556 5 20 HJBD CCc1nc(C)c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 494293491 PVIPSIQYVMUESY-UHFFFAOYSA-N 420.263 4.766 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OC 499941988 CXYIBZKRLLFJJN-JTQLQIEISA-N 413.257 4.752 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC[C@@H]1CCN(c2ccccc2OC)C1 504912541 XHKPCJPDAFPYHJ-ROUUACIJSA-N 415.559 4.590 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])c(C)cc1C 509373573 DPBJGXQQPGZRSJ-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD COc1cc(OC)cc(Oc2cccc(CNCc3csc([N+](=O)[O-])c3)c2)c1 512564142 IOLMFTNCGYTPAG-UHFFFAOYSA-N 400.456 4.756 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c12 513840936 JZGYUXLGMDPVNK-UHFFFAOYSA-N 406.442 4.725 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCN(CCc4ccccc4)CC3)o2)cc1 518269409 FUPVSBUMPWRKBB-UHFFFAOYSA-N 405.498 4.652 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCCC1)O2)c1cc(O)nc2ccc([N+](=O)[O-])cc12 518356211 NPOAFLLONOCVNJ-UHFFFAOYSA-N 421.409 4.533 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 519903680 SLVDFCFJCDZEMF-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](C)c3nncn3C)cc2[N+](=O)[O-])c1 520959452 LBTFQBWLIRXJBT-INIZCTEOSA-N 409.490 4.798 5 20 HJBD O=C(CCCCc1nc2ccccc2s1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 521459796 ODUAQDBOUKZOKJ-UHFFFAOYSA-N 421.482 4.742 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4c(ccc(Cl)c4Cl)C3)o2)cc1 523198364 YJQZQGFZYOVGBQ-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)[C@@H]1c1ccccc1 523491579 YNFFTJLSQFIPFT-PXAZEXFGSA-N 421.316 4.676 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(Nc4ccc(F)cc4)CC3)o2)c1 532701383 VMONNWHPJCAKCJ-UHFFFAOYSA-N 410.449 4.508 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)cc2)cs1 537050631 SNEFLIIDGWSTHT-CQSZACIVSA-N 410.499 4.624 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@@H]4CCCCO4)CC3)s2)cc1 538761293 VWEVJMGDPRBAMY-FQEVSTJZSA-N 416.543 4.873 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)ccc21 539150584 VQQBJWJSRGFKHQ-UHFFFAOYSA-N 421.375 4.555 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCN2CCCC2)CC1 540425017 JTYIYMOWZLUEEQ-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD CCc1ccc([C@@H](NCc2csc([C@@H](C)OC)n2)c2ccc([N+](=O)[O-])cc2)o1 542470537 FMMCFNWSQZAVRA-YJYMSZOUSA-N 401.488 4.793 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544424632 UWOQHPRCGCVTKS-GFCCVEGCSA-N 421.856 4.610 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCc2c1cccc2C(F)(F)F 544814199 MUOOKRQNOJQRCU-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4c[nH]c5cc(F)ccc45)no3)cs2)c1 545684053 SWPSWLUUDZBDHA-UHFFFAOYSA-N 421.413 4.980 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3cccc4cccnc34)no2)cc(C(F)(F)F)c1 546309399 XRYQDBKPNUTGPA-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 549077359 IYVDCPXSPLAAMQ-LJQANCHMSA-N 407.392 4.601 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2C[C@H]3CCC[C@@H](C3)C2)cc1[N+](=O)[O-] 552964208 SEYBGUDSMHOLCF-HOTGVXAUSA-N 424.522 4.810 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cccnc2)ccc1OCc1ccc([N+](=O)[O-])cc1 554875558 HRQRTEJCCUUAER-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Cc2cncc3ccccc23)c([N+](=O)[O-])cc1OCC 569028298 GENQIWZPATVFLH-HNNXBMFYSA-N 423.469 4.758 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 571858318 PXCCZMWUJHHOKI-OAHLLOKOSA-N 407.426 4.841 5 20 HJBD CCOC1CC2(CCN(C(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])C2)C1 573494425 GUGNWZKNSHJKRS-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD O=C(CCCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Br)cc1 574237633 TUUKXBYZFRPVBM-UHFFFAOYSA-N 416.231 4.613 5 20 HJBD Cc1c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cccc1OCC(F)(F)F 579749773 FMSMTBZQQWBXEN-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD Cc1ccc(C[C@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 584798667 VSHJBBIDLJSIAQ-PKOBYXMFSA-N 406.486 4.969 5 20 HJBD CCOC1CC2(CCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)C2)C1 589190008 KLVAPYTYCWQJKL-UHFFFAOYSA-N 400.450 4.917 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccccc3)C3(CO)CCC3)cs2)c1 590373303 ZXLUEULZYKKHML-FQEVSTJZSA-N 409.511 4.712 5 20 HJBD CC(C)[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 590666434 FPMUPQIFCVHYKQ-NRFANRHFSA-N 415.921 4.978 5 20 HJBD CCC(=O)Nc1cccc(CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1 603866198 QPSDKGUYCYYOHF-UHFFFAOYSA-N 403.438 4.786 5 20 HJBD CC(=O)Nc1nc(C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)cs1 607767403 XNKXSACUTRMDFY-UHFFFAOYSA-N 415.478 4.616 5 20 HJBD O=C(CSc1cccs1)Nc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 609753951 PFVNAACCYSOESU-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc(-n4cncn4)cc3)c([N+](=O)[O-])c2)cc1 609964531 RDCKVDZXYGTCDQ-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2s1)c1ccc([N+](=O)[O-])cc1Br 610035688 HTNKHROBTZYJDK-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610105333 AVINQSJYHIZPEB-UHFFFAOYSA-N 409.408 4.778 5 20 HJBD CCC1(O)CCC(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 611315510 CYFAEDMMEQYIHZ-UHFFFAOYSA-N 400.500 4.560 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1)c1ccccn1 612927750 JDEWURBPMPIUHX-UHFFFAOYSA-N 421.800 4.613 5 20 HJBD O=C(CSCc1ccc(Br)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 619608427 XLPDZNHFJDEADD-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD O=C(NCc1cccc(Cl)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749407533 ACEICNAVCFSNMU-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1cccc(Cl)c1[N+](=O)[O-] 750536682 UJGSMBPWAYSYBM-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CCN(Cc1cccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 751327093 WKTWJWCOZJJSBP-UHFFFAOYSA-N 417.437 4.743 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1C(=O)c1cc2ccccc2c2cccnc12 752325883 RDUQFBSUUVOKQE-IRXDYDNUSA-N 419.525 4.763 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1ccccc1)C[C@@H](O)c1ccco1 752775279 NNXJJJICGYYPDG-GOSISDBHSA-N 422.462 4.779 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OC(F)(F)F)c1 754346811 GCHRXPSJVXIJRB-GHMZBOCLSA-N 400.328 4.613 5 20 HJBD C[C@@H](OC(=O)c1cncc(-c2ccccc2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 758111096 UHVKVVUUCHIGKW-CQSZACIVSA-N 416.393 4.625 5 20 HJBD C[C@H](OC(=O)c1scnc1C1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 759752841 AQRVKTRGJQSLLN-JTQLQIEISA-N 423.878 4.546 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)n1CC(F)(F)F 760481989 AJAVGNCIDNXUHX-UHFFFAOYSA-N 423.347 4.587 5 20 HJBD Cc1cnc(O)n1-c1ccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 761827913 HMMZJNQCEOIENW-ZDUSSCGKSA-N 423.454 4.698 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)Nc1ccc(SC(F)F)cc1 764492628 MLHTYVDVIVINSL-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3ccc(Oc4ccccc4)nc3)CC2)cc1 765541584 SZHADATVXGHCCP-UHFFFAOYSA-N 404.470 4.541 5 20 HJBD C[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 770740653 QQFAPCHXBLEJQX-JTQLQIEISA-N 412.229 4.620 5 20 HJBD Cc1c(C(=O)N2CCC(c3ccc(Cl)cc3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 775378526 ZMFPUXCWAAOTFD-UHFFFAOYSA-N 417.849 4.793 5 20 HJBD Cc1cc([C@@H](N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)C2CC2)ccc1F 776032511 WKRMOXQKOANQHK-BLVKFPJESA-N 405.857 4.764 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(/C(Cl)=C\c2ccc([N+](=O)[O-])cc2F)s1 777915807 SDDIUQZSJFRXFS-NTUHNPAUSA-N 422.800 4.714 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ncc(-c2ccccc2)o1 778890335 FHLPMDUJHBZNGS-LLVKDONJSA-N 404.325 4.769 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1ccccc1[N+](=O)[O-])OCc1ccccc1 781687917 HYNYSCVCXOJNJC-UHFFFAOYSA-N 406.394 4.701 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cccc1[N+](=O)[O-] 792284392 XUWZTUOCGRISSH-UHFFFAOYSA-N 422.510 4.849 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(O)(c2cccc(OC(F)(F)F)c2)CC1 801543382 AQIOWUTVYSQHMT-AWEZNQCLSA-N 410.392 4.538 5 20 HJBD Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(C)n1[C@H](C)c1ccccc1 804178277 GTYHNFOIOAUTRU-GOSISDBHSA-N 405.498 4.937 5 20 HJBD CCOc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1OC(F)F 809914126 BJDLRYTYOCYUHE-UHFFFAOYSA-N 415.780 4.570 5 20 HJBD Cc1cc(O)nc2cc(C(=O)OCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc12 811224426 LCTYJBKGUGBMKH-UHFFFAOYSA-N 407.209 4.821 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1(c2cc(F)cc(Br)c2)CC1 813246091 XGRSLWYTKSWKGE-UHFFFAOYSA-N 413.630 4.820 5 20 HJBD Cc1nc(C(C)C)oc1COC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 813319452 HEUVSHLYOLFFBA-UHFFFAOYSA-N 418.393 4.869 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Oc2cccc(Oc3ccccn3)c2)cc1[N+](=O)[O-] 874435733 IXZQOJWQNOTWAQ-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3OCc3ccccc3)no2)cc2c1OCO2 904462382 BNFCSYFGBPUUMW-UHFFFAOYSA-N 417.377 4.620 5 20 HJBD O=C(CSc1nc2ccccc2s1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 915283564 TYYPLDUUIUWMAJ-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD COc1ccccc1-c1nn(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(=S)o1 919950726 RATGWPLSEQYVAK-UHFFFAOYSA-N 414.487 4.657 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921267556 VRZLBXWHACOCMR-JTQLQIEISA-N 401.221 4.639 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc(-c2ccn(-c3ccccc3[N+](=O)[O-])n2)o1 1117285502 ZBIPEMNUEWWFEV-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)o1 1257835131 MYLXZEYFHFSHRY-ZDUSSCGKSA-N 402.476 4.933 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(Cl)s2)C1 1323178515 WWACJIXYRGWNSH-CYBMUJFWSA-N 412.920 4.635 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)nc(C)c1Br 1338326028 NMDQNNPVTXGQBU-UHFFFAOYSA-N 419.279 4.566 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(Br)s2)cs1 10573144 ZZDUTBBWJHQLJC-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD C[C@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(NC(=O)C2CC2)cc1 27294422 IDFRKOQKTJBUGI-AWEZNQCLSA-N 409.486 4.732 5 20 HJBD C[C@@H](Nc1cccc(OCC(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 30495217 VAQPOXAVMLGXTR-SNVBAGLBSA-N 417.771 4.628 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 50495170 VNCCMDMHXQOVSQ-UHFFFAOYSA-N 413.524 4.923 5 20 HJBD Cc1cccc(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 58234122 NELFPTMBISSQGT-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD CCN(C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 64784355 YCIVKIXGQJPMPD-ZIAGYGMSSA-N 406.866 4.634 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 109788160 UYCYKFHEEUXXTA-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD Cc1cc(N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302000189 IQNBRIVWQGJEHZ-AZUAARDMSA-N 412.396 4.581 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(CC(F)(F)F)cc2)cc1[N+](=O)[O-] 303932498 VRGZOTLPERWDMX-UHFFFAOYSA-N 414.405 4.580 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 426273027 CIGAJKVLPOZYRY-SFHVURJKSA-N 423.391 4.669 5 20 HJBD C[C@]1(C(=O)O)CCCC[C@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426726867 LUCOMXQQHZMMMF-CTNGQTDRSA-N 402.422 4.649 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)cc1SC 430667585 FZLLKQZWPVXCTH-WLRWDXFRSA-N 404.463 4.518 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c(OC[C@@H]2CCCO2)c1 432756383 HGUANROPFRIWKF-INIZCTEOSA-N 413.474 4.518 5 20 HJBD Cc1cc(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 437025881 ZLGZSDOKTGYCJD-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1ccc([N+](=O)[O-])cc1Br 437204797 ZRQYOUBTQPVBPM-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1ccc(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c(OC(C)(C)C)c1 441825642 PSCORVZFUOBSJW-UHFFFAOYSA-N 411.502 4.929 5 20 HJBD Cc1cc(Br)c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1Cl 444916119 AZHLZKDZGQKXDQ-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD CC(=O)N(c1nc(CSc2ccc([N+](=O)[O-])cn2)cs1)c1ccccc1F 448054572 QPTHVWMVHFCVCK-UHFFFAOYSA-N 404.448 4.562 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)c(Br)c1 466822940 NYIOGVQGVOLDBG-AWEZNQCLSA-N 422.670 4.624 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1 470728929 YNXHJNAKPRALPM-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Sc1nnc(-c2ccc(OC(F)F)cc2)o1 473530078 XBVVUNQNXCMHDP-UHFFFAOYSA-N 416.365 4.946 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc([N+](=O)[O-])cc1Cl 478763224 FRVBDAYBZKVYOP-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CCC[C@@H](NCC1(N[C@@H](C)c2ccccc2)CCOCC1)c1cccc([N+](=O)[O-])c1 484183520 ZNFAKFWSJWMMFX-WMZHIEFXSA-N 411.546 4.926 5 20 HJBD Cn1cc(C(=O)N2CCC[C@H](c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 484433860 FZLQZLSLNAAYMU-INIZCTEOSA-N 422.460 4.544 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCCCC(=O)c1ccc(F)cc1 495109297 FDSASLGQHRDUPX-UHFFFAOYSA-N 400.450 4.914 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1c(Cl)cccc1[N+](=O)[O-] 500198777 NCYVVWRBXKSQDS-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD CCOCCN(CC)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 502407041 QIZUECAZPXFSHZ-UHFFFAOYSA-N 422.865 4.548 5 20 HJBD C[C@@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 505929378 CMSJNWIYMCGOJN-MSOLQXFVSA-N 401.551 4.619 5 20 HJBD Cc1c(NC(=O)CCOc2ccc(Cl)cc2Br)cccc1[N+](=O)[O-] 508791186 MZEAWCDPUPBUNH-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])CC(=O)Nc1c(Cl)cc(Cl)cc1Cl 511108879 NKQFUCXLTXLZFN-UHFFFAOYSA-N 416.692 4.668 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)c3c(c2)OCO3)nc1-c1cccc([N+](=O)[O-])c1 515621828 ZXBLCMNHNPDFKV-UHFFFAOYSA-N 417.830 4.661 5 20 HJBD CCc1cc(Sc2nnc(-c3ccc(F)cc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 517587021 SLFBGSHLCYCEBU-UHFFFAOYSA-N 423.429 4.955 5 20 HJBD CC[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nc(C)cs1 519121979 YIQNZYBSSGIETD-KRWDZBQOSA-N 410.499 4.853 5 20 HJBD CCC[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Cl)c(Cl)c1 520080034 LKUHZQUYCMASEW-CQSZACIVSA-N 411.241 4.902 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCc1ccccc1F 522716022 MDCSQBHCUCUZBE-HNNXBMFYSA-N 409.417 4.643 5 20 HJBD CC(C)CCN1Cc2cccc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)c2C1 524389959 ISXRNYKTNCWQPW-UHFFFAOYSA-N 406.486 4.550 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1OCC1CC1 525377060 HLZSDWIFKXNBFA-NSHDSACASA-N 408.813 4.582 5 20 HJBD C[C@H]1CCC[C@@H](C)N(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 525567686 AXLKLAAVFZNWEX-NWDGAFQWSA-N 411.289 4.738 5 20 HJBD COc1cc(CNCc2ccc3c(c2)COC3)ccc1OCc1ccc([N+](=O)[O-])cc1 532886699 DZHPRWSYOOWTBZ-UHFFFAOYSA-N 420.465 4.502 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccccn2)c([N+](=O)[O-])cc1OCc1ccccc1 534331852 AAMNRBGXMQNDNC-OAQYLSRUSA-N 419.481 4.915 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 536487652 FIOUKZMSAXGIPI-UHFFFAOYSA-N 418.384 4.720 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@@H]2c2nc3ccccc3s2)c1 537297627 LYBFBAAXGNNJAJ-QGZVFWFLSA-N 411.483 4.646 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCc1cc(-c2ccccc2)no1 538741369 UJGWTYADQHNWGE-UHFFFAOYSA-N 407.470 4.880 5 20 HJBD CCc1ccc([C@@H](NCc2csc([C@H](C)OC)n2)c2ccc([N+](=O)[O-])cc2)o1 542470534 FMMCFNWSQZAVRA-DJJJIMSYSA-N 401.488 4.793 5 20 HJBD O=C(CSCc1c(F)cccc1F)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 574945245 YECYPFSKYMLAMK-UHFFFAOYSA-N 406.332 4.764 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCn2c(cc3c(Cl)cccc32)C1 579982511 JFBMFWCUTMKPPF-UHFFFAOYSA-N 422.876 4.502 5 20 HJBD Cc1n[nH]cc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 580106379 NPGHTAVRNLQQRI-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 589541725 KCGLUPANBYLBST-CQSZACIVSA-N 412.433 4.858 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(c4nc(-c5ccccc5)no4)CC3)ccc2c1 589571913 JJMKRYFFQDXXGY-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@@H]1c1ccc(Br)cc1[N+](=O)[O-] 602760755 QSHKLUHDEYQEJN-HNNXBMFYSA-N 410.655 4.597 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 603467145 VBMQLFNCVBBVFP-UHFFFAOYSA-N 411.502 4.502 5 20 HJBD C[C@H](NC(=O)c1cccnc1SCc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 603966617 BJXMAKAETWNIEM-AWEZNQCLSA-N 411.458 4.912 5 20 HJBD COc1ccc(CC(=O)N[C@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 609011788 JOIPOZXSSODRSC-MRXNPFEDSA-N 406.438 4.816 5 20 HJBD Cc1ccc(Sc2c(Cl)cccc2NC(=O)Cn2cc([N+](=O)[O-])nc2C)cc1 610183963 CHADTYYBIFAEEU-UHFFFAOYSA-N 416.890 4.851 5 20 HJBD COCC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccco1 611547473 CLNCTFPWSYTWDE-QGZVFWFLSA-N 404.488 4.730 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 731535012 JJPJPQPHSBZXAL-GOSISDBHSA-N 424.284 4.879 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1cc(N2CCOC2=O)ccc1F 744071297 HXVUNNZFZRWNOP-UHFFFAOYSA-N 422.368 4.577 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1F 748791485 IHGSWUKYPGGAGA-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CC1CCN([C@H](CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2cccs2)CC1 749876602 RUKKSIXXXKYKKR-MRXNPFEDSA-N 407.923 4.513 5 20 HJBD COc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 749912860 LWTOENVSQFWNPD-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1nc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])sc1Cc1cccc(F)c1 750180992 WXNNMMXJUPXJGH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(CC1CCCCC1)c1ccccn1 752857834 BQBKBOHZWHLEPW-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD CCc1ccc([C@H](NC[C@@H](O)c2ccccc2Cl)c2ccc([N+](=O)[O-])cc2)o1 753680463 UYCHTERVWJHLKF-TZIWHRDSSA-N 400.862 4.816 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 753827576 WYFSKSXZLVLKCZ-UONOGXRCSA-N 403.276 4.684 5 20 HJBD CCOc1cc(COC(=O)c2csc(Cc3ccc(F)cc3)n2)ccc1[N+](=O)[O-] 755554080 JFJHHNDEVQUTDH-UHFFFAOYSA-N 416.430 4.537 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H]2C[C@H](C)O[C@H](C)C2)cc1 756044006 DRJXIPCBNGDLLE-ZSBFGKGUSA-N 401.415 4.509 5 20 HJBD C[C@@H](C(=O)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 763400880 BUUBWEJEAQNKCU-IIBYNOLFSA-N 404.422 4.622 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1 765833265 FWLKKULBSSTMTP-UHFFFAOYSA-N 409.398 4.709 5 20 HJBD Cc1ccsc1-c1nnc(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)o1 768376595 OLVQRIHMKMEWKQ-SECBINFHSA-N 424.891 4.787 5 20 HJBD O=C(C[C@@H]1CCCN1Cc1c(Br)cccc1[N+](=O)[O-])c1cccs1 770280543 LTKWIAOBYHVEQE-LBPRGKRZSA-N 409.305 4.656 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1 773984257 BXEMHYZLPFNESM-UHFFFAOYSA-N 407.495 4.775 5 20 HJBD CC(C)(C)c1ncc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 774532177 DATZFRIWBSLNJK-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD CSc1cccc(C(=O)N(Cc2ccc[nH]2)c2ccc(N(C)C)cc2)c1[N+](=O)[O-] 776878811 OYZXFBMKHGDAIL-UHFFFAOYSA-N 410.499 4.558 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@H](C)c1c(C)nn(CC(F)(F)F)c1C 778844113 TYMNEFSHWUUHDF-RISCZKNCSA-N 413.396 4.687 5 20 HJBD C[C@@H](NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1ccc(N)c([N+](=O)[O-])c1 788749789 HOTWQANDDDRYKH-RHSMWYFYSA-N 408.527 4.757 5 20 HJBD Cc1cccc([C@H](NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c2ccccn2)c1 790912247 QVERNTNJWHWIJP-NRFANRHFSA-N 413.836 4.539 5 20 HJBD CCOC(=O)c1cc2ccccc2cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 791040293 CWJNRTQKAQSCKQ-UHFFFAOYSA-N 410.451 4.899 5 20 HJBD CCc1cc(O)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 791152943 BMWLKBFNQHJASO-AWEZNQCLSA-N 406.442 4.683 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(C(F)(F)F)cn1 798669239 KTGKQFHKEHDYAE-MRVPVSSYSA-N 408.254 4.945 5 20 HJBD Cc1ccc([C@H](O)[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804470304 AAUAOLAIMNCKKW-YCRPNKLZSA-N 414.527 4.790 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(C(F)(F)F)c1 809917210 CDTHHSXHQDFXFH-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809965664 NBWYGWCCZCKDKV-BXUZGUMPSA-N 418.321 4.779 5 20 HJBD CC(C)OCc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1O 811594163 XITHPCAMVGUDJD-UHFFFAOYSA-N 404.488 4.978 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc2ccc(Cl)cc2[nH]1 812993011 FDZKVUDBSUFRFE-ZETCQYMHSA-N 413.648 4.922 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H](c2ccccc2)C1 817105723 CGGCYXBTXAXILA-CYBMUJFWSA-N 407.239 4.516 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(S(=O)(=O)Nc2cc(F)c(F)cc2Cl)c1Cl 821184794 GLFLCRLRQCIEGS-UHFFFAOYSA-N 417.604 4.634 5 20 HJBD COc1cccc(-c2nnc(S/C=C/c3ccc([N+](=O)[O-])o3)n2Cc2ccco2)c1 825255272 JCWYQERSVFSGGE-PKNBQFBNSA-N 424.438 4.859 5 20 HJBD COc1ccc(NCc2c(Br)cccc2[N+](=O)[O-])c(Br)c1 864030624 OZNFKJWLQFJLND-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD CC(C)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc2c(c1)CCC2 877121051 XBKMMHNWBVNBRL-LJQANCHMSA-N 416.861 4.557 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccc(N4CCCCC4)nc3)no2)c1 904428586 SRHAVWDBUUTXOA-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 914512928 VKKBOBKXVGZWCJ-AWEZNQCLSA-N 411.439 4.968 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 918843740 RZYLRJZTBHCZPY-UHFFFAOYSA-N 419.393 4.581 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCc2cc(-c3csc(C)n3)ccc21 1321168551 BDIVQSNTTLHOLX-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Cl 8121911 FALGXMLOMWHONJ-VIFPVBQESA-N 402.665 4.844 5 20 HJBD Cc1nc(-c2ccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc2)cs1 16505719 ZONSMTSUUGZXFW-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3Cc4ccccc4[C@@H](c4ccccc4)C3)n2)c1 43801602 GMXFFTFURRXWDD-JOCHJYFZSA-N 412.449 4.793 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 54774900 QCHFSVIHZWNUDQ-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3ccc(F)cc3)c3cccs3)n2)cc1 55827772 FVIVUTBMIABGKP-IBGZPJMESA-N 410.430 4.725 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(COCc3ccco3)c2)cccc1[N+](=O)[O-] 56188569 JIEIGTIKUSLUMW-MRXNPFEDSA-N 409.442 4.652 5 20 HJBD CCO[C@@H](C)c1noc(CSc2nc3ccccc3c3nc4ccccc4n23)n1 97591340 LNODAEAOMDXWPK-ZDUSSCGKSA-N 405.483 4.809 5 20 HJBD O=[N+]([O-])c1cc(CNCC2(c3ccc(Cl)cc3Cl)CCOCC2)cs1 237657617 NNPJJMBZXHRDFE-UHFFFAOYSA-N 401.315 4.801 5 20 HJBD Cc1ccc([C@@H]2CCCN2c2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)s1 301288233 MLQLCONWXQHRFS-HNNXBMFYSA-N 416.890 4.506 5 20 HJBD Cc1cccc([C@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1 303671559 GPJRMFUKAJFCSA-OAQYLSRUSA-N 405.454 4.915 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(Br)ccc1F 326643953 XFXFDULZKPFBPM-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 409790443 OHTGSJLWUAJSGB-HNNXBMFYSA-N 424.419 4.763 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 430522783 WYJCBNJHMVQDDK-UHFFFAOYSA-N 417.893 4.898 5 20 HJBD O=C(CC[C@@H]1CCOC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 434126588 UVOYLHGOENUJMK-CYBMUJFWSA-N 422.840 4.613 5 20 HJBD CC(C)c1ccc(OCCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 436080103 MEGVFLNJFKDOGT-UHFFFAOYSA-N 400.478 4.614 5 20 HJBD O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441056237 BDUXYGSNZCQNQS-VOQZNFBZSA-N 402.475 4.814 5 20 HJBD O=C(NCc1ccc(Cl)cc1F)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444332933 VOMSBHCSSOKKCV-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 446833968 IZONPVJTJUVVJG-KBXCAEBGSA-N 409.408 4.904 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 448456330 YXTGLGYWYLJTRO-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(O)cc1)Cc1ccco1 462783095 IRHXCMQPBGOMQD-LJQANCHMSA-N 408.454 4.691 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464227750 DVMRRZZOHKPVQE-KRWDZBQOSA-N 411.458 4.594 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc2c(c1)CCO2)C1CCCC1)c1cccc([N+](=O)[O-])c1 465640163 QNXZMASJWGOIFX-MRXNPFEDSA-N 409.486 4.745 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)COc2c(Cl)cccc2[N+](=O)[O-])cc1 471293290 QRYAETDHGSNOJP-SFHVURJKSA-N 418.877 4.780 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 475566574 TYJKRJJQUCMESY-QAPCUYQASA-N 407.829 4.656 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1OC1CCCC1 483125660 WOXDVYMRHFZVIX-CQSZACIVSA-N 423.469 4.897 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1nc(Cc2ccccc2)no1 483635211 DMGRECRDFYAYCR-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD CSc1cccc(C(=O)Nc2nc(Sc3ccc(C)cc3)ns2)c1[N+](=O)[O-] 486367529 OYBZQDWRKUEYBW-UHFFFAOYSA-N 418.525 4.880 5 20 HJBD O=C(Nc1cc(C2CCOCC2)[nH]n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 487268938 ZIVQEBSUPXFYIU-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD Cc1c(CSc2nnc(-c3ccccn3)n2-c2ccccc2)cccc1[N+](=O)[O-] 489425172 AJUHSHBRXDFGQR-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(=Cc2ccccc2F)CC1 489542662 XXWYLWKRSVYNQM-UHFFFAOYSA-N 406.360 4.655 5 20 HJBD COc1ccc(CN[C@H](c2ccccc2OC(F)F)C(F)(F)F)cc1[N+](=O)[O-] 491270149 GMNWUUPHRIOXPO-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC4(CC3)OCCc3ccsc34)o2)c1 497076888 IBRRMCLGCCSLAA-UHFFFAOYSA-N 410.495 4.980 5 20 HJBD CCCN(Cc1ccc(C)c([N+](=O)[O-])c1)Cc1nc(O)c2ccc(Cl)cc2n1 506072495 RETKBZLPXSEVOA-UHFFFAOYSA-N 400.866 4.618 5 20 HJBD C[S@@](=O)C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 508672758 WGJBHMGOQQRMSC-DNOBIOAJSA-N 400.525 4.533 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2cnc(C)s2)c([N+](=O)[O-])cc1OCCC 509693500 PLIJWPFDKIYURB-UHFFFAOYSA-N 422.507 4.601 5 20 HJBD C[C@]1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCCOC1 510998857 OGWWZNGMUBCUSW-FQEVSTJZSA-N 422.840 4.613 5 20 HJBD CCOc1cc(C(=O)N(CC)[C@@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OC 511503141 GHNPRTXVLSXPJE-AWEZNQCLSA-N 412.442 4.972 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)c2ccncc12 511670084 FRJNDJTURZXROA-INIZCTEOSA-N 416.403 4.848 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)c2ccncc12 511670086 FRJNDJTURZXROA-MRXNPFEDSA-N 416.403 4.848 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1 512955832 UQTZZNSJVUBUPL-UHFFFAOYSA-N 409.511 4.579 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515681490 ZHQPEBCLGIPZHW-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD Cc1cc(-c2noc(-c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)n2)ccc1F 518874067 ASSPPHOLDDTNOH-UHFFFAOYSA-N 407.405 4.621 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2cccc(OCc3cccnc3)c2)no1 521048259 OEHBRSURFXTEFE-CLFYSBASSA-N 418.384 4.928 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1)c1ccccc1 521840279 KPYJLUNKMJLQSZ-UHFFFAOYSA-N 421.428 4.582 5 20 HJBD O=C([C@H]1C[C@@H]1c1c(F)cccc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 523844668 QRPLRBWUMKHMTM-STQMWFEESA-N 414.330 4.568 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2C)C1 524195592 VXKLLDDOOSEVLI-OAHLLOKOSA-N 402.882 4.513 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(CC(=O)OC(C)(C)C)c1 525215194 ZWMCYFHUCLUQLR-CQSZACIVSA-N 416.499 4.598 5 20 HJBD CC(C)(C)C(=O)NCc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 525561630 ONEHEEBQGQHDIJ-UHFFFAOYSA-N 423.513 4.847 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1nc(-c2ccc(C(C)C)cc2)no1 531256581 BUGWVKVMCGFDFK-UHFFFAOYSA-N 408.458 4.956 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 532673596 VNMUCCKEKSNMTI-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD O=C(CN1CCCCC[C@H]1c1ccco1)Nc1ccc([N+](=O)[O-])cc1Br 532978922 NXOOGIBPTHRTDX-INIZCTEOSA-N 422.279 4.506 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(OC[C@@H]3CCCCO3)c(F)c2)cc1[N+](=O)[O-] 539070491 LXZRVWPUVDZNAX-YJBOKZPZSA-N 418.465 4.541 5 20 HJBD COc1ccc(CC[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 539293398 RZROAAQQWSUAKO-HNNXBMFYSA-N 402.516 4.855 5 20 HJBD COc1ccc(NC(=O)c2cc3c(C)nn(Cc4ccccc4)c3s2)cc1[N+](=O)[O-] 542000206 JUGLSAIMUSGAGF-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)C1c2ccccc2-c2ccccc21 542455767 HLWALHLBZMWEIH-UHFFFAOYSA-N 403.438 4.515 5 20 HJBD C[C@@H](C(=O)Nc1nnc(SCc2cccs2)s1)c1ccc([N+](=O)[O-])cc1F 544596401 XXXWUHBDYDHOLH-SECBINFHSA-N 424.504 4.681 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2)cs1 553685785 KRMUKGNQBOBVLR-HNNXBMFYSA-N 424.526 4.972 5 20 HJBD CCc1ccc([C@H](NCc2ccc(C[S@@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 560559210 YBNWAHZPFFWKRR-KPURRNSFSA-N 412.511 4.508 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(COCc3ccccc3)cc2)c1 564587547 AACUJHSBCRTHPA-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1sc2ccccc2c1Br 566945707 KFAMXHOPCZSGPX-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD C[C@@H](C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 575855654 OGUDXIQQBCFDAB-VLIAUNLRSA-N 402.878 4.636 5 20 HJBD CC(C)c1ccc(CN2CCCC[C@H]2c2nnc(C3CCOCC3)o2)cc1[N+](=O)[O-] 583111073 GVQCAWGSBKCOTQ-IBGZPJMESA-N 414.506 4.722 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN(Cc3ccc(-n4cncn4)cc3)C3CC3)o2)c1 584667551 LFSIIXQNGKJAAL-UHFFFAOYSA-N 415.453 4.600 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 588771442 HNENBARWQGDVCN-SFHVURJKSA-N 411.483 4.950 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])cc1F 603934085 SXDPZHXZIGFDCS-IBGZPJMESA-N 403.413 4.777 5 20 HJBD COc1ccc(COc2ccc(Cl)cc2[N+](=O)[O-])c(Br)c1OC 603947490 GUUQOHRAZNJBCW-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD C[C@H](N[C@H](C)c1c(F)cccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 604047863 OHTIYBBYIFJAQA-ZJUUUORDSA-N 417.334 4.570 5 20 HJBD Cc1ccc(OCCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 604242883 GMVGCFZJHSZVIM-UHFFFAOYSA-N 410.392 4.653 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(c3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 608810519 LMWZYFCWSQEZQH-MRXNPFEDSA-N 402.454 4.582 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3sccc3C2)cc1[N+](=O)[O-])c1ccccn1 609332071 FHDKNOYXCFDKMR-OAHLLOKOSA-N 422.510 4.813 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3cccnc3Oc3ccccc3)no2)cc1 609475277 APMCDCBSSZEEMO-UHFFFAOYSA-N 417.425 4.877 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609855305 XAZCXLPWZUOMDV-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2Br)c1 610223346 RNYMRETVEWWRJJ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2)sc1Cc1cccc(Cl)c1 610224782 RBTUBKWWJZOHSC-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD Cc1ccc(CNc2cccc(CS(=O)(=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 610911047 FZXDOEBNIUYMNN-UHFFFAOYSA-N 410.495 4.630 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 619214226 MJDKSABPCRFBGB-UHFFFAOYSA-N 408.410 4.587 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1cccc([N+](=O)[O-])c1OC 619478489 PXZDIYYBQZFWQC-CQSZACIVSA-N 418.471 4.512 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1ncc2n1CCCC2 619580963 GDBCVZSDVZZPNS-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD CCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725825914 KOQHTNRBYRBTRW-GFCCVEGCSA-N 408.863 4.766 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] 731051767 SNFGWSUJYQGKKV-UHFFFAOYSA-N 423.856 4.592 5 20 HJBD C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 732568094 JKVFRBACRVRECZ-HUUCEWRRSA-N 400.479 4.591 5 20 HJBD CCOC(=O)c1ccnc(N2CCC[C@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 737410330 GJEYASGWTWZFND-HNNXBMFYSA-N 409.364 4.527 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 737609965 RXPDWBBASPGJDL-DZGCQCFKSA-N 403.276 4.684 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1ccc([N+](=O)[O-])cc1Br 739534814 PLFMBYCMUOUOOH-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745301946 IODCGOBKDCRCPV-RYUDHWBXSA-N 401.806 4.706 5 20 HJBD C[C@@H](OC(=O)Cc1cc(Cl)ccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748388927 BOOPWUDHFGZZLU-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cc1[N+](=O)[O-] 748755127 JSXIDOUFJBZAKJ-UHFFFAOYSA-N 408.483 4.513 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)c1 749391514 GIRVGTYYTDSWJB-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)OCc1coc(-c2ccc(C(F)(F)F)cc2)n1 755679722 WZSNPQBUCXKPMD-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CCCN(C(=O)NCc1cc([N+](=O)[O-])ccc1Cl)[C@H](C)c1ccccc1OC 756965970 QPVREQUSQJSIEQ-CQSZACIVSA-N 405.882 4.940 5 20 HJBD O=C(NCCc1csc2ccccc12)c1cccc([N+](=O)[O-])c1Br 758093940 BDBHDHKKTUTPCB-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD CSc1ccc(-c2nc(Cc3nc(-c4ccccc4)oc3C)no2)cc1[N+](=O)[O-] 761501891 RZHMGAXLTXBZFZ-UHFFFAOYSA-N 408.439 4.921 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c(C)c1 762989535 MPVQTLNKHGOAKI-HNNXBMFYSA-N 416.449 4.507 5 20 HJBD COc1cc(COC(=O)C[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1F 766197019 TVMXTRLOHDNUTG-CYBMUJFWSA-N 401.312 4.522 5 20 HJBD CN(Cc1c2ccccc2c(Cl)c2ccccc12)C1CCN(S(C)(=O)=O)CC1 770324343 JDTNXQOXKHOQDY-UHFFFAOYSA-N 416.974 4.502 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cncc(-c2ccc3c(c2)CCO3)c1 770560687 ZXHUCKDGHNDYQP-UHFFFAOYSA-N 409.829 4.806 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(C)C 771515621 UILIGWXTUFUNRG-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1cc(Cl)ccc1[N+](=O)[O-] 777443072 NIYMOEJUKATFSR-YOFSQIOKSA-N 413.905 4.516 5 20 HJBD O=C(c1cccc(NCc2c3ccccc3cc3ccccc23)n1)N1CCC[C@H]1CO 779521029 RIKJTUFBZBEFJS-FQEVSTJZSA-N 411.505 4.597 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 782119372 QDBVMGIKPOJWDP-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc3c(c2)C[C@H](OC)C3)cc1OC 783890839 HZMJYRRPMNWPBU-FOIQADDNSA-N 414.502 4.754 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCc2cc(Br)ccc2C1 809917581 JOESRBNRAWXUDP-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CCc1ccc(N(C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C(C)C)cc1 813260768 PYYDUZFFWVIWMX-UHFFFAOYSA-N 408.401 4.822 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 816819480 GKZXDJAOIKQQJS-MAEBUKNSSA-N 420.387 4.615 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1C(=O)c1cccs1 843506406 UQCTZBXSFHQNTF-UHFFFAOYSA-N 414.870 4.813 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)c1cccc(NC(=O)c2ccccc2)c1 919251624 KBSPSPZICQCIHV-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccccc2)c(-c2nnc(-c3ccc4c(c3)OCCO4)o2)c1 1324311072 YFIGSTIVYLIROY-UHFFFAOYSA-N 417.377 4.875 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 7035764 VXRDVEBFCXBLBV-INIZCTEOSA-N 404.422 4.754 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)cc1[N+](=O)[O-] 8280603 VOMPZZFIBFSYER-UHFFFAOYSA-N 408.439 4.622 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2OC)nnc1-c1ccc(Cl)cc1 9071577 UZAOJKKSHAOKQK-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])cc1 11642252 ZYDKWTLIERRVTG-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3ccc([N+](=O)[O-])c(C)c3)cc2)cc1 15737289 XIOFIVXDDWAZSO-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2cccc(C3SCCS3)c2)ccc1Cl 17319996 IQQKRJUAMYGKMU-UHFFFAOYSA-N 416.933 4.528 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2ccncc2)cc1[N+](=O)[O-])c1ccccn1 53299884 LGNOLPODFGMUCE-HRAATJIYSA-N 417.469 4.535 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N(Cc1cccs1)c1ccc(F)cc1F 55751692 SQQARSYSZFZSME-UHFFFAOYSA-N 404.394 4.547 5 20 HJBD Cn1ccnc1C(=O)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 58189788 YPVYIXDPSHOBLP-UHFFFAOYSA-N 406.445 4.605 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c1 58428404 JKSNMNRSUUNEFV-UHFFFAOYSA-N 421.501 4.794 5 20 HJBD CCOc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 64850021 KLRAUMNMKJUHGK-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD O=C1c2ccccc2N[C@@H](c2cc([N+](=O)[O-])ccc2OC(F)F)N1Cc1ccco1 65013413 SCMZNXLZOJFLNB-GOSISDBHSA-N 415.352 4.556 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCOCC1 65767153 JKDPXWSUTBFTAO-UHFFFAOYSA-N 410.495 4.596 5 20 HJBD Cc1ccc(NC(=O)C2CCN(C(=O)c3cccc4ccccc34)CC2)cc1[N+](=O)[O-] 71807171 TWUHTMFBZIQTOM-UHFFFAOYSA-N 417.465 4.547 5 20 HJBD O=C(Cc1csc2nc(-c3ccccc3)cn12)Nc1ccc(Cl)c([N+](=O)[O-])c1 96459453 QEGTULMNKGRXOP-UHFFFAOYSA-N 412.858 4.806 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3cccc([N+](=O)[O-])c3C)c3c(C)noc3n2)c(C)o1 196436337 DTPFJUWMBXQREG-UHFFFAOYSA-N 406.398 4.877 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1cccc(NC(=O)c2cccc(F)c2)c1 236857326 CTGTUUQWDYWMGS-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H]1CCCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 301293818 QPSHDPWRVIONBX-MRXNPFEDSA-N 423.391 4.538 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCSC[C@H]3c3ccc(Cl)cc3)n2)cc1 303685445 TUUOOCFMVZYHJT-KRWDZBQOSA-N 416.890 4.588 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 410279466 PUGGGAOYRIETLD-LLVKDONJSA-N 414.405 4.749 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(OC(F)F)cc1 426053542 XRIWBWJBXSMMDR-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 430028904 RBXKJBZEQFKSHZ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(Cc2ccc(F)cc2)CC1 436369089 YEHZCWHVSWGQRT-UHFFFAOYSA-N 414.480 4.915 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437093271 GSELNBFDVXYSHX-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@H]([C@H]2CCOC2)C1 441541944 FGQQDBOOSITREI-HOTGVXAUSA-N 416.861 4.539 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 443929097 RIXAVXWXRXGWME-CQSZACIVSA-N 412.421 4.903 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 444438287 NWRLWYWMYOEDFP-OAHLLOKOSA-N 420.309 4.966 5 20 HJBD Cc1ccc(SC2(C(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)CCCC2)cc1 444635250 KHYVXHBWSSCPKC-UHFFFAOYSA-N 410.499 4.501 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc(SC2CCCC2)cc1 444863548 VMTPTIVLLZHQOF-UHFFFAOYSA-N 411.487 4.828 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1 446003853 RJARULPDWUFBOS-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 460442075 HQIBXQZIPPRWIB-OAHLLOKOSA-N 405.292 4.759 5 20 HJBD CCC(CC)(Cc1nc2ccccc2s1)C(=O)NCCc1ccccc1[N+](=O)[O-] 461270226 HIZSNMKLNJDJIM-UHFFFAOYSA-N 411.527 4.912 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 462231109 WOMSLHYJCPVOQJ-QGZVFWFLSA-N 421.419 4.690 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 462539819 URKLKZNJXFHNKZ-NSHDSACASA-N 415.298 4.816 5 20 HJBD Cc1noc(C2CC2)c1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464150223 CUSJIYGJTYMDQU-UHFFFAOYSA-N 401.366 4.518 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cn4cc(Cl)cc(Cl)c4n3)CC2)cc1 464783856 RPWJSAMJAMALMR-UHFFFAOYSA-N 421.284 4.593 5 20 HJBD CC(C)c1[nH]nc(C(=O)NC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1[N+](=O)[O-] 482175665 IJEGMTZNIVRMIL-UHFFFAOYSA-N 416.787 4.533 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@H]1CCCC[C@H]1C(F)(F)F 482222595 GJAOQOCRJJUSTC-CRAIPNDOSA-N 416.443 4.640 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)c1 482573762 GSVHJMYDPQBQEG-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2[nH]1 485747062 VJJVBFOMFRMEHV-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD O=C(c1ccc(OC(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486119466 MMYLKPWSQWGLMY-UHFFFAOYSA-N 422.281 4.698 5 20 HJBD CCc1cc(=N[C@@H](c2ccccc2)c2cccnc2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 487975294 OJNUOZUEUOJBSN-QHCPKHFHSA-N 411.465 4.633 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2csc(Cc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 488045186 CQUXHESZGSBLLQ-CQSZACIVSA-N 413.474 4.510 5 20 HJBD Cc1ccc([C@@H]2C[C@H](C)N(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 489983945 MTHQCUOWGSZVDH-PZJWPPBQSA-N 410.517 4.868 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccccc2C)OC[C@@H]1C 490010177 LOZXCGQWRXYICU-HNAYVOBHSA-N 400.500 4.617 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(N2CCCC2)c1 491323706 QJIOKXQNHSRYMU-KRWDZBQOSA-N 408.502 4.630 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(F)cc1OCCC(F)(F)F 500240136 YVEWMRCPPHVOTR-UHFFFAOYSA-N 418.368 4.796 5 20 HJBD CCNc1ccc(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)cc1[N+](=O)[O-] 500362728 IVZWJORWBXHVOK-UHFFFAOYSA-N 401.426 4.594 5 20 HJBD CC(C)n1c([C@H]2CCCN2CCC(=O)Nc2ccccc2[N+](=O)[O-])nc2ccccc21 501033043 RWWGFGLSPBXNPA-OAQYLSRUSA-N 421.501 4.691 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCC[C@H]1Cc1ccccc1 503262983 AKKGJXVAIKSZEU-HNNXBMFYSA-N 405.401 4.825 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(C(F)(F)F)cs1 504900252 UQYWEDBBBLQSNO-NSHDSACASA-N 405.467 4.773 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)ccn2)CC1 506815744 COXFOSQMXUGJHB-NGEICVOHSA-N 412.490 4.508 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])cc(I)c2C)c(C)c1 509027159 SVDSBKXLKAQCTO-UHFFFAOYSA-N 424.238 4.685 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2C2CCCCC2)c([N+](=O)[O-])cc1OCC 509744574 GMYPMFWSXCGHFT-QGZVFWFLSA-N 405.495 4.969 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3ccccc3Cl)CC2)cc1SC 509800482 ZRMWPCJEAILECI-UHFFFAOYSA-N 418.902 4.908 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(NC(C)=O)ccc2C)cc1OC 513604008 SLTRITZYZSVHGC-AWEZNQCLSA-N 415.490 4.907 5 20 HJBD Cc1sc(NC(=O)c2ccc(-c3cnco3)cc2)nc1-c1cccc([N+](=O)[O-])c1 515623235 GACFTDILTHSCBB-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(OC(F)(F)F)c1 520439622 FBHBGQFKCWMVNP-SECBINFHSA-N 404.728 4.553 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)ccc1[N+](=O)[O-] 530564305 WWKHPZKBVLCROL-HXUWFJFHSA-N 408.433 4.800 5 20 HJBD COc1cccc(CN(C(=O)c2cc([N+](=O)[O-])cn2C)C23CC4CC(CC(C4)C2)C3)c1 531509470 WJCLSHWQPZIQOE-UHFFFAOYSA-N 423.513 4.553 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3cn4cc(Cl)ccc4n3)co2)cc1 535506318 CEYVMUFGDKBXGY-UHFFFAOYSA-N 400.847 4.984 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1ccc(F)c(F)c1 536302709 PFMVJGLLFGGBPH-NSHDSACASA-N 419.409 4.788 5 20 HJBD CC(C)(C)OCc1ccccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 538020532 FWLJDCLZTNKFAX-UHFFFAOYSA-N 412.486 4.594 5 20 HJBD Cc1nc([C@H](NCc2ccc(C(C)C)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 538878157 IVXZRUMZGSGJJC-LJQANCHMSA-N 400.866 4.942 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)CSc3ccc(Cl)cc32)c([N+](=O)[O-])cc1OC 542346176 PNBAKGNOMVEFPK-LLVKDONJSA-N 422.890 4.654 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CCN(C)c3ccccc32)no1 544283775 IIXUQWBSUDBZGB-IUODEOHRSA-N 414.849 4.743 5 20 HJBD Cc1cc(C(F)(F)F)nc(Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)n1 544393287 FNZQCYJZLBEVMX-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)NCc1ccccc1-c1ccc(Cl)cc1 544713327 IRRRGXIDGRHSOT-UHFFFAOYSA-N 416.886 4.535 5 20 HJBD COc1cccc(/C=C\c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)c1OC(F)F 545787195 UVRVISMLHGLDII-KTKRTIGZSA-N 417.368 4.657 5 20 HJBD COc1cc(CNC2CC(c3ccccc3)C2)c([N+](=O)[O-])cc1OCC(F)(F)F 547374661 PJLJDDUPQKZQJD-UHFFFAOYSA-N 410.392 4.580 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 555719551 CXLRBPFYICIDET-VIFPVBQESA-N 413.655 4.762 5 20 HJBD CC(C)(NC(=O)c1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 556925492 ZKJXELGIBOZJLX-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 559361506 GHQKKLVKMHFNKQ-IIBYNOLFSA-N 404.470 4.768 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)cc1[N+](=O)[O-] 559541416 NGROHHQNZDYTLZ-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC(C)(NC(=O)c1[nH]c2ccccc2c1Br)c1ccccc1[N+](=O)[O-] 561695944 KXYSLEXUEZFLOR-UHFFFAOYSA-N 402.248 4.504 5 20 HJBD C[C@H](C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 571014952 WPZZUOZJPJAESF-NUTKFTJISA-N 403.276 4.523 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C(F)(F)F 572436013 HFCXCVJWRFOMHG-GFCCVEGCSA-N 407.348 4.518 5 20 HJBD NC(=O)c1ccnc(N[C@H](c2ccccc2)c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 589562358 PBCGBNBGVZHQBG-MRXNPFEDSA-N 417.252 4.597 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2ccnc3c([N+](=O)[O-])cccc23)CC1 590078657 JLCMPMCJCONFEQ-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD Cc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n(-c2cccc(C(F)(F)F)c2)n1 590772729 DGGLUFIKKPKYAA-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(c1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613733 MSIXVHMBCNLSFR-UHFFFAOYSA-N 406.282 4.818 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H](Sc1ccccc1F)c1ccccc1 609262227 WLWGVLBQSAAQQK-NRFANRHFSA-N 410.470 4.926 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1NCc1ccc(NC(=O)CCCOc2ccccc2)cc1 609383938 UTYGPLGFXDXCLW-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1)C(C)C 609725819 BJJVDHVYINVFJD-UHFFFAOYSA-N 411.502 4.902 5 20 HJBD CCOc1cc(C(=O)N2CCCc3ccc(Cl)c(C)c32)c([N+](=O)[O-])cc1OC 609870515 DXNFLUHUQWGWGY-UHFFFAOYSA-N 404.850 4.557 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCCC[C@@H]2c2ccc(C)o2)cc1OC 609984454 GAOGBSDCCGYVTG-MRXNPFEDSA-N 402.447 4.661 5 20 HJBD COc1ccc(CNC(=O)N[C@H](Cc2ccccc2)c2ccccc2F)cc1[N+](=O)[O-] 610138413 HNIHXSKKXKMHLC-HXUWFJFHSA-N 423.444 4.526 5 20 HJBD O=C1CCCc2cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)ccc2N1 610365314 JBOWLOPNHPVTDS-UHFFFAOYSA-N 415.449 4.717 5 20 HJBD CN(C(=O)c1ccccc1OC1CCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400775 VDLPRRXABSQGBR-UHFFFAOYSA-N 409.467 4.926 5 20 HJBD O=C(OCc1ccc(OCc2cccnc2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 733380354 MKFKDSLXOCPPIS-UHFFFAOYSA-N 419.437 4.500 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 734926549 PZLSKMHIYVGMSC-CQSZACIVSA-N 402.882 4.595 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC(c2ccccc2F)CC1 736040990 ZFUBKDJBRKGJLA-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1cc(F)c(Cl)nc1Cl 739580437 VNYQHEUVWPGIRC-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD CC(=O)Nc1ccc(OC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c(F)c1 742838746 ZSJSNWQYDAYWRS-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD COc1ccc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)cc1SC(F)(F)F 744943210 HRTYFAVMNUDNAP-UHFFFAOYSA-N 405.325 4.711 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744983666 NQMQCBQXOIDZPD-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nnc(-c2cccc(Cl)c2)o1 745952430 SNUVEANKJQDDQY-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 748989113 PVMDOIURDNRVMG-UHFFFAOYSA-N 402.882 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC 750290279 VNOCPFGSZCBTIQ-LBPRGKRZSA-N 424.906 4.834 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 756735196 CKLVNBWZGYQSKF-LBPRGKRZSA-N 406.464 4.533 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3cccc([N+](=O)[O-])c3Br)nc12 757858129 MXRSGSVPGXROIP-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1nc2cc(C(F)(F)F)ccc2o1 758729811 APGIFIMLEBGVQX-UHFFFAOYSA-N 419.315 4.522 5 20 HJBD Cc1ccc(-c2noc([C@@H](CC(C)C)NC(=O)OCc3ccccc3)n2)cc1[N+](=O)[O-] 761639162 OWAUUUYULLWRFT-GOSISDBHSA-N 424.457 4.967 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CNC(=O)OC(C)C)cc1 764246658 FFXIWHNRTPCGCH-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CC(C)(C)c1ccc2c(c1)CCCN2C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 766037869 MPHYABBZHJTFPT-UHFFFAOYSA-N 405.454 4.739 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 775085272 HRGQRFWGLXGEOI-UHFFFAOYSA-N 417.399 4.502 5 20 HJBD CN(C)C1CCN(c2ccc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)cc2)CC1 775508498 KBBFGGFPWUUYSZ-UHFFFAOYSA-N 424.545 4.675 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@H]1/C=C/c1ccccc1 780292672 ODJKQGRHACLHTG-DZZUKKPASA-N 401.260 4.675 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nc(C2CC2)cs1 781180661 SAGQOVGDKWZKAW-LLVKDONJSA-N 408.501 4.585 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2N)nc1-c1ccccc1 787021124 CTNOMVGFVDPSRL-IBGZPJMESA-N 420.469 4.933 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)c1ccccc1C(F)(F)F 789049806 FWRDMPXBQFPLFP-SECBINFHSA-N 401.724 4.695 5 20 HJBD CCC(=O)c1ccc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c([N+](=O)[O-])c1 795084580 FBUBCURTOODGOO-UHFFFAOYSA-N 406.442 4.622 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796443912 SNXMOWPVWBTUNJ-HOTGVXAUSA-N 412.486 4.956 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2coc(C(C)(C)C)n2)cc1[N+](=O)[O-])c1ccccn1 802275849 HQNCRBHXFIBRTK-AWEZNQCLSA-N 424.457 4.805 5 20 HJBD CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 809921027 CDIJPLOCRSFLGC-PBHICJAKSA-N 407.829 4.771 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(-c3ccc(OC(C)C)cc3)cc2F)c1[N+](=O)[O-] 817050071 ZFWLFVRQMQXIGR-UHFFFAOYSA-N 412.421 4.726 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OCC(F)(F)F 844771819 AAKCVFXAKOQEBE-FPYGCLRLSA-N 400.740 4.841 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 914479979 JNSNJWPOEASLBS-SNVBAGLBSA-N 412.229 4.951 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccco2)c2ccc(F)cc2F)cc1[N+](=O)[O-] 917791354 QTDMFTOHMILCST-UHFFFAOYSA-N 417.324 4.530 5 20 HJBD Cc1sc2nc([C@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)nc(O)c2c1C 919212468 SDHHJPJLKFRYQK-VIFPVBQESA-N 413.411 4.586 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 919846505 LCUCISLJGUCQSU-SSZFMOIBSA-N 418.497 4.870 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1c1nnc(-c2cccc3ccccc23)o1 1117246077 YQWVVYHACRZYSL-MRXNPFEDSA-N 420.450 4.837 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1122499437 XFBYCYKJMXPGPQ-UHFFFAOYSA-N 419.359 4.773 5 20 HJBD CCc1ccc([C@H](NCc2cc(OCC(F)F)ccn2)c2ccc([N+](=O)[O-])cc2)o1 1320091068 GLRFNIISBCYQGI-OAQYLSRUSA-N 417.412 4.668 5 20 HJBD CCOC(=O)c1c(-c2ccc(F)cc2)csc1NC(=O)c1ccc([N+](=O)[O-])s1 3244383 HCGBGITYCYQEHT-UHFFFAOYSA-N 420.443 4.953 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ccccc1 6012687 ULJUYOIKUMTTAX-HJUDDPQBSA-N 416.433 4.845 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccco1)Cc1ccco1 30470315 MIDCPWMJPXVTMV-UHFFFAOYSA-N 412.445 4.570 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 45239371 JRRIYFBDDFKQGT-SFHVURJKSA-N 414.505 4.819 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 58515840 WPRWYGUCNREHBT-CYBMUJFWSA-N 412.877 4.601 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1 60704798 WCDRRHYXKLLHMZ-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD Cn1cc(C(=O)Nc2ccc3nc(C4CC4)sc3c2)c(-c2ccc([N+](=O)[O-])cc2)n1 63981111 SAUUCIROYMEUGU-UHFFFAOYSA-N 419.466 4.735 5 20 HJBD C[C@H]1CCN(C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2S1 64782837 QTRGQUKTQTVJJR-STQMWFEESA-N 406.891 4.933 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccco1)CC(F)(F)F 64897047 YOOPXAVYNPLKNH-UHFFFAOYSA-N 411.361 4.516 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccnc3OCC(F)(F)F)s2)cc1 237908101 CYAOWLTXCYNYEG-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 303747403 AVHRMMCAQDEPLM-RTBURBONSA-N 406.404 4.856 5 20 HJBD C[C@@H](CCNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 410217636 HMJKUPBQYGEJIF-AWEZNQCLSA-N 406.432 4.883 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426448855 DUCOKZAQLFIOOW-UHFFFAOYSA-N 411.458 4.694 5 20 HJBD CSC[C@@H]1CCCN([C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)C1 429279675 VLTAZYSPUPUSBR-UTKZUKDTSA-N 413.543 4.658 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 433507609 SDJCBGIUCFIDMF-UHFFFAOYSA-N 403.744 4.653 5 20 HJBD O=C(NCCc1ccc(Cl)s1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436074021 JVSCDLBGBFXGOY-UHFFFAOYSA-N 418.852 4.647 5 20 HJBD Cc1c(CC(=O)Nc2ccc(NC(=O)c3ccco3)c(Cl)c2)cccc1[N+](=O)[O-] 438901172 ONGBPPZQIOIPEU-UHFFFAOYSA-N 413.817 4.583 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1 439112839 CURRZFJMHCDIBG-UHFFFAOYSA-N 403.866 4.762 5 20 HJBD CC(=O)c1ccc(OCc2csc(N(C(C)=O)c3ccccc3)n2)c([N+](=O)[O-])c1 440846681 LYFXQJQJJYUJTF-UHFFFAOYSA-N 411.439 4.518 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440949832 KPGRSOJRVMFUHK-UHFFFAOYSA-N 421.434 4.595 5 20 HJBD CCOc1cc(CNc2cccc(C[S@](=O)c3ccccc3)c2)ccc1[N+](=O)[O-] 442106564 JPBPRSOGVZTQID-LJAQVGFWSA-N 410.495 4.913 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(CCc1ccccc1)Cc1ccncc1 443952261 WHBKMEMQQUEECI-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444085978 NSRHKZHJZQMBRY-QFIPXVFZSA-N 418.443 4.711 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCN(CC2CC2)c2ccccc21 444120691 FKJHVDGLPPKIDS-UHFFFAOYSA-N 409.489 4.558 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 448415420 HEXPQKXBLWQYHL-IYBDPMFKSA-N 413.522 4.634 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1nnc(-c2ccc(Cl)cc2)o1 461427404 XBQRJPAMOPRXLW-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2nccc(C)n2)cc1 462635967 CRQMEPHUCSFGCX-KRWDZBQOSA-N 406.442 4.693 5 20 HJBD O=C(N[C@@H]1CCO[C@@H](c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 463090888 DKEBOHDOXKDOJO-NFBKMPQASA-N 417.465 4.989 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccc(COCC(F)(F)F)c1 463960048 WUBYOQBBDQJWAN-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD CSc1ccccc1CN(C)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 473476980 WVSPCUMYNXHAPZ-UHFFFAOYSA-N 424.472 4.954 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 474743530 QRCYUIGNTAPJBG-HUUCEWRRSA-N 412.877 4.698 5 20 HJBD C[C@@H](NCc1cc(Br)ccc1Br)c1cccc([N+](=O)[O-])c1 480672274 SFEVLDYULNAYFW-SNVBAGLBSA-N 414.097 4.971 5 20 HJBD Cc1c(CNC(=O)c2ncoc2-c2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 481493263 PMWDKSCSTNKWSH-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1 490282198 FXLJNMFLUYJXBU-UHFFFAOYSA-N 420.347 4.841 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 493037795 YTGIODMQGSPRHC-CQSZACIVSA-N 408.414 4.631 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1c(Cl)cccc1[N+](=O)[O-] 494170543 DVPBZACXPFYRBX-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD COc1ccc2cc(CN(C)C(=O)c3ccc([N+](=O)[O-])c4cccnc34)ccc2c1 497769101 UCMFUQHQADKLRG-UHFFFAOYSA-N 401.422 4.577 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 505388570 RCDWQIDWRONHTB-CQSZACIVSA-N 422.281 4.656 5 20 HJBD Cc1oncc1C(=O)Nc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 512532591 SEXMCRDKDYHPBV-UHFFFAOYSA-N 422.441 4.693 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 512568494 KEQONVAOUOFOSP-HNNXBMFYSA-N 421.457 4.597 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1F 513333445 LIQPECSGZYKRSY-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1 513666924 QBFNXTHBSLKHBY-OAHLLOKOSA-N 403.866 4.880 5 20 HJBD COCCN(C(=O)c1cc(C)c(C)s1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514620475 VCSMDZSPJROJTP-UHFFFAOYSA-N 417.512 4.690 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C(C)C)cc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 514621966 UDSCHUONZNIFRO-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 515447455 AVDLRDUSFCVVFE-UHFFFAOYSA-N 419.368 4.985 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 515531142 CGGCBVIFHDPUMR-JKSUJKDBSA-N 415.490 4.760 5 20 HJBD CC(C)CCOC1CCN(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)CC1 519176064 COSJHGXUSCZUNO-UHFFFAOYSA-N 422.323 4.937 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1OCc1ccccc1 520227936 JKFJHOIIEFYMIP-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1nc(O)c2cc([N+](=O)[O-])ccc2n1 521481267 SUBYETFKKMUPGD-UHFFFAOYSA-N 404.426 4.796 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@H]1c1ccncc1 522650944 QITSVLVKJKKFIP-KRWDZBQOSA-N 403.866 4.556 5 20 HJBD CCC1(C)CCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 522936569 PIIFRQRMUJCIFA-UHFFFAOYSA-N 411.289 4.740 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 523508338 VWMNPZBMJYBWHM-UHFFFAOYSA-N 417.893 4.645 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 524547359 MWPHNTGQLIIPQI-PMERELPUSA-N 419.462 4.636 5 20 HJBD CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(F)ccc1F 524898272 IXIZDFPTEJMYCC-CYBMUJFWSA-N 401.316 4.747 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2[nH]1 526007725 IHZOUMRHFHAGJY-UHFFFAOYSA-N 417.263 4.783 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1ccc(OCCOc2ccccc2)nc1 532475012 OUDOIPNPIHEDTP-GOSISDBHSA-N 407.470 4.607 5 20 HJBD COc1cc(CN2CCC(OC(C)C)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 535796713 ZJMNRURVIZUTQL-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2ccnc3cc(Cl)ccc23)cc1[N+](=O)[O-] 542927774 FRQWGTHJILALHQ-LLVKDONJSA-N 417.874 4.924 5 20 HJBD CN(CCc1cccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1)C1CC1 543129314 BJAXCFRIRAEFIG-UHFFFAOYSA-N 419.934 4.616 5 20 HJBD C[C@@H](NC(=O)Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cccc([N+](=O)[O-])c1 543964948 UDQQFVMVWVAACJ-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD Cc1ccc(-c2nnc(Sc3ncc([N+](=O)[O-])c(C)c3Br)o2)cc1 544393522 VVDQUSSWMPRLJP-UHFFFAOYSA-N 407.249 4.570 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2N2CCCCC2)c1 548959234 LTNKUNOFPZCTIF-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD COc1cc(C(=O)N[C@@H]2CC[C@H](F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 561670584 TVOGUSGKQFNQIO-UONOGXRCSA-N 408.813 4.670 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCCC[C@@H]1c1nnc([C@H]2CCCO2)o1 561701528 MIWPMMXFUWBUIW-UKRRQHHQSA-N 412.368 4.580 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(Br)cc2F)c1 563846925 LAYDZIHTEAZNDZ-VIFPVBQESA-N 413.268 4.709 5 20 HJBD C[C@H](C(=O)Nc1cccc(Cl)c1OCC(F)F)c1ccc([N+](=O)[O-])cc1F 569378884 FVFBTPNKWUTFBB-VIFPVBQESA-N 402.756 4.773 5 20 HJBD Cc1cc(C(F)(F)F)nn1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 571783810 KXWXJIGCRWLRPY-UHFFFAOYSA-N 420.347 4.550 5 20 HJBD O=C(NC1CCCC1)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 581620329 QLKJWNCPFMSACU-UHFFFAOYSA-N 421.375 4.538 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@H]1CCc2sc(Cl)cc21 582698400 HEEUOMNVFVDEHQ-LBPRGKRZSA-N 419.812 4.815 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(F)c2ccccc12 583401976 KGCGZZOBFSGUPZ-CQSZACIVSA-N 418.428 4.779 5 20 HJBD CCCc1nc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)co1 584496307 XRAFIBDAEKOTAK-ZDUSSCGKSA-N 420.429 4.820 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1F 586837197 SDBCPKLIWBIQOV-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD CC(C)[C@]1(CO)CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 590525621 QFEVFFDZWCBRBS-JOCHJYFZSA-N 414.527 4.617 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1nc2ccccc2[nH]1 591710202 NJJXYVYWLYEMEI-UHFFFAOYSA-N 401.426 4.579 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@H]1c1cccs1 591744893 KKBPCPFQUOEZFS-FQEVSTJZSA-N 413.524 4.694 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2F)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 592943562 DKJCKTOWUHYELT-SECBINFHSA-N 422.825 4.557 5 20 HJBD CC[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)c1ccc(OC)cc1 594410212 OZOXIEBWEOXYGV-OAQYLSRUSA-N 418.518 4.849 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccccc2-n2cncn2)cc1[N+](=O)[O-] 603516971 JQUUUJQYXREEBA-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603645599 IOHMRTPJOHNTOX-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD COc1cccc(N2C(=O)c3ccccc3N[C@@H]2/C=C\c2ccccc2[N+](=O)[O-])c1 603805553 PVAVNVFLMMUKOD-MBWOQUJGSA-N 401.422 4.715 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 603840776 RXTMISOHIISYHR-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD Cc1ccc(OCCCC(=O)Nc2ccc(CNc3cccnc3[N+](=O)[O-])cc2)cc1 603861041 KSBFJWLETUMZDP-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD Cc1cc(Br)ccc1SCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 603966152 BGXRFHCNBWJAMX-LBPRGKRZSA-N 409.305 4.635 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1cccs1 604077623 RNGNWGRBDFVGCC-GOSISDBHSA-N 401.875 4.899 5 20 HJBD CCC(CC)(NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 609021197 JQUGQNNZJVULSC-UHFFFAOYSA-N 406.866 4.711 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C[S@](=O)[C@H](C)c1ccc(OC(F)(F)F)cc1 609366910 MPCZONWBXSBZSW-LUGWNYHVSA-N 403.378 4.512 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc(NC)c([N+](=O)[O-])c2)cc1 609577325 UKAYLHMIWZWWBX-QHCPKHFHSA-N 418.497 4.846 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2c2ccc(C)o2)c([N+](=O)[O-])cc1OC(F)F 609984469 HLLZRKIGJVIIOB-AWEZNQCLSA-N 424.400 4.864 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC2(c3cccs3)CCCCC2)cc1OC 610049267 RDGHRPIIKSXRMM-UHFFFAOYSA-N 418.515 4.696 5 20 HJBD CC(C)(C(=O)NCCc1nc(C2CCCCC2)cs1)c1ccc([N+](=O)[O-])cc1 610064915 PMKHURYBUQCKJT-UHFFFAOYSA-N 401.532 4.736 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 610234432 MYHGSGZRDNYULU-UHFFFAOYSA-N 402.472 4.568 5 20 HJBD O=C(NCCCF)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610887710 VHZREGGZBFJSQS-UHFFFAOYSA-N 402.369 4.854 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H]2[C@@H]1[C@@H]2C(F)(F)F 611211285 NEDYQJZFJOEOJJ-APHBMKBZSA-N 400.422 4.652 5 20 HJBD CC(C)c1ccc([C@@H](C)CC(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1 738747411 UKWYHJCNTKFSOK-AWEZNQCLSA-N 402.422 4.533 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 743068652 JAXSWEJXVWWXPC-DNVCBOLYSA-N 403.866 4.846 5 20 HJBD C[C@H](CCc1cccc(F)c1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745586581 XBKRVIATVVFXGH-CHWSQXEVSA-N 422.840 4.526 5 20 HJBD CCOc1ccc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 746242083 ZQXLKFMRVHQSMH-LLVKDONJSA-N 417.805 4.615 5 20 HJBD C[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc2ccc(Cl)cc2n1C 750212428 CIQNOBPPIWGRHQ-NSHDSACASA-N 405.863 4.531 5 20 HJBD O=C(NC1(c2cccc(Cl)c2)CC1)c1cc(F)cc([N+](=O)[O-])c1Br 754978051 QPGYQTFHWASEHD-UHFFFAOYSA-N 413.630 4.569 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCSC[C@@H]1c1ccccc1 755027821 BSDPPGQHPIEBBF-MRXNPFEDSA-N 422.304 4.679 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCSc2ccc(C(F)(F)F)cc21 763509357 SLCQXTKGCZLXQO-UHFFFAOYSA-N 404.316 4.644 5 20 HJBD CCC[C@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 763854386 VTHPNTJYRBSBOA-AAEUAGOBSA-N 404.385 4.556 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 763968824 ZWUSUGIRYJQWFO-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764236268 VPHUTDMCXZKDRA-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CCCOc1ccc([C@@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1OC 765002590 PHLJEXNVJVODAR-QGZVFWFLSA-N 413.518 4.712 5 20 HJBD COc1ccc(CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@@H](C)C2CC2)cc1 777930508 KGKITZCXVGCXQY-AWEZNQCLSA-N 404.413 4.523 5 20 HJBD Cc1c(NC(=O)C[C@H](O)c2ccc(OCc3ccccc3)cc2)cccc1[N+](=O)[O-] 780849159 UTKRKRZZQYKROQ-QFIPXVFZSA-N 406.438 4.544 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)c1F 790144608 JLSQYHFXPMPODM-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1cccc(Cl)c1[N+](=O)[O-] 791020639 BAJZVMQBGANAQR-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(-c2ccncc2)nc2ccccc12 800145758 GXCAVVFXNXBEAU-UHFFFAOYSA-N 402.385 4.905 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 800149136 JHOFQEJUNFRYGJ-HXUWFJFHSA-N 420.396 4.749 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cc([N+](=O)[O-])c1 809510487 CNYIIAIMTRRPMQ-UHFFFAOYSA-N 420.303 4.652 5 20 HJBD CN(CCc1nc(-c2ccccc2)no1)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 810016953 HHMCQYICPMQLQJ-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD O=C1CC[C@H](C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc21 811216178 FQDTYDKVPXRZSL-SFHVURJKSA-N 408.435 4.522 5 20 HJBD CCCOc1ccc(-c2nc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cs2)cc1 812811734 HLJVMFXONMEVOZ-UHFFFAOYSA-N 402.476 4.637 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NCc2cc([N+](=O)[O-])ccc2Cl)CC12CCC2 813785112 HZPXCSSDWCLSSD-OAHLLOKOSA-N 409.914 4.660 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813878204 LJEKEVGYFXSJEV-MJGOQNOKSA-N 417.550 4.828 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3Cl)n2)c1 816833171 ZUPCOHRDKGPJAJ-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC(C)C[C@H](NC(=O)c1ccc(Cl)cc1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 904579394 OLCGQBVMJLQVMK-KRWDZBQOSA-N 414.849 4.815 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2F)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318758496 AGKPWFVNGGRLCE-UHFFFAOYSA-N 407.401 4.861 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(c1nnc(-c3cc(Br)ccc3F)s1)C2 1320617800 ADBZXRFPMPKLJQ-UHFFFAOYSA-N 421.251 4.535 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])ccc2OC)cc1 1324194532 AIGBLEOXARDGSP-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD CC(C)c1ocnc1CSCc1cc(I)cc([N+](=O)[O-])c1 1325951454 MNAQSTSYQBRAGN-UHFFFAOYSA-N 418.256 4.744 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)o1 1333058515 BDCXNDDNUZSIMH-UHFFFAOYSA-N 410.352 4.765 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Cc3ccc([N+](=O)[O-])cc3Br)o2)c1 1345494480 NKMSIGPQNLUVAV-UHFFFAOYSA-N 418.247 4.527 5 20 HJBD CC(C)(c1ccccc1)c1nnc(CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 1345881724 HRALARSSDBJFOV-UHFFFAOYSA-N 420.391 4.977 5 20 HJBD CC(C)N(C(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C)c1ccccc1 7168432 DIEVHSIHZYTCFY-UHFFFAOYSA-N 417.534 4.620 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cc(Cl)ccc1OCC(F)(F)F 26227687 PRMZBLJRTDUUGB-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1occc1COc1ccccc1 42918197 YZHXYCAQDQHZBE-UHFFFAOYSA-N 404.325 4.621 5 20 HJBD Cc1ccc(Sc2ccc(NC(=O)CCn3cc([N+](=O)[O-])nc3C)cc2)cc1C 65736955 OXIAMNDZWOEMAH-UHFFFAOYSA-N 410.499 4.897 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)cc1[N+](=O)[O-] 72029376 LFVWPNPLLWRLCB-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNCc1cn(-c2ccccc2)nc1-c1ccncc1 237951310 LMQORWCYZCPWLL-SOFGYWHQSA-N 411.465 4.646 5 20 HJBD Cc1nc(N[C@@H](Cc2ccccn2)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 302709638 WTGOKFPGXBOZRU-KRWDZBQOSA-N 413.275 4.852 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 303434440 DAMKPMHQEKCJIE-HOTGVXAUSA-N 416.359 4.746 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426284289 WAYVANZXEJOSCZ-UHFFFAOYSA-N 407.348 4.701 5 20 HJBD CC(C)(C)[C@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)CC(=O)O 426656666 JLVAGICEQUFOPY-HNNXBMFYSA-N 404.438 4.753 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 427798233 NWXYOJLBKQYGCS-DEOSSOPVSA-N 412.489 4.840 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 434257252 UBRMJPTWHJQRTF-CJNGLKHVSA-N 408.376 4.554 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@@H](Cc2ccccc2)C1 438683250 UZXXHOQIIDEYBX-SFTDATJTSA-N 410.517 4.682 5 20 HJBD O=C(Nc1ccnn1-c1cccc(Cl)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443903282 DOHYQFLBICMOKS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(Br)s1 444551838 SLBKIJIRDADJMO-VHSXEESVSA-N 415.334 4.777 5 20 HJBD O=C(NC[C@@H]1CCCC[C@H]1C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 444994538 DQKQODUJJZVPLD-SUMWQHHRSA-N 402.416 4.780 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cnn(-c5ccccc5)c4)no3)cs2)cc1 445436105 OVBAMXVAHHYUPJ-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD C[C@H](O)C[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccccc1 447672250 PDIKTWXTKYTGDN-WCQYABFASA-N 419.350 4.611 5 20 HJBD COc1cccc(C2=CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C2)c1 447693260 LHBYQMLQWQBJAJ-UHFFFAOYSA-N 415.318 4.556 5 20 HJBD Cc1ccccc1[C@H](OC[C@H](O)CSc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040375 WWECVSXMNFSETB-SIKLNZKXSA-N 410.495 4.557 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2nnc(-c3cc4c(s3)CCCC4)o2)C2CC2)cc1 461365838 LJRNKZDQBLGOJO-UHFFFAOYSA-N 410.499 4.750 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])cc2Br)c1 462382274 YLLMZDYVTKORIH-LBPRGKRZSA-N 406.280 4.954 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(Br)cc(C(F)(F)F)c1 464173635 HGBHGYUSIZMRLZ-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD Cn1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2c(Cl)cccc21 464464239 SOVXSOLHIQFFGL-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD O=C(N[C@@H]1CCCOCC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466231910 AOYKNTVUCDENAT-CQSZACIVSA-N 406.891 4.698 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1O[C@@H](C)COC 470382469 JZXXFFXRKMSTSI-GOEBONIOSA-N 420.893 4.869 5 20 HJBD CN(c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br)C1CCCC1 475195946 IUCSGZKHCDSMDP-UHFFFAOYSA-N 418.291 4.988 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCSCc1ccc(C(F)(F)F)cc1 475891764 LPSQWRBQCHCYOY-UHFFFAOYSA-N 414.405 4.884 5 20 HJBD C[C@@H]1CCSCCN1C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 482927440 LUFYUSXCUPAOIQ-CQSZACIVSA-N 419.934 4.828 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccnc(Oc3ccccc3F)c2)c(Br)c1 486481073 IFQCCLVAKRDAKS-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 492209466 LMDBRYRMFMLLSB-AWEZNQCLSA-N 416.840 4.817 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)[C@H]1CCCOC1 503230153 JHAOWCPIVVNLDZ-ZFWWWQNUSA-N 404.850 4.585 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCCOCC(F)(F)F)cc1 507943977 COZULCUBSPUKSX-UHFFFAOYSA-N 404.772 4.709 5 20 HJBD COc1cc(CSc2nc(-c3ccc(C)cc3)n[nH]2)c([N+](=O)[O-])cc1OC(F)F 514723560 OIEWBLDZBZFIAM-UHFFFAOYSA-N 422.413 4.591 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C1CC1)[C@H]1CCc2ccccc21 520410228 WHPTZHUYZZHCFV-ACJLOTCBSA-N 400.862 4.694 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 522741949 UAGGCALREIDEJB-UHFFFAOYSA-N 411.889 4.987 5 20 HJBD CC[C@@H](OC1CCCC1)C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 522815970 HHFZQZSMHMVGAL-LJQANCHMSA-N 424.457 4.717 5 20 HJBD CCC[C@@H]1CCc2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2C1 523506411 PREIZWPPBNOTBA-SNVBAGLBSA-N 424.320 4.971 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)c1 525668011 JMQFSHVWDJYGRU-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(Cn3cncn3)cc2)cc1[N+](=O)[O-] 532891476 BGVBSAOVKVMBJI-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nc(-c4cccs4)no3)co2)cc1 536301518 BVNGEZRCJHBVNA-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 537814593 FFCNALXZCICRMG-UHFFFAOYSA-N 400.287 4.837 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCCO)Cc3cccc(Cl)c3)cs2)c1 538797309 AIXSVHXDLYXIHQ-UHFFFAOYSA-N 417.918 4.756 5 20 HJBD CC[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)[nH]1 539292476 MOHREDZUXMSYDK-AWEZNQCLSA-N 402.252 4.706 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(NC(=O)C(C)C)cc1 539298999 TXWGFNNXVWSHIR-UHFFFAOYSA-N 415.515 4.620 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC(OCC2CC2)CC1 541583067 YPHHTDLLKZLYKU-UHFFFAOYSA-N 418.559 4.908 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](Cc3ccccc3)c3cccs3)o2)cc1 543455504 UUHVHPGHNRBINT-SFHVURJKSA-N 406.467 4.780 5 20 HJBD Cc1cc(Cc2noc(CCc3ncc(-c4ccc(Cl)cc4)o3)n2)ccc1[N+](=O)[O-] 545145754 NQFINVTUQRMQJU-UHFFFAOYSA-N 424.844 4.971 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(-c3cnc4ccsc4c3)no2)c1 547134058 FRZNPNFIXUCZEM-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2ccc(OC3CCOCC3)cc2)no1 547199467 BIPDXQNKFLMHCS-XBXARRHUSA-N 411.389 4.512 5 20 HJBD C[C@H](N(C)C(=O)c1cc(C(=O)N(C)[C@H](C)C(C)(C)C)cc([N+](=O)[O-])c1)C(C)(C)C 547307694 FGVXJMIDJWKHDK-GASCZTMLSA-N 405.539 4.608 5 20 HJBD O=C(NCCCc1nccs1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 551393173 JKXMJKCHIXTBBZ-UHFFFAOYSA-N 417.874 4.860 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 552296469 VVUKFBXEGGWOSV-SJORKVTESA-N 422.403 4.617 5 20 HJBD O=C(Nc1ccc(OC(F)F)cn1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 553974993 PIBILGZBKSTHAS-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 554408711 FKOMCTOYRQJSES-OAQYLSRUSA-N 410.517 4.733 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 558850794 YTIBNXPCTKMCSR-INIZCTEOSA-N 419.437 4.511 5 20 HJBD O=C(NC[C@H]1Cc2ccccc2O1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 567255227 PKVAANITJHOTQZ-HXUWFJFHSA-N 405.410 4.512 5 20 HJBD CSc1cccc(N(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C2CCN(C)CC2)c1 580930283 MIDXKCQAYGXEAV-MRXNPFEDSA-N 413.543 4.548 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 583582658 WOULJYQRQFYYTQ-UHFFFAOYSA-N 407.401 4.981 5 20 HJBD CC(C)[C@@]1(CO)CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 590525622 QFEVFFDZWCBRBS-QFIPXVFZSA-N 414.527 4.617 5 20 HJBD CCCOc1cc(NC(=O)[C@@H]2Cc3ccccc3S2)c([N+](=O)[O-])cc1OCCC 590643835 PBWAAGPOZFRPQB-FQEVSTJZSA-N 416.499 4.828 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(CN3CCC[C@H](C)C3)cc2)c([N+](=O)[O-])c1 603855998 OTHQVOPKMIZNIJ-SFHVURJKSA-N 424.545 4.577 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 603967190 WREBIIKYMUREEK-VXGBXAGGSA-N 409.305 4.715 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc3ccccc3n2C2CCCC2)cc1OC 610123208 FEQGMHDOYOLZCX-UHFFFAOYSA-N 424.457 4.719 5 20 HJBD COc1cc(CN(C)Cc2cc([N+](=O)[O-])ccc2OC(C)C)c(SC)cc1OC 610161173 KCJRGUKMUDSAIX-UHFFFAOYSA-N 420.531 4.753 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 612038048 VSPZXIDNAFNTOI-UHFFFAOYSA-N 421.438 4.571 5 20 HJBD COC1CCC(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 612503455 URXUKGAEAGOJJM-UHFFFAOYSA-N 406.548 4.955 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@]2(C1)OCc1ccccc12 613671879 MEMXOHPZAQPPBI-QHCPKHFHSA-N 424.522 4.901 5 20 HJBD O=C(NC1CCN(Cc2ccc(F)cc2)CC1)c1cc2ccccc2c2cccnc12 617036918 YQNIVOFMFFANSL-UHFFFAOYSA-N 413.496 4.922 5 20 HJBD O=C(Nc1cccc(CSc2ccccn2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709612 YOOCSTKYELAKDJ-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1 734141686 JDQUVGXKXSJZRJ-UHFFFAOYSA-N 421.400 4.721 5 20 HJBD COC(=O)Nc1ccccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735273437 POYDQXUAZBNQNY-UHFFFAOYSA-N 407.382 4.818 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@H](C)c1cccc(C(F)(F)F)c1 738740662 BGSWTYCZCBNIKP-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD O=C(OCC(F)(F)C(F)F)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 744481770 YSJFQXGEFFFBDX-UHFFFAOYSA-N 419.714 4.536 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@H]1CCc2n[nH]cc2C1 746266105 REUOPXCSBBGTFV-FQEVSTJZSA-N 421.500 4.944 5 20 HJBD O=C(CSCc1cccc(OC(F)F)c1)Nc1cc([N+](=O)[O-])ccc1Cl 750005091 KSMJZZNPBRHLAN-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CC[C@@H](c2ccccc2)C1 750853420 DBZHZILFASOPEV-GFCCVEGCSA-N 409.667 4.640 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)Nc1cccc([N+](=O)[O-])c1Cl 752977516 FWTYUZPQIJYSTD-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD COc1ccc(/C=C/c2ccc([N+](=O)[O-])cn2)cc1OCc1ccc([N+](=O)[O-])cc1 753147764 RLTFOIFLHQLELQ-QHHAFSJGSA-N 407.382 4.656 5 20 HJBD C[C@@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)c(F)c(F)c(F)c1F 753944342 XSTPYFGUXLBDIS-SSDOTTSWSA-N 406.332 4.551 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(CC(C)C)C[C@H](O)c1ccc(F)cc1 754457839 XTAOMYBPSBPYKB-IBGZPJMESA-N 403.454 4.574 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCC[C@@H]1Cc1ccccc1 758211975 CBLXAGXNHNIEOY-OAHLLOKOSA-N 403.276 4.595 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1ccccc1)C[C@H](O)c1cccs1 763009953 OKFCBKPYZBNXNF-IBGZPJMESA-N 421.478 4.514 5 20 HJBD Cn1cncc1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 773823218 JGZVFMRHKDIILR-UHFFFAOYSA-N 409.489 4.639 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nccn2-c2ccc(C(F)(F)F)cc2)cc1 776276685 KSGOJSRHYVTSDD-MRXNPFEDSA-N 409.389 4.625 5 20 HJBD O=C(Nc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1)c1ccoc1 777663304 RHATWICCOOGGGB-UHFFFAOYSA-N 406.442 4.521 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cs2)cc1 787941218 YDGTUIPFPPVOLF-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD O=[N+]([O-])c1cc(CN(Cc2cccnc2)Cc2ccco2)ccc1Br 788019738 BUIJANLMTHAMHR-UHFFFAOYSA-N 402.248 4.548 5 20 HJBD CC(C)N(C(=O)c1cccc([N+](=O)[O-])c1Br)c1cnc2ccccc2c1 791026052 MRQYMGGVIJIAQU-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD C[C@@H](c1ccccc1)N(Cc1ccccc1)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 794398990 FLCIBXPLOSQXOF-SFHVURJKSA-N 418.449 4.576 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)Nc1ccccc1[C@H](C)CC 795710988 KTHUKFMAOTUVHN-HUUCEWRRSA-N 414.458 4.691 5 20 HJBD COc1c(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 796312059 MPVQJLNWRFEBHM-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1csc(NC(=O)CSc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])n1 799970347 MPIKBRAVXYJPMY-UHFFFAOYSA-N 411.814 4.763 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c2Cl)cc1 800148054 GVMPLYJQKIYEHY-UHFFFAOYSA-N 416.840 4.810 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Oc1cnc2ccc(Cl)cc2c1 804708397 PWGPJILTEJCRRU-UHFFFAOYSA-N 414.773 4.585 5 20 HJBD C[S@](=O)Cc1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 804840214 BRFHNZYZXPZDIT-SANMLTNESA-N 406.813 4.758 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccnc(-c2ccc(Cl)cc2)n1 805658065 RMVCIDBDAWYDCY-UHFFFAOYSA-N 404.209 4.716 5 20 HJBD O=c1c(Cl)cc(C(F)(F)F)cn1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809946258 BITKFPKDBVFLKG-UHFFFAOYSA-N 401.555 4.784 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@H]1CCN(CCc2ccccc2)C1 811477921 IKESIEAXAKVDCU-MRXNPFEDSA-N 408.329 4.556 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cc(OC(F)F)cc(OC(F)F)c1 813243845 ATPWWLQRMVYTOV-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c2ccccc21 813280597 YEIMLVDMBQJXKB-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD O=C(OCCOc1cccc([N+](=O)[O-])c1)c1ccc(C2SCCCS2)cc1 915372775 FKQDECHNSATZFZ-UHFFFAOYSA-N 405.497 4.699 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 917109280 QVKCVERBLXJWFV-UHFFFAOYSA-N 422.529 4.823 5 20 HJBD Cc1sc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)nc1-c1cccc([N+](=O)[O-])c1 917792273 YUGISHFXJCGASM-JXMROGBWSA-N 410.411 4.587 5 20 HJBD Cc1nc(N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c2c(-c3ccccc3)csc2n1 1116818047 KQDNHFXOMGRPHL-UHFFFAOYSA-N 420.498 4.613 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3cc([N+](=O)[O-])c4nsnc4c3)o2)cc1 1117208451 WWAUSAXPPVJPJL-UHFFFAOYSA-N 411.443 4.526 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1117783763 LGCSYDWIBUZRKG-GFCCVEGCSA-N 418.375 4.510 5 20 HJBD COc1cc(-c2nnc(CCCOc3ccc(Cl)cc3C)o2)ccc1[N+](=O)[O-] 1257717384 IMSNEJXJCFGUJX-UHFFFAOYSA-N 403.822 4.627 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC(Nc2ccccc2Cl)CC1 1319072760 VCBVPIWCWQBSKL-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD CC(=O)N(c1nc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cs1)c1ccc(C)cc1C 1319600668 WZKAPOKODXCFQH-UHFFFAOYSA-N 422.510 4.869 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 1320090394 UAVYKPFKSYQSSV-NSHDSACASA-N 421.400 4.962 5 20 HJBD CCOCc1nnc(C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)o1 1325780362 SJEGWQGNFPOURV-RVDMUPIBSA-N 422.466 4.907 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(C2(c3c(Cl)cccc3Cl)CC2)o1 1329264894 YDSZLPQYZNOTMU-UHFFFAOYSA-N 406.225 4.944 5 20 HJBD C[C@@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 5885269 IDPMGLSLJONVCB-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD Cc1cccc(Nc2nnc(S[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])s2)c1 6057748 CJEAXEAMNNFYIR-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD CC(C)c1ccccc1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 7168601 MNJLNZJFPKWBCZ-UHFFFAOYSA-N 417.534 4.941 5 20 HJBD Cc1cccc(-c2nnc(S[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)o2)c1 10015445 OJSHVUCXRRXWQS-LLVKDONJSA-N 418.862 4.726 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(-c3ccc(Cl)cc3)cs2)cc1[N+](=O)[O-] 14698719 DISXYAOREDJKPA-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cc([N+](=O)[O-])ccc1OC)oc1ccccc12 14735849 HILNFAIMAIQGJA-UHFFFAOYSA-N 422.393 4.529 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2ccc3ccccc3c2)c1 16196674 JVWSEPRZWBAGFM-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD CCn1c(SCc2cn3c(C)cccc3n2)nc2cc3ccccc3cc2c1=O 31747807 YYHUYLAHJUTRNX-UHFFFAOYSA-N 400.507 4.818 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3cnccc23)cc1[N+](=O)[O-])c1ccccn1 52928136 DMTKIVPMNNBDOB-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 110485191 WKGIZCIPFBYNPI-UHFFFAOYSA-N 412.465 4.577 5 20 HJBD COc1ccc(CCCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 117699203 RVSLNVJPZINMPT-UHFFFAOYSA-N 419.481 4.578 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1)c1cccnc1 301115082 KQQXUQSNLNGIMR-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1ccc(Br)cc1[N+](=O)[O-] 429865693 ZHKKUMZUUIDQCN-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Br)c(F)c1 430198524 RRAWDNUZIWIYMU-MRXNPFEDSA-N 422.254 4.543 5 20 HJBD CCOc1cc(C(=O)N(C)c2nc3cccc(Cl)c3s2)c([N+](=O)[O-])cc1OC 436417539 ZUETXBZLGOXSNQ-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD CCOc1cc(NC(=O)c2cc(-c3ccccc3)ns2)c([N+](=O)[O-])cc1OCC 437364920 MQUBRTXEGPLCBG-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1F 437486544 VZRYJBCSPAWXDB-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD COc1cc(C(=O)NC(c2cccc(C)c2)c2cccc(C)c2)c([N+](=O)[O-])cc1OC 437955630 QLJXEZRYBNIVSD-UHFFFAOYSA-N 420.465 4.748 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440761846 OSIJQARONMQIQT-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 444851865 SMLXSORHQLHUHE-UHFFFAOYSA-N 409.714 4.796 5 20 HJBD O=C(Nc1ccc2c3c(cccc13)CC2)c1sc(Br)cc1[N+](=O)[O-] 445249492 NSTWKWSTPXLUKD-UHFFFAOYSA-N 403.257 4.923 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 446854139 ZFTVFYAJOLJMJK-RDTXWAMCSA-N 409.408 4.904 5 20 HJBD COc1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)c(OCc2cccnc2)c1 447797581 LLRYYZHZZJSNNT-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](C)c1ccc(Cl)c2ccccc12 462963610 FMIUIXKMZNYXTJ-ZDUSSCGKSA-N 411.845 4.526 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463160026 INYWBGKVPUTNTA-RDTXWAMCSA-N 408.376 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1O[C@H](C)c1nc(-c2cccc(C(F)(F)F)c2)no1 465020507 FLEONLFQRFNFGO-SNVBAGLBSA-N 409.320 4.812 5 20 HJBD CC[C@@H]1CCC[C@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 465041533 IDVKCSYLJZIKBB-CZUORRHYSA-N 413.440 4.525 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1OCC(F)(F)F 467090080 IXSYXZDCBKEYKO-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD C[C@H](O)C[C@@H]1CCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468050770 ZKORIDNEVKIHDE-ZFWWWQNUSA-N 420.918 4.775 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(C(=O)c3cc(F)ccc3F)CC2)cc1[N+](=O)[O-] 473646443 DNFZJOHVAABDIY-UHFFFAOYSA-N 416.424 4.732 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@H]1Nc1ccc(Cc2nnc3n2CCC3)cc1 478165671 DUSRTARVCOSLGE-WIYYLYMNSA-N 417.513 4.547 5 20 HJBD CCc1c(NC(=O)c2cccc([N+](=O)[O-])c2C)cnn1-c1ccc(C(F)(F)F)cc1 481894831 PKXUKAVXOLSWAR-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)cc2s1 484679668 NXLQKGGHSBMZDM-UHFFFAOYSA-N 413.846 4.604 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cccc(SC)c3[N+](=O)[O-])ccc2s1 485761779 YRCGPXQYWSWIKQ-UHFFFAOYSA-N 416.480 4.960 5 20 HJBD C[C@H](NC(=O)Nc1c(F)cc(F)cc1Br)c1cccc([N+](=O)[O-])c1 487213055 WILXGSYLCHFSDA-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(C(C)(C)C)cs1 487505896 YWDABDGNEOJVGS-GFCCVEGCSA-N 423.560 4.578 5 20 HJBD C[C@H]1CSCCN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 488161186 LABPBWOOPGNIGE-KUHUBIRLSA-N 419.934 4.613 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccccc2C)OC[C@@H]1C 490010181 LOZXCGQWRXYICU-KXBFYZLASA-N 400.500 4.617 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1 490272850 LZHCOFFRYZLYHR-GFCCVEGCSA-N 423.897 4.508 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@@H]2CCN(Cc3ccccc3)[C@H](C)C2)cc1[N+](=O)[O-] 493312448 YKKDGKHBDCGFEX-XQBPLPMBSA-N 424.545 4.659 5 20 HJBD CC(=O)NCc1ccc(C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)cc1 498744054 BDQIBNHIMZLWLI-UHFFFAOYSA-N 422.488 4.838 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 499302557 VRRYNYTXZQUHAO-JTQLQIEISA-N 414.792 4.691 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)cc1[N+](=O)[O-] 500237256 JKJOLIKNUVNERZ-UHFFFAOYSA-N 415.343 4.749 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 503425110 XRADPOMFEMCMOE-MRXNPFEDSA-N 423.444 4.873 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccncc3)no2)ccc1NCc1ccccc1Cl 504967847 ABHLGPRQDJSQKD-UHFFFAOYSA-N 407.817 4.972 5 20 HJBD O=C1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)ccc2N1 505633567 RBOQSSKYJYFQBO-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(Cc3cccs3)C[C@@H]3CCCO3)cs2)c1 506744590 RVALSTJLKGEWDI-SFHVURJKSA-N 415.540 4.961 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])oc12 506832242 JZSBDPZQFIZGHX-UHFFFAOYSA-N 403.232 4.684 5 20 HJBD O=[N+]([O-])c1cccc(S(=O)(=O)Cc2ccc(Oc3ccccc3F)c(F)c2)c1 509148016 ZXSSTEOZPHSBFK-UHFFFAOYSA-N 405.378 4.639 5 20 HJBD COc1cc(CN2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c([N+](=O)[O-])cc1OC 509582323 GLWWVPFIPSSHRZ-UHFFFAOYSA-N 411.433 4.522 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 512196883 ONTQOQQJVWZTKF-UHFFFAOYSA-N 414.849 4.876 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 513732763 JVUGCVXWORTLDT-UHFFFAOYSA-N 407.470 4.579 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2C)c1C(F)(F)F 514902194 SQPMOQFAYVABIP-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(Cc1ccco1)c1ccc(Cl)cc1 515847081 UDXJLRXCHSBIFJ-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](Sc1ncccc1[N+](=O)[O-])c1ccccc1 516272535 PIEGOXGNYNFGJS-IBGZPJMESA-N 409.467 4.861 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(CSc3ccccn3)c2)cc1[N+](=O)[O-] 517884178 KTJIAVMGDHTEHE-UHFFFAOYSA-N 424.482 4.612 5 20 HJBD Cc1c([C@@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 518857095 IQRCDVSXWQZLFL-OLZOCXBDSA-N 402.834 4.948 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cc([N+](=O)[O-])ccc3C)c2)c1 520683099 ILNJLVXAIRIJEX-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc1[N+](=O)[O-] 522857278 SBDXBCKCSKVZCN-GOSISDBHSA-N 410.861 4.924 5 20 HJBD CC(C)c1cnc(SCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)n1C(C)C 523497038 CMZMCBQRMNISQV-UHFFFAOYSA-N 404.492 4.586 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@@H]1c1cccc(F)c1F 525519466 UMOKIZUYECTISI-ZIAGYGMSSA-N 401.394 4.739 5 20 HJBD C[C@H](Cc1ccncc1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 530674000 GOKKVJMCWDKVHB-CYBMUJFWSA-N 416.275 4.733 5 20 HJBD CNC(=O)c1ccc(C)c(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 537733228 JNGLXOOHUSFLDA-UHFFFAOYSA-N 409.417 4.806 5 20 HJBD CN(C(=O)c1cnc(C(C)(C)C)s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538247639 DXAWPBDWDKDWSX-UHFFFAOYSA-N 402.501 4.749 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](OCC(F)(F)F)C1 538532262 ZWDDYYSXQLJNEI-CQSZACIVSA-N 420.453 4.671 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(S(=O)(=O)c3c(Cl)ccc4ccccc34)c2)c1 538667338 JQEQDYMSEPYDOC-UHFFFAOYSA-N 413.842 4.502 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCn1cnc2ccccc21 540747842 DMFUDPRJCSRMCW-UHFFFAOYSA-N 412.515 4.657 5 20 HJBD CCc1ccc([C@H](N[C@H](C)c2ccc([S@@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541565165 APVRULYLLUDRMC-JLRSIAPQSA-N 412.511 4.928 5 20 HJBD CSc1ccc(-c2nc(-c3ccc(NC(=O)NC(C)C)cc3)no2)cc1[N+](=O)[O-] 544899756 AQQRIIIUXRLYTC-UHFFFAOYSA-N 413.459 4.564 5 20 HJBD Cc1cccc(NC(=O)N2CCC[C@H](c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)C2)c1 546398404 GAAGYDLCOIGWJQ-INIZCTEOSA-N 421.457 4.673 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Cc2nc(C(C)C)no2)cc1 548992873 FDQFWSWLLIXOPQ-SFHVURJKSA-N 422.485 4.899 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c(C(F)(F)F)c1 549529275 LRIAYHHMQVNXAF-UHFFFAOYSA-N 421.375 4.812 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549743954 LFLCRZPFBFINFX-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD COc1ccc2sc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c(C)c2c1 556938361 OUHJVEDYVKZGND-UHFFFAOYSA-N 408.382 4.980 5 20 HJBD CCc1nc2cc(CNC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)ccc2n1C1CC1 558813521 NMAPYXNYTFPRQM-UHFFFAOYSA-N 404.426 4.518 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@@H]1C[C@H]1C 561653975 BHCLHKCDKKFAMG-PIGZYNQJSA-N 421.375 4.627 5 20 HJBD CCCn1c2ccccc2c2nnc(SCc3ccc(OC)c([N+](=O)[O-])c3)nc21 603724598 GUPFZHFCSMDCCL-UHFFFAOYSA-N 409.471 4.599 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccnc(Oc3ccccc3)c2)c1 604532203 JTVNYDUTHWEFNB-UHFFFAOYSA-N 401.369 4.673 5 20 HJBD CCN(CC)Cc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)o1 608870256 GHJDEOQFWPFWKM-UHFFFAOYSA-N 414.487 4.714 5 20 HJBD CSc1ccc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 609059192 CHTNMYOXXCCRNU-GOSISDBHSA-N 422.510 5.000 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cccnc3Oc3ccccc3)no2)c1 609475389 WLNBSGPETOUTJZ-UHFFFAOYSA-N 413.393 4.835 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](CO)[C@@H](C)c3ccccc3)c([N+](=O)[O-])c2)cc1 609496655 MWZNTUUALCPSQE-JTSKRJEESA-N 419.481 4.732 5 20 HJBD O=C(Nc1ccccc1)N1CCCCC[C@H]1C1CCN(c2cccnc2[N+](=O)[O-])CC1 609511931 YSXUPALFPCXZGK-FQEVSTJZSA-N 423.517 4.683 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)sc2C)cc1 609578305 XUQVTDQYAVMLRB-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD Cc1cccc(N(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 609880441 HHZHSVMWXUWUEM-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1)Cc1ccco1 610104075 DCRUWXANTBMJAY-UHFFFAOYSA-N 407.470 4.923 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@@H](Cc2ccccc2)C1 610178101 VXYLCMGSMZUGTO-NRFANRHFSA-N 415.493 4.912 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccnc(Oc3ccccc3)c2)c(Br)c1 610512773 AUUKYOIXZMIXBE-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c2ncccc12 611204258 LUNSNODGFZLPQR-UHFFFAOYSA-N 417.874 4.787 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C[C@H](c2ccccc2)C(F)(F)F)cccc1[N+](=O)[O-] 728652269 CRPHROGGWHNLAF-UKRRQHHQSA-N 424.375 4.510 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1nc(-c2ccccc2)cs1 731414368 CBIRWQZTKBFKJS-LCYFTJDESA-N 411.826 4.716 5 20 HJBD CC(C)C(=O)Nc1cccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 733174550 QUHZSQXSDPNOBF-UHFFFAOYSA-N 411.439 4.532 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)o1 744471471 RWOARJYAOWFKCF-UHFFFAOYSA-N 421.453 4.518 5 20 HJBD O=C(NCC[C@H](O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 750598387 FCZUNQNWMQEWFJ-NRFANRHFSA-N 407.426 4.632 5 20 HJBD C[C@@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 752505113 NEZPVYWEEFLJGA-LBPRGKRZSA-N 402.353 4.765 5 20 HJBD Cc1ccc2nc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)sc2c1 754627001 AAOVVYAXXXQIKW-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1OC(F)F 755011280 ICJBXCUIABHKMV-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3Br)n2)c(C)o1 758123590 DGJPJGNRDIGMKO-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(C)CCN(Cc2ccccc2)CC1 758389790 WPOHTFRBGSRFCH-UHFFFAOYSA-N 401.894 4.797 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 759198073 GGUFESHJPVOBPS-LJQANCHMSA-N 403.276 4.595 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cc(Cl)ccc1[N+](=O)[O-] 760832943 VSGISNSYMABODY-SFHVURJKSA-N 402.237 4.816 5 20 HJBD O=C(Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 763465445 WOYNRQYCFQWJCU-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764169165 RJYBUKOIZCALFH-CABCVRRESA-N 407.511 4.654 5 20 HJBD O=[N+]([O-])c1cnn(CC[S@@](=O)C(c2ccc(Cl)cc2)c2ccc(Cl)cc2)c1 772827503 VIAGXDBEEUKFSW-HHHXNRCGSA-N 424.309 4.636 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 779977398 LKGRXQANDABYKJ-ZDUSSCGKSA-N 403.460 4.805 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Br 782124967 ZCUZIUHXSXBEQK-XJKCOSOUSA-N 403.276 4.764 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])oc12 782132019 GBFVGPIKGYWFCO-GFCCVEGCSA-N 417.849 4.682 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(Cl)nc(N2CCCC2)c1 782424638 AIOFPPMBHXFZKA-UHFFFAOYSA-N 414.771 4.515 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@H]1CCCCS1 783355811 OAZVBEUUJSCXGU-LJQANCHMSA-N 411.435 4.559 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OC[C@@H]2CCCS2)cc1[N+](=O)[O-] 783393206 SUMBLYJGXQDUHH-AWEZNQCLSA-N 417.508 4.600 5 20 HJBD O=C(OCc1ccc(Cl)nc1C(F)(F)F)c1ccc([N+](=O)[O-])c2cccnc12 787767555 JYNVYRXJLLJVOD-UHFFFAOYSA-N 411.723 4.567 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 805884332 ICWVFKWTJOXTAY-OAHLLOKOSA-N 417.421 4.720 5 20 HJBD COC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1cccc(C(F)(F)F)c1 809918539 IWHXWSNKEHVLNP-ZDUSSCGKSA-N 417.771 4.776 5 20 HJBD COc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1OC1CCCC1 809919442 JSXQUBREZVXBHH-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NCc2ccc([N+](=O)[O-])c(Cl)c2)CC12CCC2 814171311 JPKRYKLZNSGDEB-HNNXBMFYSA-N 409.914 4.660 5 20 HJBD CSc1cccc(C(=O)N2CC(Cc3ccccc3C(F)(F)F)C2)c1[N+](=O)[O-] 1116688129 PMMKKAOYINVCNF-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=C(Nc1ccc(SC(F)(F)F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 1318565557 LVOGUQZYTJBYCU-UHFFFAOYSA-N 409.345 4.713 5 20 HJBD COc1nc(C)cc(C)c1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1322610860 LNWLFCHZPAPQDF-UHFFFAOYSA-N 401.850 4.818 5 20 HJBD CCn1c2ccccc2c2cc(CN(C)C(=O)Cc3cccc([N+](=O)[O-])c3)ccc21 1323161767 DETQNGPGOYCXBU-UHFFFAOYSA-N 401.466 4.924 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc(OCC3CC3)nc2)o1 1327212202 CYWILOXDMVNLBB-UHFFFAOYSA-N 413.861 4.982 5 20 HJBD COc1ccc(NC(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)c([N+](=O)[O-])c1 1520142488 LBHXXOHBQCHVAE-UHFFFAOYSA-N 418.862 4.702 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)c2ccccc2)cc1 7530770 WXNFNSTXLXILHO-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 10758294 SBXDKKJDDWHITB-BODNZJIQSA-N 420.396 4.670 5 20 HJBD O=C(COC(=O)c1sccc1-c1ccccc1)Nc1ccc([N+](=O)[O-])cc1Cl 10985513 SRWVBDKFKSYMJM-UHFFFAOYSA-N 416.842 4.772 5 20 HJBD CN(CCCOc1ccccc1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 31365773 IDOZLDLHAUVONV-UHFFFAOYSA-N 419.481 4.748 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32156511 BRGPLOWMNVPYRT-HXUWFJFHSA-N 422.441 4.501 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 56093136 YFYZIAHPILINNZ-QGZVFWFLSA-N 419.485 4.609 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(c3ccc([N+](=O)[O-])cc3Cl)C2)cc1 110441456 YUXAOXNKMJCLPH-OAHLLOKOSA-N 402.882 4.595 5 20 HJBD Cc1cc(N[C@@H](Cc2ccccn2)c2ccc(Br)cc2)ncc1[N+](=O)[O-] 302699539 ULHWAECFRPFYJC-KRWDZBQOSA-N 413.275 4.852 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1(c2ccc(Br)cc2)CC1 303831036 QWXVGPKLLGCUKU-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(NC(=O)c3ccco3)cc2)c2cc([N+](=O)[O-])ccc21 433145819 JDGBOLDENZDJQP-HXUWFJFHSA-N 405.454 4.952 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 434301857 RWFOSHJRTFLIPN-JKSUJKDBSA-N 416.547 4.767 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(Cc2ccccc2)CC1 435819877 UNTDUVUWGKGVBD-UHFFFAOYSA-N 414.480 4.915 5 20 HJBD COc1cccc(C(=O)NCc2ccc(-c3ccc4c(c3)C[C@H](C)O4)cc2)c1[N+](=O)[O-] 436037497 IWUFVUGAIBXMGZ-HNNXBMFYSA-N 418.449 4.524 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1cccc(CCC(F)(F)F)c1 441770359 ZMIKDXSLMODZND-LBPRGKRZSA-N 411.380 4.537 5 20 HJBD O=C(NCCc1c[nH]c2ncccc12)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 443937648 UUUXHUOSDIWVPD-UHFFFAOYSA-N 417.425 4.628 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444235099 IBLIAVCYCWOYQB-FQEVSTJZSA-N 402.416 4.922 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1OCc1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444238070 MYHZTTCUGBFDDL-RPWUZVMVSA-N 412.489 4.549 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1C 444630455 OKYFYAKXIHXQLZ-UHFFFAOYSA-N 408.404 4.837 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 445787217 MQWUXEYKNNOYAJ-PXAZEXFGSA-N 403.822 4.897 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(CCN(C)C)Cc1ccc(C(F)(F)F)cc1 446479328 FUVHDSFKAFSKDV-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCCc1cc(-c2cccc(F)c2)n[nH]1 446488665 PIKKXQVXJICYEH-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD O=C(N[C@H](c1ccccc1F)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 446860884 IVCMHLXEEWSYSI-NRFANRHFSA-N 409.417 4.649 5 20 HJBD COc1cccc(-n2c(NC(=O)c3cc(C)ccc3[N+](=O)[O-])nc3ccccc32)c1 460377863 AGCBSYNFJGQJSW-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(c1ccccc1F)C1CCCC1 461538616 FSBYVVGYWSAQIX-UHFFFAOYSA-N 411.477 4.926 5 20 HJBD CNC(=O)c1ccc(N[C@@H](C)c2ccc(-c3ccccc3OC)cc2)c([N+](=O)[O-])c1 462887833 KORPTHPIKAZVJK-HNNXBMFYSA-N 405.454 4.803 5 20 HJBD CSc1ccc(C(=O)N(CCc2ccc(C)cc2)CC(F)(F)F)cc1[N+](=O)[O-] 463938798 IZQGSYWQIRVROV-UHFFFAOYSA-N 412.433 4.872 5 20 HJBD COc1cc(CNc2ccccc2C(=O)N(C)C2CCCCC2)c([N+](=O)[O-])cc1F 464077783 VTWWBKPKXVXMMA-UHFFFAOYSA-N 415.465 4.759 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(OC(F)F)cc(OC(F)F)c1 464720183 OTXDMWGKSJMUQY-UHFFFAOYSA-N 402.300 4.612 5 20 HJBD O=C(N[C@@H]1CCCOCC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466242782 QPGKLPZHZYTLOX-OAHLLOKOSA-N 406.891 4.698 5 20 HJBD Cc1cnc(C2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)s1 470068104 RVQZYUJXPMHQLP-UHFFFAOYSA-N 414.512 4.503 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 470961304 SJBNAORHTPXGGO-HXUWFJFHSA-N 424.888 4.935 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNCc1ccc([N+](=O)[O-])cc1F 479927388 QOKQXZWFPAITNI-UHFFFAOYSA-N 411.433 5.000 5 20 HJBD Cc1c(NC(=O)NCc2cccc([N+](=O)[O-])c2C)cccc1C(=O)Nc1ccccc1 483735456 QUNWVVWEJNSRMA-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C2CCC2)c1 485135705 YWONSUIQOLQNHG-UHFFFAOYSA-N 414.483 4.770 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC2(CC1)OCCc1ccsc12 487247799 AGVXKJBANUXFSA-UHFFFAOYSA-N 414.508 4.575 5 20 HJBD CCOc1cc(CS(=O)(=O)[C@@H](C)c2ccc(C(C)(C)C)cc2)ccc1[N+](=O)[O-] 488889802 IXMSTHJJVFMMRG-HNNXBMFYSA-N 405.516 4.967 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 490544739 NABDNDWFDJVISN-UHFFFAOYSA-N 405.454 4.764 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 491187789 OSYYGJLURXTNEX-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD C[C@H](N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccn1 499068254 FQGJEGRZZYTKGI-VBKZILBWSA-N 410.861 4.674 5 20 HJBD COCC[C@@H](Nc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-])c1ccco1 503289394 XOUDZCNLQZOGSP-LJQANCHMSA-N 409.442 4.938 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCC(OC2CCCC2)CC1 503582159 WJOOVWVZSPDMCR-UHFFFAOYSA-N 420.307 4.834 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@@H]1c1ccccc1 504094903 VRTLRHHCQWJEOW-LAUBAEHRSA-N 414.527 4.995 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)s1 504370490 GCKVSNDDMAGKCO-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1=O 506124788 IGRIPCGFVXKUGJ-ZDUSSCGKSA-N 422.268 4.510 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccc(Oc2ccccc2)cc1 506288829 HLHCGHKPYQFHRH-HNNXBMFYSA-N 420.421 4.649 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 507285480 VABIYAPPUICVDX-FQEVSTJZSA-N 415.453 4.834 5 20 HJBD CC(C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 507441788 OVKHPXWLSXADEO-UHFFFAOYSA-N 418.453 4.914 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OCC(F)(F)F 509556274 BXHNPVPDWUQEHS-UHFFFAOYSA-N 411.380 4.978 5 20 HJBD COc1ccc(CSc2nnc(-c3ccc(Br)cc3)o2)cc1[N+](=O)[O-] 514433576 XMBDLVGLVQNHAM-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 516165472 HWXIYFKROCKCJE-UONOGXRCSA-N 420.307 4.739 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 517656356 GUIOESQYWJGWAI-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 518962525 ZKTUMZUHVABDLF-CQSZACIVSA-N 409.408 4.553 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@@H](C)c1ccccc1 518984345 QNRUTOZRCOTFIM-RDJZCZTQSA-N 403.910 4.744 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N2CCCC[C@@H]2C)c(Cl)c1 520684059 ROHLIAHLNTXYRQ-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522875949 IBACLFIRGZHJAW-ZBEGNZNMSA-N 411.289 4.738 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 525233794 HQTGFCYICGCJST-UHFFFAOYSA-N 420.425 4.667 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@@H](c4nc5ccccc5s4)C3)o2)cc1 533013113 SYJPLPWBADALAM-OAHLLOKOSA-N 421.482 4.634 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(-c2ccccn2)ccc1Cl 534386822 UZOLSAYNFQBOMR-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 534808114 WQHZYCBDIRCZHV-UHFFFAOYSA-N 421.247 4.504 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3ccc([N+](=O)[O-])c(C)c3)c3c(C)noc3n2)c(C)o1 538549424 CMHHJQHQQOYNSS-UHFFFAOYSA-N 406.398 4.877 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1F 542538097 KCTWSSQXPMSCQW-AWEZNQCLSA-N 413.449 4.539 5 20 HJBD Cc1ccc(C[C@H](NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccn2)cc1 542554434 HGKNQRAEEAWLMH-AOMKIAJQSA-N 407.445 4.641 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)ccc1Cl)c1ccc([N+](=O)[O-])cc1F 543465061 VZIIMCQRAKQYCT-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD CCCOc1c(Cl)cc(-c2noc(CNc3ccccc3[N+](=O)[O-])n2)cc1OC 545537598 HBMJXXKLCAYQQX-UHFFFAOYSA-N 418.837 4.708 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)n1 548033366 NALXJTPACOIBDE-UHFFFAOYSA-N 410.433 4.600 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c1 549005913 DGZZCHRSXLPINR-OAHLLOKOSA-N 418.877 4.578 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1ccc(Cl)cc1[N+](=O)[O-] 553741790 XHHGIMXFHBOQNO-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2cccc([N+](=O)[O-])c2C)c1 558296775 LDWVJHZCXRFQME-WBVHZDCISA-N 419.547 4.684 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])ccc1Oc1cccnc1 562129125 BSCDBTGNMHLKGC-UHFFFAOYSA-N 406.442 4.797 5 20 HJBD C[C@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1(F)F 566038457 VWJNALFELASTHI-UGSOOPFHSA-N 423.847 4.905 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2O[C@H](C)C(F)(F)F)cc1[N+](=O)[O-] 573043516 OWKZDUUMWZDDEL-SNVBAGLBSA-N 400.378 4.899 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(N2CCCC2=O)ccc1Cl 579264536 WKGUZRAYFWHRCJ-UHFFFAOYSA-N 401.850 4.553 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(OCc4cccc(F)c4)cc3)cc2N1 603847899 BIVKJOSWMMPSLX-UHFFFAOYSA-N 421.428 4.810 5 20 HJBD Cc1ccc(OC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1C 603890433 MAPHADKEWZRRFM-QGZVFWFLSA-N 422.485 4.866 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 603967187 WREBIIKYMUREEK-NEPJUHHUSA-N 409.305 4.715 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3cc(C)oc3C)cs2)c([N+](=O)[O-])cc1OC 604119257 YDNDNEQOCQMPPD-UHFFFAOYSA-N 417.443 4.588 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCN(Cc1cccc([N+](=O)[O-])c1)C2 609540945 ZOQOXEOHQQWKEA-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD CC(C)C[C@@H]1CCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609874892 PEYLXFUQSAGIBP-LBPRGKRZSA-N 411.289 4.738 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cs2)cc1 725937670 RUTQKWFSGNHCTD-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1OC 726150444 NLKYQPXSYSKQNP-ZRGSNEMSSA-N 424.497 4.639 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)o1 727710549 YJKIVBWGKKEJNJ-UHFFFAOYSA-N 402.790 4.681 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)OCc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 729827693 REBFBPIPBPSLTD-JOCHJYFZSA-N 418.449 4.508 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1 744152119 PUXRTRRTKASKIB-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748389889 JTXJVROVKWKKEW-RAXLEYEMSA-N 422.359 4.507 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cccc(Cl)c1[N+](=O)[O-] 750182409 WVQZGIBVQSTTFU-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2ccnc(Cl)c2)cc1 750639066 KPYMQXIMVZVSOJ-UHFFFAOYSA-N 414.801 4.801 5 20 HJBD O=C(CC[C@H]1CCOC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 751218256 CBHFLSJUMBAVAG-HNNXBMFYSA-N 404.850 4.582 5 20 HJBD COCc1c(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)sc2cccc(F)c12 751634265 GCONACZWXVXOCE-UHFFFAOYSA-N 416.386 4.593 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])ccc2F)cnn1-c1ccccc1Cl 752982587 WOOAQURJPPINQO-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 752985298 XJYGTQASIZTHGS-UHFFFAOYSA-N 415.833 4.613 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)c1 754430480 DLCYOWPNNSIWIN-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD COc1ccc2oc([C@@H](C)NCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])c(C)c2c1 754739000 VHDFCDLBDCJCJD-GFCCVEGCSA-N 417.849 4.601 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])[C@H]1CCO[C@H](c2ccc(F)cc2)C1 757997584 RVLVHYWHJJYTIG-KXBFYZLASA-N 423.282 4.849 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1ccc(F)cc1[N+](=O)[O-] 758114246 BZYPYYWOOXERQJ-UHFFFAOYSA-N 424.409 4.720 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(N2CCOC2=O)cc1 761209794 GIDMXOWZHHCNSJ-OAHLLOKOSA-N 404.426 4.787 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)c2nc3ccccc3n2C(F)F)c1[N+](=O)[O-] 776745209 CXAJALMBQCGWEO-JTQLQIEISA-N 407.398 4.980 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1noc(-c2ccccc2)n1 784658507 PBZWZPRAZZGRKG-CQSZACIVSA-N 411.417 4.649 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Oc1ccc(Oc2nccs2)cc1 790943678 WYGXZSLCXSZQPI-UHFFFAOYSA-N 408.794 4.784 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1Sc1ccccc1[N+](=O)[O-] 799312844 GOECDSIAFAVXAH-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD O=C(OCC(=O)c1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])ccc1OC(F)F 801857402 YLGQISXLOUTDNM-UHFFFAOYSA-N 420.151 4.543 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1c(F)cc([N+](=O)[O-])cc1F 803409069 SRJWJSSJKUNKQE-UHFFFAOYSA-N 422.384 4.506 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C(=O)c1ccc(F)cc1F 811373705 KVPFPBFRHAZBGD-SSDOTTSWSA-N 404.152 4.608 5 20 HJBD Cc1ccc(OC(=O)c2nc(-c3cccs3)n(-c3cccc(F)c3)n2)c([N+](=O)[O-])c1 917027452 DMDHAPZEKULKGY-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(COc3ccccc3)c2)cccc1[N+](=O)[O-] 917941912 XRSXBAXVUVAXIJ-KRWDZBQOSA-N 405.454 4.921 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 918609107 YQDNYPLJXMWXLN-GOSISDBHSA-N 418.497 4.696 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1252222332 PVMVKOVAJODMFI-UHFFFAOYSA-N 420.494 4.767 5 20 HJBD CCOc1cc(NC(=O)NCc2cccc(C(F)F)c2)c([N+](=O)[O-])cc1OCC 1320834052 BIKQZVFMDIOPLS-UHFFFAOYSA-N 409.389 4.652 5 20 HJBD O=C(Cc1cccc(OCc2ccccc2Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322112456 WXXDAHJHPCXDMO-UHFFFAOYSA-N 422.868 4.912 5 20 HJBD O=C(c1ccc(CSCCCOc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC1 1329337112 NRKRBFJCEXFRSP-UHFFFAOYSA-N 400.500 4.533 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 5672396 GNFVTIAACAOBNB-NRFANRHFSA-N 404.422 4.748 5 20 HJBD Cc1c(C(=O)N(Cc2nnc(-c3ccccc3Cl)o2)C(C)C)cccc1[N+](=O)[O-] 16011385 RBZSYFRGAJANMN-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 16141745 RZGKCAJJWSBGPZ-KRWDZBQOSA-N 419.275 4.733 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50291904 ZDBIRRGTRSRIPS-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 55561323 RWIREVXIWOYHSB-UHFFFAOYSA-N 415.858 4.607 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)Nc1cc([N+](=O)[O-])ccc1F 59741812 OVSMZEDCIMUPMV-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccccc2CN2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 71954861 BISMEAXQLMEWHQ-GOSISDBHSA-N 424.545 4.577 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)[C@@H](C)C2CC2)cc1 219359567 KFPXOJAIGDLMQJ-AWEZNQCLSA-N 400.500 4.766 5 20 HJBD COc1cc(CN[C@H]2CCCc3occc32)ccc1OCc1ccc([N+](=O)[O-])cc1 237416557 FLWSPEIMEMNREM-FQEVSTJZSA-N 408.454 4.943 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)n2)cc1 255945292 CLYYOEMMDXDVGN-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3ccccc3Br)CC2)ccc1[N+](=O)[O-] 301157481 RXQLCMBSJSGJJB-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)s1 302066048 FEXYYWINXSSOBA-CQSZACIVSA-N 420.434 4.642 5 20 HJBD Cc1ccc([C@@H](NC(=O)CNC(C)(C)c2ccccc2[N+](=O)[O-])c2cccs2)cc1 429237613 LNOWIYQRGGYHKA-JOCHJYFZSA-N 423.538 4.695 5 20 HJBD COc1cc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)ccc1Cl 432515067 OLQKPHKUFXGUSC-VIFPVBQESA-N 417.771 4.715 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 437115726 MAUSAMDTWSWRDN-RBUKOAKNSA-N 413.543 4.953 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(C)c1 437764505 ZJOGQHCEESVKLL-INIZCTEOSA-N 424.501 4.628 5 20 HJBD Cc1c(CC(=O)N(Cc2ccc(Br)cc2)C(C)C)cccc1[N+](=O)[O-] 439119813 PFCOKQKSYNOLSQ-UHFFFAOYSA-N 405.292 4.645 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3ccccc3Br)CC2)c1 439368584 CXUYHAIQBJNCIK-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3cccc(Br)c3)o2)c(F)c1 440588822 QFPNBOYZURZLHZ-UHFFFAOYSA-N 414.187 4.837 5 20 HJBD O=C(NC[C@H](CCO)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442688334 OVKDMFMTLOMWAF-SFHVURJKSA-N 422.506 4.642 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 443834477 DPJMLRVTSXMZPC-KRWDZBQOSA-N 421.478 4.596 5 20 HJBD O=C(Nc1ccc(Br)cc1C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 444417868 RNDJPZWUBUCRTR-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 446305834 PTEYPWMWBFTDKX-FQEVSTJZSA-N 424.888 4.935 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H]([C@@H](O)C(F)(F)F)C3)o2)c(Cl)c1 446976408 WKLGSFKZPXXZKV-PIGZYNQJSA-N 418.799 4.643 5 20 HJBD Cc1sc(CCN[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)nc1-c1ccccc1 447578395 FFMXKNLUUUDDJZ-MSOLQXFVSA-N 411.531 4.539 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CC[C@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-])c1ccccn1 460596303 BPEZHYLRRMZECU-DODZYUBVSA-N 408.502 4.862 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2cccc(F)c2)nc1 462493833 VYCJOLAGMOGFRZ-SFHVURJKSA-N 423.444 4.806 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(C)c1)c1ccccn1 462605331 WGSIIKDNHBOUHV-XXBNENTESA-N 403.482 4.773 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3[C@H]4CCCO[C@@H]4C3(C)C)c([N+](=O)[O-])c2)cc1 462901369 UKSDQJYXWCFSAL-UIFIKXQLSA-N 409.486 4.771 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 463970444 KTRLCBFHIOCFHW-CQSZACIVSA-N 412.421 4.903 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccccc2Cl)C2CCCC2)cc1[N+](=O)[O-] 465725603 OWNTXYWYOQLILD-UHFFFAOYSA-N 417.893 4.911 5 20 HJBD Cc1coc(-c2cccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 467992526 DVHIWCMCFXCRHX-LBPRGKRZSA-N 401.806 4.618 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 471581100 MVKIHHLVDSTQAP-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 471871482 MBFGACQDDVZGEK-QGZVFWFLSA-N 419.485 4.906 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 478008371 CDPJOQBGWMKTDL-UHFFFAOYSA-N 419.485 4.782 5 20 HJBD O=C(Nc1nc(-c2ccco2)cs1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 486231687 LAUJIZVERUTZHQ-UHFFFAOYSA-N 405.410 4.789 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCO[C@@H](c2ccco2)C1 490192363 GFXFTICABUIHAG-LJQANCHMSA-N 410.451 4.553 5 20 HJBD CC(C)(C(=O)Nc1cc(F)c(OC(F)F)cc1F)c1ccc([N+](=O)[O-])cc1F 490280598 ACUMXNYJNLVMRS-UHFFFAOYSA-N 404.291 4.530 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492959110 JDKBKOOFRZTLFJ-OALUTQOASA-N 404.388 4.908 5 20 HJBD C[C@@H](CC(=O)c1cccs1)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 496484654 XMPOTBCFORZLQG-HNNXBMFYSA-N 423.494 4.660 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 504586643 VLWXCJZUYIIZAX-MJGOQNOKSA-N 422.453 4.635 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(OC)ccc2Br)cc1[N+](=O)[O-] 504911225 CGXDIYSUKPZQML-GFCCVEGCSA-N 409.280 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F 506703521 LTUXYRBAJWEGOW-HNNXBMFYSA-N 403.307 4.915 5 20 HJBD Cc1noc([C@@H]2CCCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)C2)n1 509340844 COXVIANGLIHQLK-CYBMUJFWSA-N 402.838 4.579 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Cc2cccc(F)c2)CC1 515581293 ISGUTJMGRRBDNG-HNNXBMFYSA-N 402.491 4.696 5 20 HJBD O=C(NC[C@H]1CCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 521701966 NUIGIASNVCOMGE-JPYJTQIMSA-N 418.449 4.895 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1C 521749771 ZYHOZXOHTYYYKO-UHFFFAOYSA-N 420.874 4.854 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 522640115 UGEBZFHZWPYAJI-AWEZNQCLSA-N 406.866 4.682 5 20 HJBD CCCN(Cc1ccc(Cl)cc1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 533050808 YCJGEXPRKWASHY-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD C[C@@H]1CCc2ccccc2N1C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535509390 ZYBDJKCUASHRJR-OAHLLOKOSA-N 423.494 4.851 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 536482275 PPSQYTBDGYIRAR-HNNXBMFYSA-N 420.469 4.651 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537141121 KAAFJGNPYGAPRT-HOCLYGCPSA-N 414.767 4.527 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H](C)c1cc2c(cc1Br)OCCO2 539093279 JMIZZLRDOHNITF-CHWSQXEVSA-N 421.291 4.849 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CCN2CCCC2)c1 539321986 URNSBYTXNPMIRF-UHFFFAOYSA-N 413.543 4.986 5 20 HJBD O=C(NCC1(CCO)CCCC1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541728343 WTVBQSBMPXRJKT-UHFFFAOYSA-N 406.548 4.550 5 20 HJBD C[C@H](C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 553159281 MUGGTVAATFAPDU-DYZYQPBXSA-N 409.339 4.739 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1Sc1nccn1C)c1cccc([N+](=O)[O-])c1 555780733 VXQPOYPGGGQLJJ-LBPRGKRZSA-N 416.890 4.875 5 20 HJBD C[C@H](NCc1ccc(Cn2cncn2)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 558507239 JAQZGFGPIPFAAW-INIZCTEOSA-N 419.510 4.814 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H]3CCC(=O)c4ccccc43)n2)cc1[N+](=O)[O-] 560055361 UKRZZHAAGVWGFP-MRXNPFEDSA-N 407.451 4.726 5 20 HJBD CCc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1ccc(Cl)cc1 567837388 COXDDVDNEOZHNI-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c12 568018923 JYZANXDZLFYRSN-UHFFFAOYSA-N 406.442 4.725 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 569049078 WHMSIXNUNOIGLM-INIZCTEOSA-N 409.389 4.831 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cncc(Br)c2)no1 571916968 FPROTXBWPZPXGB-LBPRGKRZSA-N 403.236 4.539 5 20 HJBD Cc1ccc(Sc2ccc(CNCCc3nnc(C)s3)cc2[N+](=O)[O-])cc1 573647330 LJJUJLHYJXSITC-UHFFFAOYSA-N 400.529 4.547 5 20 HJBD O=C(NC[C@H]1COc2ccccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 589121782 BCXAEGXHJOLBKI-HNNXBMFYSA-N 406.463 4.652 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1C[C@H](CO)Cc2ccccc21 590403697 AWBBJKAFOLDBGC-MRXNPFEDSA-N 420.490 4.557 5 20 HJBD O=C(Nc1ccc([C@H]2CCOC2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 590515640 RHRNAIGNSLEEOO-IBGZPJMESA-N 418.449 4.930 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C(C)C)cc1 603705789 WWOILGFZVVMABV-VFNWGFHPSA-N 411.502 4.869 5 20 HJBD CSc1ccc(C(=O)N(c2ccc(Br)cn2)C(C)C)cc1[N+](=O)[O-] 603998923 LAYRANAFCMKRMZ-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC(C)(C)[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 604332650 UAYXMZWKNBAMDC-QFIPXVFZSA-N 418.497 4.680 5 20 HJBD Cc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 609022430 NASZZFVABBXNSA-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 609722867 MGIMBWHZYFJTNT-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609727736 VOTXYIXKMJNSDA-AWEZNQCLSA-N 406.866 4.682 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1cccc(Br)c1)C1CC1 609784136 BEOSMKARUVUYMQ-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCS[C@H](c2ccccc2)C1 609807662 IAYJKTQEKWFYKZ-INIZCTEOSA-N 409.414 4.518 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2F)c1 609864403 FQSHSUFHXFSJMO-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc2c(c1)OCO2)C1CCCC1)c1cccc([N+](=O)[O-])c1 610400890 OEAFEOJAYKHRLX-OAHLLOKOSA-N 411.458 4.539 5 20 HJBD C[S@](=O)c1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 610575294 YDDMDLQYTZISMC-LJAQVGFWSA-N 414.458 4.553 5 20 HJBD CS(=O)(=O)c1cc(SCc2cc(Cl)ccc2OC(F)F)ccc1[N+](=O)[O-] 611071428 LEIATZOLJCCSSC-UHFFFAOYSA-N 423.846 4.545 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Br)c(F)cc1Cl 619298534 VRRMXVSSCULHQB-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc(C(C)C)cs3)C2)c1 619807405 PQSJLPZUIYMROZ-AWEZNQCLSA-N 403.504 4.593 5 20 HJBD Cc1cc(C)cc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)c1 727586257 MFAVQJQLIRUKSE-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cc1 729401012 BBPUCQPXHJFSSD-UHFFFAOYSA-N 414.462 4.773 5 20 HJBD CN(C(=O)c1cccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])c1)c1ccccc1 729893674 DVSFBZZWFIQZIR-UHFFFAOYSA-N 410.813 4.744 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])O[C@H](c1ccc(Cl)cc1)c1cccnc1 730427862 FRSKKBVFOOHRLE-OAQYLSRUSA-N 411.845 4.778 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)nnn1-c1ccc(-c2ccccc2)cc1 731162996 KUPOBFDIEMENTR-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COC(=O)c3ccc([N+](=O)[O-])c(F)c3)cc2)c1 731515422 AUGWRXJRCJKRKG-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3c(Cl)cccc3Cl)no2)ccc1-n1ccnc1 731790597 SQLNGJYAQKTINO-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCCc3nc(-c4cccc(Cl)c4)no3)sc2c1 734145648 XYMVQBRSRKZOGS-UHFFFAOYSA-N 401.835 4.563 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 741529947 PQJLGAYHMYFELY-UHFFFAOYSA-N 411.792 4.763 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(c2ccc3c(c2)OCCO3)CCCC1 741935054 SCXIXDTZMHZLQD-UHFFFAOYSA-N 416.861 4.778 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)OCc1ccc([N+](=O)[O-])cc1F 746944814 SYFWBRBDWCMTFN-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 749415783 KEJVEIXMGFCWCF-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1cc2c(cc1Br)N(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)CCC2 754196310 WDOGWYVDIJBVPH-UHFFFAOYSA-N 411.202 4.537 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H]1COc1ccc(Cl)cc1 754451680 GAOJISKORHZCBO-INIZCTEOSA-N 403.866 4.940 5 20 HJBD C[C@H](OC(=O)[C@H](C)Cc1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 756342312 DSDOGQUNXXCEDS-OLZOCXBDSA-N 415.833 4.781 5 20 HJBD CC(C)CC1(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CCC1 757143223 MMGZDMQNHAYXJL-GFCCVEGCSA-N 416.396 4.700 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1Br)c1cccc(OC(F)(F)F)c1 758282882 LNKRDBAHIAMJBL-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD O=C(NCc1ccn(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763959510 SEIRVVAZXQLIAV-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD Cc1cccc([C@@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)c1 765733937 GMVOQQDPXUVNEU-HXUWFJFHSA-N 410.861 4.540 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cnn1-c1ccccc1OC 769461738 KPIUQCRKSDEQBA-UHFFFAOYSA-N 414.849 4.566 5 20 HJBD C[C@H](N[C@H](C)c1cccc(OC(F)(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775548861 QWFAEEAYTFFXDC-NEPJUHHUSA-N 422.363 4.955 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 781199914 HPYPDJYAYXYNHM-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD CC(C)c1ccc(C(=O)OCc2nnc(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 784093893 RHKQYFAHRGJSHI-UHFFFAOYSA-N 401.806 4.779 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790558561 GURYRTAKSADIPA-VFNWGFHPSA-N 420.868 4.522 5 20 HJBD O=C(NCC1CCC(F)(F)CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 800640761 QMWCWBZKNSQXJA-UHFFFAOYSA-N 411.630 4.566 5 20 HJBD CC(C)(C)OC(=O)NC[C@]1(C)CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 801032102 WRORNAZWFCLHHN-VWLOTQADSA-N 419.525 4.765 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(-c2ccccn2)nc2ccccc12 801567316 SQGZATMNFNOZMA-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD O=C(NCC[C@@H](O)c1ccc(Cl)c(Cl)c1)Nc1cccc([N+](=O)[O-])c1Cl 809919439 JPFKGVGDNPSYCQ-CQSZACIVSA-N 418.664 4.800 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1ccccc1[N+](=O)[O-])C1CC1 813079123 BECPBSOFCSBJCU-UHFFFAOYSA-N 410.213 4.615 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(CC1CC1)c1cccc(C(F)(F)F)c1 813283954 KFRZRMUKUFBZBL-UHFFFAOYSA-N 409.320 4.579 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cccc([N+](=O)[O-])c3Cl)c2)cc1 816893401 OSEQYPUREJRUQJ-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nc(-c4ccsc4C(F)(F)F)no3)n2)c1 904925579 MZNJBGCFHIEPHU-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD O=C(CC[C@@H]1CCCO1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 914715693 BPTYCXHVRYOSCC-HNNXBMFYSA-N 404.850 4.725 5 20 HJBD O=C(O[C@@H]1CCCCC1=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 916597933 UJGIJKQHEZPDDF-LJQANCHMSA-N 402.834 4.529 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1N(C)C 919711384 MFUGEGGKPMGCDF-INIZCTEOSA-N 419.485 4.790 5 20 HJBD CCc1oc2ccccc2c1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1319494901 KBQFCFVZYWROBK-LBPRGKRZSA-N 407.382 4.871 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 24997782 NNRFGYXWJRUPCG-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccccn1)c1ccc(Cl)cc1 55788843 JIOZCYHSYASECS-UHFFFAOYSA-N 413.886 4.969 5 20 HJBD COc1ccc(CNCCc2ccccc2F)cc1OCc1ccc([N+](=O)[O-])cc1 61029305 INQXIVYUKFODAC-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)oc12 248377650 HIIQUNDBDIUCTL-HNNXBMFYSA-N 411.458 4.809 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@@H](C)c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 426018351 FWRKJUXZOWXRRR-JSGCOSHPSA-N 424.906 4.612 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)[C@@H](c1ccc(Cl)cc1)c1cnccn1 427456571 PWYCWPCNNKBDQB-SFHVURJKSA-N 417.252 4.553 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1ccnc2cc(Cl)ccc12 427485236 BIDQUYGEDNKVGY-LLVKDONJSA-N 417.874 4.924 5 20 HJBD O=C(c1ccc(Oc2ncc(Cl)cc2[N+](=O)[O-])cc1)N1CCc2sccc2C1 434951497 QYWAURLIJJCENL-UHFFFAOYSA-N 415.858 4.696 5 20 HJBD CC1(C)Oc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)cc2O1 436101229 KZIAJUAZUJWXKI-UHFFFAOYSA-N 422.387 4.719 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 439521503 BNPMUYSKACBPLT-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD CCn1nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1C1CC1 441074012 TVNJOPWBODFPKM-UHFFFAOYSA-N 408.483 5.092 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 444993519 SEIMEMPASGNADZ-UHFFFAOYSA-N 405.457 4.673 5 20 HJBD CN(Cc1cccc2ccccc12)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447030743 VZAGQJVDLLHPDH-UHFFFAOYSA-N 424.400 4.588 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@@H]1c1ncc[nH]1 447063399 LTXOJLWYSVYANR-LJQANCHMSA-N 407.430 4.869 5 20 HJBD C[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 460703941 SPBHGEVKXDSHHJ-INIZCTEOSA-N 418.453 4.659 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(-n3nc(C)cc3C)nc2)no1 462514675 ALXXWHHDFQTBGP-QGZVFWFLSA-N 418.457 4.579 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 463108991 PVVQLLLHGIECEJ-UHFFFAOYSA-N 410.238 4.983 5 20 HJBD CCn1c(SC[C@@H]2CCC3(CCCCC3)O2)nnc1-c1ccc([N+](=O)[O-])cc1 466427618 BXNSQVVVBOAMIF-KRWDZBQOSA-N 402.520 4.847 5 20 HJBD C[C@H](O)C[C@H]1CCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468050767 ZKORIDNEVKIHDE-DZGCQCFKSA-N 420.918 4.775 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OCc2ccccc2F)cc1 470894881 OECJKSUOEBUIQJ-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 472898126 XFDGKBDYILWOMM-LMMKCTJWSA-N 416.861 4.728 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)ccc1F 473821955 MRGRZIHTWAJTAU-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC(c2ccccc2C(F)(F)F)CC1 474247436 QBLWGXUYADLNQY-UHFFFAOYSA-N 407.392 4.703 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 477433655 PRLGYIOXOMBQRH-PBHICJAKSA-N 413.232 4.609 5 20 HJBD CC[C@H](NCc1cccc(-c2nc(C(=O)OC)cs2)c1)c1cccc([N+](=O)[O-])c1 477848266 NNNMNIVJDUWEMC-SFHVURJKSA-N 411.483 4.746 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 481592248 ALWRLEUDNLRTLJ-UHFFFAOYSA-N 402.410 4.793 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc([C@@H]3C[C@H]4CC[C@H]3C4)cs2)cc1SC 482405126 OPAYJNREQZYIHL-SDDRHHMPSA-N 419.528 4.938 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1sc(Br)cc1[N+](=O)[O-] 485494391 RWJFRQBEIVXJPJ-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1occc1COc1ccccc1 486714867 SSNNQFHTHYWWPH-UHFFFAOYSA-N 420.343 4.716 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc([S@@](C)=O)cc1 489031068 NABSDKXMUTYUEU-HJFSHJIFSA-N 420.556 4.571 5 20 HJBD CSc1ccc(C(=O)Nc2cc(-c3ccc(Cl)s3)nn2C)cc1[N+](=O)[O-] 489272598 VRJIOTYYBMSUPJ-UHFFFAOYSA-N 408.892 4.684 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(C3CC3)oc2c1 489902845 XBUUDEYCENBKGH-UHFFFAOYSA-N 413.455 4.604 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)c4ccccc4[N+](=O)[O-])cc32)c1 490361369 DSQOOBFOOAZKOR-UHFFFAOYSA-N 401.422 4.859 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H]3CCO[C@@H]3c3ccc(Cl)cc3)o2)c1 494493116 HUKLWHDBYGSBGI-GHTZIAJQSA-N 413.861 4.566 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](Cc1ccccc1)c1cccs1 497575216 QCCUEDSCKFXQBR-IBGZPJMESA-N 409.511 4.824 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 497653818 FBJZTXJDEQKEOI-LLVKDONJSA-N 411.683 4.762 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)c1ccc([N+](=O)[O-])c2cccnc12 499514786 RSGADHAOGGXIOJ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1ccc(CCNCc2csc(-c3cccc(Br)c3)n2)cc1 500913827 DCLPFNOIIRZCMQ-UHFFFAOYSA-N 418.316 4.813 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(Cc1csc(Br)c1)C1CC1 500919151 PURYAKAUIIBQCF-UHFFFAOYSA-N 409.305 4.543 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1ccc([N+](=O)[O-])cc1Br 506617270 SZPURBKUEJMJCH-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)Nc1ccc([N+](=O)[O-])cc1 508781339 YDXYRINJKZVEMD-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(S[C@@H](C)c2ccc(F)cc2)c([N+](=O)[O-])c1 520139345 KYFRIHYBBBDZHT-ZDUSSCGKSA-N 412.508 4.618 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522746634 KEBHWYJWLSQFLD-UHFFFAOYSA-N 413.861 4.537 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 524038795 LMRITGSEDXYDSU-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2ccc(O)cc2)cs1 524815972 ATDDOWDQJIJUOD-NSHDSACASA-N 401.469 4.543 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC[C@@H](C2CCOCC2)C1 532849830 VCYIZAPKRWRYPX-QGZVFWFLSA-N 411.458 4.668 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533250752 NIHZFLGKLSLCMW-NSHDSACASA-N 415.799 4.899 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@](C)=O)cc1 533741333 YDIUBMWABNTMFA-ZOKDDAQRSA-N 423.538 4.951 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 534234613 QVMLLKJWKWISHG-KUHUBIRLSA-N 420.872 4.510 5 20 HJBD O=C(Nc1cc(Br)ccc1NC1CC1)c1c[nH]c2cccc([N+](=O)[O-])c12 534991588 JUGOYATWUOBXAF-UHFFFAOYSA-N 415.247 4.665 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)Cc3cccc(C(F)(F)F)c3)co2)cc1 535506493 GOYHPULIFNIQRK-NDEPHWFRSA-N 410.373 4.718 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N2CCCC2)cc1 537097175 CXORQOFUABSNCX-UHFFFAOYSA-N 413.499 4.584 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n([C@@H]2C[C@H]3CC[C@H]2C3)n1 537111471 XWRYPPJRLYGGQD-ABSDTBQOSA-N 409.490 4.657 5 20 HJBD COc1ccc(NC(=O)c2cnn(-c3cccc(Cl)c3)c2C(C)C)cc1[N+](=O)[O-] 541995520 WNCLRBQJBZHVFH-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N(C)C[C@@H](C)c2nccs2)c([N+](=O)[O-])c1 543239276 KSHNQFYPWCQYQF-UZLBHIALSA-N 424.526 4.775 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 543518479 AXCCRCNLOGXRCK-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 545576585 CSZMKVPXSNJKGF-JLHYYAGUSA-N 414.421 4.713 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(-c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 545852118 NFONJDYNIZBYCN-GFCCVEGCSA-N 412.833 4.590 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3nccc4ccccc34)n2)c(Br)c1 547149260 GAUOGFCATBKYRS-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(OCC2CCCCC2)CC1 548038302 JVACKAMFEITTHP-UHFFFAOYSA-N 418.537 4.883 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(F)c1Br)c1cccc([N+](=O)[O-])c1 555793118 QLRZVFXMGMHPHL-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD COc1ccc(CN(Cc2cccnc2)C(C)C)cc1OCc1ccc([N+](=O)[O-])cc1 564316916 AOKMRAAFGMIMCQ-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)cn1 567922030 LOXOOWWMYFDJCZ-VIFPVBQESA-N 404.186 4.622 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C(C)=O)[C@@H]2C[C@@H]2C)cc1 570192984 GLRRNSIYPVTEQL-QXATTWAWSA-N 409.486 4.564 5 20 HJBD COc1ccc(Cc2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1F 572773173 FMDCWICTXDNMSU-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD Cc1nc2cc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)ccc2n1-c1ccccc1 584078462 ILIBKESRAUDVOR-UHFFFAOYSA-N 412.449 4.835 5 20 HJBD CCOc1ccc(C(=O)N(CCc2ccccc2F)C2CCCC2)cc1[N+](=O)[O-] 603531304 FTBJVBHRWLLPEI-UHFFFAOYSA-N 400.450 4.760 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](c2nc3ccccc3s2)C1 603777251 DEPDYOAINWQKTM-ZDUSSCGKSA-N 407.451 4.971 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccccc1OC(F)F 603877426 CNGVIJXHGCPNDW-INIZCTEOSA-N 419.428 4.678 5 20 HJBD Cc1sc(NC(=O)COc2ccc(Cl)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997407 ZPENJXKZNSVLBU-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=C(NCC1(c2ccc(Br)cc2)CCC1)c1ccc([N+](=O)[O-])cc1Cl 604200848 JYJQPXCPGVSWJH-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD Cc1c(CNC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)oc2ccc(F)cc12 604433783 VVYOZERXBPNEMV-UHFFFAOYSA-N 409.320 4.712 5 20 HJBD CCOc1cc(C(=O)NC(C)(C)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC 609219543 WHXXXEXITVARQS-UHFFFAOYSA-N 400.475 4.791 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 609577254 GSTDFXUZFVAXAS-NRFANRHFSA-N 424.888 4.937 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cc2s1 609855991 FRMWMVRMWQOFLW-UHFFFAOYSA-N 418.862 4.849 5 20 HJBD CC(C)(C)CCCNC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 610067973 GUEDMCKXDZHPRE-UHFFFAOYSA-N 415.456 4.773 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC(=Cc2cccc(F)c2)CC1 610105565 LJPDRROUIRTCDP-UHFFFAOYSA-N 411.477 4.946 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2sc(Br)cc2C1 613188621 JMLUERBDTSUOOB-ZDUSSCGKSA-N 423.332 4.572 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N(Cc1ccccc1C(F)(F)F)C1CC1 727707115 FZCXSNFXNSDZBF-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c(F)c2)cc1 730166646 YIYOLSYSDFARMG-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc3oc(CC(C)(C)C)nc3c2)cc1[N+](=O)[O-] 731231223 KGBMPLSEIVVKPT-UHFFFAOYSA-N 412.402 4.794 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 731640423 OREMNIAVWMOMLR-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@H](C)c1ccccc1 732577991 DXOHIKKBDMOEDA-WBVHZDCISA-N 403.910 4.744 5 20 HJBD CC(C)Sc1c(Cl)cccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 741656025 GZODZPKXPQXYHX-UHFFFAOYSA-N 404.872 4.689 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 753941029 WGPQECWBLIUGJJ-DJPFJPOOSA-N 409.486 4.613 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2c(c1)-c1ccccc1C2 755862661 PSGBHBWHGSXFGN-UHFFFAOYSA-N 413.389 4.573 5 20 HJBD O=[N+]([O-])c1cc(CN(Cc2ccc(F)cc2)C2CCOCC2)ccc1Br 756135665 CEPDFMWMIMJLNP-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1ccc(C(=O)Nc2ccccc2)cc1 756533915 GHDBNORYSVOCBE-UHFFFAOYSA-N 404.422 4.523 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@H]1C[C@@H](O)c1ccco1 759181418 OHHDUUFQEYOXKJ-SUMWQHHRSA-N 407.854 4.741 5 20 HJBD C[C@@H](NC(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 767301494 BBNPWWBXUVEHFD-CJNGLKHVSA-N 407.392 4.874 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc2c(c1)OC1(CCCC1)O2 790490970 SDBLDPHZRDRQSL-UHFFFAOYSA-N 406.797 4.610 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl 799247347 LDVLWKNQSVZNPY-UHFFFAOYSA-N 420.256 4.717 5 20 HJBD Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 801682271 DVMAUQCOXUPUCJ-NEPJUHHUSA-N 412.799 4.825 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(F)c(Br)c(Cl)c1)CC2 803014597 FMMHJQIFHZXLIK-UHFFFAOYSA-N 413.630 4.661 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)nc1 803061279 MKIMUKVUUQNQRW-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])C(F)(F)F 807744626 FTNPQXFRIOEJGU-SECBINFHSA-N 420.746 4.898 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc3c(Cl)cccc3s2)cccc1[N+](=O)[O-] 856293454 YGNAXMQUBAPFOR-LLVKDONJSA-N 418.858 4.955 5 20 HJBD CCc1ccc(C(=O)Nc2sccc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1318731286 MZYUCFHWAIVYIO-UHFFFAOYSA-N 421.478 4.627 5 20 HJBD COc1ccccc1-c1nnc(COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)o1 1318813199 ADJVVGPFKFZXLW-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 1323936011 NQIXYLKONWQHII-GOSISDBHSA-N 420.296 4.850 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(C)c2)Cc2ccccn2)c([N+](=O)[O-])c1 1345728169 RUQVTRKTESRFKJ-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2)cs1 12620859 QUFNZCPIWAWAFS-UHFFFAOYSA-N 422.510 4.574 5 20 HJBD COc1cc2c(cc1NC(=O)CCNc1ccccc1[N+](=O)[O-])oc1ccccc12 39540162 SMUVHGWLXVOERD-UHFFFAOYSA-N 405.410 4.944 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)c1cc(F)c(F)c(F)c1F 39778108 YKXWTIRNOTUIIC-UHFFFAOYSA-N 418.302 4.635 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1CCc1ccccc1 55486923 BEVHVKFIEIXINI-NRFANRHFSA-N 410.517 4.779 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)s2)cc1F 58511840 OXNCZRWTIZGYLI-UHFFFAOYSA-N 410.430 4.823 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1OC 64814713 CMLLJZZYFIOHBF-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(OCc2ccccc2)CC1 64898234 WRMJJEZWBDTMCB-UHFFFAOYSA-N 423.494 4.540 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@H]1C[C@@H](O)c1ccco1 301629604 IHRMGRYNXBXZBQ-ZUZCIYMTSA-N 404.772 4.953 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 301746531 PUZUFAYVHFGKRI-LBPRGKRZSA-N 420.256 4.731 5 20 HJBD O=C(NCCc1nc(C2CCCCC2)cs1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 303483583 OXDKBZAIKYJTTB-UHFFFAOYSA-N 414.531 4.646 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 409520589 JVSCPVYUGRHOCA-LBPRGKRZSA-N 424.270 4.789 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2c(F)cc(Br)cc2F)cc1[N+](=O)[O-] 428857650 TVOOWBAFBCLOBN-SECBINFHSA-N 414.206 4.827 5 20 HJBD O=C(O)CSCc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 431661981 PELBHVGQEWHHLM-UHFFFAOYSA-N 402.475 4.958 5 20 HJBD CC1(C)C[C@H](NCc2nccn2CCCc2ccccc2)c2cc([N+](=O)[O-])ccc21 433284760 FNDPMVZFEDERLU-QFIPXVFZSA-N 404.514 4.936 5 20 HJBD CCCN(C(=O)c1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(C)C 433502688 QYJPKVNAOXYCPV-UHFFFAOYSA-N 423.473 4.518 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 436076721 KWPRNUNTKUHZAH-UHFFFAOYSA-N 408.404 4.999 5 20 HJBD Cc1c(CC(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)cccc1[N+](=O)[O-] 438840897 KJSUXTVOCNSKHS-PMERELPUSA-N 422.506 4.533 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(-c3nnc4n3CCCC4)cc2)cc1[N+](=O)[O-] 438962760 UEWXRRWRUVOYCI-UHFFFAOYSA-N 405.458 4.565 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439244924 IJZOWCQIBCUATI-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccccc2)c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 444035702 RKGBQXHETISAMB-INIZCTEOSA-N 419.268 4.707 5 20 HJBD CC[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1F 444107820 UGAAORQKLJENFQ-AWEZNQCLSA-N 422.431 4.547 5 20 HJBD O=C(Cc1noc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(Oc2ccccc2)cc1 445370350 VNXXXMCHNXODCU-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD CCN(C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@@H](C)c1cc2ccccc2o1 446907194 CHYDOTMZJPHTOR-ZDUSSCGKSA-N 407.430 4.510 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 447343027 WEGXIYWTWMLEOI-UHFFFAOYSA-N 413.146 4.829 5 20 HJBD C[C@@H](c1ccco1)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 461483505 SHBMMWALLONYMI-AWEZNQCLSA-N 409.471 4.754 5 20 HJBD Cc1c(CNc2ccc(NC(=O)[C@H]3CCCO3)cc2C(F)(F)F)cccc1[N+](=O)[O-] 462238123 VLJOJILIOUFUTH-GOSISDBHSA-N 423.391 4.652 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccc(F)cc3)n2Cc2ccccc2)c([N+](=O)[O-])c1 463056783 XXIZUHCGTIBCCS-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2c([nH]c3c(F)cccc23)C1 467791033 YVBIUZVBBMUUHD-MRXNPFEDSA-N 409.461 4.759 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC[C@@H](Cc2ccccc2)C(C)C)cc1SC 469513055 ATVDDYTUFJWVNG-MRXNPFEDSA-N 402.516 4.570 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cccc(Cl)c1)c1ccccn1 473397693 DLCSGFZQHNYMMU-QFIPXVFZSA-N 424.888 4.741 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccccc2C(F)(F)F)CC1 474865588 ZYVGHCLUSKEHGJ-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 476280150 VWEBZSDYXOZOOR-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 480295681 RERQOTBQMQFXPZ-MRXNPFEDSA-N 408.336 4.510 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](c2c(F)cccc2F)C(F)(F)F)cc1[N+](=O)[O-] 482427040 WCEXJWXNQIUVCB-HWPZZCPQSA-N 417.334 4.845 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@H](c2ccccc2F)C1 482885569 HBAAPBCYLVDHCZ-ROUUACIJSA-N 414.480 4.746 5 20 HJBD Cc1ccccc1[C@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C1CC1 485573407 VJRRPOSEAQFTGJ-JOCHJYFZSA-N 418.497 4.646 5 20 HJBD O=C(NC[C@H](CCO)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 488170916 RFRLOCXSPJJVNA-IBGZPJMESA-N 422.506 4.642 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 490581681 DRMODINYAPVUCN-IEBWSBKVSA-N 413.543 4.953 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497897314 IKXOIFXHIMWTNJ-QFIPXVFZSA-N 423.444 4.698 5 20 HJBD CC[C@H](C)Sc1ccc(NCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCO3)c(C)c1 498690061 PSVOWYDDRMOHCZ-ZDUSSCGKSA-N 417.487 4.573 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCSc2ccc(Br)cc21 504566903 WWVVVACGVQTWQY-HNNXBMFYSA-N 407.289 4.666 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSCc2nc(-c3cc(F)c(F)c(F)c3)no2)c(F)c1 507131941 NHTUEFXNEAYJMB-UHFFFAOYSA-N 417.315 4.774 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 512900740 DXGWQVPSBDLDMN-CQSZACIVSA-N 419.306 4.930 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1c(C)noc1C 513119906 GCGTWDAZJLMCKZ-AWEZNQCLSA-N 411.458 4.638 5 20 HJBD Cn1ccnc1[C@@H](NCCCOc1ccc2ccccc2c1)c1cccc([N+](=O)[O-])c1 513673321 PHJXQECLDCOYHK-QHCPKHFHSA-N 416.481 4.630 5 20 HJBD COCCC1(C)CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 515259260 ABHSDTCTRBNYNZ-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD C[C@@H](Oc1ccc(NC(=O)C2CCCCC2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 520364724 XGLOSJREACVXLA-OAHLLOKOSA-N 411.458 4.520 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 521954676 ZQCMTHZIMVVYFX-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)nc2ccccc12 522542601 MHQXKKYOMHPMPS-UHFFFAOYSA-N 414.421 4.569 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)C1CCN(Cc2ccccc2[N+](=O)[O-])CC1 533395375 UFFVUOZVUHEXEO-UHFFFAOYSA-N 408.285 4.752 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(Br)cc2cccnc12 533879782 VIIIHVLYNCAECU-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](CC(F)(F)F)c1ccc(F)cc1 540377481 WKXKSCWDKXELLQ-QGZVFWFLSA-N 413.371 4.654 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@@](=O)C4CCCCC4)c3)c12 543437205 VQJVKLITNOGDBM-GDLZYMKVSA-N 410.499 4.863 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3ccc([N+](=O)[O-])cc3F)s2)cs1 544619767 QLGBBKIEOWPWAJ-UHFFFAOYSA-N 406.464 4.592 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@@H]2c2ccccc2)c(C(F)(F)F)c1 545191436 IVNLFRRIKACWPW-MRXNPFEDSA-N 414.405 4.530 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCOC[C@H](C2CCC2)C1 553757444 PQISBVWYPIHNAN-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD CCOC1CC2(CCN(C(=O)c3cc([N+](=O)[O-])ccc3SCC3CCCC3)C2)C1 557649796 IOXYXQSQLMLBMD-UHFFFAOYSA-N 418.559 4.908 5 20 HJBD CC1(C)CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@H]1[C@@H]1CCCO1 566037762 OTKRESKQGPQNML-PMACEKPBSA-N 411.458 4.808 5 20 HJBD O=C(Nc1ccc(-c2ccccn2)cc1)c1sc(Br)cc1[N+](=O)[O-] 571846960 JYCFHQAVCWWSPF-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1cccc(Cl)c1 603638877 XTWMLBXMLHKBJJ-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD CCOc1cc(NC(=O)N2CCc3sccc3[C@@H]2CC)c([N+](=O)[O-])cc1OCC 603650993 SPLFRCBMZZYZME-HNNXBMFYSA-N 419.503 4.995 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccco2)c(-c2ccco2)s1 604514876 MYKXGXSPRYXVSO-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD O=C(Nc1ccc(NC2CCCCC2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 604539581 SPLBAZQIPCVSMW-UHFFFAOYSA-N 405.458 4.777 5 20 HJBD CSc1ccc(C(=O)N(CCc2ccccc2)Cc2ccncc2)cc1[N+](=O)[O-] 608878367 DZNZXIWJFKYUJK-UHFFFAOYSA-N 407.495 4.597 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 609976449 PNAIHZTUNFMRJD-UHFFFAOYSA-N 414.792 4.523 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H]1CCSc2ccc(Cl)cc21 611995546 QJZWNJPNGNARIZ-KRWDZBQOSA-N 405.907 4.794 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@@H](OCC2CC2)C1 614641776 SSRHMEMMCDLOJN-QGZVFWFLSA-N 404.532 4.661 5 20 HJBD CC(C)(C)OC(=O)c1ccccc1C1CCN(C(=O)c2cccc([N+](=O)[O-])c2)CC1 615209985 HHJKPYZHBKJYRO-UHFFFAOYSA-N 410.470 4.570 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)[nH]1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 672930962 IHAXUBKTXDPDNN-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)OCc1cc([N+](=O)[O-])ccc1Cl 734781836 DQHQNOSKITYDMU-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCCCC[C@H]1c1ccc(Cl)cc1 735264305 NLQFKNWIXAOJMM-IBGZPJMESA-N 412.877 4.742 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C2(c3cccs3)CCCCC2)cccc1[N+](=O)[O-] 745067953 XRQHKMFAIJYZDP-OAHLLOKOSA-N 416.499 4.737 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 747519204 ZMQRLJWAXSXDIW-NZVBXONLSA-N 423.252 4.576 5 20 HJBD Cc1noc(C(F)(F)F)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 750182572 YVGDMWNVIQBNOG-UHFFFAOYSA-N 412.349 4.594 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc2c(Br)cccc2[nH]1 751310009 LANRPMLTVICNBJ-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2cccs2)cccc1[N+](=O)[O-] 755752365 XPCVEYVLTCKGEJ-LJQANCHMSA-N 411.483 4.732 5 20 HJBD Cc1cc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Br)s1 760546996 BYYUYCRJJNJZCY-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD C[C@H](C(=O)N1CCc2cccc(NC(=O)OC(C)(C)C)c21)c1cccc([N+](=O)[O-])c1 762275377 GXROVNWRUMMCRV-AWEZNQCLSA-N 411.458 4.635 5 20 HJBD C[C@H](C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 763404077 NUDPWDSNCCHZNS-INIZCTEOSA-N 404.422 4.694 5 20 HJBD O=C(Oc1ccccc1OC(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 767125987 YPGONDKXGBQFLA-UHFFFAOYSA-N 410.329 4.836 5 20 HJBD CC(=O)[C@H](Cc1cccc(Cl)c1F)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 768857618 DUNGIGQGDYCODV-AWEZNQCLSA-N 418.179 4.537 5 20 HJBD Cc1cc(NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1-c1ccccc1 770739218 BIJHLZRSRPSGOU-UHFFFAOYSA-N 401.426 4.768 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 771843280 WMQRYGIDNQTHPC-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD O=[N+]([O-])c1ccc(CNc2nc3ccccc3n2CCc2ccccn2)cc1Cl 775157628 HFYHWRCKRCTLAA-UHFFFAOYSA-N 407.861 4.848 5 20 HJBD Cc1ccc(-c2c[nH]cc2C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 778427992 HCAVAVOVWUKZBH-GFCCVEGCSA-N 424.438 4.928 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccn(-c2ccccc2)n1 779857711 OLUAHUDOPZMBER-UHFFFAOYSA-N 410.499 4.555 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc2ccc(Cl)cc2[nH]1 780667350 UDRDUPICBYDAGS-LBPRGKRZSA-N 416.868 4.702 5 20 HJBD C[C@@H](CCOC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)OCc1ccccc1 789043358 RCRJBBKNPWNUGI-HNNXBMFYSA-N 412.467 4.871 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H]1C[C@H]1c1ccccc1C(F)(F)F 790545997 ISXGRZQFABTBFD-NHYWBVRUSA-N 416.758 4.621 5 20 HJBD O=C(Nc1c2c(nn1-c1ccc(Cl)cc1)CCC2)c1cc([N+](=O)[O-])ccc1Cl 792643244 LLHWDRHNLOEZMR-UHFFFAOYSA-N 417.252 4.828 5 20 HJBD COc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cccc1[N+](=O)[O-] 793527406 UAMMYYZVGIYWPB-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799349498 GTKWZSLNXVWLNU-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc2c(c1)CN(C(=O)OC(C)(C)C)C2 800145452 IWOTVIGVCSONIA-UHFFFAOYSA-N 415.421 4.545 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1ccc(OCC(F)(F)F)c(F)c1 800497549 KWQJIDYKULRDEM-NSHDSACASA-N 415.343 4.504 5 20 HJBD NC(=O)C(=O)Nc1cccc(NCc2c3ccccc3c(Cl)c3ccccc23)c1 800893601 VROMRBBEZROHRQ-UHFFFAOYSA-N 403.869 4.682 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc([N+](=O)[O-])c(F)cc3N)s2)cc1 801886356 ZOGBGBUWTUYOEI-NSHDSACASA-N 414.462 4.547 5 20 HJBD C[C@@H](O)c1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1F 804804235 WVPCXYXTGISFLI-CQSZACIVSA-N 410.401 4.619 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)Nc1ccc(F)cc1OC1CCOCC1 806253937 XUKDTDGSWYEXSL-UHFFFAOYSA-N 409.801 4.589 5 20 HJBD CC(C)(C)c1noc(CCCC(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 811082672 DZTOCBHIQHAEBF-UHFFFAOYSA-N 416.261 4.648 5 20 HJBD Cc1oc(-c2ccco2)nc1CC(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811084246 XOLLEPIMSFLNNJ-UHFFFAOYSA-N 411.197 4.744 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 812930354 XKVMXXIZCURONG-UHFFFAOYSA-N 416.648 4.509 5 20 HJBD CC(C)(NC(=O)CCSc1nc2ccccc2s1)c1ccccc1[N+](=O)[O-] 817038590 VDBFTOJJWRYROU-UHFFFAOYSA-N 401.513 4.738 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1NCc1ccc([N+](=O)[O-])cc1 824084335 BTULQNIOGJOWIA-VAMGGRTRSA-N 403.523 4.643 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(Cc2ccc(Cl)cc2)no1 904545668 DZWBKOFVTKIOHL-UHFFFAOYSA-N 408.639 4.960 5 20 HJBD COc1ccc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc1OCC(C)C 915987456 DVNCMKPKZZFNGC-CLFYSBASSA-N 421.453 4.504 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H](C)c2ccc(C)cc2)c([N+](=O)[O-])c1Br 917091924 OKTVBYCZMYSLMN-SNVBAGLBSA-N 410.224 4.756 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(CSc3ccc(Cl)cc3)o2)C1 1257753818 SICAYEFWOOLTLA-INIZCTEOSA-N 416.846 4.789 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)c([N+](=O)[O-])c1 1263629809 PRXZDDSEAUGNEN-SFHVURJKSA-N 401.419 4.715 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1coc(-c2ccccc2)n1 1337796100 PLQGLJFUBPPKEB-UHFFFAOYSA-N 411.483 4.723 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Cl)cs2)c1 5854512 RGWNNVBXHGQUCR-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCCC2)cc1 6108012 PIJXBPFSUIUZCS-CQSZACIVSA-N 403.866 4.645 5 20 HJBD O=C(COC(=O)CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1ccccc1 9352175 YUQAPCKOZREAQE-UHFFFAOYSA-N 422.462 4.536 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 11298446 LOEQXQCPVVHXIW-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)n2)cc1C 14496632 SLPJDBXYJNTQAF-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD Cc1ccccc1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 19179738 SGEKOFKRVPKFHQ-UHFFFAOYSA-N 412.449 4.937 5 20 HJBD COc1ccc(C)cc1-n1nnnc1SCc1nc2ccccc2c2ccccc12 20041388 PGQCXWYOVODTKR-UHFFFAOYSA-N 413.506 4.973 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc3c(C(C)C)cc(=O)oc3c2)cccc1[N+](=O)[O-] 21587405 DPRIAUAZHBFBQA-AWEZNQCLSA-N 410.426 4.539 5 20 HJBD CCOc1cc(C(=O)N2CC[C@@H](C)Sc3ccccc32)c([N+](=O)[O-])cc1OC 24585727 OUMAVEJLERSDIU-CYBMUJFWSA-N 402.472 4.533 5 20 HJBD CCn1c(SCc2nc(-c3cccs3)no2)nc2cc3ccccc3cc2c1=O 24891958 YPLSMTANHILNRT-UHFFFAOYSA-N 420.519 4.973 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](C)c1ccc(Cl)cc1Cl 32800431 SJQDUCJLWNNRRG-MNOVXSKESA-N 412.273 4.588 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3ccc([N+](=O)[O-])cc3Cl)c2)cs1 32856982 KKCODNMIEALQFQ-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)n1 50313049 LOPCHQQKQKWUBG-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(Cl)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 56269697 RVPSISKPUQHPHH-UHFFFAOYSA-N 404.806 4.551 5 20 HJBD Cc1ccc(-c2csc3ncn(CC(=O)c4cccc([N+](=O)[O-])c4)c(=O)c23)cc1C 71434052 GGJCSDUXLHNIRW-UHFFFAOYSA-N 419.462 4.533 5 20 HJBD Cc1cccn2cc(COc3ccccc3C(=O)Nc3cc([N+](=O)[O-])ccc3F)nc12 105515300 CRBANEUHQHTODT-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cccc(-n2nccc2-c2ccccc2)c1 195665494 DUNJQYLLGUSXKC-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD CCC[C@@H](CCO)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 236880031 CJWPFMJABNVKBJ-ZDUSSCGKSA-N 411.296 4.506 5 20 HJBD CN(C)CCOc1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 236980501 XQYRSJADESRETD-UHFFFAOYSA-N 411.527 4.554 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3ccc(-c4ccccc4[N+](=O)[O-])s3)cn2)n1 237792937 FNOZVEHCIJZCET-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC(c2nc3ccccc3s2)CC1 303338254 DZEBALASOCWANF-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(C[S@@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 428910511 YFUIUPNHVGHFJZ-PEGYKEAPSA-N 417.531 4.833 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 435368827 JHUYNWKFPXILPM-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CC[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 435951766 XITVDJSVARQTNQ-AWEZNQCLSA-N 406.432 4.883 5 20 HJBD Cc1cccc(-c2nc(CC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)c1 437104246 NZZPMZBPJHQGHF-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 439646772 OOILDTPMVPFPTD-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD CC[C@@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])Oc1ccccc1C(F)(F)F 439651562 RMOLDTXILCDTLV-NSHDSACASA-N 416.783 4.854 5 20 HJBD Cn1c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)nc2cc(F)ccc21 440724551 CNRQWJKEQUSLTB-UHFFFAOYSA-N 406.373 4.665 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(S(C)(=O)=O)cc1 443147299 OWZMSENJSUSHJD-ZDUSSCGKSA-N 418.496 4.648 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccs1 444040862 ZTNNRZZJKKNJON-UHFFFAOYSA-N 412.461 4.729 5 20 HJBD COc1cc(CN[C@H]2CCCc3ccc([N+](=O)[O-])cc32)ccc1OCC(F)(F)F 447535540 GUMZJOOTTLVELD-KRWDZBQOSA-N 410.392 4.712 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCCCc1nc2ccccc2[nH]1 447666687 BPVAGFLXCZTVJQ-UHFFFAOYSA-N 415.322 4.565 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 447862184 SMLBAPAAJODKIP-ZDUSSCGKSA-N 400.460 4.752 5 20 HJBD CC(C)Oc1ccc(Cl)cc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460353671 ZXGOVHLBIWLOGN-LJQANCHMSA-N 406.891 4.923 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(c1ccc(Br)cn1)C(C)C 462427443 KEFMFNDIACEEAZ-HNNXBMFYSA-N 420.307 4.763 5 20 HJBD CCOc1c(Cl)cc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1Cl 467763865 LDFKPVRRJYQQPK-UHFFFAOYSA-N 414.245 4.631 5 20 HJBD CC[C@@H](C[C@@H](C)CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467926240 IARWIGWYXZAOSO-HIFRSBDPSA-N 422.934 4.926 5 20 HJBD CCCN(CCc1ccc([N+](=O)[O-])cc1)Cc1nnc(-c2ccccc2Cl)o1 471156072 IUETULCNRUFONX-UHFFFAOYSA-N 400.866 4.753 5 20 HJBD Cc1c(NC(=O)CCNC2(c3cccc(C(F)(F)F)c3)CC2)cccc1[N+](=O)[O-] 477462144 XZAIBEBLPSKQNA-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD CN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)C1c2ccccc2-c2ccccc21 477548936 FDCSMAUKGLSSOO-UHFFFAOYSA-N 410.433 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@@H]2[C@H](CCCN2Cc2ccccc2)C1 483770856 CLFDEHICKZYIKB-IFMALSPDSA-N 422.529 4.730 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2C(C)C)cc1 484830261 BGEFRDXAOZFVKW-UHFFFAOYSA-N 407.474 4.876 5 20 HJBD CC(C)[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 486206816 UWGQLFGOSXAUDV-CYBMUJFWSA-N 419.247 4.824 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 486821278 JPWRVPCNIMYTPT-ZDUSSCGKSA-N 405.279 4.621 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@H](CC)c2cccc([N+](=O)[O-])c2)cc1OC 489241065 PJUNHXXUEAYIPJ-KBXCAEBGSA-N 401.463 4.514 5 20 HJBD C[C@H](CCCC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 495103941 WGUPIOZNTJOPAU-SECBINFHSA-N 418.359 4.943 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3cccc(Cl)c3)nc2C)c([N+](=O)[O-])c1 500177461 COKPOEZJMFABGR-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H](NC(=O)NCC1(N[C@H](C)c2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1 502810297 LNFRGYQNNGORQU-QZTJIDSGSA-N 410.518 4.619 5 20 HJBD O=C(CC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1)N1CCCC1 510486239 XAAZRMALKLQZID-UHFFFAOYSA-N 413.543 4.548 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 511873948 DERYEGUDIPCFAE-OALUTQOASA-N 421.419 4.502 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(OC(F)(F)[C@@H](F)C(F)(F)F)cc2)cc1 513642811 LFUZOZMNUHPMKT-HNNXBMFYSA-N 408.298 4.757 5 20 HJBD Cc1sc(NC(=O)C[C@H]2CCO[C@@H](C(C)C)C2)nc1-c1cccc([N+](=O)[O-])c1 513681056 XDMGGXNFSCBOEY-WMLDXEAASA-N 403.504 4.807 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)c2ccc(OC(F)F)c([N+](=O)[O-])c2)CC1 513749738 WDCRCPZMYXIBPU-UHFFFAOYSA-N 418.396 4.524 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C(C)C)cc1OCC 515745702 DDAJHNJBXKBSNR-FQEVSTJZSA-N 404.438 4.658 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1OC 518723185 GYPQCYNQICWXQZ-HNNXBMFYSA-N 422.437 4.685 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(-c2ccccc2Cl)on1 522357587 WIMYCCCDFCWDFS-UHFFFAOYSA-N 409.789 4.546 5 20 HJBD Cc1cc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)ccc1F 534036259 HEBJJRHKFRWUIF-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@H](c4ccc(F)c(Cl)c4)C3)co2)cc1 534858555 DRAKEZXBGXFQRC-IBGZPJMESA-N 417.824 4.616 5 20 HJBD Cc1ccc([C@H](OC[C@H](O)CN[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 540376286 OERHIZXKCNHHRV-UKDVSSAZSA-N 420.509 4.721 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 542719888 WVTBPBPRJDTODM-SNVBAGLBSA-N 421.331 4.733 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1Br)c1ccc([N+](=O)[O-])cc1F 543463799 RULZRPYJDWWRCS-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD Cc1oc(-c2ccsc2)nc1CC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 543854066 UQKHFSDVMHQGCJ-UHFFFAOYSA-N 411.361 4.820 5 20 HJBD COC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CC1 553054051 IQELJFGEHMHYLC-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(OC)c1 554739733 VGLRBOZHMTUNDE-MRXNPFEDSA-N 412.486 4.544 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(OC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 556871656 LBBCFXGDSOIBNA-HSZRJFAPSA-N 420.513 4.919 5 20 HJBD O=C1CSc2ccc(Nc3ccc([N+](=O)[O-])c4cccnc34)cc2N1CC(F)F 557670751 CCYLBJHYACKRQK-UHFFFAOYSA-N 416.409 4.590 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4ccccc4OC(F)F)no3)cc12 558296608 RYWSVDMORHTRGG-UHFFFAOYSA-N 419.387 4.924 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(N2CCCC2=O)c1 559147994 LDILJQPRRSFZQH-CYBMUJFWSA-N 407.392 4.591 5 20 HJBD CC(C)(C)C(=O)N1CCC[C@@H](CSc2ccc(Br)cc2[N+](=O)[O-])C1 559629884 IRNBQUIWNXROHS-GFCCVEGCSA-N 415.353 4.734 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 560107170 KKTPNZQNLZGUOI-UHFFFAOYSA-N 411.639 4.774 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 562323987 NHMMCTZBULDKAR-INIZCTEOSA-N 405.454 4.935 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])cc2)cs1 579185703 DUETYHZQCMRVPN-UHFFFAOYSA-N 410.499 4.761 5 20 HJBD C[C@@H](C(=O)Nc1nnc(SCc2cccs2)s1)c1cccc([N+](=O)[O-])c1 581980765 KOBMQPPRFWXXPC-SNVBAGLBSA-N 406.514 4.542 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2sc(Br)cc2C1 589372779 FJGWVSSWNKNWBC-UHFFFAOYSA-N 410.293 4.571 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc([N+](=O)[O-])c4cccnc34)CC2)c(OC)c1 603492975 WDHROZDPPNMIDE-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccc(Cl)c(Cl)c3)C3CC3)c1)OCOC2 603860059 LLAKTUZOPDTXHD-UHFFFAOYSA-N 409.269 4.933 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc3nc(C4CC4)sc3c2)c(Cl)c1 604496256 RLCLHLGODMFWJM-UHFFFAOYSA-N 409.876 4.536 5 20 HJBD Cc1ccc(CN2CCOCC2)cc1NC(=O)c1cc2ccccc2c2cccnc12 606238151 STDLHDRTKHCNEH-UHFFFAOYSA-N 411.505 4.781 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccccc1OCc1cccc(Cl)c1 609863314 JNBQSLIAIFVCES-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD CCOc1ccc([C@H](C)NCc2cccc(C(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 611439266 QCCOPOYDAHCWBT-HNNXBMFYSA-N 400.475 4.800 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Sc3nnc(-c4cccc5ccccc45)o3)c2c1 611705996 JBBHYTOJSSRGQZ-UHFFFAOYSA-N 401.407 4.892 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2F)cc1 618964662 GAUNJPYARXWCEC-SFHVURJKSA-N 404.463 4.588 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3nc(-c4ccccc4)cs3)[n+]2[O-])c1 727974035 GBKWROWRZIALIN-MDZDMXLPSA-N 402.435 4.584 5 20 HJBD Cc1oc(-c2ccccc2)nc1CC(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 729116414 PKZVHODRHJGBNU-UHFFFAOYSA-N 410.426 4.631 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])s1 730201870 HFDGOJSYAYIXCI-ZDUSSCGKSA-N 402.863 4.894 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccnc(Oc2ccccc2)c1 735442049 DOBVCLAISIRMHI-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC(c2cc3ccccc3[nH]2)CC1 740483841 XWMBTSYDIDBXBG-UHFFFAOYSA-N 420.513 4.988 5 20 HJBD CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N(C)C[C@H]1CCCO[C@H]1c1ccccc1 740867941 KHDGVRSHBKBWGN-IERDGZPVSA-N 416.449 4.515 5 20 HJBD C[C@@H](CC(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 751863688 UATUHCPHBCLQOG-RYUDHWBXSA-N 417.368 4.721 5 20 HJBD O=C(OCCc1ccc([N+](=O)[O-])cc1)c1ncoc1-c1cccc(C(F)(F)F)c1 752202376 UNBZJLPYPHQBPK-UHFFFAOYSA-N 406.316 4.668 5 20 HJBD O=C(CCN1CCSC[C@@H]1c1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1F 753920945 MTWODSUIDOKTCM-GOSISDBHSA-N 423.897 4.506 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)NCc3cc([N+](=O)[O-])ccc3Cl)c(C)c2c1 756998506 MSBQGTSIAHRMFO-UHFFFAOYSA-N 414.845 4.754 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2ccc(-c3c(C)noc3C)cc2)cc1[N+](=O)[O-] 758248776 FARUESGOOADKKN-UHFFFAOYSA-N 422.846 4.596 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Br)c1F 758387375 KIOSQEJTKQPGRF-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(c1cnc([C@H]2CCCO2)s1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 760430784 RUMHGMHFLLEKEA-OAHLLOKOSA-N 407.879 4.613 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 769999303 UXVQZGFJPBCRCR-GFCCVEGCSA-N 404.854 4.555 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1cccnc1)c1cc(Br)cc([N+](=O)[O-])c1 770446900 SPMQYKSCJPUYBR-SFHVURJKSA-N 413.227 4.699 5 20 HJBD Cc1ccc(-n2c(SC[C@H](O)c3ccc([N+](=O)[O-])cc3)nnc2-c2ccco2)cc1 776277502 MUWYIPRTQGDDEA-SFHVURJKSA-N 422.466 4.570 5 20 HJBD CN(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCSc2ccc(Br)cc21 776581411 DZDMPHAHNORJCM-DLBZAZTESA-N 423.332 4.560 5 20 HJBD Cc1noc(COc2ccc(N[C@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])cc2)n1 777688975 JAGANGXVLJTWRB-JXFKEZNVSA-N 408.458 4.689 5 20 HJBD Cc1nc(Cl)sc1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 782850490 ZEIZSGQWXORJIH-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD COc1cccc([C@H]2[C@@H](c3ccccc3)CCN2C(=O)c2cc([N+](=O)[O-])ccc2N)c1 787263391 MAQRJRBTDLQEKS-OFNKIYASSA-N 417.465 4.557 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2csc(Cl)n2)cc1[N+](=O)[O-])c1ccccn1 793234737 DEJHITGSVLKBTE-LLVKDONJSA-N 418.862 4.630 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 803996531 JUEUWWTUNKLTIU-INIZCTEOSA-N 416.499 4.654 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1cccc2ncccc12 915163496 IKKZLFHWYKHRTH-UHFFFAOYSA-N 408.357 4.860 5 20 HJBD CC(C)(CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)cc1 916062202 PFFNWIPZTUHNPF-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cccc(Br)c1 917786047 JGKBFVSDKAYMET-YFWYWMRJSA-N 401.260 4.734 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 918134098 GUEJSZUBRXHGQP-INIZCTEOSA-N 410.213 4.787 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cc(F)ccc2F)cc1[N+](=O)[O-])c1ccccn1 920546563 ZVQGDYHHOMERGG-ZDUSSCGKSA-N 413.380 4.798 5 20 HJBD COc1cccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1Br 1116627272 LBBLPKXVVUBAIT-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD CCCC(=O)NC1(c2ccc(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])cc2)CCC1 1792315755 ZWLOGEMCSLUIPE-NTEUORMPSA-N 407.470 4.542 5 20 HJBD CCc1ccc([C@@H](C)NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1 7797735 ADDVQGVOUPSOQE-GFCCVEGCSA-N 401.513 4.736 5 20 HJBD CCn1c(S[C@H](C(=O)NC(C)(C)C)C(C)C)nc2cc3ccccc3cc2c1=O 31747657 JKRPOPDTYCBDBY-IBGZPJMESA-N 411.571 4.601 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(c2nc3ccccc3s2)CC1 52606119 ULBBXGJITGKVGR-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 52916471 YWORTKOPBLKIOW-MRXNPFEDSA-N 402.454 4.756 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 52960732 RBLHLESKWPMGBY-IAGOWNOFSA-N 404.470 4.696 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(c2c(C)[nH]c3ccccc23)CC1 53742949 NIDZZJSVNXMDQR-UHFFFAOYSA-N 406.486 4.511 5 20 HJBD CCc1cc(N[C@H](c2ccccc2)c2nccn2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 54389620 QFLMCSJLOFJYMJ-OAQYLSRUSA-N 414.469 4.549 5 20 HJBD Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)sc1Cc1ccccc1Cl 59773866 IIFXPVOXWTZMTO-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD CCCOc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCC 65864713 LDFDZXMPFKGRDD-UHFFFAOYSA-N 400.475 4.833 5 20 HJBD COc1ccc(-c2ccc(CNCc3coc(-c4ccccc4)n3)o2)c([N+](=O)[O-])c1 237422472 CVKOCMKZQKVNTM-UHFFFAOYSA-N 405.410 4.808 5 20 HJBD Cc1cccc(NC2CCN(c3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 301379251 XPSUDOOECWUHPA-UHFFFAOYSA-N 403.486 4.750 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)n2C)cc1 301872248 XDLKLBHGELNKDG-UHFFFAOYSA-N 410.377 4.569 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccccn1 301891666 VCOXZCWLEBLZEN-LJQANCHMSA-N 414.259 4.962 5 20 HJBD O=C(CCSc1nnc(-c2ccc3ccccc3c2)o1)Nc1ccccc1[N+](=O)[O-] 409861307 TXNGPHOCFRZLLF-UHFFFAOYSA-N 420.450 4.919 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 433962285 YUSGTUJMKYQBAV-DHUIEDIVSA-N 420.444 4.588 5 20 HJBD O=C(NCCCn1ccc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435838613 YVEIOPANMNWOJQ-UHFFFAOYSA-N 413.452 4.595 5 20 HJBD COc1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1C1CC1 436054450 VWUQMDSZWKITBB-UHFFFAOYSA-N 409.467 4.881 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120817 VCWMBZGSOKKDJN-ROUUACIJSA-N 415.287 4.652 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437772986 JEJLIOPMSCAEQZ-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD CCN(C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 439263459 XPBGBJGDMWRZPO-INIZCTEOSA-N 405.454 4.889 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444095458 GVWHAWMSNNYJJF-UHFFFAOYSA-N 418.399 4.978 5 20 HJBD O=C(Cc1noc(/C=C\c2ccccc2[N+](=O)[O-])n1)Nc1c(Cl)cccc1Cl 445387626 XQSBOGQCVBRGDO-HJWRWDBZSA-N 419.224 4.636 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@@H]3c3cccc(Cl)c3)n2)c([N+](=O)[O-])c(OC)c1 445815242 IHSOKRIEFUPMLA-HZPDHXFCSA-N 415.833 4.510 5 20 HJBD Cc1occc1-c1nnc(Sc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])o1 447678837 BBFDNCZSBIUROE-UHFFFAOYSA-N 422.291 4.747 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC[C@@H]1c1ccccc1 460646605 KQGTYBMJQHUKOE-BEFAXECRSA-N 407.495 4.984 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(OCC(F)(F)F)c1 467105917 HKVHCCZBPGJIQN-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccc(C)cc3C)C2)cc1SC 471334419 CPPQWUPLKZWRPZ-OAHLLOKOSA-N 400.500 4.572 5 20 HJBD CCCN(CC(C)(C)O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 474848607 HXLUQYQOCCBRPN-UHFFFAOYSA-N 406.866 4.664 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@H]2[C@H](CCCN2Cc2ccccc2)C1 475552952 TVVNVUAHWJGNAH-IRLDBZIGSA-N 422.529 4.730 5 20 HJBD CCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)[C@H](c1ccc(C)cc1)c1cccnc1 479078563 JMRAWDKOVUBAJT-HSZRJFAPSA-N 419.481 4.867 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)nc1-c1ccccc1 479367332 ZDVDUFBUIHVHGX-UHFFFAOYSA-N 424.482 4.854 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccccc2C)C(C)C)c([N+](=O)[O-])cc1OC(F)F 482963520 NHBDUIBQUQXUNJ-SFHVURJKSA-N 408.401 4.640 5 20 HJBD COCCSc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Br)cc1 485215159 UBIFISPRPUIAIE-NSHDSACASA-N 412.309 4.664 5 20 HJBD CCc1ccc([C@@H](NCc2cc(COC(C)(C)C)on2)c2ccc([N+](=O)[O-])cc2)o1 486085589 KJNNICUHOHYKLN-NRFANRHFSA-N 413.474 4.933 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1sc(Br)cc1[N+](=O)[O-] 486171611 AUMHGEWECADEPI-UHFFFAOYSA-N 415.240 4.742 5 20 HJBD CCn1c(S[C@@H](C)c2nc(-c3ccccc3)no2)nnc1-c1ccc([N+](=O)[O-])cc1 489444341 XDDKCEVNWIGPND-ZDUSSCGKSA-N 422.470 4.777 5 20 HJBD COc1cc(CN(C)[C@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 491184153 WDIXXYMSUUCKKH-LLVKDONJSA-N 416.371 4.719 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCc1ccc(NC(=O)NC(C)C)cc1 504896689 ZIAXCZDUCKJGML-QGZVFWFLSA-N 416.547 4.785 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(Br)c1 507036013 HHHYHEJHJBPLHJ-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD COc1ccc(CN(C)[C@H](C)c2ccccn2)cc1OCc1ccc([N+](=O)[O-])cc1 508628739 HDMCFEAOWZZTJR-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD COc1cc(CN[C@@H](c2cccc(C)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 510485042 YJMWLSQXBBGKTL-INIZCTEOSA-N 420.334 4.906 5 20 HJBD Cn1nccc1[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 510668381 YYXUYAGUSWUEFX-CQSZACIVSA-N 400.866 4.621 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@H]1c1cccc2ccccc12 512476434 BPQQOPIQUJWRLS-NRFANRHFSA-N 405.454 4.532 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3ccc([N+](=O)[O-])cc3Cl)CC2)c(C)c1 514497794 QBWPSMYZVYHULD-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD O=C(Cc1coc2ccc3ccccc3c12)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 514864488 LISMDZYAEQYLBL-UHFFFAOYSA-N 418.405 4.837 5 20 HJBD O=C(NCc1ccc(OCc2ccccn2)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 515442782 NDQUXKOWMZHGMP-UHFFFAOYSA-N 419.462 4.714 5 20 HJBD CC(C)(C)[C@@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 525171404 SZPRNOLUBRPZNM-CYBMUJFWSA-N 415.334 4.509 5 20 HJBD Cc1ccc(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 535135682 YWHCUBZUNMJRTP-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](c1ccccc1)c1cccc(F)c1 535436455 PSXZWBXAOZHABJ-HSZRJFAPSA-N 403.413 4.664 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 536515677 WTAFWCMDBQICJI-UHFFFAOYSA-N 411.483 4.741 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CC(C)(C)C)cs1 538436178 RWPPAUFURPQQBX-UHFFFAOYSA-N 409.533 4.631 5 20 HJBD COc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C2CCCCC2)cc1OC 538800450 LFUHIVCSLDOUGZ-UHFFFAOYSA-N 412.486 4.516 5 20 HJBD C[C@@H](NC/C=C\c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 539516193 ZKUPAEDLRRLSKZ-XQDHMALISA-N 402.454 4.606 5 20 HJBD CCCCc1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 539692828 YOKXEYSWYILVMW-UHFFFAOYSA-N 421.251 4.955 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc(Br)c1OC 540179991 NWKLATZOXQSRJD-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD CCn1c(S[C@H](C(=O)OC)c2ccsc2)nc2cc3ccccc3cc2c1=O 540769579 XHEBAGDRLFJXOU-SFHVURJKSA-N 410.520 4.638 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 541295895 KUEZZKHWHVDFRD-UHFFFAOYSA-N 418.805 4.589 5 20 HJBD CCc1ccc([C@H](N[C@H]2CCC[C@H](C(=O)NC(C)C)C2)c2ccc([N+](=O)[O-])cc2)o1 543400772 LPKSVUAVFQUKHF-NPPFBWRTSA-N 413.518 4.513 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C/c3cccc([N+](=O)[O-])c3)n2)c1)c1ccco1 545606309 KZAAKFZSJYHGEG-MDZDMXLPSA-N 402.366 4.661 5 20 HJBD CN(C)C(=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 555190887 VUOGNSCCJTTZHR-HXUWFJFHSA-N 409.511 4.633 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccccc3F)s2)c1 558757044 XXALZOXRDBMEAX-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD Cc1cncc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 567434376 VDQLVYKAPYMQSL-CQSZACIVSA-N 402.414 4.583 5 20 HJBD CN(C)c1ccc(C(F)(F)F)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 603449067 YODSMHXEBQZQDT-UHFFFAOYSA-N 422.407 4.532 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)o2)cc1 603638644 CAMVCGQQWYXFGW-UHFFFAOYSA-N 416.336 4.911 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2)cs1 604087241 XQJMAOPMVPINDJ-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(COc2ccc3ccccc3c2)o1 604471166 DTIUTFQAGXVQNE-UHFFFAOYSA-N 402.406 4.850 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(O)cc1 604485268 IABWNRTZBPCMCV-JOCHJYFZSA-N 417.465 4.890 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 609304209 MZKMVHZHDUTVFY-JLTOFOAXSA-N 420.444 4.719 5 20 HJBD CNc1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 609622680 DBELSJZCRGERLD-KRWDZBQOSA-N 421.501 4.587 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)Cc1cccs1 609723449 VNTMPDXTBSQBPJ-UHFFFAOYSA-N 420.874 4.901 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609761447 KVYDKHYSMFAVBO-UHFFFAOYSA-N 416.393 4.633 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC(c2cccs2)c2cccs2)cc1SC 609978209 BLWMSDKBMKIIJY-UHFFFAOYSA-N 420.537 4.968 5 20 HJBD CCOc1cc(C(=O)N2CCCCC[C@@H]2c2ccc(C)o2)c([N+](=O)[O-])cc1OC 609984639 TWBWCEAXQUVGMF-MRXNPFEDSA-N 402.447 4.661 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc([N+](=O)[O-])cc1Br 610059313 POHIPSCHPVHVRY-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 610176626 CIKOXGMICYAHLZ-LBPRGKRZSA-N 416.528 4.879 5 20 HJBD COc1cc(OC)c(C2CCN(C/C=C/c3ccccc3[N+](=O)[O-])CC2)c(OC)c1 611253662 ASGXENXPRSOROE-SOFGYWHQSA-N 412.486 4.513 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 619578946 WDVMHZJMBHTQOR-PILAGYSTSA-N 402.516 4.601 5 20 HJBD CC(C)[C@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccnn1C 619658535 JKDKEJKNKSEWRX-KRWDZBQOSA-N 424.526 4.649 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1OC 726150443 NLKYQPXSYSKQNP-XMUSRXTASA-N 424.497 4.639 5 20 HJBD CC(C)C[C@H](C)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc2[nH]ccc2c1 726914465 AMLIFZIJORJKAO-AWEZNQCLSA-N 409.442 4.886 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 729036906 INMLNODSDXGTDO-LBPRGKRZSA-N 409.895 4.807 5 20 HJBD O=C(OCc1cc2ccccc2nc1O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 733450215 OPAYMEYSQYPIRX-UHFFFAOYSA-N 416.389 4.998 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc(C(C)C)no2)c2ccccc2)c([N+](=O)[O-])c1 733831919 VESLAIKXRVXTLJ-FQEVSTJZSA-N 423.425 4.551 5 20 HJBD Cc1ccc([C@@H]2[C@H](C)CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 738282631 ADKJTYMMBHFNFC-KOSHJBKYSA-N 418.497 4.558 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)OC(C)(C)C)cc2Cl)c([N+](=O)[O-])c1 740777344 XXOBOZFCSGUXLM-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1cccc2c(CCC(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c12 745134506 CBVBVYQWAPXNIV-AWEZNQCLSA-N 420.425 4.672 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)N(Cc1ccccc1)C(C)(C)C 750949932 QTVWCWDDVXJVSL-UHFFFAOYSA-N 402.516 4.664 5 20 HJBD CCc1ccc([C@@H](Nc2cccc(CN3CCOC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753705509 OXOPAPAZRSJBRK-QFIPXVFZSA-N 421.453 4.904 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1c[nH]c2cc([N+](=O)[O-])ccc12 754471005 BEUPQGPCWBZJBW-AWEZNQCLSA-N 406.225 4.637 5 20 HJBD O=C(Nc1cc(C2CCCCC2)[nH]n1)c1cc(F)cc([N+](=O)[O-])c1Br 755014557 ANPLBMALDQDQJB-UHFFFAOYSA-N 411.231 4.520 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 756273038 ZNJHAFCOVNTQJK-HNNXBMFYSA-N 413.865 4.603 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 760383028 WOONVURCMAUHIK-UHFFFAOYSA-N 413.449 4.666 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCC(O)(c4ccccc4)CC3)cs2)c1 760650604 SDBJBWLTMRHDRG-UHFFFAOYSA-N 409.511 4.638 5 20 HJBD C[C@@H](OC(=O)c1cccc2ccsc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 767627350 SQRLFPLSUAQROZ-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 767992443 UOCJIFZGVSAORV-LUTRRODMSA-N 407.857 4.757 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCc2sc(Br)cc2C1 772415329 YBKZQWCBHRQRMW-UHFFFAOYSA-N 416.684 4.662 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@@H]2NC(=O)c1csc([N+](=O)[O-])c1 778025938 ZLUBYBMVBPXARR-INIZCTEOSA-N 417.487 4.811 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)no1 778093267 WVPODTMPDLNGOM-UHFFFAOYSA-N 419.846 4.589 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC[C@@H]1c1ccc(F)c(F)c1 780680842 JIRRALGKRJEVEX-JOCHJYFZSA-N 404.416 4.937 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 781204886 YUBWWJDSVNMBCO-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1[N+](=O)[O-] 782470102 DCTJQWBPOZXMIL-UHFFFAOYSA-N 417.443 4.799 5 20 HJBD COc1ccc(N(CCC(C)C)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 797354349 ZQCDQTLSASIOPO-UHFFFAOYSA-N 401.419 4.513 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ccc(C(F)(F)F)cn1 798667541 SOGXNGKNZTURAY-NXEZZACHSA-N 418.755 4.734 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)C1(c2ccccc2)CC1 808510793 INPWWJSEGXHEIV-AWEZNQCLSA-N 422.462 4.565 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 813276584 YCTATBGGVYDXJW-UHFFFAOYSA-N 422.407 4.806 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 815103392 PPBAHELKXQQXTR-CYBMUJFWSA-N 423.391 4.763 5 20 HJBD Cc1ccc(C(=O)OCC(=O)N2c3ccccc3CCc3ccccc32)cc1[N+](=O)[O-] 864169785 KHLCJMYPJJIDCC-UHFFFAOYSA-N 416.433 4.524 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C2CC2)o1 915169023 FXCMMLXYQPYTHF-XHDPSFHLSA-N 419.275 4.879 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(-c2ccc(F)cc2)no1 920779563 ZUWCIHGSPZPTPR-LLVKDONJSA-N 420.780 4.553 5 20 HJBD COc1ccc(COc2cc(Cl)ccc2[N+](=O)[O-])c(Br)c1OC 920992262 RMENOMFREKPKTJ-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)c2)cc1 921155287 HZLSJTLCEMUYJO-ZROIWOOFSA-N 418.449 4.972 5 20 HJBD O=C(c1nc(Cl)c(Cl)c(Cl)c1Cl)N1Cc2ccc([N+](=O)[O-])cc2C1 1116157996 OZJRTYXMDJIHSD-UHFFFAOYSA-N 407.040 4.759 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cccc(NC(=O)c3ccco3)c2)n1 1321126911 ZZYAGVIZYBERPP-UHFFFAOYSA-N 404.382 4.774 5 20 HJBD O=C(NCC1(c2cccc(C(F)(F)F)c2)CCC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322210254 KZBMTPFFJQMOCY-UHFFFAOYSA-N 419.403 4.761 5 20 HJBD CCCOc1ccc(-c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)cc1OC 1322270121 FACJFWKCJYUBER-UHFFFAOYSA-N 401.444 4.831 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 1341371431 YIBMEAVUQKBWMI-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 47866251 JMZQDXIDDLQJCT-SECBINFHSA-N 401.316 4.699 5 20 HJBD Cc1sc2ncnc(SCCC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 56083727 BFHJPBZZXITECB-GFCCVEGCSA-N 416.528 4.576 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 59811809 RFTXXQSMFGJDOG-UHFFFAOYSA-N 419.268 4.750 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OC(F)F)c1 64917097 SNZYKECROBLINJ-LLVKDONJSA-N 419.409 4.811 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@@H]1c1cccc(Cl)c1 301266625 UJODKUPVKCDFIC-CQSZACIVSA-N 416.833 4.586 5 20 HJBD O=[N+]([O-])c1cnc(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c(Cl)c1 301269447 JDPFQUFGQHGSHI-CQSZACIVSA-N 406.628 4.667 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 302976418 CFTONTAZYCXXPU-UHFFFAOYSA-N 422.491 4.772 5 20 HJBD C[C@@H]1C[C@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCN1Cc1ccccc1 303805988 MOLWKCOOOUASOE-NVXWUHKLSA-N 416.909 4.765 5 20 HJBD O=C(c1cc(NCCCO[C@H]2CCCc3ccccc32)ccc1[N+](=O)[O-])N1CCCC1 427378005 ULFSNNDAEGKPBQ-QHCPKHFHSA-N 423.513 4.727 5 20 HJBD CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cnccn1 427440703 ZRGKOPMIGYMMQS-GOSISDBHSA-N 417.252 4.553 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(C[S@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 428910509 YFUIUPNHVGHFJZ-HOLBHBGLSA-N 417.531 4.833 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)n1 431115794 SQXVLRGOEWFLTC-UHFFFAOYSA-N 423.473 4.958 5 20 HJBD O=[N+]([O-])c1cccc(CNc2cccc(Oc3ncc(Br)cn3)c2)c1 431201858 GUVWXGTUOPKYDB-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2O)cc1 436966197 LFPBIBGGOOIBNS-JOCHJYFZSA-N 405.454 4.510 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC3CC3)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 438025939 FAJQPHBPQFABRC-UHFFFAOYSA-N 404.470 4.513 5 20 HJBD CCCCCn1nc(C)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444113683 SWUNMSZQRSKFHR-UHFFFAOYSA-N 406.461 4.768 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@@H](Nc2ccccc2)C1 444239165 BVIXQECCVFBRLW-QGZVFWFLSA-N 415.468 4.537 5 20 HJBD CCc1ccc(NC(=O)Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cc1 445311187 BKXVBOAYRILCCZ-UHFFFAOYSA-N 408.439 4.603 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc([C@@H]4CSc5ccccc5O4)no3)cs2)cc1 446007862 UKDLOPWLHXQHHE-HNNXBMFYSA-N 424.463 4.994 5 20 HJBD CC(C)C(=O)Nc1ccc(Oc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)cc1 462478654 DHHYJRPQUUQJIL-UHFFFAOYSA-N 407.426 4.956 5 20 HJBD O=C(NCc1cc(Cl)ccc1OC(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 465293406 BQMXLMGHMHUFNI-UHFFFAOYSA-N 424.709 4.798 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(=Cc2ccc(F)c(F)c2)CC1 468896888 HKKATBZZANDPIC-UHFFFAOYSA-N 409.392 4.741 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cc2cc([N+](=O)[O-])ccc2o1 471660789 ZTDFNEPNIFHQLZ-UHFFFAOYSA-N 408.345 4.660 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 475648546 JGIHXZMSILMQAA-GOSISDBHSA-N 407.829 4.610 5 20 HJBD CCOc1ccc(-c2nc(COc3ccc([N+](=O)[O-])c(OC)c3)cs2)cc1OC 475869979 KZHATPTWJWXUPL-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])sc2C1 483022346 XBASVQCZJQSJDA-SNVBAGLBSA-N 407.517 4.549 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 483413246 BXFBAMDBQAOYNV-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD COc1cc(OC)cc(-c2nnc(S[C@@H](C)c3cc([N+](=O)[O-])ccc3C)o2)c1 484714809 NMPFWRXBJURKQB-LBPRGKRZSA-N 401.444 4.824 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(-n3nc(C)cc3C)cc2)c1[N+](=O)[O-] 486343567 MMZATSZRIFHFIW-OAHLLOKOSA-N 410.499 4.610 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 487104349 ZUQHIPCYCJQBCR-UHFFFAOYSA-N 419.485 4.782 5 20 HJBD CNC(=O)c1ccc(N[C@@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c([N+](=O)[O-])c1 487993394 NXKNWPGLEVFLIC-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC4(CC3)OCCc3ccsc34)o2)cc1 497111365 ARZJGEBHVLXNFW-UHFFFAOYSA-N 410.495 4.980 5 20 HJBD CCc1ccc(-c2nc(-c3ccc(NC(C)=O)c(C(F)(F)F)c3)no2)cc1[N+](=O)[O-] 499621032 UQSRFJSVJOXLAD-UHFFFAOYSA-N 420.347 4.851 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1ncc(-c2ccccc2Br)o1 506537487 COASUDIQHUNLTO-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)ccc1[N+](=O)[O-] 509886645 VUDPXGBRBDOUIU-SFHVURJKSA-N 406.486 4.854 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@@H]1C[C@H](C)N(Cc2ccccc2)C1 510861693 PLGASZIZCSCZJH-FXAWDEMLSA-N 410.518 4.634 5 20 HJBD O=C(Nc1c(F)cc(F)cc1-c1ccccc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520000720 DFULOQQOZJKMOS-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1ccccn1)c1ccc(Cl)cc1 521550870 AFYCLFKMQVGCRA-UHFFFAOYSA-N 420.856 4.831 5 20 HJBD Cc1nc(SCc2nc(-c3ccc([N+](=O)[O-])s3)no2)c2c(C)c(C)sc2n1 521967468 XQAXTMYJANZVRC-UHFFFAOYSA-N 419.513 4.929 5 20 HJBD CC(C)NC(=O)[C@H]1CCC[C@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 522538028 SWFALHIQJAOCJL-PMACEKPBSA-N 424.545 4.536 5 20 HJBD CC(C)(C)c1cc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])n(C(C)(C)C)n1 522640499 LEVRORSAJPLYRR-UHFFFAOYSA-N 422.913 4.905 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCc2cc(OC(F)(F)F)ccc21 522894032 GTGXABMSGNZLLN-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD CCCOc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1OCCC 531517172 WXYSOIPFJUQTNO-UHFFFAOYSA-N 413.474 4.763 5 20 HJBD C[C@H](NCC(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1)c1cccc([N+](=O)[O-])c1 538174755 GBBYYOAOTFDUFC-DJJJIMSYSA-N 422.312 4.916 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](OC1CCOCC1)c1ccccc1 540032351 AGSPPZKJFHQZIW-VBKZILBWSA-N 422.428 4.934 5 20 HJBD NC(=O)c1ccc(-c2nc(CSCc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 541075166 SVLYKWAKKRAIAZ-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CNCc3ccc([N+](=O)[O-])cc3Cl)c2)cc1 544353310 FDVKVAMYCNWCOY-UHFFFAOYSA-N 423.900 4.776 5 20 HJBD Cn1ccnc1[C@@H](NCc1cccc(COC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 552252321 IPFQCVCAXHVDTR-NRFANRHFSA-N 408.502 4.523 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 555034094 AILXUESQYNMHDK-UHFFFAOYSA-N 406.417 4.695 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)NCC[C@H](c1ccccc1)C(F)(F)F 556218512 WTOGAESOCROYOA-KBXCAEBGSA-N 409.408 4.638 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cncc(Cl)c1Br 562895580 NPAXGBUTVPDKMX-UHFFFAOYSA-N 416.684 4.770 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](OCC2CC2)c2ccc(Cl)cc2)c1 571649846 RHQJZZQRZSMPCE-IBGZPJMESA-N 420.918 4.868 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CN1CCOc2ccc([N+](=O)[O-])cc2C1 575370794 MSDLUDRBZMZRBM-UHFFFAOYSA-N 411.502 4.675 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 578100844 FULWSUHLUQCUFN-LBPRGKRZSA-N 412.833 4.564 5 20 HJBD Cc1nc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])sc1Cc1ccccc1 588299731 KYIYWPQNROVJAZ-UHFFFAOYSA-N 410.499 4.657 5 20 HJBD COCc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 589096444 BMKDNFRPBWBISM-UHFFFAOYSA-N 404.220 4.529 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1cccc(Cl)c1F)c1ccccc1[N+](=O)[O-] 603648789 REWWINMZNNSOTD-OAHLLOKOSA-N 423.828 4.592 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccc(Cl)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 604466726 YZWRPAXUQKJHPJ-ZDUSSCGKSA-N 415.902 4.719 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC2(CCCCC2)CC1 608808670 IHICLJKQMXRRRG-UHFFFAOYSA-N 400.397 4.722 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Br)ccc2Cl)cc1[N+](=O)[O-] 608945447 NRKWVGWAYNSDGQ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(C)(C)c1ccccc1 609063522 NAHRIGBJHJBKEW-CYBMUJFWSA-N 410.392 4.632 5 20 HJBD COc1ccc([C@@H](NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 609722284 QIHSVSVIGJYWID-FQEVSTJZSA-N 406.866 4.539 5 20 HJBD Cc1ccc(CNC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1F 609766080 UERLRQFTCOGTEV-UHFFFAOYSA-N 409.417 4.563 5 20 HJBD C[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccccc1 609781039 MCQCKRQNCWTKHM-HNNXBMFYSA-N 420.425 4.536 5 20 HJBD COc1ccc(-c2ccc(CNC[C@H]3CCCO[C@H]3C(C)(C)C)o2)c([N+](=O)[O-])c1 609825914 BHAVINJCPVYVPW-QVKFZJNVSA-N 402.491 4.794 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 610068552 MNRTVGZSDGPXLM-NSHDSACASA-N 416.231 4.503 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(c3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 610597159 VFXVFGUGNNICBE-AWEZNQCLSA-N 403.429 4.832 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Br)c1cccc(OC(F)(F)F)c1 728492443 PUOIIQDXPWVTAP-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD CC(C)c1cnc(COC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)o1 733387320 PLRLYGAVKAQCHS-HUUCEWRRSA-N 401.463 4.546 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCC(C)(C)NC(=O)OC(C)(C)C 737569577 DCZHGAQVVBXGTP-UHFFFAOYSA-N 400.859 4.546 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1nc(-c2ccccc2)cs1 739579081 FJKRFVIYVUHXAY-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD COc1ccc(CNCc2c(C)cccc2F)cc1OCc1ccc([N+](=O)[O-])cc1 742380367 MSCFRCHIAYYCSG-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD Cc1c(CN2CCC([C@H]3CCCN3C(=O)OC(C)(C)C)CC2)cccc1[N+](=O)[O-] 742614650 KYWXMRDLVYESFN-HXUWFJFHSA-N 403.523 4.515 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(Nc3ccc(C(F)(F)F)cn3)cc2)cc1[N+](=O)[O-] 746301228 NWGIGFOZMPEZEC-UHFFFAOYSA-N 417.347 4.587 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@H](O)c1cccc(F)c1 749120851 XKQGKVONMVXNSR-YUNKPMOVSA-N 404.825 4.859 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754956881 CRVNAXVEXSBZEA-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756967699 UQPXFPKTLDAYOH-IBGZPJMESA-N 403.866 4.694 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)s1 761145385 DELNDFYIVBOXMX-UHFFFAOYSA-N 416.272 4.712 5 20 HJBD O=C(Nc1ccc2c(c1)oc1ccccc12)c1cccc([N+](=O)[O-])c1N1CCOCC1 776300616 JUJBWDMNCNRQGT-UHFFFAOYSA-N 417.421 4.583 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@H](O)c2ccc([N+](=O)[O-])cc2)cc1 776589865 WNHHMVQAEZUQQM-HXOBKFHXSA-N 406.482 4.997 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1cc(N2CCOC2=O)ccc1C 777706122 MFFDWNDKNCAMJC-OAHLLOKOSA-N 401.488 4.843 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC([C@H](O)c2ccccc2)CC1 780329351 BLAGDXHBFQJCLA-OAQYLSRUSA-N 414.527 4.683 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Cc2ccc(F)cc2)CC1 782562363 OUOYYCHJFZQPJF-NRFANRHFSA-N 406.413 4.784 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1nnc(-c2cccc(Cl)c2)o1 784436251 VSGZILXOELRULP-JTQLQIEISA-N 412.789 4.698 5 20 HJBD CCCc1cccc(C(C)C)c1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCOCC1 788488608 QVEPBFWHGQVXMS-UHFFFAOYSA-N 411.502 4.760 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](c2nc3ccccc3o2)C(F)(F)F)c1 798241696 MIWUWHHIRVKYHE-AWEZNQCLSA-N 412.345 4.918 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1C[C@@H](c2ccccc2)OC1=O 809683020 MATAQECFPKIIOA-SFTDATJTSA-N 418.405 4.630 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 819474941 CRZITFYSINXEOX-MRXNPFEDSA-N 412.877 4.638 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)c1 904635410 WXYJTFLMIALIOW-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD C[C@@H](NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 917700935 MHTSKMZKGRCAHU-QRQCRPRQSA-N 416.433 4.540 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Oc2cc(C(F)(F)F)ccc2Cl)c(Cl)c1 917857655 LISVOAIDUTUPCM-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD Cc1ccc(-n2cnn(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2=S)c(C)c1 919950072 FQACABCQHAXFMC-UHFFFAOYSA-N 411.531 4.796 5 20 HJBD CN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(Br)cc1Cl 920011487 QLTBBVOHNFNLFX-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2F)C[C@H](C)O1 920213135 MXHQARJUDOODHE-RYUDHWBXSA-N 422.844 4.645 5 20 HJBD O=C(CCc1nnc(-c2ccsc2)o1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920331037 UOAFNPHVUGVJRU-UHFFFAOYSA-N 421.434 4.912 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(-c2ccccc2C(F)(F)F)n1 1117702552 CPACYTKMSNAHTC-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD COc1ccc(NC(=O)N[C@@H](COc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 1347484122 NXAIRFRCOPYGIA-FQEVSTJZSA-N 407.426 4.545 5 20 HJBD CC[C@@H](C)[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5680588 NYMVRBOPQMRPMP-BFUOFWGJSA-N 404.850 4.560 5 20 HJBD Cc1cccc(-c2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)c1 10015379 PRFIHXSRMDVWNW-LLVKDONJSA-N 418.862 4.726 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 14376609 CCZAERCUHHXNSD-LLVKDONJSA-N 403.847 4.778 5 20 HJBD COc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2)cc(OC)c1OCc1ccccc1 16369554 SNCLBXMVDLYSDO-UHFFFAOYSA-N 423.421 4.548 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCC(=O)c3ccccc3)cc2[N+](=O)[O-])n1 20893185 DTUYKSRBETYPPD-UHFFFAOYSA-N 414.464 4.551 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(F)(F)F)ccc1N(C)C 24049586 DEKDJCUNRIZYHA-LLVKDONJSA-N 413.421 4.799 5 20 HJBD COc1ccc(OCC(=O)Nc2cc3oc4ccccc4c3cc2OC)c([N+](=O)[O-])c1 28802035 MCOWVTVCUOGFDY-UHFFFAOYSA-N 422.393 4.529 5 20 HJBD O=C(Nc1c2c(nn1-c1ccccc1)CCC2)c1cc2cc([N+](=O)[O-])ccc2s1 38510727 LPHKFSOOJOTFPX-UHFFFAOYSA-N 404.451 4.736 5 20 HJBD COCc1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 53459297 CNNVLKYFTKHLKQ-OAHLLOKOSA-N 406.442 4.562 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 97542898 RRUVEPNFRBVSPZ-INIZCTEOSA-N 408.458 4.614 5 20 HJBD Cc1cccn2cc(-c3ccc(NC(=O)CCOc4ccccc4[N+](=O)[O-])cc3)nc12 115866124 KTIYQROTOYEFNX-UHFFFAOYSA-N 416.437 4.626 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H]1CCc2ccccc21 248070319 SDPRASUETTTXHI-OAHLLOKOSA-N 410.417 4.852 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1CCC(c2nc3ccccc3o2)CC1 301637256 AHJZBNQZQIQKOU-UHFFFAOYSA-N 402.248 4.883 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303052916 RZMULRRYLLWCDI-UHFFFAOYSA-N 417.874 4.653 5 20 HJBD CSc1ccc(C(=O)NCCc2nc(-c3ccccc3)c(C)s2)cc1[N+](=O)[O-] 409655653 BLQVNQSARHEINM-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD C[C@H](CC(=O)c1cccs1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426959951 MZAWGWPWHZZEPL-OAHLLOKOSA-N 424.478 4.627 5 20 HJBD Cn1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Cl)c2ccccc21 427601430 LAYKBYJMPKSAKQ-UHFFFAOYSA-N 411.767 4.689 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@@H](OCC2CC2)C1 429466635 IWKHKGUALBNYDP-OAQYLSRUSA-N 411.458 4.810 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 430949727 DRDONYNDAMGTRS-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc(F)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 433956497 VXGAIRJBNLLIQP-PZJWPPBQSA-N 406.413 4.599 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc2cccc(Cl)c2s1 436381676 AUHDTOYBUUOYDX-UHFFFAOYSA-N 407.854 4.938 5 20 HJBD O=C(CCc1ccc(OC(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437118669 RVAIERHFNHTHHF-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD CCc1ccc([C@@H](NCc2ccncc2OCC(F)F)c2ccc([N+](=O)[O-])cc2)o1 442863853 DUEOYLJKDPAYCG-NRFANRHFSA-N 417.412 4.668 5 20 HJBD C[S@](=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1 462790923 WJCISEAATRPIHT-GDJIYFAZSA-N 418.902 4.718 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463127312 AMUZNHGXMFZBCC-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCCC[C@@H]3C)cc2C)c([N+](=O)[O-])cc1F 464085469 ABEJOQSQCGXYHN-HNNXBMFYSA-N 415.465 4.678 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H](CSc2ccccc2)C1 464353326 GHCATSZSNHNBEK-CABCVRRESA-N 420.918 4.656 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](c3ccccc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 466875789 CGKHRQITQNHZBF-DZGCQCFKSA-N 420.412 4.613 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(CCC(F)(F)F)CC(F)(F)F 468536920 OEZDAWHIIPQCSI-UHFFFAOYSA-N 424.750 4.684 5 20 HJBD Cc1coc(-c2ccc(C)c(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2)n1 469878699 GFUWNURXVBLWLU-CYBMUJFWSA-N 414.849 4.959 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1OC 474753041 RLGJZXUHVZZXRV-KGLIPLIRSA-N 420.893 4.920 5 20 HJBD CC(C)CO[C@H](C)c1noc(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])n1 481983092 QGAOVTLQDXKNBI-MRXNPFEDSA-N 411.458 4.881 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cc2ccccc2n1CC(F)(F)F 486170776 YUJPLOMSJXGUKZ-UHFFFAOYSA-N 414.343 4.912 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1sc(Br)cc1[N+](=O)[O-] 486202473 GPVLEUUINXLFBS-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD O=C(N1CCC(n2ccc([N+](=O)[O-])n2)CC1)C1(c2cccc(Cl)c2)CCCCC1 487035347 NSEVSRKOLCIDDS-UHFFFAOYSA-N 416.909 4.510 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1nc(C(C)(C)C)cs1 487807144 MUIFLJZVOLABQF-CYBMUJFWSA-N 402.520 4.784 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 489655773 UJDGQQPKJZFOOE-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD C[C@@H](NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccccc1[N+](=O)[O-] 490768770 LVTPNXBYTFXDAS-PIGZYNQJSA-N 406.364 4.740 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496500186 WTSCVWBVCKCINO-FQEVSTJZSA-N 415.371 4.659 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)cc1 499611305 UBDRSZATNOKIHN-VIFPVBQESA-N 415.190 4.701 5 20 HJBD O=C(CSc1nnc(-c2c[nH]c3ccccc23)n1C1CC1)c1ccc([N+](=O)[O-])cc1 501456420 VACXMXVYHFDRRZ-UHFFFAOYSA-N 419.466 4.645 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510846143 YTLNPDBAPDTKJP-UHFFFAOYSA-N 405.810 4.965 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)ccc1OCCC(C)C 511884499 LQSMBMFLUYKIPB-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(F)cc1 512839944 LGOBSRJNUUTZCN-HZPDHXFCSA-N 422.460 4.836 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](COc1ccccc1)c1ccccc1 517425957 FCKZFCMCHLAXNP-OAQYLSRUSA-N 405.454 4.557 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cccc(Cl)c2Cl)no1 517781024 DDOYLLKGMZPAFL-SNVBAGLBSA-N 421.240 4.618 5 20 HJBD CC[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 517884835 ULIPFBXDZZROJE-KDOFPFPSSA-N 410.499 4.733 5 20 HJBD CSc1cccc(-c2nc(-c3ccc(C(=O)N4CCC[C@@H]4C)cc3)no2)c1[N+](=O)[O-] 519848393 GEHVZILXCOKXHR-ZDUSSCGKSA-N 424.482 4.658 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@@H](C)Sc4ncccc4[N+](=O)[O-])nc(O)c23)C1 520085799 GEPGQQRARQHEIR-VHSXEESVSA-N 402.501 4.678 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccccc1Oc1ccccc1 522256015 MTJPYCVAKRSKPC-AWEZNQCLSA-N 406.394 4.607 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cnn(CC(F)F)c3)cc2[N+](=O)[O-])cc1 523962854 GGJWMZJNLDBQMP-UHFFFAOYSA-N 418.425 4.768 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)s1 524146695 ZWBMBHKPHCOWLA-UHFFFAOYSA-N 402.501 4.708 5 20 HJBD CC(C)(C)OC1CCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 532091094 YBCBUFKZCUASKZ-UHFFFAOYSA-N 411.502 4.627 5 20 HJBD CC(C)(C(N)=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 532743797 COAHOTASSFSLAE-UHFFFAOYSA-N 423.444 4.901 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc21 534813775 VEEWBCCJWAESHE-UHFFFAOYSA-N 419.466 4.735 5 20 HJBD Cc1ccc(Br)c(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 534894405 OJKJPKLWXLISEF-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD C[C@@H](Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 537525109 NNJMZDJQVPYJDJ-QGZVFWFLSA-N 410.495 4.883 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CCN(c4ccncc4)CC3)s2)cc1 537865966 DHDIXWIMWAQBHW-UHFFFAOYSA-N 408.527 4.725 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])NCC1(c2ccc(Cl)cc2)CCOCC1 539091994 MQXNYGXZOBWEKR-QGZVFWFLSA-N 402.922 4.907 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Nc2cnn(C)c2)c1 539373127 NHLMSXGYTUUSTP-UHFFFAOYSA-N 411.487 4.825 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 542223808 RLIDGYXBEZAOSN-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD CCN(CC)C(=O)CCC1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 543894357 UAUKXAATOWOAPG-UHFFFAOYSA-N 405.539 4.637 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)CSc3ccccc3[N+](=O)[O-])n2)s1 544086822 NZSZEWLQDNSSKN-UHFFFAOYSA-N 406.514 4.522 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)[C@@H](C)c2ccccc2C(F)(F)F)c1 554962880 CNJYMVZUMMFKPX-OLZOCXBDSA-N 410.392 4.934 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(F)cc2)CCCC1)c1cccc([N+](=O)[O-])c1 556875085 NSEPFJJKXBXLML-NRFANRHFSA-N 408.477 4.658 5 20 HJBD CN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1CC[C@@H](C(F)(F)F)CC1 564001640 ARGIRROCJWKHJD-BETUJISGSA-N 413.421 4.911 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cccc1-c1ncco1 569031770 YDCLJXKOXNDODB-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD NC(=O)Nc1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)ccc1F 571095068 BUAISIPRVDAELK-UHFFFAOYSA-N 404.785 4.750 5 20 HJBD COc1cc(CN(Cc2cccnc2)C(C)C)ccc1OCc1ccc([N+](=O)[O-])cc1 573249524 NQJCLVAGHRTUHA-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1 576310952 UZDWRUIMXRNRPJ-DOTOQJQBSA-N 410.804 4.565 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1c([N+](=O)[O-])cccc1C(F)(F)F 584808025 DEOGMBVBIRJGGL-CZUORRHYSA-N 414.767 4.527 5 20 HJBD COc1cc(CNc2cccc3nc(O)oc23)c([N+](=O)[O-])cc1OCc1ccccc1 589320842 YXNFHUSREVHWAA-UHFFFAOYSA-N 421.409 4.641 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c(C)c1 604024062 YZQQDPGZAOCUCV-UHFFFAOYSA-N 410.373 4.554 5 20 HJBD C[C@H](NC(=O)N(CCc1ccccc1)Cc1ccncc1)c1cccc([N+](=O)[O-])c1 608893464 YWCQQFCCQGSGPE-SFHVURJKSA-N 404.470 4.505 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(CCC4CCCCC4)o3)n2)c1 608929755 PFWNAKNSVSXFRZ-UHFFFAOYSA-N 415.475 4.833 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cnccc2C)cc1[N+](=O)[O-] 609178885 QWBSVNSBNFCDOX-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD O=C(Nc1ccc(OCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1)C1CCCCC1 609537415 VXYRUXGBALOWCB-UHFFFAOYSA-N 412.442 4.559 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609761838 BZLYDIYHGZUQBY-OAQYLSRUSA-N 409.417 4.649 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609856402 OLKDHEIMFRXYFB-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610044468 POEMJUOVOOUEDX-KRWDZBQOSA-N 419.275 4.733 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc(-c3nccs3)cc2)c([N+](=O)[O-])c1 610092194 GQRVMONOHVJXMA-UHFFFAOYSA-N 411.487 4.650 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1ccc(Br)cc1[N+](=O)[O-] 610570808 PTTAQECDRQWCGR-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 611510901 JEOIDCPUZVEXPV-CQSZACIVSA-N 415.396 4.697 5 20 HJBD Cc1cc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)c(C)n1[C@H](C)c1ccccc1 731702871 LERUHHDVNRDBAY-MRXNPFEDSA-N 406.438 4.662 5 20 HJBD COc1cc(Br)c(C=O)cc1Oc1ccc2ncccc2c1[N+](=O)[O-] 732566847 WQZRUFJJMJFBMJ-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD CO[C@@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(F)(F)F 733328760 BLIWLZCKCAHJKG-INIZCTEOSA-N 404.772 4.707 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800914 RFSPBUDSJMVXFE-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1Cc1ccc(Oc2ccccc2F)c(F)c1 735980184 ZTABNMWKALKBQG-UHFFFAOYSA-N 424.201 4.981 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 736510133 YYBZCUBLUSKHOP-ZHRRBRCNSA-N 419.485 4.685 5 20 HJBD CC(C)(C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 740392077 SHPCOWXCBYIQDO-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD CC(=O)c1cc(Cl)ccc1OS(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 742269001 NETJGIOMQLTLIN-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CCC(OCC2CCCCC2)CC1 744409233 MSPZUXZZOMXQPF-HNNXBMFYSA-N 423.941 4.637 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567668 PIYSPPICSQLHSS-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD COc1c(C)cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1C 747679092 BIAYTGBYFXTUDT-UHFFFAOYSA-N 423.494 4.696 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749421461 KBUHJMWIPMMEJQ-UHFFFAOYSA-N 412.671 4.637 5 20 HJBD O=C(NCc1ccc2sccc2c1)c1cc(F)cc([N+](=O)[O-])c1Br 752955485 QUXDMYREAUQDTG-UHFFFAOYSA-N 409.236 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@H](O)c1ccc(Cl)c(Cl)c1 760107167 OFNHHILZKARLGS-INIZCTEOSA-N 412.273 4.764 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762909265 VHTFWUMPDZGEEK-UONOGXRCSA-N 409.442 4.774 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 763402559 KEAJCICJTRRDKV-OAHLLOKOSA-N 412.467 4.786 5 20 HJBD O=C(c1ccc(C2SCCS2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 764080249 PXBQFKBZTXNWKR-UHFFFAOYSA-N 400.525 4.878 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 765523789 BLYQLPVIIHUDMY-SECBINFHSA-N 415.646 4.511 5 20 HJBD CCC[C@@H](N[C@H](C)c1cc(F)ccc1N1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 765990301 FCAYNEJOYWVJJB-OPAMFIHVSA-N 415.509 4.887 5 20 HJBD CC(C)(C)[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(F)cc1F 781725013 NMTPZERZAJUPDJ-IBGZPJMESA-N 421.400 4.617 5 20 HJBD O=C(OCC(=O)c1nccs1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 782071761 POGFWOQUKJQVTN-UHFFFAOYSA-N 418.814 4.537 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)n1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 783061571 LTXFHPAPMOLAMS-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 784017343 KONOEFFZOHHGSB-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790739535 DHUFTNBQDWXKQX-GFCCVEGCSA-N 422.840 4.624 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 791043077 XFRCNZMLMWALTB-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD CCc1onc(-c2ccccc2)c1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 796859754 OZMUWVRLNQRXKR-UHFFFAOYSA-N 416.231 4.827 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1Br 807755434 NGEPFNOTVKISIC-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809922904 TWLKTMICMBFIIJ-QGZVFWFLSA-N 416.909 4.624 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cc(C(C)(C)C)on2)cc1[N+](=O)[O-])c1ccccn1 810728355 RMAGUPLQYVQWPQ-CQSZACIVSA-N 424.457 4.805 5 20 HJBD CC(C)c1nc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nn1-c1ccccc1Cl 813245718 YDCOPXRLLSSHAK-UHFFFAOYSA-N 420.256 4.858 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 897534435 MPCQHALWADBWRW-UHFFFAOYSA-N 414.324 4.777 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Cc1nc(-c2ccccc2OCc2ccccc2)no1 904466638 NYTVJDRPIYNHCE-UHFFFAOYSA-N 405.385 4.954 5 20 HJBD CCCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCc2ccccc21 914513416 YQPKDJYWRRSGNC-QGZVFWFLSA-N 403.276 4.897 5 20 HJBD C/C(=C\C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 917338122 NIUXBZYUVATLDS-RPAADVPWSA-N 414.845 4.828 5 20 HJBD COc1cc(COC(=O)c2c[nH]cc2-c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 919148151 FIJYVBXSJGLRBQ-UHFFFAOYSA-N 418.352 4.557 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)c(F)c1 1319883856 HFEJBQSOCMVMAB-VIFPVBQESA-N 415.646 4.570 5 20 HJBD CSc1ccc([N+](=O)[O-])c(-c2nnc(-c3ccc(F)cc3Br)o2)c1 1328402750 KRPQHEUTSOXSAO-UHFFFAOYSA-N 410.224 4.935 5 20 HJBD CC(C)(C)OC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 1340805476 XIDPXNQBFNEMTB-KRWDZBQOSA-N 421.291 4.530 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048769 IUMXHQQXJOFVDL-CABCVRRESA-N 416.499 4.769 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(Cl)c1 22793166 HJZMZGFLVBWYQA-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CC(C)c1ccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])nc3)CC2)c(C(C)C)c1 44246902 KEUAATBFLNKXGM-UHFFFAOYSA-N 411.506 4.591 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@H]1Cc1ccc(F)cc1 50589850 HIRFIMJLNVVSIK-KRWDZBQOSA-N 411.458 4.705 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nccn2C)cc1 54748461 CMXFLWVDVFBJHG-CYBMUJFWSA-N 414.512 4.599 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 56197344 HTQGZYNZTKAHDZ-SBUREZEXSA-N 419.481 4.942 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1Cl 56437041 TUYGGTQCFRMYBT-UHFFFAOYSA-N 403.869 4.996 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3cccc([N+](=O)[O-])c3)c(C(F)(F)F)c2)n1 63566572 LZADOWVKXLZKBC-UHFFFAOYSA-N 404.392 4.706 5 20 HJBD O=C(c1ccc(Br)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106188611 GWJYSXAWYWEELQ-UHFFFAOYSA-N 417.181 4.562 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccc(Cl)c1 195720831 FNWLSWOXCFMGHL-IBGZPJMESA-N 413.886 4.641 5 20 HJBD COc1ccc(N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1Cc1ccccc1 248178953 CCJBYHUIGSTKFI-MRXNPFEDSA-N 405.454 4.633 5 20 HJBD Cn1c(Sc2nc3sccn3c2[N+](=O)[O-])nnc1-c1ccc(C(C)(C)C)cc1 301073063 GMWAMKNCBCOMHR-UHFFFAOYSA-N 414.516 4.548 5 20 HJBD O=C(Nc1cccc(-c2ncc[nH]2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426464512 ZDVJESZVXPKZFH-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC(c2ccncc2)CC1 427422156 TVBWWHXIOPSLTR-UHFFFAOYSA-N 417.465 4.589 5 20 HJBD CC[C@@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 428513997 GXRMGJBAGVMUSN-OAQYLSRUSA-N 406.548 4.502 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 429394778 RHHSJXVZJXKQNG-SFHVURJKSA-N 416.275 4.912 5 20 HJBD Cc1cccc(C(=O)NCCOc2c(Cl)cc(Cl)cc2Cl)c1[N+](=O)[O-] 430454958 NQMZFPMUCWSVRK-UHFFFAOYSA-N 403.649 4.672 5 20 HJBD Cc1cc(-c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)ccn1 432681520 ZKUYWQCXJMERJR-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD COc1cccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)c1[N+](=O)[O-] 435718173 BLKDWAJKROQHRL-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD O=C(Nc1ccc2ncccc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436066632 ZNSOQIFWLCXWOH-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 436325583 BUOBXRHNSFOZCV-INIZCTEOSA-N 418.375 4.512 5 20 HJBD CSCc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 437975698 KVWIVBGKMBZHMV-UHFFFAOYSA-N 401.488 4.533 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 443955196 FLTPGKXNUSFIJI-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD COc1ccc(C2CCN(C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CC2)cc1 444130146 UPGARNPDGSTHRT-UHFFFAOYSA-N 412.489 4.710 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 445778395 XCZVZLHCZLDFNI-KRWDZBQOSA-N 408.439 4.894 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@H]2C)c1 446253147 OOZUGEZPNWWQMC-KZULUSFZSA-N 406.891 4.572 5 20 HJBD Cc1nc(-c2ccc(CCN[C@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)s2)cs1 447526462 BRCKLIKNKLKJIL-RDJZCZTQSA-N 417.560 4.601 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)N[C@H]1CCCc2ccc([N+](=O)[O-])cc21 447539604 HEIIPXXAEIISMG-SBUREZEXSA-N 422.529 4.579 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(F)c(Br)cc1Cl 466469344 RRYMVWHSANPWQE-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNC/C(C)=C/c1cccc([N+](=O)[O-])c1 468750473 ZYVHVFZFMFLVRW-NTEUORMPSA-N 417.893 4.587 5 20 HJBD Cc1cnc(C2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)s1 470091936 IYCBIZNFFHNLNK-UHFFFAOYSA-N 414.512 4.503 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1cccc(Br)c1 470151609 MZIHMVKLNBXEMP-INIZCTEOSA-N 408.227 4.865 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cccc(COc3ccccc3)c2C)cc([N+](=O)[O-])c1 471463383 XPFCVTOPSITJNP-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD COC[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(C)o1 472748012 HHKNRXHBYRXQFS-IBGZPJMESA-N 411.414 4.798 5 20 HJBD O=C(NCc1coc(-c2cccs2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475212414 USMJLNWLWGDMMJ-UHFFFAOYSA-N 412.349 4.652 5 20 HJBD COc1ccc(CSCCC(=O)Nc2ccc([N+](=O)[O-])cc2OC)cc1Cl 478610628 MIVUZQWIOAGLPH-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N1CCC(COc2ccccc2C(F)(F)F)CC1 478766219 VXPHGWGQAQYWHN-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD CC(C)CO[C@@H](C)c1noc(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])n1 481983091 QGAOVTLQDXKNBI-INIZCTEOSA-N 411.458 4.881 5 20 HJBD Cc1ccccc1[C@@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Br 484850047 ABPQBZPNLYYLNJ-SFHVURJKSA-N 403.276 4.572 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486167928 QBLJKDCVHYFHMJ-RTHLEPHNSA-N 413.268 4.611 5 20 HJBD CCOc1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc(Cl)c1OC 487214836 KDQJSWOWCKXSLA-UHFFFAOYSA-N 418.799 4.964 5 20 HJBD O=[N+]([O-])c1cnc2ccc(NC[C@H](OCc3ccccc3)c3ccc(Cl)cc3)nn12 488671352 GJXCLJJMTUTUQO-SFHVURJKSA-N 423.860 4.661 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])o2)c(C)c1 488780545 HIJRFRFFZGVCGR-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)o2)cc1 490372655 WHFKQGXJIAHDNP-UHFFFAOYSA-N 404.422 4.862 5 20 HJBD CC(=O)N(Cc1ccc(Nc2ccc([N+](=O)[O-])cc2Br)cc1)C1CC1 497245722 GRCGLPBYWAQCSX-UHFFFAOYSA-N 404.264 4.612 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)c2)n1 497353123 YQBZWCSZYHDYNT-UHFFFAOYSA-N 403.341 4.720 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1N1CCSCC1 499518189 NPPMNXRUMNVXJK-UHFFFAOYSA-N 423.947 4.532 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc2n[nH]cc12 501750579 MNAZIBZIOPOPBS-AWEZNQCLSA-N 416.441 4.600 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1cccc([N+](=O)[O-])c1 502484409 HAKLIAJEFURYHQ-HIFRSBDPSA-N 412.421 4.525 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(-c2csc(C)n2)cc1 504116802 VJFSKUNBVFYBKO-GFCCVEGCSA-N 412.471 4.918 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-c2cnco2)c1 511854917 UKCHYYAEAGXPOZ-UHFFFAOYSA-N 420.347 4.709 5 20 HJBD COc1cc(CN2CCC(OC3CCCCC3)CC2)c([N+](=O)[O-])cc1OC(F)F 512579024 SKEGFBCHTWYWNW-UHFFFAOYSA-N 414.449 4.519 5 20 HJBD COc1cc(-c2noc(CSCc3ccc([N+](=O)[O-])cc3)n2)ccc1OC(F)F 513815638 YGJXLZDDAYKYLM-UHFFFAOYSA-N 423.397 4.688 5 20 HJBD CC[C@H]1C[C@@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 514184030 QBVFLTJJNYOVGH-YJBOKZPZSA-N 424.457 4.574 5 20 HJBD COc1ccc([C@@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cc1 516707278 YMBRYLIJTGDSFR-QFIPXVFZSA-N 423.444 4.674 5 20 HJBD CCCOc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cn1 518791996 PCSIKTSWXWFDDO-UHFFFAOYSA-N 411.433 5.000 5 20 HJBD COc1cc(C(=O)Nc2ccc(NCCc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 521890497 LJFGEKDHCROAEJ-UHFFFAOYSA-N 421.453 4.519 5 20 HJBD COc1cc(CN(C)Cc2cccc(C)n2)c([N+](=O)[O-])cc1OCc1ccccc1 521921381 BHTYLUGQFDSIIU-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD Cc1cn2c(n1)[C@@H](CNCc1ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c1)CCC2 525695971 VEFQZGHFSALRBG-QGZVFWFLSA-N 410.449 4.698 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1NC(=O)c1cccs1 536209679 LALWFWQTSCWVQN-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD C[C@H](N[C@H](C)c1cccc(N2CCCC2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 538276132 HHWRXBJKTWYWKZ-CVEARBPZSA-N 407.474 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCCC[C@@H]2c2nc3ccccc3o2)c1 539521906 FYQIEDABUKELHW-GOSISDBHSA-N 409.442 4.567 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NCc1ccc(-c2ccncc2)cc1 544052901 KIBWLHCSEDRCJP-UHFFFAOYSA-N 413.886 4.719 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1F 544423744 SWXMIGYJYLIUHB-VIFPVBQESA-N 406.826 4.648 5 20 HJBD CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544621549 CSMKLIYSCUPCFZ-KDOFPFPSSA-N 407.829 4.771 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)cc(Cl)c1O)c1ccc([N+](=O)[O-])cc1F 544677630 NLYFKLQZUNCOIJ-ZETCQYMHSA-N 417.618 4.598 5 20 HJBD Cc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc(Cl)c1Br 551689220 GJYLUYAUOGHXLT-UHFFFAOYSA-N 411.683 4.634 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(S(=O)(=O)CC)cc1 556608352 HDMSTYSMUHRVKU-DOTOQJQBSA-N 422.572 4.610 5 20 HJBD COc1ccc(COCCCc2noc(-c3cccc(SC)c3[N+](=O)[O-])n2)cc1 564263234 BKDAEVLEKRZGPL-UHFFFAOYSA-N 415.471 4.525 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] 578467498 TUOLZAJZWFIIRQ-HHXXYDBFSA-N 402.878 4.638 5 20 HJBD CC[C@H](C)c1ccc([C@H](Nc2ccc(S(N)(=O)=O)c([N+](=O)[O-])c2)C(C)C)cc1 600847476 DNGMBKFSWIHDEH-VBKZILBWSA-N 405.520 4.565 5 20 HJBD CCCc1nc(C)c(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)s1 603636744 YGDREDOTIJSZOQ-UHFFFAOYSA-N 402.501 4.996 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608963357 PZHWOBRFTWAHRH-QGZVFWFLSA-N 418.375 4.857 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H](c3ccsc3)N(C)C)c([N+](=O)[O-])c2)cc1 608972469 NGBOLXUGIQZSGS-OAQYLSRUSA-N 424.526 4.932 5 20 HJBD CCOc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCC 609147817 WEVJOZLVRFGFJF-UHFFFAOYSA-N 401.463 4.835 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1Cl)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785875 MDMJMLPTIXIEID-SNVBAGLBSA-N 401.677 4.845 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 610035662 DKEYKFHTTCSPNJ-UHFFFAOYSA-N 424.482 4.593 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610037825 YNXDEGMMGQPFEA-SFHVURJKSA-N 419.275 4.733 5 20 HJBD O=C(c1cnc(NCCCCc2ccc([N+](=O)[O-])cc2)c(Cl)c1)N1CCCCC1 610076438 BFZFRBTUEAKDAH-UHFFFAOYSA-N 416.909 4.704 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1 610224563 ZHGNREIXGBBFQY-UHFFFAOYSA-N 404.426 4.728 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)c2cnccc2C)cc1 611300463 NBPBXHCGWMMJNY-OAHLLOKOSA-N 407.426 4.590 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3ncnc4ccc([N+](=O)[O-])cc34)cc2)n1 611707046 NDTVBHBSANVKTF-UHFFFAOYSA-N 421.413 4.590 5 20 HJBD Cc1cc(N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)c(Br)cc1[N+](=O)[O-] 616059957 FSMWQLZTNNNAQO-HTQZYQBOSA-N 421.135 4.843 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 681960875 UGCKAPPVDRSNEG-UHFFFAOYSA-N 421.456 4.799 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@H]3c3cccc(Br)c3)cc2N1 725788484 VWSOUHJPLVDCMA-INIZCTEOSA-N 416.275 4.584 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 727413723 RBGOBPXZDHUJTN-UHFFFAOYSA-N 422.510 4.557 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 728476021 XJNQCEJUZYKTCX-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(CC(F)(F)F)c1ccccc1 735439585 XLGNQQLNKHMJLW-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1C(=O)NC(C)(C)C 735750431 OQTCRUUSGRNYPU-UHFFFAOYSA-N 412.490 4.566 5 20 HJBD O=C(CCSc1nc2ccccc2s1)NCCCCc1ccc([N+](=O)[O-])cc1 739745619 MUABSECHCKYNJW-UHFFFAOYSA-N 415.540 4.826 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3ccc(C(F)F)cc3)CC2)ccc1[N+](=O)[O-] 744202756 DXDWFCGXQNCKBN-UHFFFAOYSA-N 420.412 4.757 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)c1 745389346 LYRCXDJRQNMLJB-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H](c1ccc(Br)cc1)C(F)(F)F 750214636 FMZFUAFOYIWMLJ-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3cc(F)c(F)cc3[N+](=O)[O-])CC2)cc1 750602147 QGPRNKPINHNKCZ-UHFFFAOYSA-N 418.440 4.757 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1ccc(OCc2ccccn2)cc1 751980024 XBJFLXOMKCQHEL-UHFFFAOYSA-N 411.845 4.712 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 752111683 HEWAUWVAMCPEAA-JTSKRJEESA-N 407.470 4.585 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 758362436 PUBIUWFBNFYESR-QFIPXVFZSA-N 423.444 4.810 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(Br)c(F)cc2Cl)c1[N+](=O)[O-] 760595513 IUPSZCPMEUHADJ-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C1[C@@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1ccc([N+](=O)[O-])cc1 761053869 WUGHIQPPWCKXQI-MRXNPFEDSA-N 406.225 4.574 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761862908 FSLVQLHTTDCKNY-LIRRHRJNSA-N 412.467 4.581 5 20 HJBD Cc1c(Cc2noc(CN(C(=O)OC(C)(C)C)c3ccccc3)n2)cccc1[N+](=O)[O-] 762317865 CCTJKMOWPRDGDA-UHFFFAOYSA-N 424.457 4.819 5 20 HJBD O=C(Nc1ccc(OCC(F)F)c(C(F)(F)F)c1)c1cc(F)ccc1[N+](=O)[O-] 767143665 DDJPNRNMCUABKF-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD O=[N+]([O-])c1cc(CN(Cc2cccs2)C[C@H]2CCCO2)ccc1Br 768204444 YYKIZEXEPRMHFE-CQSZACIVSA-N 411.321 4.600 5 20 HJBD C[C@@H](SCc1ccccc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138721 RVUXVCHLHKCBBQ-IERDGZPVSA-N 408.479 4.944 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1c(C)cccc1C 780282758 KXFCDRBUNWZZGS-OAHLLOKOSA-N 416.499 4.898 5 20 HJBD O=C(OCc1csc(C2CCCC2)n1)c1cccc([N+](=O)[O-])c1Br 781674460 GMRDUFAAHMZTNT-UHFFFAOYSA-N 411.277 4.828 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 782472210 MXWDAIMLQVWENB-UHFFFAOYSA-N 405.432 4.656 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 787576015 BJXHPGVUXCRNQC-UHFFFAOYSA-N 408.410 4.530 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)c1ccccc1C(F)(F)F 789091812 WPJQMJZRVUDWRJ-QWRGUYRKSA-N 413.323 4.671 5 20 HJBD COc1c(C(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 796310119 BJUKTNMRXWJPIR-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nn(-c2ccccc2)cc1OCC(C)C 800151909 RPGKOSCAWJBOES-UHFFFAOYSA-N 412.421 4.515 5 20 HJBD O=C(NCc1ccncc1OC[C@H]1CCOC1)c1c2ccccc2cc2ccccc12 809297100 FUNCKVVWDDOYEO-SFHVURJKSA-N 412.489 4.733 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN[C@@H](CO)c1ccc(C(F)(F)F)cc1 809974242 QFBWGWBQMWYZOS-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CC(C)(CCc1noc(-c2ccc(-n3ncc4ccccc43)cc2Cl)n1)[N+](=O)[O-] 812811679 FGKOLDUMKVCNOY-UHFFFAOYSA-N 411.849 4.717 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 813236027 FFEVNWVVMQJOPR-UHFFFAOYSA-N 402.665 4.869 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3ccc([N+](=O)[O-])cc3)cc2o1 844160929 ONSMTIGAIZGIBH-UHFFFAOYSA-N 418.361 4.855 5 20 HJBD C[C@H](Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)N(C)C(=O)OC(C)(C)C 904659715 ZTCFFGLSRPFWEF-LLVKDONJSA-N 418.475 4.657 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 914498400 TZYWCTNRNUOKEJ-BJMVGYQFSA-N 407.239 4.558 5 20 HJBD CSc1ccc(C(=O)O[C@H](C(=O)Nc2ccccc2Cl)C(C)C)cc1[N+](=O)[O-] 915367324 RXJWQCVQJRAUKQ-KRWDZBQOSA-N 422.890 4.790 5 20 HJBD COc1cc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccc1NC(=O)OC(C)(C)C 916429283 PXFJSCLNCRTHND-KPKJPENVSA-N 413.430 4.602 5 20 HJBD Cc1sc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc1-c1cccc([N+](=O)[O-])c1 917792274 YUGISHFXJCGASM-YFHOEESVSA-N 410.411 4.587 5 20 HJBD COc1cc(/C=C(\C(=O)OCCc2ccc([N+](=O)[O-])cc2)c2ccccc2)ccc1O 919170543 PXYDDHBQBPTPNV-QNGOZBTKSA-N 419.433 4.636 5 20 HJBD O=[N+]([O-])c1ccc(Sc2ccc(-c3nc([C@H]4CN5CCC4CC5)no3)cc2)cc1 1254851928 XDBOCGUABOMNDB-IBGZPJMESA-N 408.483 4.605 5 20 HJBD CC(C)(C)c1ccc2c(c1)CCN(c1ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c1)C2 1322049909 JFXPRKOFWWCMMJ-UHFFFAOYSA-N 407.514 4.691 5 20 HJBD Cc1c(-c2nnc(-c3cc4c(s3)CC[C@@H](C)C4)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1348950031 AMIRCMAOGJMKON-SECBINFHSA-N 400.416 4.715 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)o1 31741080 QRXSGVCHLWEUEI-OXQOHEQNSA-N 423.513 4.719 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(OC(F)F)cc1 64906833 XAZXWVYQCFCHQR-NSHDSACASA-N 419.409 4.811 5 20 HJBD O=C(NC[C@@H](O)c1ccccc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 216579158 YOQSPLCTVMSIMH-LJQANCHMSA-N 412.829 4.504 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 303480993 SZHDIBKSGWVCMT-UHFFFAOYSA-N 410.474 4.714 5 20 HJBD CC(C)(c1nc(-c2cccc(OCc3cccnc3)c2)no1)c1ccccc1[N+](=O)[O-] 427660307 QXTILNINULHKKY-UHFFFAOYSA-N 416.437 4.945 5 20 HJBD CC[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 431686098 LYDLIAIEOZPFJI-GFCCVEGCSA-N 416.425 4.756 5 20 HJBD O=C(NC1CC1)c1ccc(NCC[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 432173397 BUWZOBMCFAUAKP-INIZCTEOSA-N 407.392 4.635 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437130329 YLCVBIORFCEJJV-ZWKOTPCHSA-N 415.287 4.652 5 20 HJBD Cc1cc(C(=O)N(CC(F)(F)F)c2ccc(Br)cc2)cc([N+](=O)[O-])c1 443904317 UIWIQHZQISPRSH-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD COc1ccc(Oc2cc(CNC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)ccn2)cc1 443953596 WDVRCAVJDVNFAT-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD CC(=O)Nc1ccc(Oc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c(F)c1 447677762 VYYZDURWPMZKEX-UHFFFAOYSA-N 409.242 4.534 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Nc2cccc(CSC(C)C)c2C)c([N+](=O)[O-])c1 463933920 XVERRWCZVVCSMS-OAHLLOKOSA-N 417.531 4.993 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)ccn2)c1C 465655097 HKYUOZXPHKKGBT-KRWDZBQOSA-N 420.469 4.959 5 20 HJBD CN(CC1CCOCC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466267597 JYZDJWLDOUSWTJ-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(Br)c(F)cc1F 466703893 IQOKOCSLKORFRE-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD Cc1nc(C)c(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)o1 469542818 RMCBXKUESAYCMG-UHFFFAOYSA-N 419.796 4.714 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3)c3ccccc32)cc1OC 470220577 RGILLQOQBOWRBR-IBGZPJMESA-N 418.449 4.794 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc(Br)cc1[N+](=O)[O-] 471515884 VEGGGUBLGNDSTR-DOMZBBRYSA-N 407.239 4.563 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 472263550 ZQZDOIMIZWQGDQ-GOSISDBHSA-N 416.546 4.760 5 20 HJBD Cc1cc(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)n[nH]2)ccc1[N+](=O)[O-] 473784915 HSMPJPLDRDNROG-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)cccc1[N+](=O)[O-] 476085370 KXSWUFYRIUTXIT-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CSCc1csc(-c2ccc(C(F)(F)F)cc2)n1 476994514 KIQVUZVMWWZNPC-UHFFFAOYSA-N 414.434 4.904 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)CC1 477362709 PFMXLIABUCVGDI-OAHLLOKOSA-N 424.526 4.597 5 20 HJBD CC(C)CN(CCC(=O)O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 485734558 QIRWNBGHEVQFBC-UHFFFAOYSA-N 420.849 4.613 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@](C)(c2ccccc2)C1 486778772 LYWRCZSPXIUFEZ-JOCHJYFZSA-N 414.527 4.527 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2csc(COc3ccc(F)cc3)n2)C2CC2)cc1 490790316 AVSWHKOWVLLRGQ-UHFFFAOYSA-N 413.474 4.934 5 20 HJBD O=[N+]([O-])c1cc(CNC2CCN(c3ccc(F)cc3F)CC2)cc(C(F)(F)F)c1 494466274 PFQLMNBIKYUZGB-UHFFFAOYSA-N 415.362 4.650 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](c1ccc(C)cc1)c1cccnc1 496589358 CWDHKIJSKNXGOX-QFIPXVFZSA-N 405.454 4.559 5 20 HJBD Cc1cccc2[nH]cc(CC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c12 504324145 HJJDLNZACIVUBG-UHFFFAOYSA-N 405.376 4.518 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)c(Cl)c1 506751681 QKLLSJUQPXMMGC-UHFFFAOYSA-N 412.877 4.980 5 20 HJBD CC(C)(NCc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccc([N+](=O)[O-])cc1 511989329 KVAURCXODXMWFR-UHFFFAOYSA-N 404.392 4.824 5 20 HJBD NC(=O)CC[C@@H]1CCCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 512668402 LKUSLUCJVKWUNP-HNNXBMFYSA-N 417.893 4.518 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 514619122 FXYOOSFIPHMXBD-UHFFFAOYSA-N 423.263 4.714 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)ccc1[N+](=O)[O-] 517488276 NOEAOLCQCQLHLM-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 520155192 VRVVIKLPAGOFRP-INIZCTEOSA-N 416.524 4.750 5 20 HJBD COc1cc(Cc2noc(-c3cncc(-c4ccc(C)cc4)c3)n2)ccc1[N+](=O)[O-] 520908574 XYPMLPBTHWZAIR-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521772278 OQAPMQVAQOCTCN-JTQLQIEISA-N 422.812 4.649 5 20 HJBD CC(C)(C)C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)cc1 522669287 POSVTZNEJVZLIS-IBGZPJMESA-N 411.433 4.895 5 20 HJBD O=C(NC1CC1)[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 522672398 KFOPSVVJSYGDNC-JOCHJYFZSA-N 403.438 4.819 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Oc2cccc(C(F)(F)F)c2)cc1 523504559 DBDHKZRSVOMFFI-UHFFFAOYSA-N 420.347 4.631 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 523882084 CAFFWPLVISZJPT-AWEZNQCLSA-N 406.866 4.682 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccccc1Cl 525551330 JVRDAXSCQUUZGG-GOSISDBHSA-N 402.859 4.838 5 20 HJBD C[C@H](N[C@@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533505163 APYLXTDEHBFWBC-HXPMCKFVSA-N 405.857 4.845 5 20 HJBD CC(=O)N1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c2ccccc21 536009101 ZDLRPCYYEMQYQV-HXUWFJFHSA-N 407.495 4.911 5 20 HJBD O=C(c1cnc(Oc2ccccc2)cn1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 536925068 JRASYEDLDJCKBZ-UHFFFAOYSA-N 404.426 4.767 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@H]2C2CCOCC2)cc1[N+](=O)[O-] 537497915 KTDQTFDUDWNDLK-SFHVURJKSA-N 406.548 4.764 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccccc2SCC(N)=O)cc1[N+](=O)[O-] 537723430 FBLRROHDLLHPDL-UHFFFAOYSA-N 422.510 4.552 5 20 HJBD CCc1cnccc1[C@H](C)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 539096431 GHQWJMFYRBNZKH-JKSUJKDBSA-N 419.485 4.611 5 20 HJBD CCCN(C)c1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 539351966 BFMYBEOEDLKKAE-UHFFFAOYSA-N 410.499 4.841 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1coc(-c2ccccc2Cl)n1 540645747 HMVDGNBRIROZAT-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD C[C@@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)C(=O)c1ccc(Cl)cc1 540785849 AOMKXENPFNITJU-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 541287573 VRJBPZYKCGRDOJ-UHFFFAOYSA-N 423.391 4.540 5 20 HJBD C[C@@H]1C[C@@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 542581641 JZWMASFKVIROEL-CVEARBPZSA-N 406.548 4.812 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 542667296 ITQIPEDSBNIUDS-UHFFFAOYSA-N 423.469 4.866 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1cccc(Br)c1)C1CC1 542709814 ZMPIWHYPWIUJMF-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD Cc1[nH]c2ccc(-c3noc(-c4cc(O)nc5ccc([N+](=O)[O-])cc45)n3)cc2c1C 544297520 RIYNNVJARZKPRA-UHFFFAOYSA-N 401.382 4.664 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)CC1 544413642 ICAJZKGBDRGVHU-HNNXBMFYSA-N 424.476 4.613 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1 546397926 MOYWEEDICZMQHO-CQSZACIVSA-N 412.471 4.695 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3ccc(OCc4ccccc4)cc3)n2)nc1 547193474 AIXJAKHVNBELBO-MDWZMJQESA-N 414.421 4.713 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CNC2(Cc3cccc(F)c3)CCOCC2)c1 549887160 GTOBSIVATZNERU-UHFFFAOYSA-N 412.383 4.634 5 20 HJBD Cn1ccnc1[C@@H](NCc1cccc(Oc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 556858166 ORFISMJLSBWQQB-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD O=[N+]([O-])c1c(Sc2ccc(Cl)cc2)cccc1-c1nc(-c2ccncn2)no1 566035402 JOBJLERXXQOUGN-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD Cn1ccnc1[C@@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 566812600 ICWYETGNGBADNF-IRLDBZIGSA-N 406.461 4.783 5 20 HJBD CCC[C@H](Nc1cc(Cl)cc(C(=O)N(C)C)c1OC)c1cccc([N+](=O)[O-])c1 571409874 RKMVTFDSGUVZRH-KRWDZBQOSA-N 405.882 4.912 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2O[C@@H](C)C(F)(F)F)cc1[N+](=O)[O-] 573043515 OWKZDUUMWZDDEL-JTQLQIEISA-N 400.378 4.899 5 20 HJBD C[C@@H](CNC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 581317380 ZAOHLNJHAQFKGW-AWEZNQCLSA-N 409.408 4.601 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 584428933 YUUWZLNXFWIAES-INIZCTEOSA-N 408.336 4.510 5 20 HJBD CC(C)(C)[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608899609 ZLQVJFMZIZHIMS-KRWDZBQOSA-N 424.375 4.860 5 20 HJBD Cc1ccc(CN(C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c2ccc(F)cc2)o1 609410326 CJMUGGGFFDRSHP-UHFFFAOYSA-N 407.401 4.823 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 609793738 MLRAAHLXYCKNJY-UHFFFAOYSA-N 415.852 4.817 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 610170098 WWZRBOZOIRVRQP-GFCCVEGCSA-N 404.850 4.631 5 20 HJBD O=C(OCc1nc2cccnc2[nH]1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 726387218 HVRHEYMBQISQSN-UHFFFAOYSA-N 424.800 4.669 5 20 HJBD CCc1nnc([C@@H](C)OC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)o1 732318547 HSNXUZOTUWDRSP-CYBMUJFWSA-N 413.455 4.918 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)[C@H]1c1ccccc1 735644644 CFLQAVYCCPEARU-YVEFUNNKSA-N 421.316 4.676 5 20 HJBD O=C(CC1CCC1)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745570858 LLODMVRDZSJXKU-LJQANCHMSA-N 402.834 4.661 5 20 HJBD O=C(CCC(=O)N1CC(n2ccnc2)C1)c1ccc2ccc3cccc4ccc1c2c34 746265274 PAYNLKCBNWZOHD-UHFFFAOYSA-N 407.473 4.827 5 20 HJBD C[C@@H](OC(=O)Cc1csc(-c2ccc(F)c(F)c2)n1)c1ccccc1[N+](=O)[O-] 750401634 VRXPVWKUINENRA-LLVKDONJSA-N 404.394 4.843 5 20 HJBD O=C(Cc1ccc(OCc2ccccn2)cc1)OCc1cc(Cl)ccc1[N+](=O)[O-] 751816988 ZVXCNCBHPOTVTJ-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CCCc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 753254899 IOORZFSULUIHMU-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)c1 754990829 UBXDNPXEIFOYCD-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)o2)cc1C 755061755 GMSLSIUXYDECTG-KBPBESRZSA-N 413.405 4.809 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])c2ccccc2)c1 758115502 WYADRUVHGFWWNG-OAQYLSRUSA-N 422.412 4.888 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 758302534 MKXUZCGAUCJJQZ-UHFFFAOYSA-N 406.280 4.846 5 20 HJBD Cc1ccc(N2CC[C@@H](SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)C2=O)cc1 760253191 AGRIZTRHNVTPAA-LJQANCHMSA-N 409.467 4.597 5 20 HJBD CC(C)(C)OC(=O)N(Cc1nc(Cc2ccccc2[N+](=O)[O-])no1)c1ccccc1 761344308 OIYURIGPTCXLKX-UHFFFAOYSA-N 410.430 4.510 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767196713 WLBBZMOQQDBADI-LLVKDONJSA-N 400.303 4.522 5 20 HJBD Cc1noc(C)c1CN(C)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 768780053 KCVBEZLBFHOQMZ-UHFFFAOYSA-N 417.469 4.684 5 20 HJBD Cc1c(C(=O)N2CC=C(c3ccccc3Cl)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 768883273 UEHMVTHGNANWAM-UHFFFAOYSA-N 415.833 4.703 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cnc2ncc(Br)cc2c1 781252871 YZNWZDRHVAHJEF-NSHDSACASA-N 416.231 4.527 5 20 HJBD O=C(Nc1ccc(OC2CCCCC2)cc1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 784943183 WVQKXWFYZNIBQV-UHFFFAOYSA-N 417.849 4.537 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 788568159 GUDADMNMFJUFTI-GFCCVEGCSA-N 409.364 4.768 5 20 HJBD COc1ccc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 788731142 ZRBXJZDSGILLTC-CQSZACIVSA-N 412.490 4.878 5 20 HJBD COC(=O)c1cc(NC(=S)Nc2c(C)cc(C)cc2Cl)c(C)c([N+](=O)[O-])c1 792686112 USWLRZWTBKFTJK-UHFFFAOYSA-N 407.879 4.769 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 798282386 XVFBBUKLDLSLCL-UHFFFAOYSA-N 424.407 4.788 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@H](NC(=O)OC(C)(C)C)c1ccccc1 800149576 UBIVJFMJPDICSZ-INIZCTEOSA-N 417.437 4.637 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 808496483 RDEUOXKBZQPXFC-VQTJNVASSA-N 411.845 4.845 5 20 HJBD CC(C)OCc1cc(NC(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)ccc1O 809883512 LNLRSSREADNLSX-UHFFFAOYSA-N 424.251 4.632 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(-c2ccccc2)on1 811219995 CWEPKJRSCDTHKK-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)nn1C1CCCCC1 813283088 JBKHZAPAKBMQDA-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD CCc1ccc(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1[N+](=O)[O-] 904467073 XXFWLHPWNQHXPG-UHFFFAOYSA-N 402.410 4.848 5 20 HJBD CCc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3ccc([N+](=O)[O-])cc3)s2)cc1 915113013 LHDRGYBCPVNVSF-LFIBNONCSA-N 414.874 4.998 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)c1ccco1 916957131 OSMGCOTYHIDJDP-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccc1F 1115877996 SHYIBQVAWDHZKK-WDZFZDKYSA-N 401.394 4.733 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@H]2C[C@@H]2c2ccc(Br)cc2)o1 1117192013 SGDUMFFKXPRGEQ-KGLIPLIRSA-N 416.231 4.590 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@@H]3CN4CCC3CC4)no2)cc1[N+](=O)[O-] 1337929151 MVLADCGLJNBNGM-MRXNPFEDSA-N 402.520 4.592 5 20 HJBD CCc1nc(C)c([C@H](C)OC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 1347093432 UIQSBNFFIKWQFO-JTQLQIEISA-N 418.393 4.781 5 20 HJBD CC(C)CCC(=O)Nc1ccc(C2(NC(=O)Cc3cccc([N+](=O)[O-])c3)CCC2)cc1 1791251603 MNIPYAYHXROQGH-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD CSc1ccc(C(=O)N[C@@H](c2ccccc2)c2ccc3nc[nH]c3c2)cc1[N+](=O)[O-] 25032863 HQFUUTKGEBVUCA-NRFANRHFSA-N 418.478 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nnc(-c2cccc3ccccc23)o1 35131938 OLWMOCOLZCSXRO-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 46673989 GROXZZSFFIERFZ-UHFFFAOYSA-N 413.421 4.719 5 20 HJBD Cc1ccc(C)c(NC(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)c1 71840423 GGJYZLDZCMXGSA-UHFFFAOYSA-N 417.465 4.761 5 20 HJBD CCC(CC)(CNC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 72071204 MPNAPLVDECVNIE-UHFFFAOYSA-N 420.893 4.753 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(NCc3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 78775112 IIQXSBHEOKTMKE-UHFFFAOYSA-N 413.865 4.788 5 20 HJBD COc1cc(C)c([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 195588814 SJEGXMXZZICCHT-GFCCVEGCSA-N 400.456 4.626 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C\c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 210548301 TUIGUYQLMXOSJD-RBPYYZBISA-N 416.433 4.840 5 20 HJBD COc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccncc1 237099586 PVASOTDBHYEKQV-UHFFFAOYSA-N 407.470 4.602 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(-c2cc(C)oc2C)nc2onc(C)c12 247943749 YHGMLRMBJFTGRZ-UHFFFAOYSA-N 423.381 4.544 5 20 HJBD C[C@@H](CCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 410222902 GQXOORIZLRJRLN-AWEZNQCLSA-N 406.432 4.883 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 426264495 CUGMTFWVSYONDX-JTQLQIEISA-N 416.425 4.590 5 20 HJBD CN(C(=O)c1cccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 430523346 YYHLLHJOWWWWQH-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)N[C@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)ccc2NC1=O 433284530 GLNOSBWOJVNEBI-CWVNLOTRSA-N 409.486 4.778 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1[N+](=O)[O-] 436037380 ZRRRPMUNDMODOZ-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1 436172006 PFUSCQDITMWIQJ-HNNXBMFYSA-N 422.510 4.914 5 20 HJBD O=[N+]([O-])c1cn(Cc2csc(-c3ccc(Br)cc3)n2)nc1C1CC1 436207839 HNPKKFCLJFTNHP-UHFFFAOYSA-N 405.277 4.603 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437130327 YLCVBIORFCEJJV-QZTJIDSGSA-N 415.287 4.652 5 20 HJBD Cc1cccc(CN(C)[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)n1 437884137 ZATBYIJNHXBOJI-OAQYLSRUSA-N 424.888 4.763 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(CCC(F)(F)F)cc1 440275391 JORDBSYFDDVJSF-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Br)cc1 444730315 FIJTXWMREHOPOZ-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD Cc1cccc(C)c1NC(=O)Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 445319904 NJMLBLINZLNSIE-UHFFFAOYSA-N 408.439 4.658 5 20 HJBD COc1cc(Cc2noc([C@H](C)SCc3ccccc3)n2)c([N+](=O)[O-])c(OC)c1 445817891 HIPRPCFPSDUQRQ-ZDUSSCGKSA-N 415.471 4.580 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cccc(CSC(C)C)c2C)cc([N+](=O)[O-])c1 460249143 BIGAKLQEMPBPQP-UHFFFAOYSA-N 402.472 4.584 5 20 HJBD CC(C)c1ccc(C[C@@H]2CCN(C(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)C2)cc1 461701600 BSYAZBLKAOTGJD-KRWDZBQOSA-N 419.481 4.677 5 20 HJBD O=C(NCC1(N2CCCCC2)CCCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463452299 PTRKONSHKNWZTG-UHFFFAOYSA-N 413.440 4.532 5 20 HJBD CCN(CC(C)(C)C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 464027061 HMKMRIHONMYKSQ-UHFFFAOYSA-N 421.544 4.641 5 20 HJBD Cc1cc(N(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)ccn1 464158662 PCUHFAKCTDRFCH-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H](c1cccc(C(F)(F)F)c1)N(C)C 469668890 ZZIXSJUACSZWIW-QGZVFWFLSA-N 424.423 4.655 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)c(C)c1[N+](=O)[O-] 474749128 KQYGDCVQVOBTSC-UHFFFAOYSA-N 418.375 4.521 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])cc1F 475708504 HMLQPGMBGVJODQ-UHFFFAOYSA-N 402.344 4.666 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 476465477 VNUMZRCCJWBGQH-UHFFFAOYSA-N 403.394 4.613 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccccc2)c(F)c1 479949754 OBJWWSNCBRWVNM-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 480396960 PJMAYUSXYNBRET-GOSISDBHSA-N 405.564 4.579 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1OCC(F)F 482838082 HMGVHGWIUZZUIY-UHFFFAOYSA-N 405.401 4.744 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccccc2F)C1)c1ccc([N+](=O)[O-])cc1Br 482903129 PJZTXGMZDKMALQ-RYUDHWBXSA-N 407.239 4.563 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1ncc(-c2ccccc2)[nH]1 485323406 OSIJLQXAUANCME-UHFFFAOYSA-N 405.483 4.740 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@H](c3nc4ccc(C)cc4[nH]3)C2)c1[N+](=O)[O-] 486462087 ACOIKINTOOVTBN-AWEZNQCLSA-N 410.499 4.521 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1N1CCNC1=O 487189529 PUAVSVGUWYALKP-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCCOC 488254900 VCDCKCXILYOFKX-UHFFFAOYSA-N 404.488 4.683 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCc2ccc(Br)cc21 489631576 DMUJAXDNAINPCR-NSHDSACASA-N 415.247 4.531 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)n1 498304377 PPYOAUSHGVAACY-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 501468889 IAQUOPBQFIZLSS-UHFFFAOYSA-N 424.400 4.516 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](CCO)c1ccc(Cl)c(Cl)c1 512220713 UHXZPFUHWPGRED-SFHVURJKSA-N 413.301 4.902 5 20 HJBD Cc1noc(C2(C)CCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)CC2)n1 513390383 OZHXJQHWWBXBAX-UHFFFAOYSA-N 416.865 4.753 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1OC 520151455 GBLQDGPGIHJUIV-MRXNPFEDSA-N 420.469 4.838 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc2nc(C(C)C)sc2c1 520739051 RQZZNPJKLUUDLF-GFCCVEGCSA-N 414.487 4.776 5 20 HJBD CCOc1ccc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 522872070 UXNORZXBEBWXIM-IBGZPJMESA-N 420.469 4.676 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC(n4ccnc4)CC3)cc2[N+](=O)[O-])cc1 523178393 QFAIWFCNPLDOJK-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD COCCC1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 533305508 KZUVWKRMFKIBPX-UHFFFAOYSA-N 422.840 4.613 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc([C@@H](C)OC)n1 537472495 ZNHMRLNBGFHWLC-GFCCVEGCSA-N 409.533 4.581 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-n2cnnc2C)c1 538550058 RDNOYYVLGNLOQK-UHFFFAOYSA-N 411.487 4.628 5 20 HJBD Cc1ccc([C@@H](CC(=O)OC(C)C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 543061443 ICOOOISOJIXLPR-OAQYLSRUSA-N 423.469 4.731 5 20 HJBD Nc1ccc(-c2noc(C34C[C@@H]5C[C@H](C3)CC(C(F)(F)F)(C5)C4)n2)cc1[N+](=O)[O-] 545548933 UPAKXPCOEKTEKV-IMWAJYHESA-N 408.380 4.627 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(Cc3nc4ccccc4[nH]3)CC2)cc1[N+](=O)[O-] 564314855 JJAMKOXPRXMZJP-UHFFFAOYSA-N 406.486 4.689 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)c1sc(Br)cc1[N+](=O)[O-] 570114800 CJACKHZWIPYOKY-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCN(Cc1ccc(CN(C)C)cc1)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 573288298 UUQQXOWANSLBTK-UHFFFAOYSA-N 410.543 4.802 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)ccc1[N+](=O)[O-] 583100707 TYYOVONPNIKMLQ-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939502 SFLPVJOKPXIXNT-XCLFUZPHSA-N 407.474 4.563 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1ccccc1C(F)(F)F 604443653 HKCBLTWBFBNXHJ-MNOVXSKESA-N 416.783 4.912 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@@H]2CCC(C)C)c([N+](=O)[O-])cc1OC(F)F 609033948 CQYCPGIVLKTITA-OCCSQVGLSA-N 400.422 4.540 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@@H]2CCC(C)C)c([N+](=O)[O-])cc1OC(F)F 609033949 CQYCPGIVLKTITA-TZMCWYRMSA-N 400.422 4.540 5 20 HJBD C[C@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609546084 RRCQCHUFHGFART-APPDUMDISA-N 410.257 4.896 5 20 HJBD CC(=O)c1cccc(NC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609765151 MAAKJXZQUCBSAT-UHFFFAOYSA-N 405.410 4.640 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 610060803 REKKPYGAHVTFGQ-SFHVURJKSA-N 409.530 4.563 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCN(c2ccc(Cl)cc2)C1 611202081 HCENTMBUMSLJAN-CQSZACIVSA-N 419.934 4.617 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(OCc2ccccc2)c1 617769365 AEENTAFWXYMMPD-INIZCTEOSA-N 406.438 4.925 5 20 HJBD O=C(NC[C@@H]1OCCc2ccccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619653878 QTNQSSLEQFNVLI-FQEVSTJZSA-N 420.490 4.790 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCCC[C@@H]1Cc1ccccc1 727055352 MUDGEAMDYOLYEU-OAHLLOKOSA-N 403.276 4.595 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccccc1OCC(F)(F)F 727642435 LNGQPJGPSNJIOQ-NSHDSACASA-N 401.362 4.622 5 20 HJBD Cc1ccn(-c2ccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)n1 728165641 NCKTXOPWLPRYJW-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] 728987853 FJZCYTDMCPYIIV-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD COc1ccccc1-c1ccc(/C=C2/N=C(c3cccc([N+](=O)[O-])c3)OC2=O)cc1 741514131 VOTREMWYVPXUKA-DEDYPNTBSA-N 400.390 4.615 5 20 HJBD C[C@@H](CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 746409567 WZNAUHZEIKCMHD-JTQLQIEISA-N 402.319 4.815 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 747514859 HDQGWRCKVFXRPA-COLVAYQJSA-N 423.252 4.576 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 748288519 YBQYOXAPUWKCJF-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCc3sccc3C2)cc1 748650216 VJLWRBFQHKHAOZ-UHFFFAOYSA-N 423.494 4.627 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C(C)(C)c1ccccc1 754969945 ZHEZYDSFHLFMFL-LLVKDONJSA-N 409.255 4.593 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)c1[N+](=O)[O-] 758472584 IRQBENBTZYDKNN-MRXNPFEDSA-N 413.232 4.563 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)ccc1C(F)(F)F 758515316 ORXIONHKKDCGQB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1ccc(-c2noc(Cc3noc([C@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)n2)cc1 761391784 ZMDIIGCRPJBOFL-ZDUSSCGKSA-N 423.454 4.780 5 20 HJBD CCOC(=O)Nc1ccc(Br)cc1NCc1cc(F)ccc1[N+](=O)[O-] 763369507 XIXAMDSEMFSXNG-UHFFFAOYSA-N 412.215 4.677 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(Br)cc1Cl 764211232 PGKCAZLHGCERGF-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 769487494 VZICHARJBRRJIG-UHFFFAOYSA-N 415.327 4.517 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c(OC)c1 770021935 YTTKMHAJEPZGCJ-INIZCTEOSA-N 405.838 4.634 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134290 AMDVUIKHNPTYSW-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(C(=O)OC)c1 776775597 HJAGTEXXWAYNPY-UHFFFAOYSA-N 406.435 4.665 5 20 HJBD C[C@H](OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 777898360 MMMIVLPZJFUYKU-JTQLQIEISA-N 411.311 4.736 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(OCc3ccccc3F)CC2)ccc1Br 779604361 YLELMPOVNCUXGE-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD CC[C@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 779742681 LQMYCKMGXXNEHA-CVEARBPZSA-N 418.877 4.971 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(CC)C[C@H](O)c1ccc(C)cc1 780959804 DZCLNVPQNMHCPO-IBGZPJMESA-N 402.516 4.601 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 781602191 MRRJFUPOYTZCDM-ZDUSSCGKSA-N 417.849 4.841 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cccc([N+](=O)[O-])c1Br 782123498 OVYKVFZEKOKWAI-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 783096238 LCBGSEKAFUFKFI-UHFFFAOYSA-N 401.831 4.554 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccccc1)C1CCOCC1 784010691 STNWHLPADJDDOJ-HXUWFJFHSA-N 420.918 4.624 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 789906842 DSKGACZMVWTMNQ-ZDKIGPTLSA-N 420.444 4.891 5 20 HJBD CC(C)c1[nH]nc(C(=O)O[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 796256098 LXSRYUAVMCIOMY-AWEZNQCLSA-N 422.441 4.612 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1noc(-c2ccc(Cl)cc2)n1 809913771 CDQCGSZZBJRYOY-VIFPVBQESA-N 422.228 4.834 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 809913814 SOBDLLXOLJUGBP-HNNXBMFYSA-N 414.220 4.646 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(CCc2ccc(O)cc2)CC1 809916022 DQEVALIOHCFCTO-UHFFFAOYSA-N 403.866 4.831 5 20 HJBD Cc1ccc(OC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2c1[C@@H](C)CC2=O 1115898898 YBAOPNSUEMKQGX-NWDGAFQWSA-N 403.818 4.619 5 20 HJBD CCN1CCC[C@H](CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 1338751118 LAPPOMZJBFYRBK-QGZVFWFLSA-N 403.910 4.862 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCCc3nc(-c4ccc(Cl)cc4)no3)o2)c1 1345624303 PNMLTHPOXHPRHO-UHFFFAOYSA-N 411.805 4.524 5 20 HJBD O=C(CC1CCCC1)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2)CCC1 1785747412 ADUOUWUXGCLQPC-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD Cc1ccc(SCC(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(C)c1 9213927 MIAOLXFTKTZKMW-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD COc1cccc(-c2nc(COC(=O)CSc3ccc([N+](=O)[O-])cc3)cs2)c1 9352694 COYMJKAYFVNWHG-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(C)c([N+](=O)[O-])c3)n2)cc1Cl 9941835 CXJMEVMGMJBBDK-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@H](Sc1ncccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 11033836 CKHWUGQZWKLHOQ-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(Cc2nc3ccccc3s2)cc1 11707120 UASYVBJFRQHQMF-UHFFFAOYSA-N 419.462 4.813 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1Cl)c1ccccc1)Nc1ccc([N+](=O)[O-])cc1 19161238 JGVPEFKTQWMQAL-HXUWFJFHSA-N 423.856 4.748 5 20 HJBD COc1cccc(Nc2nnc(Sc3ccc([N+](=O)[O-])c4cnccc34)s2)c1 248144101 UVKFAWWDKPOWEP-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(-c3c[nH]c4ccccc34)n2C2CC2)nc2sccn12 301067366 DANHAHJIEHXLOG-UHFFFAOYSA-N 423.483 4.530 5 20 HJBD O=[N+]([O-])c1cnc(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c(Cl)c1 301269446 JDPFQUFGQHGSHI-AWEZNQCLSA-N 406.628 4.667 5 20 HJBD COC[C@@H](Nc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-])c1ccc(C)o1 426897830 IXIGYEYVZDYGAB-LJQANCHMSA-N 409.442 4.857 5 20 HJBD COc1ccc(-c2csc3ncnc(Oc4ccc([N+](=O)[O-])c(CO)c4)c23)cc1 428662026 XALBJHXELCFDDK-UHFFFAOYSA-N 409.423 4.560 5 20 HJBD COc1cccc2c(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c(C)cnc12 430145941 KKTTYKJAEYATGN-UHFFFAOYSA-N 423.472 4.659 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1cc(Br)cc([N+](=O)[O-])c1 431480198 BUGDFUFIQQOXIZ-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD COc1cccc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)c1[N+](=O)[O-] 435661571 FPXOLKODIZJBQX-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@H](c2cccc(C(F)(F)F)c2)C1 436398582 GKPPHYCIBGQUOI-AWEZNQCLSA-N 421.297 4.605 5 20 HJBD CCOc1cc(NC(=O)C[C@@H](C)c2cccc(OC)c2)c([N+](=O)[O-])cc1OCC 439794569 RNJYPNKFCSSXIJ-CQSZACIVSA-N 402.447 4.533 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)CCc2ccccc2F)c([N+](=O)[O-])cc1OCC 443841916 LKPBVJXAYKDVEE-AWEZNQCLSA-N 404.438 4.739 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2ccccc21 444255167 XXYVACTYYLYSPJ-HXUWFJFHSA-N 400.453 4.973 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)cc1 445272992 GBHIKJDPIPEBAX-MRHLJLSQSA-N 406.442 4.843 5 20 HJBD CN1C(=O)N(c2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C(=O)[C@H]1c1ccccc1 445972250 UBLVWKRKVWAUNW-HXUWFJFHSA-N 403.394 4.527 5 20 HJBD C[C@@H](Sc1n[nH]c(-c2ccccc2Br)n1)c1ccccc1[N+](=O)[O-] 463040400 VKIYPAZZODCXHI-SNVBAGLBSA-N 405.277 4.996 5 20 HJBD O=C(CSc1ccc2ccccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464664587 RDVTVTDXAGQRRV-UHFFFAOYSA-N 422.506 4.910 5 20 HJBD Cc1ncc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])cn1 466437223 ZDWFXNHUDJRBSF-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C1(Cc2ccccc2F)CC1 467940106 WDMPHXBBUSWCPZ-UHFFFAOYSA-N 410.367 4.648 5 20 HJBD CN(Cc1nccs1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 470264934 RZXNSURELWPKNV-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)o1 482493077 FGFGRRQBQIGARQ-UHFFFAOYSA-N 421.438 4.583 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)c3cnn(C)c3C)cc2[N+](=O)[O-])cc1 484262356 KCZBMCOMWRSVBE-AWEZNQCLSA-N 410.499 4.587 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(F)cc1N1CCCCC1)c1ccc([N+](=O)[O-])cc1F 484884561 QQMYQIIMHLPSBE-LBPRGKRZSA-N 407.392 4.745 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1cccc(Br)c1C 485305952 UWPGTMTXJFHSDS-ZDUSSCGKSA-N 412.305 4.650 5 20 HJBD COCC[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc(C)o1 486141379 CKIAGSLQZPCJQI-HXUWFJFHSA-N 424.453 4.583 5 20 HJBD Cc1ccc(C(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c(Sc2ccccc2)c1 487068090 QPOIOQNQXFUKNT-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(C(=O)c3ccccc3[N+](=O)[O-])C2)n1 487241619 VQEOFCBXEUMWJQ-OAHLLOKOSA-N 423.494 4.747 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)[nH]c2c1 493029538 FMWSEWXIHWRQJD-KXBFYZLASA-N 407.474 4.696 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC(C)(c2ccccc2)c2ccccc2)cc1OC 504635328 PRNWNQZCKZSUOG-UHFFFAOYSA-N 420.465 4.696 5 20 HJBD Cc1ccc(CNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 506152446 FDZYDFOXYQRRIU-KRWDZBQOSA-N 404.470 4.710 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1ncc(-c2ccc(Br)cc2)o1 506600037 IPPDVFAIXKBKJL-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1c(CC(=O)N2CCCC[C@@H]2c2ncc(-c3ccccc3)[nH]2)cccc1[N+](=O)[O-] 507287823 ZSCHSRAZOJVLNO-OAQYLSRUSA-N 404.470 4.590 5 20 HJBD O=C(NCCC1CCOCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 510742398 BCWSSUUBJYSMFG-UHFFFAOYSA-N 404.850 4.587 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)c2ccccc2)cc1 511227589 CJAFEYKXJZTWDS-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cccc(Cl)c1Cl)c1cccc([N+](=O)[O-])c1 513712984 IONBNFGTAGNNEB-SFHVURJKSA-N 405.285 4.557 5 20 HJBD Cc1c(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cccc1-c1nnc2n1CCCCC2 518468651 DXBNTHJSTOYBFZ-HNNXBMFYSA-N 419.485 4.630 5 20 HJBD CCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 518659753 MSEPWLNREQCMBS-GFCCVEGCSA-N 408.863 4.766 5 20 HJBD CC(C)n1ncc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cnc21 522672223 AWBXSABXYKCQRD-UHFFFAOYSA-N 417.425 4.965 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)s1 523501105 JNZJIKCHZFNHCT-QGZVFWFLSA-N 410.499 4.905 5 20 HJBD Cc1csc(CCCCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 524039749 PZLZRZYOHQJYBV-UHFFFAOYSA-N 424.526 4.725 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1C(F)(F)F 532899500 DZAYXMPOISVUGN-UHFFFAOYSA-N 405.376 4.943 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(Cn4cccn4)cc3)o2)c([N+](=O)[O-])c1 533212345 SMQDJUVTSNNFKQ-UHFFFAOYSA-N 404.426 4.720 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@@H](C)O1 536611946 KMMHRDVQBDTCLN-XOIINTDNSA-N 417.893 4.546 5 20 HJBD COc1ccc(CN(Cc2ccccn2)C(C)C)cc1OCc1ccc([N+](=O)[O-])cc1 536652115 KQZJKDXFLJHJHD-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1F 543907874 DNTYDTPOFKTJKR-UHFFFAOYSA-N 416.327 4.904 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1Br 544085875 YDZWUAKBLNVHBS-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc1 546978957 VLIHENVCJMNTBW-AWEZNQCLSA-N 412.471 4.695 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2ccccc2Oc2cnccn2)no1 547092248 MKPJHOAOHUOZEJ-SOFGYWHQSA-N 405.345 4.537 5 20 HJBD Cc1c(C(=O)N2CC=C(c3cccc(Br)c3)CC2)cccc1[N+](=O)[O-] 547230982 SRUFQBLVAKOROV-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD CN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 554810789 NMARRIYMZPHLMO-OCAPTIKFSA-N 415.231 4.612 5 20 HJBD CCOC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 557308910 BLWBFSWRRMPIQW-UHFFFAOYSA-N 400.500 4.777 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCO[C@H]3CCCCO3)c([N+](=O)[O-])c2)cc1 561692361 BDRHHSPCVPQQHO-NRFANRHFSA-N 413.474 4.501 5 20 HJBD Cc1ccccc1[C@H](c1noc(-c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1)C(C)C 569290139 DFQLWQOFETWYLD-HXUWFJFHSA-N 404.426 4.995 5 20 HJBD COc1cc(CN[C@@H]2CCc3sc(Cl)cc32)c([N+](=O)[O-])cc1OCC(F)F 578287972 DMEIUIKFTYKGNU-LLVKDONJSA-N 418.849 4.739 5 20 HJBD COc1ccc(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cc1[N+](=O)[O-] 582412809 LPRPBAMWAJTFFD-UHFFFAOYSA-N 405.332 4.752 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 589146643 XDVZEQXVIOCQRO-GJZGRUSLSA-N 408.458 4.824 5 20 HJBD C[C@H]1CCc2c(sc(NC(=O)c3ccccc3[N+](=O)[O-])c2C(=O)c2ccco2)C1 590535149 ZJLRJIZIAJMOHC-LBPRGKRZSA-N 410.451 4.857 5 20 HJBD O=C(Cc1csc2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603755607 ODRPIZQSFAISCF-UHFFFAOYSA-N 408.401 4.943 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608978806 JZHQPVSZFMSSMG-OAHLLOKOSA-N 414.405 4.530 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC(F)(F)F)cc1 609784997 USGVPAQOFIVTKA-NSHDSACASA-N 416.783 4.609 5 20 HJBD Cc1ccc(-n2nc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c3c2CCCC3)cc1 609859503 MXQGBFGYKAOJIC-UHFFFAOYSA-N 416.481 4.561 5 20 HJBD COc1ccc(COCCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609861188 SCTPFTONVFYDHC-UHFFFAOYSA-N 422.437 4.941 5 20 HJBD COC(=O)c1cc(NC(=O)c2occc2CSc2ccccc2)cc([N+](=O)[O-])c1 609884595 NPTMNUZWESKZDI-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@@H]2C2CCCCC2)cc1[N+](=O)[O-])c1ccccn1 610191616 ZOBVVNCQNUTVQR-KLHJMIIUSA-N 408.502 4.862 5 20 HJBD CC(C)c1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)on1 612473128 KGBSSBBAIKRYOC-UHFFFAOYSA-N 403.504 4.919 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 618753996 QPQRDPADDVLLAL-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD C[C@@H](Cc1ccco1)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 726606825 BFHPGZHUHRFTTM-KRWDZBQOSA-N 402.454 4.761 5 20 HJBD CCCCC[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 728146496 YGNKYXAZAIUFQZ-QGZVFWFLSA-N 403.866 4.625 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1 729573797 TZYAJABQIPIJNP-UHFFFAOYSA-N 400.435 4.606 5 20 HJBD CC(C)(C)c1nc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)no1 731445715 VNZAOGQWTUXQLR-UHFFFAOYSA-N 421.409 4.628 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1ccc(Br)c([N+](=O)[O-])c1 733872514 STFWBKMJDZBGHC-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cc(C3CC3)nc21 734781824 DBFYOTGECJNYJZ-UHFFFAOYSA-N 414.849 4.808 5 20 HJBD COc1cc(COC(=O)C[C@H](C)c2ccccc2C)c([N+](=O)[O-])cc1OC(F)F 734909925 LHSZOTSLSFLYFV-ZDUSSCGKSA-N 409.385 4.750 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 744280573 LCZZVECGNPYKIZ-UHFFFAOYSA-N 424.840 4.673 5 20 HJBD Cc1ccc(C(=O)OCc2ncc(-c3ccccc3Br)o2)cc1[N+](=O)[O-] 744472314 QRCWOQPJCKJIIQ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(CC1CCCC1)NC1CCC(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])CC1 746073018 OJDBMLSDROKWQL-UHFFFAOYSA-N 422.909 4.547 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749923289 UDXSISHZJFDSSK-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cccc(Cl)c2[N+](=O)[O-])C(C)C)c1C 750534678 BEKBBEUMJKOFLQ-SFHVURJKSA-N 404.850 4.685 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1cccc(F)c1)c1ccccn1 750629951 BCCRIBLCAVJKMR-VBKZILBWSA-N 412.442 4.942 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)Nc1c(Cl)cccc1Cl 750950324 ZMJPJUUFOBSTQO-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1[C@H]1CCC[C@H](C)C1 758161663 BAMLVUSZRYPEFR-FZMZJTMJSA-N 423.926 4.630 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4[nH]3)o2)cc1C 758935103 FTJWRGXFAJSBKH-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760521061 GTOQMMPSYUVIBJ-UHFFFAOYSA-N 423.356 4.709 5 20 HJBD CC(C)(C)O[C@H](C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 760541273 BXSOBEYVSHHEHJ-IBGZPJMESA-N 410.426 4.849 5 20 HJBD CCn1c(CNc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)nc2ccccc21 764999099 DLXVUJJGDMICFX-UHFFFAOYSA-N 403.442 4.551 5 20 HJBD Cn1c(-c2ccc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)cc2)nc2ccccc21 769495058 DLSLADZEQHGYCZ-UHFFFAOYSA-N 408.364 4.679 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCO[C@H](C)C4)cc3)o2)c([N+](=O)[O-])c1 770592390 CKKMYBDIZJZWAL-MRXNPFEDSA-N 423.469 4.701 5 20 HJBD O=C(Nc1ccc(SC(F)F)nc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 771274205 FHGGDOWNTXDGQN-UHFFFAOYSA-N 408.411 4.680 5 20 HJBD CC(C)(C)c1csc(C2CCN(Cc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])CC2)n1 774875839 XFKXDKQRZCLIJK-UHFFFAOYSA-N 404.492 4.637 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCOC[C@@H]2C)cc1 775487993 LAIXLSVPCMDHOI-HNNXBMFYSA-N 415.515 4.573 5 20 HJBD Cc1cc(OCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1Cl 776132274 GWBXVZYYPGIYHZ-OAQYLSRUSA-N 412.829 4.663 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CNC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cc1 776270295 CFXOFPUUAVILMO-QPPBQGQZSA-N 401.466 4.531 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)cn1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 778139564 DNGAAMANJATELP-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD O=C(OCc1c(F)c(F)c(F)c(F)c1F)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260571 XUYSQPDYMFSKOS-UHFFFAOYSA-N 419.305 4.734 5 20 HJBD Cc1ccc(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)cc1[N+](=O)[O-] 787669263 JDGSOQOYABHSTI-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccccc3Cl)n2)cc1[N+](=O)[O-] 792125098 QQXLYXGBBRNNAR-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD O=C(Cc1nc(COc2cc(F)c([N+](=O)[O-])cc2Cl)cs1)Nc1ccccc1 795260278 FAHXAKBQDTWQHE-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD Cc1cccc([C@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 799695509 KNADFGRICXBTFJ-LJQANCHMSA-N 408.479 4.518 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)OCc2ccc(C(=O)Nc3ccccc3)cc2)c1F 803462584 OIVOJAWHGIGVPH-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD CC(=O)N1CCCC[C@@H]1c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809362382 AUNYHZFRFDSWAM-LJQANCHMSA-N 408.414 4.511 5 20 HJBD Cc1ccccc1-c1noc(COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 811373187 RYFXZXGUMZCFLQ-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=C1OCCN1c1cc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1F 811498897 GEJVUJJUAFGAGH-UHFFFAOYSA-N 400.193 4.609 5 20 HJBD C[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])Oc1ccccc1Cl 813026772 KFCVDWOOBSNJOL-VIFPVBQESA-N 403.649 4.752 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc(O)c(Cl)cn3)cc2[N+](=O)[O-])n1 816542478 QBAJCCZKUGVZPT-UHFFFAOYSA-N 422.875 4.517 5 20 HJBD CN(Cc1nc2ccccc2s1)c1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-] 914862773 FHCNXISQFFABFZ-UHFFFAOYSA-N 412.349 4.768 5 20 HJBD O=C(Nc1ccccc1C(=O)NCc1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 915834602 XWISMHOCTIKJSG-UHFFFAOYSA-N 415.405 4.523 5 20 HJBD C[C@H]1Oc2ccc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cc2NC1=O 916840357 CNWMNXOXTUCSBJ-OAHLLOKOSA-N 418.453 4.694 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2ccc(N(C)Cc3ccccc3)cc2)cccc1[N+](=O)[O-] 917940483 HAWRIQFBACEWBJ-SFHVURJKSA-N 418.497 4.979 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)Nc1ccccc1SCc1ccccc1 918399946 VEAJMUKRCQVDDO-UHFFFAOYSA-N 418.471 4.827 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1cc(C)n([C@H](C)c2ccccc2)c1C 918664775 GIEWWHGPUNKNSP-MRXNPFEDSA-N 407.470 4.561 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)C(=O)Nc1ccccc1 919193644 ZYYGVKBNOKUQSH-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)s1 1116153023 QSLBBUHXSSXRQF-KRWDZBQOSA-N 409.471 4.536 5 20 HJBD C[C@@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 1120466319 AOZYVAMOVXXDKT-OPAMFIHVSA-N 420.469 4.623 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1Cc2cccc(Cl)c2C1 1518425369 QRRPGBIHCMQSFO-UHFFFAOYSA-N 416.808 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccc(-c2ccccc2)cc1Cl 5366310 JQTUEQRVVVAJCP-UHFFFAOYSA-N 412.829 4.941 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C/c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 6012974 TUIGUYQLMXOSJD-JLQMKUNGSA-N 416.433 4.840 5 20 HJBD CN(c1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)cc1)S(=O)(=O)c1cccs1 15169710 LDMBRBVZSXNRNQ-UHFFFAOYSA-N 424.887 4.927 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1nc2ccccc2s1 31389229 PUUUQRBRNRIOJU-GOSISDBHSA-N 422.510 4.782 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1cc(C)ccc1C 45517321 OCCJVIJWGXNSCD-UHFFFAOYSA-N 406.486 4.740 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 50554764 GIGIYCOODGHELR-IBGZPJMESA-N 409.467 4.704 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(F)c1 52020538 JEDZKWNCJIMQNW-UHFFFAOYSA-N 416.327 4.715 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)Cn2cc([N+](=O)[O-])nc2C)c(C(F)(F)F)c1 60306184 BDQHLZKJZFSNOY-SNVBAGLBSA-N 416.425 4.648 5 20 HJBD O=C(Cc1cccc(Br)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 72068448 LQLUPLYCTNESSO-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OC(F)(F)F 140826236 VZRPZGZKVLMDJQ-VIFPVBQESA-N 404.728 4.553 5 20 HJBD C[C@@H](NC/C=C/c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 237390435 ZKUPAEDLRRLSKZ-UKINESCASA-N 402.454 4.606 5 20 HJBD O=C(Cc1ccc(NCc2cccc([N+](=O)[O-])c2)cc1)N1CCCc2ccccc21 238116876 HYJQAOPQBMUIEB-UHFFFAOYSA-N 401.466 4.729 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-n2cccn2)c(Cl)c1 303163389 POZBKAMLVZJWPA-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)SCCC(=O)Nc2ccccc2[N+](=O)[O-])n1 409843512 ORWSBBLJYHGSRD-CQSZACIVSA-N 412.471 4.776 5 20 HJBD C[C@@H]1C[C@]1(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 410383852 SMHYXEPXTFEEAB-PUAOIOHZSA-N 416.908 4.860 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2csc3nc(-c4ccccc4)cn23)cc1[N+](=O)[O-] 428733508 QEDYFRZIZCKQBV-OAHLLOKOSA-N 420.494 4.699 5 20 HJBD CC(C)(NC(=O)Nc1cccc(COCc2ccco2)c1)c1ccc([N+](=O)[O-])cc1 431091666 UISBGRBJAKNBMO-UHFFFAOYSA-N 409.442 4.961 5 20 HJBD O=[N+]([O-])c1ccccc1CNc1cccc(Oc2ncc(Br)cn2)c1 431211843 ZUMPBDZWPSCZDQ-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCC[C@@H](c2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 432088961 MIYOJDJZUPGAIE-YOEHRIQHSA-N 409.408 5.000 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2c([N+](=O)[O-])ccc3c2CCN3)cn1 436306122 JEPKSQRMUJDTCX-UHFFFAOYSA-N 406.442 4.761 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2cc(OCc3ccccc3)ccc2O)n1 436995988 JZFCOKQOXCOSFT-UHFFFAOYSA-N 403.394 4.520 5 20 HJBD COc1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c(OCc2ccccc2)c1 439020054 IUKUXYFWPNGXHB-UHFFFAOYSA-N 406.438 4.754 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 439918446 UPTKZQWECRZHEA-OXQOHEQNSA-N 417.437 4.517 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@@]4(CCCc5ccccc54)C3)o2)cc1 440318392 RZKTZXFVFJZCMI-QHCPKHFHSA-N 404.470 4.515 5 20 HJBD C[C@H](CC(=O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442642165 DUFQIOZOURUBEV-CQSZACIVSA-N 410.451 4.730 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(C)c1)Cc1ccccn1 444022028 GSLGEMZFPLFFCH-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 444825140 KLELUSHETKIUOV-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)NC2CCCC2)cc1C 462497910 BKGZXLKTXBWWFG-SFHVURJKSA-N 423.513 4.783 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1[C@@H]1CCCCC1=O 462780578 YTNUQYXPIVRUNI-HLAWJBBLSA-N 400.519 4.694 5 20 HJBD CNc1c(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cccc1[N+](=O)[O-] 462780659 PWURTJNBJQMFGH-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 466549662 DRRNGWDJVBTRJU-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccccc1-c1cc(F)c(F)c(F)c1 474749753 PJOJNYXVLFLZKN-UHFFFAOYSA-N 402.328 4.697 5 20 HJBD COc1cccc(N2CC[C@@H](NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)C2)c1 477214139 FITNDGKCNCKTLU-MRXNPFEDSA-N 409.511 4.700 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 478564800 YQOPZCMWCQMYOV-YLJYHZDGSA-N 416.481 4.620 5 20 HJBD C[C@@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(F)(F)F 479390693 ZHJPFNFRWNYLLR-JTQLQIEISA-N 402.756 4.969 5 20 HJBD CCN(CCc1cccs1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 481774792 JSPKPDMACMNKCL-UHFFFAOYSA-N 409.511 4.973 5 20 HJBD Cc1c(Br)cccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482909752 WTPXWFKTSJAGBG-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 483251991 GOFYWYCIFMMZBA-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CC(C)c1c(NC(=O)NCc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 485169905 OVYBSIPCUMWOSC-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD CC(C)(C)OCc1cc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)no1 485416768 PJGSRUVUKWDNDA-UHFFFAOYSA-N 400.410 4.711 5 20 HJBD O=C(NCCCCCc1ccc(F)cc1)c1sc(Br)cc1[N+](=O)[O-] 485688706 QWQBEEJXSXIPHY-UHFFFAOYSA-N 415.284 4.701 5 20 HJBD CCOc1ccccc1CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485748380 NSVZLBGOYZAEBL-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CC(C)c1c(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 489423473 JLQMRFMNENSCPG-UHFFFAOYSA-N 407.474 4.735 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc3c2CN(CC(C)C)C3)cc1SC 494537089 RRVPKKVMFZUYAV-UHFFFAOYSA-N 415.515 4.549 5 20 HJBD COC(=O)c1cc(Oc2nc(C)nc3scc(CC(C)C)c23)ccc1[N+](=O)[O-] 495324056 NBFZMZLAIXSTIY-UHFFFAOYSA-N 401.444 4.685 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 498294356 LATCTCKAGAIHRG-HNNXBMFYSA-N 420.309 4.907 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1O 503346957 USRBCUOGAFICCN-HNNXBMFYSA-N 406.442 4.738 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(Br)c1Cl 503546046 DHKFHQYXSLLOOM-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](OC)c1ccccc1F 504555987 QPHWHJKVDCWFCH-ORAYPTAESA-N 406.479 4.742 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCSc2ccc(Br)cc21 504566904 WWVVVACGVQTWQY-OAHLLOKOSA-N 407.289 4.666 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccsc1)c1ccccc1 505342535 HJTDJMPHGFDSHB-UHFFFAOYSA-N 404.451 4.689 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2C)cc1[N+](=O)[O-] 515615950 GGLTXJQRJQDIJB-UHFFFAOYSA-N 424.501 4.650 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)c1 516441832 SVDPHMFPWYVNJX-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CSCc3ccccc3)c2)c(C)c1[N+](=O)[O-] 522501862 SHNCJQWMIRITSQ-UHFFFAOYSA-N 424.526 4.870 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1-n1cccn1 522673002 LMYUWOYRSAMNJV-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)C[C@H]3CC[C@H]2C3)c1 522741144 AKXUJFAOCMRMJY-JAOJYNAYSA-N 408.498 4.830 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)c(C)c2c1 524670183 GUZSVDSFDADMBI-CYBMUJFWSA-N 400.456 4.628 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cn2)cc1 525079331 LDAGRYXBHYRJDI-UHFFFAOYSA-N 405.366 4.789 5 20 HJBD CC[C@](C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 531093216 LAIXFFQOGXMZSJ-LBPRGKRZSA-N 404.332 4.553 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCC(=O)N2C 535006126 RNCQEJMICRIRSG-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNc1cccc(CS(=O)(=O)Cc2ccccc2)c1 538832459 OTYLBCKVHAIOGG-GHXNOFRVSA-N 422.506 4.835 5 20 HJBD C[C@@H](NCc1ccc(-n2cncn2)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 539083388 IAJDODWFTTWVEI-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C)c2ncc(-c3ccccc3)o2)c1Br 544394457 JCOKWPFRVDHNCX-NSHDSACASA-N 403.236 4.889 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCN[C@H](Cc1ccccc1)c1cccs1 544414089 AOPBFADWSRADEM-GOSISDBHSA-N 423.494 4.528 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(CCCOc2ccc(F)cc2)no1 546352939 OHSGKTHTJSYBND-LBPRGKRZSA-N 421.812 4.922 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](c2ccc(Cl)cc2)c2ccn(C)n2)cc1[N+](=O)[O-] 546574543 JBXKPZOJIGKDGV-SPLOXXLWSA-N 414.893 4.821 5 20 HJBD Cc1c(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)cccc1[N+](=O)[O-] 550675211 DRLLWGMUCOVYNI-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(C(=O)OC(C)C)ccc1Cl 552186218 VDESKYPKCUYKMD-UHFFFAOYSA-N 404.850 4.991 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1 555460975 GBGHGIRXGVMISW-SJORKVTESA-N 402.319 4.512 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3ccc(Br)s3)no2)cc1 566945853 OQFVOTXZXTUKJN-UHFFFAOYSA-N 412.290 4.685 5 20 HJBD CC(C)[C@@]1(CO)CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 567284128 GAGGBYAGKLDHQG-QFIPXVFZSA-N 414.527 4.617 5 20 HJBD Cc1cc(C(C)(C)NCc2cc([N+](=O)[O-])cc3c2OCOC3)ccc1Br 568142625 XEOYWAHICCHHBL-UHFFFAOYSA-N 421.291 4.557 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](C(=O)O)c1ccc(Cl)cc1Cl 571739820 PESXAEHRQJREHP-ZDUSSCGKSA-N 418.620 4.502 5 20 HJBD C[C@H]1COCC[C@H]1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 572589062 DDXMAOCZBXNKLI-KBPBESRZSA-N 420.918 4.802 5 20 HJBD CC(=O)c1cc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)ccc1C 573261274 PJVDLFOERKPEKN-UHFFFAOYSA-N 416.231 4.509 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 573516620 DVGYDDBGTGJXHU-SOLBZPMBSA-N 423.513 4.764 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@@H]3c3nc4ccc(Cl)cc4[nH]3)n2)cc1 579646460 PBGODTUZYCGDSG-QGZVFWFLSA-N 424.848 4.512 5 20 HJBD Cc1cc(N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c(Br)cc1[N+](=O)[O-] 580373237 LKBFCAHRRSKIAT-NSHDSACASA-N 404.264 4.724 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 604023132 OIJFCXBHPBBUSP-JOCHJYFZSA-N 418.497 4.742 5 20 HJBD COc1ccc(CC(=O)NCc2ccccc2Oc2cccc(C)c2)cc1[N+](=O)[O-] 609299081 VORRWNHMWQRRRU-UHFFFAOYSA-N 406.438 4.563 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C1CC1 609761867 FOJPAIOKPGVKMA-LBPRGKRZSA-N 411.845 4.868 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 609997373 MZAOYLVFQVKRAX-CQSZACIVSA-N 412.442 4.684 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 610596590 HZZXJPDVWVGEBX-UCNVEGJOSA-N 411.502 4.774 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 610961592 LEPIGJYGKFFBLU-UHFFFAOYSA-N 415.381 4.715 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Oc3ncnc4ccc([N+](=O)[O-])cc34)c2)cc1 611710682 LSNHJOGONQYIEJ-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD CCOc1cc(CN2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 617196104 SKDALNKBCNKOCK-VXGBXAGGSA-N 400.319 4.556 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])cc2)oc1C 618358685 JTKWEQGQNKMPNG-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 727582237 WYOFIGWPOSWAKF-UCQJPZFISA-N 423.252 4.875 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3s2)cn1)c1cc(F)c(F)cc1[N+](=O)[O-] 731163306 RCBOLVBTIOKDBG-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCC(F)F 733422103 MCZDGPYUEUYNPT-LCYFTJDESA-N 404.394 4.944 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 733776824 SWEGHUNJJVCZFS-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cc2cc(F)ccc2o1 735424372 XUNJIDCUYBSRDT-UHFFFAOYSA-N 424.388 4.581 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1cccc(Oc2nccs2)c1 737325043 ZGLWMDCPFUHMGU-UHFFFAOYSA-N 400.412 4.599 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@](C)(O)c3cccs3)c([N+](=O)[O-])c2)cc1 739903534 UPDFYTDWWOQPAO-OAQYLSRUSA-N 411.483 4.537 5 20 HJBD C[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 745665441 NSQRHWOWWLNOOT-HNAYVOBHSA-N 414.458 4.540 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H](c1cccc(Br)c1)C(F)(F)F 750222245 FSCVFJBQQGHKGU-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(C[C@H](O)c3ccc(OCc4ccccc4)cc3)n2)s1 771223275 FTUASCDXKQXCCB-KRWDZBQOSA-N 423.450 4.561 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)c1[N+](=O)[O-] 774920443 PHCRUSKQBXGWGQ-UHFFFAOYSA-N 410.789 4.606 5 20 HJBD CC(C)(O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 775213896 IWLSIKKPUFMMAK-UHFFFAOYSA-N 422.506 4.903 5 20 HJBD C[C@H]1C[C@@H](C)C[C@H](C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 780233543 FNCWYFFUGVJVFL-XQHKEYJVSA-N 416.396 4.556 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])s2)cs1 783675866 RBDLQTZALAQRKM-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cnn1-c1ccccc1Cl 791031676 HCCOQQWDKNBAIR-UHFFFAOYSA-N 402.813 4.696 5 20 HJBD Cc1cc(-n2ccnc2)ccc1COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 791453775 XXQKOVFNOZNUGK-UHFFFAOYSA-N 409.445 4.532 5 20 HJBD CCOc1cccc2cc([C@@H](C)Nc3c([N+](=O)[O-])cc(CO)c(C)c3[N+](=O)[O-])oc12 796458523 KATSUDRZQIBMJQ-GFCCVEGCSA-N 415.402 4.622 5 20 HJBD COc1ccccc1-c1nc(C2CCN(c3cc(Cl)ccc3[N+](=O)[O-])CC2)no1 804648469 ZKUYOMCZIGDWGD-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1cccnc1OCC(F)(F)F 811473708 JCRWPSOCWOGCGP-UHFFFAOYSA-N 410.179 4.528 5 20 HJBD O=C(N[C@H](c1ccccc1)[C@@H](O)c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 816792512 KXWBKPGDPBCCGH-YADHBBJMSA-N 402.406 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)/C(=C/c1ccc(F)cc1)c1cccs1 917828672 QRYVARLFRSBFPP-WOJGMQOQSA-N 412.442 4.661 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3ccc4ccccc4c3)cc2)c(C)c1[N+](=O)[O-] 921240787 USRDLBXEFQXLTL-UHFFFAOYSA-N 414.465 4.886 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc([C@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1116773092 HXZPCEUXQVUMLP-KBXCAEBGSA-N 424.457 4.744 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@H]2C[C@H]2c2ccc(Br)cc2)o1 1117192012 SGDUMFFKXPRGEQ-KBPBESRZSA-N 416.231 4.590 5 20 HJBD C[C@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 1120466305 AOZYVAMOVXXDKT-AOMKIAJQSA-N 420.469 4.623 5 20 HJBD Cc1cc(C)c(N2C[C@@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)CC2=O)c(C)c1 1254859008 CBVAZQXLFJRHSU-KRWDZBQOSA-N 420.469 4.707 5 20 HJBD CCCc1ccc(C(=O)CCC(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1 1318342693 SSZGQTICTKGBJY-UHFFFAOYSA-N 402.878 4.822 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2cccs2)CC1 1319812848 PWBPKOLVETUBMO-UHFFFAOYSA-N 418.540 4.892 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 1321629327 CUSFELFQKQDQJO-WDZFZDKYSA-N 401.394 4.733 5 20 HJBD CC/C=C(\C)C(=O)Nc1ccc(C2(NC(=O)Cc3cccc([N+](=O)[O-])c3)CCC2)cc1 1792061799 LPBJIHGALWYZME-UBKPWBPPSA-N 421.497 4.628 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cc2ccccc2)c1 16297842 AKVMDGWJQJTCCU-UHFFFAOYSA-N 412.392 4.968 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)Nc3cccc([N+](=O)[O-])c3)cccc2c1=O 19009065 OLFJEHNBMGEJCF-UHFFFAOYSA-N 400.390 4.929 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc3cc(C)c4ccccc4n23)cccc1[N+](=O)[O-] 23407793 PPWICMUDAAVFRM-AWEZNQCLSA-N 421.482 4.527 5 20 HJBD CCn1c(SCCOc2ccccc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 59405962 WKLWXCIZFHBETK-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2SC)c([N+](=O)[O-])cc1OC(F)F 64848423 BSKZZCVDDMYIFJ-UHFFFAOYSA-N 418.805 4.832 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc(OC)c(OC)cc3[N+](=O)[O-])cc2)cc1 237431591 GRMKNWFUKRIKMS-UHFFFAOYSA-N 408.454 4.577 5 20 HJBD COc1ccccc1[C@H]1CCN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C1 301388435 XGIJCIBYLQZLOH-NSHDSACASA-N 411.336 4.524 5 20 HJBD C[C@@H]1CN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 301615257 BETYAQCMLWWBGN-OTYXRUKQSA-N 417.365 4.550 5 20 HJBD O=C(CCCSc1ccc(Br)cc1)NCc1ccccc1[N+](=O)[O-] 409508634 FSSREYPWNHAXRV-UHFFFAOYSA-N 409.305 4.546 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 426884465 YIKHJKSRDRYRNY-SJORKVTESA-N 422.909 4.548 5 20 HJBD COc1cc(COc2c(Br)cccc2[N+](=O)[O-])ccc1OC(F)F 431220458 QQFPKQDZODXIIV-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD CCN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)[C@H](Cc1ccc(F)cc1)C(C)C 437120091 WQBTWQKKCNTSGH-OAQYLSRUSA-N 424.476 4.649 5 20 HJBD CC(C)N(C)c1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438084291 ZVVQIOYSHNFSLF-UHFFFAOYSA-N 412.490 4.515 5 20 HJBD COC(=O)Cc1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440415652 LZLSPVCUYZJXGU-UHFFFAOYSA-N 422.462 4.714 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)C1CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444082512 HHSRFDIZRRDPDA-NRFANRHFSA-N 400.453 4.572 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCCC[C@H]2c2ccco2)c(C(F)(F)F)c1 447024240 VLINIZBVFMNOKU-AWEZNQCLSA-N 418.393 4.513 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](C)Sc2ccc(Br)cc2)c1 461086228 JHINRGXLEFECKH-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cc(Cl)ccc1C(=O)N1CCCC1 462216898 CUHJOUWWTHTURF-UHFFFAOYSA-N 417.893 4.884 5 20 HJBD CC(C)COc1ccc(CCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463781145 HFOOBHYEDFTXHD-UHFFFAOYSA-N 410.392 4.621 5 20 HJBD O=C([C@@H]1SCCc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463847130 CLQRNUNFYUOTLU-QGZVFWFLSA-N 410.417 4.516 5 20 HJBD CCO[C@H](CCNC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)C(C)C 470187313 GCCGLZWNSADYIK-MRXNPFEDSA-N 423.560 4.692 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc3c2CN(c2ccccn2)C3)cc1[N+](=O)[O-] 474250745 MQPADLJOKDLTKY-UHFFFAOYSA-N 402.454 4.886 5 20 HJBD Cc1ccc([C@@H](C)NCc2ccc(OCCOc3ccccc3)nc2)cc1[N+](=O)[O-] 481316165 CQJWQTOLBYTKGF-GOSISDBHSA-N 407.470 4.607 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(Cc2ccccc2)no1 483636019 JHYXKYNBFVWHLR-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485491957 NYGXAVWRRSEKHN-GXSJLCMTSA-N 413.268 4.576 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])s1 486212176 HPCTUXFZFBWQGR-ZDUSSCGKSA-N 414.512 4.962 5 20 HJBD CCOC(=O)c1cccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 486362228 ULHICUUAKLOVKC-UHFFFAOYSA-N 420.421 4.603 5 20 HJBD CCOc1cc(C(=O)Nc2cnn(CCC(C)C)c2C(C)C)c([N+](=O)[O-])cc1OC 490187357 LUWVAEUCSJBTCT-UHFFFAOYSA-N 418.494 4.620 5 20 HJBD COc1ccccc1[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccco1 494547016 JFHOYNBJJODXRE-QFIPXVFZSA-N 423.469 4.608 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 499614374 SJHGTPQHLVIDFU-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CC(=O)c1ccc(Nc2ccc(CC(=O)N3CCc4ccccc43)cc2)c([N+](=O)[O-])c1 502286436 FPMAMHDNCQYGLS-UHFFFAOYSA-N 415.449 4.673 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc(C)cs3)cc2)cc1OC 506114411 UBRGBQBQTCZNAQ-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCOc1ccc(-c2nc(COc3c(Cl)cncc3[N+](=O)[O-])cs2)cc1OC 506583779 HPFVFSVXGNOCPY-UHFFFAOYSA-N 421.862 4.753 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)cc1C 507585789 UOPKWJCGMBBCJU-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@H]1Cc1ccccc1Cl 508264708 LDXASJRPXQMPSZ-SFHVURJKSA-N 413.905 4.696 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Sc2ccccn2)cc1 508784823 JZLDCJHONYUXFM-UHFFFAOYSA-N 423.450 4.555 5 20 HJBD C[C@H](NC(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cccc([N+](=O)[O-])c1 509699222 ODPOBFZFIVLYFR-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(Nc3ccccc3)c2)cc([N+](=O)[O-])c1 509823462 IBXZBOOXKYSFHY-UHFFFAOYSA-N 405.410 4.767 5 20 HJBD O=C(NC[C@@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1cc([N+](=O)[O-])c[nH]1 512165986 RXXQGCOFAUQYOG-INIZCTEOSA-N 408.845 4.620 5 20 HJBD COc1cc([C@@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])ccc1OC(C)C 513146769 FPVCODNFJJEXLB-OAHLLOKOSA-N 415.490 4.702 5 20 HJBD CCCN(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCN(Cc2ccccc2)C1 522326520 MNMBHTWQEUHDOA-WMZHIEFXSA-N 424.545 4.742 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@@H]1CC2c3ccccc3C1c1ccccc12 524337254 VXXDBKKQVIWBNM-XWFFHEKESA-N 413.477 4.691 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)C1 524555663 JLNXOIAKOJQGAO-OAHLLOKOSA-N 401.894 4.661 5 20 HJBD CCn1cnnc1-c1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 532888404 FZTPUHVXWNGUNJ-UHFFFAOYSA-N 419.441 4.754 5 20 HJBD Cc1nc2ccccc2n1CCCNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537136000 FWEXRBNGEFVPQO-UHFFFAOYSA-N 412.515 4.574 5 20 HJBD CC[C@@H]1CC[C@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 537890596 IOWKZOJDOUBDRB-XJKSGUPXSA-N 413.440 4.620 5 20 HJBD Cc1sc2nc(CC(=O)c3cccc([N+](=O)[O-])c3)nc(O)c2c1-c1ccc(F)cc1 538308596 ZPZXSXUNHRAYMU-UHFFFAOYSA-N 423.425 4.845 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(C)c3-c3ccccc3)n2)cc1[N+](=O)[O-] 539296900 CDRJIQWCQDPULR-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD Cc1ccc(-c2nc(CNc3ncc([N+](=O)[O-])c(C)c3Br)co2)cc1 544394644 NKHLYARFPQPXOX-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Br)c(Cl)c2Cl)cc1[N+](=O)[O-] 544456653 NXWWBIVGQPTYMC-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2c1noc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 545102096 XKXYJHRPLNLWKZ-SFHVURJKSA-N 404.426 4.527 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 545229656 KKRWLAAZQISTBG-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@H]3c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 545760032 LUIWOUYOQJLUMF-GJZGRUSLSA-N 419.359 4.867 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCc2c1cccc2C(F)(F)F 546772801 JVROBZQMKGMEJS-UHFFFAOYSA-N 422.406 4.763 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Cc2nc(C(C)C)no2)cc1 548992870 FDQFWSWLLIXOPQ-GOSISDBHSA-N 422.485 4.899 5 20 HJBD CN(CCC1CCN(c2ccncc2)CC1)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 559146625 SMIGLJXKQWZCAZ-UHFFFAOYSA-N 422.451 4.747 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H](Oc3ccccc3)c3ccccc3)n2)c1 570603226 LYPVRWYZYZPMNY-QFIPXVFZSA-N 417.421 4.746 5 20 HJBD CCCCN(Cc1ccccc1F)C(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] 603531448 OOCZXDOPLSAHMG-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD CN(C)Cc1cccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 603590990 PJRURCWHLRJOFY-UHFFFAOYSA-N 404.470 4.521 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3o2)cc1 603954360 MQCCKVHFICXHSL-UHFFFAOYSA-N 403.394 4.811 5 20 HJBD Cc1sc(NC(=O)C[C@H]2OCCc3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 609642775 NDZXCLSNIBYYRK-GOSISDBHSA-N 409.467 4.669 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(Cc4cccnc4)no3)cc2[N+](=O)[O-])n1 612881949 SBSGNKBUULHXFC-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD CCCCc1ccc([C@@H](NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c2cccs2)cc1 619491240 VSMPPQFSONMMIH-JOCHJYFZSA-N 423.538 4.977 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC2(CCO2)CC1 619732263 APAWNHDEUGOHFV-UHFFFAOYSA-N 418.902 4.795 5 20 HJBD CCCCc1nc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)no1 731445610 NCTAUOHFVVURGB-UHFFFAOYSA-N 421.409 4.673 5 20 HJBD CC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735273352 NLDSCJBHQIOJDC-SFHVURJKSA-N 415.446 4.629 5 20 HJBD CN1CCC[C@@H](COC(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1c1ccc(C(F)(F)F)cc1 735909049 TYCJJAFMIDWHHE-LPHOPBHVSA-N 422.403 4.854 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC(c1ccccc1)c1ccccc1 742254829 SSIRJGHIECZRDW-UHFFFAOYSA-N 403.438 4.795 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750179455 JQNSRSAMKGWAHQ-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD O=C(c1cc2cccc(Cl)c2o1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 753237256 XABPJSKSVMFUDE-UHFFFAOYSA-N 400.818 4.678 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])ccc2s1 753352798 LCFZBUMNKIYIMC-UHFFFAOYSA-N 402.403 4.686 5 20 HJBD Cc1c(NC(=O)NCCc2ccc(NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 755750951 MVGNHNSEBWZENI-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)C[C@@H](O)c3ccco3)c([N+](=O)[O-])c2)cc1 759246697 NFERZCAPMVAWPH-FOIQADDNSA-N 409.442 4.673 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@H](C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 761270497 HQSZLRPHJUZJKC-PIGZYNQJSA-N 409.364 4.661 5 20 HJBD CN1CC[C@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 762623076 HVHCWJXWMKCTQG-YJYMSZOUSA-N 412.292 4.823 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccncc1)c1cccc(OC)c1 765110801 NUADYMRXDWMKEN-MBSDFSHPSA-N 420.465 4.900 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(OCC(F)(F)F)cc1 765323156 DBYJHIUAKGYLLJ-HNNXBMFYSA-N 423.435 4.856 5 20 HJBD C[C@H](c1ccccn1)N(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)C)cc1 779465161 UBGBMNYYVIREKJ-QJKHOBJASA-N 416.481 4.863 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@@H](C)c3nc4ccccc4o3)cc2)c(C)c1[N+](=O)[O-] 781810252 NUGRTXJEHRFJDZ-HNNXBMFYSA-N 420.425 4.516 5 20 HJBD CCC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(F)cc1F 782171996 GFYYTXXNXWEQFI-CQSZACIVSA-N 413.218 4.907 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCOCC4)c(C)c3)o2)c([N+](=O)[O-])c1 782978089 JUKPYQGUTZUJMV-UHFFFAOYSA-N 423.469 4.621 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2s1 784001645 QEMQOGLRZNLJFI-JTQLQIEISA-N 407.904 4.827 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 785806266 SSBIRMRNMKJSID-YSTOQKLRSA-N 401.384 4.787 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])s1 787565622 DDHJOEKHESVOKR-KRWDZBQOSA-N 407.407 4.653 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(Cn2c(=S)oc3ccc([N+](=O)[O-])cc32)CC1 788376504 KMFIAYIYALGSRM-UHFFFAOYSA-N 415.446 4.563 5 20 HJBD CCOC(=O)/C(=C/c1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cn1 789149072 UULDUYXRDSMTKO-CXUHLZMHSA-N 415.858 4.870 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 789906843 DSKGACZMVWTMNQ-ZRFOIIEFSA-N 420.444 4.891 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1cscn1)c1ccccc1 790911186 OLRGWTADPYAYGP-UHFFFAOYSA-N 405.838 4.620 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1 800147059 HEPZKSZKFBASNA-LJQANCHMSA-N 421.428 4.542 5 20 HJBD CC(C)([C@@H](OC(=O)[C@H]1CCCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 800404679 QFHBKSZPMOVCSZ-JSGCOSHPSA-N 420.250 4.914 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 801091796 CRPFJBHGCJGQFX-ACHSLPGTSA-N 402.853 4.867 5 20 HJBD C[C@]12CCN(c3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)C[C@H]1C2(Cl)Cl 804706603 STVCOKHVOBKYGZ-QAPCUYQASA-N 408.285 4.589 5 20 HJBD C[C@H]1CC(=O)c2c(OC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc(F)c21 836706517 LISGFSWQPOGVPU-AWEZNQCLSA-N 415.420 4.589 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)c1cccs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 916425493 ZWKUCCRGQQCCQQ-KRWDZBQOSA-N 417.830 4.737 5 20 HJBD C=C1c2ccccc2C(=O)N1[C@@H](C)C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330755 NETVFEFQYXVFSH-INIZCTEOSA-N 414.417 4.682 5 20 HJBD Cc1ccc(-c2nc(COC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cs2)o1 920777344 DZLKRPZGTXNIHO-NSHDSACASA-N 422.846 4.784 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 1263378192 YKUWGDSYGWRLAG-DLBZAZTESA-N 424.457 4.910 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(Br)c(C)n1 1320243166 WKXGNUQJMCHYHK-UHFFFAOYSA-N 410.293 4.733 5 20 HJBD O=C(COC(=O)CCCC1CCCCC1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5206125 WUHCNLRYVLWPJJ-UHFFFAOYSA-N 416.396 4.846 5 20 HJBD NC(=O)c1ccc(CSc2nnc(-c3ccccc3)c3ccccc23)c([N+](=O)[O-])c1 16566135 YGLJDZMKQUJXEC-UHFFFAOYSA-N 416.462 4.596 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 16722411 IMHJJODMGZCIRL-UHFFFAOYSA-N 415.519 4.737 5 20 HJBD CCCCn1c(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc(C)c1C 23362993 WXCUWHVNAYTTOR-ZDUSSCGKSA-N 410.927 4.981 5 20 HJBD CCOc1ncccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 27512693 TYXRTYWYUOHDHZ-UHFFFAOYSA-N 416.484 4.557 5 20 HJBD CN(Cc1ccccc1NC(=O)CCOc1ccccc1[N+](=O)[O-])C1CCCCC1 47624244 GVFWDNUBTTUYBU-UHFFFAOYSA-N 411.502 4.767 5 20 HJBD CCOc1cc(NC(=O)c2sc(C(C)(C)C)nc2C)c([N+](=O)[O-])cc1OCC 61135329 JFBSJSFTAWWPIH-UHFFFAOYSA-N 407.492 4.707 5 20 HJBD C[C@@H](OCCCNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 65087155 WZMKANQWSDTOEA-OAHLLOKOSA-N 411.483 4.616 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc(Cl)cc1[N+](=O)[O-] 79073429 YVBZOLCDLHEWOI-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2ccnc3ccccc23)cc1 303661434 YPCASEOLHHFKOT-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD COc1cccc(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1[N+](=O)[O-] 435865212 OYHYULPYFVIBRR-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437182066 HGICKHYETLWKAU-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1cccc(CSC(C)C)c1C 441035481 PKRABQVMRRRVJF-UHFFFAOYSA-N 415.515 4.739 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c2c(c1)COCO2 444812628 LDRAZEATMFYDKM-MRXNPFEDSA-N 420.746 4.647 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1coc(-c2cccs2)n1 447671485 MTTHNDGBMMJNMT-UHFFFAOYSA-N 420.319 4.973 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 463151306 ZCGXBMWDDDGCIY-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD Cc1nc(-c2ccccc2)c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)s1 464662935 NHDUPYVCFJWBMF-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD COc1ccc(CN[C@@H]2COc3ccc(F)cc32)cc1OCc1ccc([N+](=O)[O-])cc1 466467152 VMQFLGVLFVGTIB-HXUWFJFHSA-N 424.428 4.545 5 20 HJBD COC1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCC1 466549075 XBZFODBQAJULIG-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCCO1 466741363 QBLXEXSKPUGEKT-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD CN(Cc1nccs1)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 472356812 VKOSJHANWPTIID-GOSISDBHSA-N 416.890 4.517 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)cccc1[N+](=O)[O-] 475769626 JWPXESCMYSTBBE-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD Cc1ccc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1-c1ncco1 477535108 UURSOWXECZRWDK-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F)CCC2 479534308 DINNNEIXPLRTKJ-MSOLQXFVSA-N 414.811 4.968 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cc1 480613100 SDVKXOHCOLVFMP-LJQANCHMSA-N 417.509 4.992 5 20 HJBD Cc1c([C@H](C)NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)cccc1[N+](=O)[O-] 481424425 YAUUYZVMRHBJLZ-AWEZNQCLSA-N 404.392 4.959 5 20 HJBD CCc1cc(O)ccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 481548007 OVFQUPVFZUJPAF-UHFFFAOYSA-N 421.240 4.608 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)c1ccccc1 482133755 JSLWHNDKEJXIFH-GCJKJVERSA-N 409.530 4.739 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@@H](C)c1cc2ccccc2o1)c1ccccc1[N+](=O)[O-] 482151895 UMOUTWUCJYZEAL-YJBOKZPZSA-N 424.453 4.644 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1C 483129051 QYXRSNHZSZCDHQ-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD CC[C@@H](NC(=O)Cc1ccc(NC(=O)CC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 487837850 NRTWNKHFVIUIJZ-HXUWFJFHSA-N 411.502 4.780 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488710231 DUVNTCYCOOIZEC-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD CCCN(CC(C)C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 499661910 UNXXCNOXDPASRI-UHFFFAOYSA-N 415.456 4.725 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2cccc3ccccc23)C1 501564332 BAWNDFXEHBUHMA-UHFFFAOYSA-N 410.376 4.889 5 20 HJBD Cc1cc(F)cc2c1CN(C(=O)c1cc(F)cc3c(=O)c4cccc(F)c4[nH]c13)CC2 504125285 ODNZMZCZLBANEN-UHFFFAOYSA-N 422.406 4.606 5 20 HJBD CCN(CCc1cccs1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 506179520 OZUPOKUQLZGVGX-INIZCTEOSA-N 424.526 4.929 5 20 HJBD C[C@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)cc1F 507560214 MCPRQVBOEXQFSR-GFCCVEGCSA-N 416.346 4.958 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 509265566 WAIWUGCIBGDFLA-KRWDZBQOSA-N 409.339 4.568 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1Br 521418396 ONLUIQOPDIVXEL-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1OC 522708916 OWLDSQUZUFSSQA-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD Cc1nc(CNC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)nc2ccccc12 523263169 GZZIJBSEGYLKHO-UHFFFAOYSA-N 413.437 4.520 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccccc1CN(C)C(=O)c1cccs1 523396877 JUORAGWZSHWSIP-UHFFFAOYSA-N 411.483 4.549 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)Cc2cccs2)cc1[N+](=O)[O-])c1ccccn1 524455403 UTFRPYBMROCYIJ-CABCVRRESA-N 410.499 4.585 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)c1 525631310 ZEPYBZCLWQFRGR-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccccc3OCCn3ccnc3)cs2)c1 532888991 WQUIFYLNFHMAEM-UHFFFAOYSA-N 421.482 4.606 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533961090 MADMAHGZJHVTHW-UHFFFAOYSA-N 419.466 4.507 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2)CC1 535804676 PTLAUJVWZYDOJY-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OC 535821713 YMKWUQNGJCBCOZ-UHFFFAOYSA-N 406.866 4.511 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n([C@@H]2C[C@H]3CC[C@H]2C3)n1 537109581 SCJLHTFYGDUPNH-TWOQFEAHSA-N 409.490 4.657 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nncn2C)cc1 540735664 XVDNXJUWVNSASP-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 541787832 NLMBVVQEEWKBCY-UHFFFAOYSA-N 400.479 4.534 5 20 HJBD Cc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c(-c2nc(C3CC3)no2)c1 543123389 DXIFVNLFRZCOPK-UHFFFAOYSA-N 410.455 4.561 5 20 HJBD CCc1ccc([C@H](NCc2ccc(N3CCCC3)nc2)c2ccc([N+](=O)[O-])cc2)o1 544359122 CEOHXOJWIVPGDU-HSZRJFAPSA-N 406.486 4.625 5 20 HJBD CCc1nnc(C)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 544699191 UNFSLKITRYZOGZ-UHFFFAOYSA-N 400.485 4.721 5 20 HJBD Cc1nc(CCc2nc(Cc3cccc([N+](=O)[O-])c3C)no2)oc1-c1ccccc1 546485841 PXDROGVAEOINFT-UHFFFAOYSA-N 404.426 4.626 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2N[C@@H](C)c2ccccc2)cc([N+](=O)[O-])c1 550101586 QBYZVFLMOLJDMV-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD COCCC[C@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])c1ccc(Cl)cc1 553574018 WMQGMVMRALYZJX-CQSZACIVSA-N 416.865 4.519 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC1 557435099 MNMZHODDYOTBQP-RUZDIDTESA-N 421.541 4.782 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN(CCc2ccccn2)Cc2cccnc2)c1 559220355 DDUOKPQSIJIVHB-UHFFFAOYSA-N 416.403 4.649 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCC=C(c2ccccc2)C1 560821988 VNCHROGXIORPCW-UHFFFAOYSA-N 416.427 4.889 5 20 HJBD C[C@@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(F)c2ccccc12 570241683 MZOXRTGTNYIXGC-CQSZACIVSA-N 409.417 4.536 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(C(C)=O)c2O)cc1 575786351 JIKIERZOEXCXGO-UHFFFAOYSA-N 422.393 4.556 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 577326120 HIYSSZGAHLLMPI-LLVKDONJSA-N 415.500 4.718 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H]1CCN(Cc2ccccc2)C1 579527626 VCMVSKWQNWAZIM-CRAIPNDOSA-N 407.436 4.614 5 20 HJBD Cc1c(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cccc1[N+](=O)[O-] 586838695 WVIGVLNBPJWZIP-LJQANCHMSA-N 422.403 4.820 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H](c2ccccc2)CC1 589575242 MBGSKOFYUCZNQW-OAQYLSRUSA-N 410.517 4.951 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(OCc2cn3cccc(C)c3n2)cc1 591635592 GSPNOMVNVFNZJC-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(Cn3c(Cc4ccccc4)nc4ccccc43)n2)c1 603562428 HHRVZFPQSSDDHS-UHFFFAOYSA-N 411.421 4.634 5 20 HJBD COc1ccc(OCC(=O)N2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 603902572 ZWDBLLGCQASREE-LJQANCHMSA-N 412.486 4.643 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])N1Cc2ccccc2[C@@H](c2ccccc2)C1 603904849 RJRXVHQTNXUFRR-HXUWFJFHSA-N 422.868 4.801 5 20 HJBD COc1ccc(CNC(=O)[C@H](Sc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 604018648 NNSYGCSFWPLSMO-OAQYLSRUSA-N 408.479 4.753 5 20 HJBD Cn1c([C@@H]2CCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)nc2ccccc21 604481179 IMQPLMAIVVQVFO-IBGZPJMESA-N 406.486 4.756 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2cccc(C(F)(F)F)c2)cc1 609066174 LGUUXKBQJUGZQQ-UHFFFAOYSA-N 419.359 4.783 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](c1ccc(F)cc1)C1CCC1 609177675 VYFQBQIKEJWXAF-CWTRNNRKSA-N 406.841 4.812 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609982017 ZKDXJTBLVNHMAX-HOCLYGCPSA-N 424.526 4.894 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCC[C@H]3c3nc(-c4ccccc4)no3)nc2c1 610581390 PLXKCVLZTJVORE-KRWDZBQOSA-N 405.414 4.513 5 20 HJBD O=C(O)c1ccc2[nH]c3c(c2c1)CN(C(=O)c1cc2ccccc2c2cccnc12)CC3 643153008 JYGRPUZFWCFDML-UHFFFAOYSA-N 421.456 4.766 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 652569000 JAKWCQKFQQJQAO-QFIPXVFZSA-N 412.489 4.527 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 726693607 FXWSYRJXRHYKLP-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])c(C)c1 731622904 VEZGJDOEJNFEGA-AWEZNQCLSA-N 402.472 4.624 5 20 HJBD O=C(CN(Cc1ccco1)c1cccc(Cl)c1)Nc1cc([N+](=O)[O-])ccc1F 731961304 HHCBWOJGPRRKSD-UHFFFAOYSA-N 403.797 4.626 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)NCc1cccc([N+](=O)[O-])c1 736006058 FOJUTAPTEVERHN-UHFFFAOYSA-N 409.305 4.544 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1csc(Cc2ccc(F)cc2)n1 736158065 HOPPJANPPSPEKP-UHFFFAOYSA-N 419.865 4.924 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 741957812 NTWMRYLDVSTZQP-GOSISDBHSA-N 415.452 4.606 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@](C)(O)c3cc(C)oc3C)c([N+](=O)[O-])c2)cc1 742412791 RTIZMCIEIOQCII-QHCPKHFHSA-N 423.469 4.685 5 20 HJBD COc1cccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1OC1CCCC1 749999244 ZQGGSLPZSYGADY-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)C(=O)c1ccccc1 751691293 TZGZGONMCIQRHE-QGZVFWFLSA-N 424.222 4.561 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 753941034 WGPQECWBLIUGJJ-YRISNDGFSA-N 409.486 4.613 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 759010139 FGULBWZNVWZDDC-UHFFFAOYSA-N 410.430 4.604 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCOC[C@H]4C)cc3)o2)c([N+](=O)[O-])c1 770595202 SAHYVVRWMSRXBU-MRXNPFEDSA-N 423.469 4.701 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=S)Nc1ccc([N+](=O)[O-])cc1 771112331 ZLSQDDVLWDRBPA-UHFFFAOYSA-N 410.293 4.953 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccnc(Cl)c1 772238578 WNIYJNRYLYYWKS-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(Br)n1 775493544 JWJFIJVOOWJFNE-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC=C(c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 777777572 RTUMSFVNPDXEMA-KRWDZBQOSA-N 414.465 4.702 5 20 HJBD CN(C)C(=O)Sc1cccc(COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 783908515 WKUYUGYWPJGRHF-UHFFFAOYSA-N 400.378 4.966 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1cc(Cl)ccc1[N+](=O)[O-] 789312238 HNPDLPYXHBRCIW-GFCCVEGCSA-N 414.676 4.564 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCC(C)(c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 791831634 NNTFRTZIIOLVBO-UHFFFAOYSA-N 417.849 4.659 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCOCC3)c2)o1 794097247 LINADHRJGPULPS-UHFFFAOYSA-N 421.453 4.561 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC[C@@H](c4ccccn4)C3)c([N+](=O)[O-])c2)cc1 798414697 BRXZURYABUSUFQ-GOSISDBHSA-N 402.454 4.544 5 20 HJBD Cc1ccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 803410607 OMFNDERHQCMWEM-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3ccc(C(=O)CC)cc3[N+](=O)[O-])CC2)cc1 812482934 UATXKSSPUZYKKA-QHCPKHFHSA-N 412.486 4.536 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813001929 MFBWGQXFPMDIFQ-ZDUSSCGKSA-N 422.312 4.857 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1ccc(Cl)c(Cl)c1)C1CC1 917793201 PUGUZKJECVPKJN-UHFFFAOYSA-N 410.213 4.615 5 20 HJBD CSc1ccc(C(=O)Oc2ccc(N(C)C(=O)C(C)(C)C)cc2)cc1[N+](=O)[O-] 929084919 FNQLMNSXLUZSRX-UHFFFAOYSA-N 402.472 4.545 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N(C)Cc1ccccc1C(F)(F)F 1319299381 RPWKCYLDQYIQOO-LLVKDONJSA-N 415.799 4.726 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(CCNc2ccccc2[N+](=O)[O-])o1 1326151682 RMKJXFPBFDEWMT-HNNXBMFYSA-N 404.426 4.926 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)cc2C1 1328260105 HWVUEDJGZVLZEV-UHFFFAOYSA-N 422.441 4.605 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3c[nH]c4ccccc34)n2C2CC2)c([N+](=O)[O-])c1 11009140 DEITYQAHPIXAEP-UHFFFAOYSA-N 422.426 4.729 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2sccc2-c2ccccc2)cccc1[N+](=O)[O-] 21922276 LQNJHWZWUHPNIA-AWEZNQCLSA-N 410.451 4.816 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 55753834 KTUGMHFVEBXDQH-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccc(Cl)c3)ns2)c1 65813080 SIVNVJYWRJGFJG-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC(OC2CCCC2)CC1 116440963 RAEOFNKDKDREDI-UHFFFAOYSA-N 409.486 4.902 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@H]1c1cc2ccccc2[nH]1 303398783 QJWYBBITMMTBBE-QFIPXVFZSA-N 406.486 4.940 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)cc1[N+](=O)[O-] 409989079 ZBRFSVYESQHTSK-CQSZACIVSA-N 411.483 4.864 5 20 HJBD O=C(O)[C@H](Cc1ccccc1[N+](=O)[O-])NCc1ccc(-c2cccc(Cl)c2)s1 426818273 RKKLSPAXGWALEU-KRWDZBQOSA-N 416.886 4.762 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1cnccn1 426864133 KQPRQXJSOUJBMY-LJQANCHMSA-N 403.269 4.913 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)[C@@H](C)c1ccc(Br)cc1 427174161 HBGCVLNMWLSXOP-STQMWFEESA-N 412.305 4.903 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1cccc(N2CCCCCC2)c1 428021847 KAJTUFGZECQDNG-UHFFFAOYSA-N 420.469 4.541 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NCc2nc(CC(F)(F)F)no2)c1 434882160 AKYYBAIEXAANMH-UHFFFAOYSA-N 416.406 4.907 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)c1 437140372 FITUUSHWGCKWGG-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437193361 GTDYVIGVSVRAIM-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(CCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc2ccccc2c1 437472645 ZIWOOBQEACMJJS-UHFFFAOYSA-N 402.450 4.902 5 20 HJBD CO[C@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)[C@@H](C)C1 441464596 NCCVRKZUGHGSKS-RDJZCZTQSA-N 400.500 4.694 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444292958 VJEQECOPXVBYAB-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccc(COCc2ccccc2)cc1 444927165 WXGPBGWWKNASMA-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD COCc1ccc([C@H]2CCCN2c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])o1 447676269 QFWFGPLHHXPKBF-LLVKDONJSA-N 421.322 4.725 5 20 HJBD O=C(CCc1cccc(Br)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 461702410 OHCZRLHPZFPLRZ-SFHVURJKSA-N 421.316 4.564 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc2nc(C(C)(C)C)sc2c1 462178099 SPKANOHHNJWLDC-UHFFFAOYSA-N 414.487 4.561 5 20 HJBD CC(=O)Nc1cccc(-n2c(S[C@@H](C)c3ccccc3[N+](=O)[O-])nnc2C2CC2)c1 463249924 IFMWYZTUPARARF-ZDUSSCGKSA-N 423.498 4.865 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2cccc3cc[nH]c23)cc1[N+](=O)[O-])c1ccccn1 467027744 BEKAXMDQMBBNPV-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1ccc(Br)cc1[N+](=O)[O-] 468181914 FFPWURSCEAFJKU-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 469491793 KERYBGNWKHFBEZ-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD O=C(NC1(c2c(Cl)cccc2Cl)CC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 470758509 ZVXXXNFXFKBPOK-UHFFFAOYSA-N 418.236 4.575 5 20 HJBD Cc1ccc(C)c([C@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 475557884 BUVSTHBHRUTYNE-OAQYLSRUSA-N 407.514 4.792 5 20 HJBD Cc1c(CNC(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)cccc1[N+](=O)[O-] 480056487 FQVTXQPZDXGOAZ-UHFFFAOYSA-N 410.449 4.613 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 482803107 XSGFNQQRKZWIMT-XHSDSOJGSA-N 413.522 4.805 5 20 HJBD Cc1ccccc1-c1nc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)no1 485415841 MREIOCOGGUUWRZ-UHFFFAOYSA-N 405.389 4.766 5 20 HJBD Cc1c(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cccc1N1CCNC1=O 487201251 KPSZSJYXNHAYDQ-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(Cc2cccc(F)c2)s1 487415951 ANOANKLSXMZEMY-GFCCVEGCSA-N 417.487 4.901 5 20 HJBD CC(=O)c1cc(N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 488684223 ILEXYIUCDVWIBL-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(F)cc1Br 489176192 FCYHKIISGJFTGH-UHFFFAOYSA-N 417.231 5.000 5 20 HJBD CC(C)(NC(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 489919935 XUNHDLCUYVNHKV-OAHLLOKOSA-N 413.371 4.962 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccccc1 495184407 PBDRJSJSWVZETG-QHCPKHFHSA-N 419.481 4.577 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498149159 DUVRDQCSKBBHOD-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1ccccn1 498335869 LNWHGKRWMDOTMP-JOCHJYFZSA-N 424.888 4.693 5 20 HJBD COc1cccc(C2(F)CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 500155942 CJQJGZKASUSWMW-UHFFFAOYSA-N 411.433 4.719 5 20 HJBD O=C(c1ccnc(-c2ccc(Cl)cc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 502882801 GKBPUBZBDQVNDJ-UHFFFAOYSA-N 408.845 4.510 5 20 HJBD O=C(N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 503858350 OXWNMZIPIMIOAN-LJQANCHMSA-N 420.856 4.838 5 20 HJBD CC[C@H](CSc1ccc(C)c(C)c1)NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 504622003 QMJPFEKBIQWBHS-OAHLLOKOSA-N 418.515 4.530 5 20 HJBD C[C@H](Nc1ccc(-c2nc(CCc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 505018039 DTFDWGXEHKDRTP-INIZCTEOSA-N 415.453 4.998 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cs2)cc1 505023453 HPGNXRQJIUSRBV-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC[C@H](c4nnc5n4CCCCC5)C3)o2)c1 506673522 DOKSXYWFFHWMBA-SFHVURJKSA-N 421.501 4.552 5 20 HJBD Cn1c([C@@H]2CCCN2Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 510417669 WTNZYOZIUJVYGU-FQEVSTJZSA-N 419.510 4.942 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)SCc2ccc([N+](=O)[O-])cc2)c(Br)c1 513816557 PWTVNVOXLAVZLT-LBPRGKRZSA-N 409.305 4.926 5 20 HJBD Cc1c(Cl)cc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 519060827 XKIRGJIHHCPHFK-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC(c4ncc[nH]4)CC3)cc2[N+](=O)[O-])cc1 523051156 DHBWTDIKEUKYPD-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c12 523130031 FZRFXXHSZJJLCI-UHFFFAOYSA-N 418.409 4.717 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537141122 KAAFJGNPYGAPRT-ZBFHGGJFSA-N 414.767 4.527 5 20 HJBD COc1ccc(CSCC(=O)N2[C@@H](C)Cc3cc(F)ccc3[C@H]2C)cc1[N+](=O)[O-] 537697740 UQAUZBTVRRGSSY-UONOGXRCSA-N 418.490 4.510 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCOc3cc(Cl)ccc32)cc1SC 539479700 JNOJKJPJSMBULC-AWEZNQCLSA-N 422.890 4.622 5 20 HJBD COc1cc(Br)c(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)cc1OC 540181655 XNGGMEZYYDSNLF-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)ccc1O 540456445 VNBQUXVOZXEQFO-UHFFFAOYSA-N 411.277 4.816 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2nnc([C@@H]3CCCO3)o2)cc1 540791537 DMGBGXYDENBLOK-KRWDZBQOSA-N 416.821 4.887 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)on1 541544862 FCDOYJVRMPSDCX-UHFFFAOYSA-N 422.319 4.606 5 20 HJBD COc1ccc(CSCC(=O)N2CCC(c3ccccc3C)CC2)cc1[N+](=O)[O-] 541661231 ZDDYAGOQOKLDQM-UHFFFAOYSA-N 414.527 4.551 5 20 HJBD COc1cc(Cc2noc(/C=C\c3cc(Br)cs3)n2)ccc1[N+](=O)[O-] 545046859 LCFHVVMURNOIJX-HYXAFXHYSA-N 422.260 4.572 5 20 HJBD Nc1ccc(-c2noc(C(F)(F)c3ccc(Cl)c(Cl)c3)n2)cc1[N+](=O)[O-] 546964217 XXUJNNJQYWKBDX-UHFFFAOYSA-N 401.156 4.674 5 20 HJBD COc1ccc(CSCc2nc(CCCc3ccsc3)no2)cc1[N+](=O)[O-] 547219467 BHSKKSPVXIOJRD-UHFFFAOYSA-N 405.501 4.657 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)c1 554985945 SFDKMUMJNURMJQ-GOSISDBHSA-N 408.376 4.893 5 20 HJBD Cc1cccc(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 561442996 AMNKTKYRCXWAON-GUYCJALGSA-N 408.376 4.524 5 20 HJBD CCOc1cccc(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)c1[N+](=O)[O-] 577033020 ZSXIZIXPAJQGER-UHFFFAOYSA-N 422.363 4.760 5 20 HJBD CCC(=O)Nc1cccc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c1 577347251 KCJIFSDQBYJRRP-UHFFFAOYSA-N 418.322 4.605 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2ccc([N+](=O)[O-])cc2Cl)c1=O 581966144 OQLSJMAAJJNEQG-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD CCc1cccc2c(CCNC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c[nH]c12 600476043 RIVGWQMBLRMRJN-UHFFFAOYSA-N 423.516 4.557 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2ccccc2Br)o1 603902565 ZEIJJNYTXUNIJT-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD CCC(=O)Nc1cccc(CNC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 603920339 WRUKAFVWQVJSHT-UHFFFAOYSA-N 418.453 4.617 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@H]1c1cccs1 603952469 YSUVQCYVIBKMNQ-FQEVSTJZSA-N 414.508 4.661 5 20 HJBD CSc1ccc(C(=O)N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)cc1[N+](=O)[O-] 604519200 SNJLQIKQDPRKQG-PXNSSMCTSA-N 400.500 4.557 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 608963866 BNFGRCCQSCIRLJ-GOSISDBHSA-N 421.236 4.862 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])s1 609505955 DBVMCFUWDRQZIE-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 610168523 FNYFKTYTSZYLKU-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2c(F)cc(F)cc2F)cc1[N+](=O)[O-])c1ccccn1 610388630 WEAMNQRQOCEOEN-NSHDSACASA-N 416.359 4.833 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC=C(C(F)(F)F)CC1 611216068 OFCYDMHFHZJEMF-UHFFFAOYSA-N 400.422 4.964 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(OC)cc1)CC(F)(F)F 613175003 RJKNVSIZAKSEPL-QGZVFWFLSA-N 424.419 4.763 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)CSc1ccc([N+](=O)[O-])cc1 725920291 YJROKBDDZPUQSN-UHFFFAOYSA-N 400.481 4.862 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N1CCC[C@@H]1c1ccccc1C(F)(F)F 728067198 SPAOGOWDBXKNFO-QGZVFWFLSA-N 423.391 4.669 5 20 HJBD CCCCSCC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 735637118 BCBDGTGEGUZRFZ-UHFFFAOYSA-N 400.460 4.512 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 739619000 OGVGUZFAKISIDS-MRXNPFEDSA-N 420.469 4.838 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1F 741201930 VNPWTBDMSLZLAB-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@H]1Cc2ccccc21 741884416 DIUNQDLTBSTSON-NRFANRHFSA-N 413.385 4.614 5 20 HJBD Cc1nc2ccccc2n1CCCOC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744072882 ZMNUFNJOUCHEBO-UHFFFAOYSA-N 407.348 4.519 5 20 HJBD COc1cc(COC(=O)[C@@H](C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 745303815 KNTQIAVQQDDLAE-JTQLQIEISA-N 415.776 4.705 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1ccc(-c2ccncc2)cc1 746347329 ZSSUGRWMNWFULF-KRWDZBQOSA-N 416.481 4.748 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(c2ccccc2)C2Cc3ccccc3C2)cc1[N+](=O)[O-] 746411003 WMYYZQVTCAOADT-UHFFFAOYSA-N 417.421 4.626 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 749968260 LOOQPISAPUKGRT-OAHLLOKOSA-N 415.660 4.769 5 20 HJBD Cc1sc(CCNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc1-c1ccccc1 754307187 BPEBQVKXNLIEAD-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD O=C(OCc1ccc(-n2ccnc2)nc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763797531 QOKYLWGPVJIMPU-UHFFFAOYSA-N 424.482 4.567 5 20 HJBD CN(CC(F)F)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 769511533 UTVPEVIHCFNALL-UHFFFAOYSA-N 413.852 4.670 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(C)C 771518508 RDUPGKKGINPALZ-UHFFFAOYSA-N 417.893 4.690 5 20 HJBD C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)[C@@H](O)c1c(Cl)cccc1Cl 773036601 DPFKWOMVTYWTEQ-ZUOKHONESA-N 424.328 4.582 5 20 HJBD COc1cc(CN[C@@H]2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 776699563 GFXPYUKYJXVKSW-QZTJIDSGSA-N 402.516 4.556 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)n2)cc1[N+](=O)[O-] 788132839 LIAWOZAOKDKLEJ-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790836992 UZHCDRXWIHIZFI-UHFFFAOYSA-N 414.220 4.570 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(N(C)Cc2ccccc2)cc1 791530839 UWXLUOKHBXKAPA-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD C[C@H](N[C@H](C)CCc1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 792179333 HBCJZFYAQUJPJH-KGLIPLIRSA-N 400.866 4.970 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CNC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1 792432324 AMMSNFDXROIBJS-MRXNPFEDSA-N 400.784 4.579 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCCc2cc(NC(=O)OC(C)(C)C)ccc21 795145502 UEBVGEDTFMNTRP-KRWDZBQOSA-N 415.494 4.956 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 795710722 GJHJJKIFNIWSGZ-AWEZNQCLSA-N 411.414 4.578 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 796859721 OBBLSHCJPYGREI-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809972629 AMAMGPHQKGZODD-VXGBXAGGSA-N 418.321 4.637 5 20 HJBD COc1cc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1OC(F)F 811472301 FAQKECVAEPJWDQ-UHFFFAOYSA-N 407.200 4.801 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1csc(Nc2ccccn2)n1 815211302 BEFVEGNQPRJCEQ-UHFFFAOYSA-N 424.360 4.566 5 20 HJBD C[C@@H](NCc1ccccc1-c1ccc(CN(C)C)cc1)c1ccc(N)c([N+](=O)[O-])c1 815558233 VXDCGPXDSBDWKO-QGZVFWFLSA-N 404.514 4.756 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 1337962046 XKEOWQFFGSEUQN-UHFFFAOYSA-N 411.336 4.611 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1 1342347286 MLLYXPIJAOXNNO-NDEPHWFRSA-N 418.540 4.867 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)N(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])cc2)c1 11088916 GZOPOOPUEVKLAM-QGZVFWFLSA-N 417.465 4.957 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 21621161 WQCWWLSPLLPWIO-CYBMUJFWSA-N 417.824 4.859 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccccc2Cl)c([N+](=O)[O-])cc1OCC 97000077 VSNBJHLJPIKVJP-ZIAGYGMSSA-N 404.850 4.788 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(C1CC1)[C@H]1CCc2ccccc21 109159256 YMFZXFFQUIJGBX-KRWDZBQOSA-N 401.260 4.649 5 20 HJBD COc1ccc(CNCc2cc(C(C)C)no2)cc1OCc1ccc([N+](=O)[O-])cc1 237211385 XPKMVHCMXVWHPJ-UHFFFAOYSA-N 411.458 4.584 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1csc(Cc2ccccc2)n1 303841690 BRUFZTNESRIVSQ-UHFFFAOYSA-N 405.273 4.984 5 20 HJBD COc1ccc(CSCC(=O)N2CCCC[C@@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 303847738 JNYRZGVDHGMNGJ-LJQANCHMSA-N 414.527 4.899 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(C(F)(F)F)ccc1Br 327362199 IURXJDDRNXRNGW-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1ccc(F)cc1)C1CCOCC1 427767706 ZSYQVKAWZHLZLF-UHFFFAOYSA-N 414.458 4.770 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1ccc(Cl)cc1Cl 431233514 BIVSQZHQHUPINC-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CCCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccc(C)cc1 433109429 FDHQLYPRJPQTJS-UHFFFAOYSA-N 407.474 4.705 5 20 HJBD CN(CC[C@H]1CCCCN1C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438484540 RFSMNNKKMXKSGH-GOSISDBHSA-N 412.490 4.725 5 20 HJBD COc1ccc2sc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)c(C)c2c1 439145890 FRLMFMDRKFTCSD-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 443963573 UCJOYOFMEIEQEF-CYBMUJFWSA-N 422.812 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccccc1CN(C)Cc1ccco1 443964091 UIMWVEASQRRSCT-UHFFFAOYSA-N 422.485 4.758 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3ccc(COCc4ccccc4)cc3)cc2N1 462874547 NCWVJWNBMAREQY-UHFFFAOYSA-N 403.438 4.940 5 20 HJBD CC(C)Oc1ccc(NC(=O)NCCc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 467983708 LZCJOFCRBOXWLH-UHFFFAOYSA-N 411.380 4.765 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 475754420 VGTDSTBNVSVPIR-UHFFFAOYSA-N 413.218 4.860 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cccc([N+](=O)[O-])c3OC)c2C)c1 476504077 JYWJINYWMUICQO-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)CCOc2ccccc2[N+](=O)[O-])nc2ccccc21 480919747 HJJUGIUKPWDJMC-HXUWFJFHSA-N 422.485 4.658 5 20 HJBD CCOc1cc(NC(=O)C[C@@H](C)c2ccccc2OC)c([N+](=O)[O-])cc1OCC 481934609 ZEXBXJWNBAPYCN-CQSZACIVSA-N 402.447 4.533 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 483662543 YVENREUKUQBRIT-HQRMLTQVSA-N 406.486 4.631 5 20 HJBD O=[N+]([O-])c1ccc(N(CCc2ccccn2)Cc2cccnc2)cc1C(F)(F)F 485422726 QEPVQINWKGREOT-UHFFFAOYSA-N 402.376 4.653 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2ccc(F)cc2)cc1 485593849 STFDHDWFOOMEFE-CQSZACIVSA-N 412.392 4.943 5 20 HJBD COC[C@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1ccc(Cl)cc1 486022103 AEVCBCMASLOIEB-LBPRGKRZSA-N 400.660 4.514 5 20 HJBD O=C(Cc1ccc(NCc2c(Cl)cccc2[N+](=O)[O-])cc1)N1CCc2ccccc21 488144531 FJRVHUWSYTZHET-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 489674687 OPTORPQACOBBKJ-UHFFFAOYSA-N 403.232 4.592 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC[C@H](c2ccccc2)C(F)(F)F)c1 490876194 VHDSZIKSLGTGOA-MRXNPFEDSA-N 410.392 4.525 5 20 HJBD CCOc1cc(CNc2cc(C(=O)N(C)C(C)C)ccc2Cl)ccc1[N+](=O)[O-] 492393402 DCOUOHBBHOVIGZ-UHFFFAOYSA-N 405.882 4.739 5 20 HJBD CC(C)(C)[C@H](CC1CCCCC1)NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 495211740 OUSOPPXIWQHVAZ-SFHVURJKSA-N 405.543 4.523 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1NC(=O)NC(C)(C)C 497576778 RKTLZDBIZFWCQX-UHFFFAOYSA-N 424.501 4.628 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497872011 BFKITFOIPHOBFY-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3ccc(OCC)c([N+](=O)[O-])c3)n2)cc1 500264334 VSGWPXPHQVYEEI-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1)c1ccccc1 516134271 JTWBYIMOHYJISP-UHFFFAOYSA-N 421.428 4.582 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CCSCC1 522732563 PJERDWNPCXOGAN-UHFFFAOYSA-N 424.881 4.939 5 20 HJBD C[C@@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(C(F)(F)F)c1 523408156 IDCHVNXIYMUBKR-NSHDSACASA-N 419.363 4.531 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c12 533146935 FZEZWTBNWSJNNP-UHFFFAOYSA-N 409.490 4.803 5 20 HJBD CN(C(=O)COc1ccc(F)cc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537145949 HZABVBWEKXLGSX-UHFFFAOYSA-N 421.837 4.553 5 20 HJBD CCc1cnccc1[C@H](C)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 537995620 OBGKZJVBNBBWJC-INIZCTEOSA-N 404.470 4.655 5 20 HJBD C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(OCc2ccccn2)c1 540353011 VEOKUOXFZOXERH-HNNXBMFYSA-N 404.426 4.561 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1 540757745 IAFGVOWUEXCGQB-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 541612822 ALXVJYSRMJDOSN-LSDHHAIUSA-N 418.465 4.758 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 542712088 PPPDUDQOSWQJMS-ZDUSSCGKSA-N 405.426 4.660 5 20 HJBD CC(=O)CCc1ccc(O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 542927179 IDFAWIHSIXZPIJ-CYBMUJFWSA-N 424.375 4.541 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F 543470659 YJWVFNHNCZJYMI-WFASDCNBSA-N 422.840 4.687 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544453911 XFHWZGLOPPLCNV-DOTOQJQBSA-N 424.881 4.516 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 545551523 NWCNTDJXPPXKBL-UHFFFAOYSA-N 412.490 4.758 5 20 HJBD Cc1ccc(-c2noc(COc3ccc(Oc4ccccn4)cc3)n2)cc1[N+](=O)[O-] 545572634 WGUUWQWPUUYIKX-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 553486085 OFHFUKKWQBUZQI-GOSISDBHSA-N 418.375 4.684 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 558758331 VELMDCCLOGKNCP-UHFFFAOYSA-N 407.474 4.746 5 20 HJBD Cc1nc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccc(Cl)cc2)no1 562024153 FMVZYXOGCVVPGK-HNNXBMFYSA-N 422.228 4.504 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2nc3ccccc3[nH]2)CC1 590136034 XVSMNZFNNJGLIC-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2[nH]1 601682090 FHNIZPFKHBFHHA-UHFFFAOYSA-N 407.474 4.621 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603734616 DTSRRNWTSXDLIA-JTQLQIEISA-N 418.318 4.647 5 20 HJBD Cc1nc(-c2ccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc2)oc1C 603940482 UHYIHJDJPOLICM-UHFFFAOYSA-N 420.469 4.722 5 20 HJBD CC(C)N(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(Br)cn1 603998772 UBVJNLKNBLLPBH-UHFFFAOYSA-N 404.220 4.554 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@@H](c2cccc(F)c2)C1 608962214 ATARHURVNHVNBI-JOCHJYFZSA-N 421.428 4.691 5 20 HJBD COc1ccc(Sc2ccc(NC(=O)COc3ccccc3[N+](=O)[O-])cc2)cc1 609103616 NIWMUTZGNLULBO-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 609192248 IFEUJCINGQKICJ-HWPZZCPQSA-N 407.289 4.570 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1 609483136 XDTZJQYLIDFJFI-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1N1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 609507620 RBKZYWXGGDPTAP-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 609773910 JJDWNQNYEJKGBM-UHFFFAOYSA-N 420.469 4.716 5 20 HJBD COc1cc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 610039694 SEYMFQKBGMRZEO-MRXNPFEDSA-N 414.502 4.793 5 20 HJBD CCc1ccccc1CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 610118062 NUAAHCFKQFMBLN-MRXNPFEDSA-N 404.470 4.655 5 20 HJBD Cc1cccn2cc(CCNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)nc12 611206612 XFQXWLYSUGRJDT-UHFFFAOYSA-N 424.526 4.558 5 20 HJBD O=C(Nc1ccc(Sc2nncs2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1F 728186785 XRNYCSSCXWLZHM-UHFFFAOYSA-N 410.839 4.642 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)sc2c1 729729678 XCZRRBHUPWMIEO-UHFFFAOYSA-N 419.842 4.995 5 20 HJBD CCc1ccc(OCc2nnc(-c3ccc(Br)s3)o2)c([N+](=O)[O-])c1 734369419 SWQYPLZLTVIOGP-UHFFFAOYSA-N 410.249 4.610 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cn2)cc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 742772972 PPJDBWIFVORPFD-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 743068651 JAXSWEJXVWWXPC-BEFAXECRSA-N 403.866 4.846 5 20 HJBD Cn1cc(-c2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)c2)cn1 743789768 UUJNJHBARSHCIB-UHFFFAOYSA-N 412.449 4.842 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)OCc1ccsc1 744237562 OMXUVOFWYMFOFJ-UHFFFAOYSA-N 419.462 4.795 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(C)noc3C(F)(F)F)n2)cc1[N+](=O)[O-] 746437075 VFUHFBIHDUQNEO-UHFFFAOYSA-N 412.349 4.594 5 20 HJBD O=C(O[C@H](c1cccc(Cl)c1)C(F)(F)F)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 750218424 QJRJOKJQNJITOM-CYBMUJFWSA-N 404.684 4.617 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CC[C@@H]1c1cccc(F)c1 750890734 RISVBKHODATPEJ-CYBMUJFWSA-N 413.630 4.737 5 20 HJBD Cc1ccc(OC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 755251200 SNRMHFKBBZMGRT-UHFFFAOYSA-N 401.806 4.535 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cccc([N+](=O)[O-])c3Br)c2)n1 758674641 ROTCFKNMYWFYNE-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)o2)cc1C 758928546 ARXIVBHCTZPJIL-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@@H](O)c1ccco1 762320668 SJIXXRKDLSKMEG-JOCHJYFZSA-N 411.457 4.990 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cn(C)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 772852877 MTELCWMJULKOPH-KBPBESRZSA-N 420.425 4.544 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccc(Br)n1 776378056 HRJRPVJQZBSWCH-UHFFFAOYSA-N 410.293 4.531 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 779352005 YZYUJPXSCXBZIV-UHFFFAOYSA-N 421.356 4.694 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N 787274135 DLHMYEDGGBQHAR-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD CCn1c(SCc2ccc(Br)c([N+](=O)[O-])c2)nnc1-c1ccco1 788097242 AORASTZHFRIPAK-UHFFFAOYSA-N 409.265 4.521 5 20 HJBD CC[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)[nH]1 790575888 HNIHGVVQHRASNE-MRXNPFEDSA-N 416.840 4.587 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790626628 IGKDXRZQQUTKPT-UHFFFAOYSA-N 422.840 4.544 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)Nc3n[nH]c4ccc([N+](=O)[O-])cc34)c2c1 802902982 LQRAKUKFAYYBJX-UHFFFAOYSA-N 424.420 4.642 5 20 HJBD COc1ccc(CCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1F 811220219 YGUQGJCLUIBWDI-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811473110 XJINJDCLEVRJMX-UHFFFAOYSA-N 405.285 4.994 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 813444539 QWYKNLRBIDFDHV-SNVBAGLBSA-N 407.257 4.762 5 20 HJBD CC1CCN(c2ccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 856193476 GAKBNWBIBYSDCU-HNNXBMFYSA-N 417.893 4.891 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1cc2ccccc2nc1Cl 912756092 VFJKYQQDINMZLR-NVNXTCNLSA-N 414.204 4.794 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)CC2)cc1 919863428 LEMSPATVWPPALM-UHFFFAOYSA-N 420.513 4.772 5 20 HJBD CCN(CC)c1nc2sc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cc2s1 919993648 BPXRNNBCVFMIGX-UHFFFAOYSA-N 412.443 4.643 5 20 HJBD C[C@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccccc1C(F)(F)F 1257768896 HLHUWHLOHBIAMT-GFCCVEGCSA-N 407.348 4.922 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 1263626507 AEQJVVJSYHNHKX-INIZCTEOSA-N 409.364 4.991 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3(C4CC4)CCOCC3)o2)c(Br)c1 1320268981 UOJNNYBYLSOBEB-UHFFFAOYSA-N 421.291 4.666 5 20 HJBD COCCN(Cc1ccccn1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1327421255 VOQJCPXUXFVEJV-UHFFFAOYSA-N 401.850 4.552 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(c3nc4ccccc4o3)CC2)cc1[N+](=O)[O-] 1331608880 DPJOVNQGONPKSV-HNNXBMFYSA-N 408.458 4.695 5 20 HJBD CN(C(=O)c1ccc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc1)c1ccccc1 1345674100 PLSCUAHAQWKXGR-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1cccc(OCc2ccccn2)c1 47323437 CETZQRHYYJEYIQ-INIZCTEOSA-N 406.442 4.760 5 20 HJBD Cn1c(SCCCOc2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(Cl)cc1 59738198 WABDYPCMQZGIFO-UHFFFAOYSA-N 404.879 4.605 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 64723207 JOSGUWVCUTZFOY-SNVBAGLBSA-N 403.287 4.779 5 20 HJBD COc1ccc(CCCNC(=O)c2cc3ccccc3c3cccnc23)cc1OC 65091342 FYIMCCFHZQHUSK-UHFFFAOYSA-N 400.478 4.768 5 20 HJBD CCn1c(Sc2nc3ccc([N+](=O)[O-])cc3s2)nnc1-c1ccccc1OC 111193930 OPYIZFFEOULPTL-UHFFFAOYSA-N 413.484 4.643 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(Sc2ncc([N+](=O)[O-])cc2Br)o1 301516015 FLAMGSOWAUGMLL-LBPRGKRZSA-N 421.276 4.828 5 20 HJBD Cc1cnc(N[C@@H](Cc2ccccn2)c2ccc(Br)cc2)c([N+](=O)[O-])c1 302671410 KQTSUNMNUHSQNU-KRWDZBQOSA-N 413.275 4.852 5 20 HJBD O=C(N[C@H]1CCCOc2ccccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426102954 GOWBKMPOGRXMCT-NRFANRHFSA-N 418.449 4.818 5 20 HJBD O=C(c1c([N+](=O)[O-])cccc1C(F)(F)F)N1CCC(c2ncccc2Cl)CC1 430507967 IXNXHKSEBKCWPS-UHFFFAOYSA-N 413.783 4.682 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2nc(C3CC3)oc2c1 430569912 JKVSSNVYNKSLHS-SNVBAGLBSA-N 401.806 4.673 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 432616831 LKOQGVGBQNDFGZ-CQSZACIVSA-N 419.466 4.648 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(C4CC4)n3Cc3ccccc3)nc2c1 434809653 VCDOPMJLUKGAEL-UHFFFAOYSA-N 407.455 4.546 5 20 HJBD COc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c(OCc2ccccc2)c1 439035435 UODFVMGMVFVINP-UHFFFAOYSA-N 424.478 4.913 5 20 HJBD C[C@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1 440482682 PQVUVXOAQZCZJF-HNNXBMFYSA-N 421.478 4.503 5 20 HJBD CCCCO[C@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(C)C 444109851 OCSMXFOGROWUOO-MOPGFXCFSA-N 410.489 4.534 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 444858348 MIWUXCKHEYQNAO-JTQLQIEISA-N 413.293 4.697 5 20 HJBD C[C@H](NCc1ccc(Cl)cc1I)c1ccc([N+](=O)[O-])cc1 447019907 ZEDXYJXGFYNLBU-JTQLQIEISA-N 416.646 4.704 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1CC(=O)OC(C)(C)C 462603281 PPDMXJCADRFEMX-QGZVFWFLSA-N 412.486 4.686 5 20 HJBD Cc1cccc(-c2nc(CC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)cs2)c1 466265098 JSDMKSIKZMXHJI-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD O=C(NCC1(CCOCc2ccccc2)CC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 471563437 OKGRDJPKMQYIQG-UHFFFAOYSA-N 422.403 4.731 5 20 HJBD CC(C)(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(Cl)c1F 471628800 WDNXOCLGDDMOFO-UHFFFAOYSA-N 422.887 4.999 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1(CCOCc2ccccc2)CC1 471751210 QDFKHWIDVORCSI-UHFFFAOYSA-N 406.385 4.522 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 475041184 YNHXTBCZQYWZDH-KGLIPLIRSA-N 405.882 4.590 5 20 HJBD CC[C@H](NC1CCN(C(=O)[C@H](CC)c2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 482111401 RDBKWGBCSKTTIN-PKTZIBPZSA-N 409.530 4.820 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 483339374 ITUULTACFGNWKV-YZVOILCLSA-N 410.449 4.846 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC(OCC2CC2)CC1 487995552 AVTICYDBTBYRPX-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1C(=O)N(C)C(C)C 488183972 YYMUWWZICUDYCB-UHFFFAOYSA-N 411.483 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1nc(-c2ccccc2F)cs1 489089751 SATZKSZTEHYQQI-UHFFFAOYSA-N 400.435 4.796 5 20 HJBD CCN(CC)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 491028519 PIAVNMUZTFCGCW-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1)C1CCCCC1 492219001 COBUGZDODPSQHK-UHFFFAOYSA-N 420.469 4.733 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@H]2CSC)c([N+](=O)[O-])cc1OCCC 495128124 MHKMWRQODNWKEH-AWEZNQCLSA-N 411.524 4.532 5 20 HJBD Cc1ccnc([C@@H](NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C2CC2)n1 497517056 CPBVIIVBFLSNMZ-IBGZPJMESA-N 414.531 4.713 5 20 HJBD O=C(c1cc(-c2cccc(Cl)c2)on1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498158949 DOKPSZDGPHMUDU-LJQANCHMSA-N 415.858 4.791 5 20 HJBD CC1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCOCC1 500244413 PNEWJVCVNLPEQA-UHFFFAOYSA-N 409.280 4.524 5 20 HJBD COC(=O)CCc1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1 500700709 WCCZJIREDBYJGQ-UHFFFAOYSA-N 410.426 4.581 5 20 HJBD CC(C)(C)c1ccc(-c2nc([C@H]3CN(Cc4ccccc4)CCO3)no2)cc1[N+](=O)[O-] 500854845 BXAKXAHBXDUHCA-HXUWFJFHSA-N 422.485 4.516 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)c(F)c1 500861469 BITDWRZABKKWDR-NSHDSACASA-N 415.446 4.665 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cs2)cc1 501687354 JVRCSXNNUXYBMW-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3c3ccncc3)c([N+](=O)[O-])c2)cc1 502383235 QGLFTGITNJDDNL-FQEVSTJZSA-N 402.454 4.892 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@@H]1CCCOC1 503926158 ITEONZFXXUWDAT-CHWSQXEVSA-N 409.280 4.522 5 20 HJBD CN(C(=O)c1csc([N+](=O)[O-])c1)[C@H]1CCSc2ccc(Br)cc21 504632906 CLERSPJAEZEDON-LBPRGKRZSA-N 413.318 4.728 5 20 HJBD CCOc1ccc([C@@H](C)NCc2nn(-c3ccccc3)c3c2CCC3)cc1[N+](=O)[O-] 504927517 QDBXIDASTZNJQP-MRXNPFEDSA-N 406.486 4.519 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(c4nc5ccccc5[nH]4)CC3)cs2)c1 506667277 BAEUBYUZDQUUFX-UHFFFAOYSA-N 419.510 4.974 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3ccc(C(=O)c4ccc(F)cc4)cc3)cc2N1 506871985 HMISDPXPARAUDC-UHFFFAOYSA-N 406.369 4.642 5 20 HJBD COC(=O)c1cc(C(=O)N(C2CC2)[C@@H](C)c2ccc3ccccc3c2)cc([N+](=O)[O-])c1 509906938 AJPAJBQXWKVYTE-HNNXBMFYSA-N 418.449 4.900 5 20 HJBD COc1cccnc1N(C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 511144817 ILKBYJRMTWJYHC-UHFFFAOYSA-N 413.817 4.721 5 20 HJBD Cc1ccc(-c2ccc(C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)s2)o1 514151867 PFDLHMQAPAPWSE-UHFFFAOYSA-N 400.412 4.638 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 515472277 NPMWBKVXKIQABK-CQSZACIVSA-N 414.767 4.561 5 20 HJBD COc1ccc(CNC(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 522427638 OFUAVYBQVURVQR-MRXNPFEDSA-N 421.453 4.956 5 20 HJBD COCCN(C(=O)[C@H](C)c1ccsc1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 523101622 SATJLIRWUZEABO-CYBMUJFWSA-N 417.512 4.563 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nccs1 523546912 NOIPJBCMZYJDPV-OAQYLSRUSA-N 410.499 4.719 5 20 HJBD Cc1ccccc1NC(=O)[C@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])s1 524274774 YEVYWUDPTZLBLI-GOSISDBHSA-N 421.478 4.565 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCC[C@H]3CC(C)C)cc2)c(C)c1[N+](=O)[O-] 524845363 VBVCMSIPYQSJFE-NRFANRHFSA-N 412.534 4.887 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3csc(-c4ccsc4)n3)CC2)cc1 525283740 NLLJJFPHSKNDEM-UHFFFAOYSA-N 401.513 4.823 5 20 HJBD Cc1cc(NC(=O)[C@H]2C[C@H]2c2c(F)cccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 525340657 CNMIJGXJCKHEGY-CABCVRRESA-N 414.824 4.624 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)Cc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 533300690 LAJXZAQVWQYICV-INIZCTEOSA-N 419.481 4.562 5 20 HJBD COc1cccc(COc2cccc(NC(=O)NCc3cccc([N+](=O)[O-])c3)c2C)c1 533775443 SODLZAWJBASOKT-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 535124409 CEOJSOBBQGJHQM-HNNXBMFYSA-N 413.865 4.603 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](Cc2ccccc2)c2ccccc2F)c2c(c1)COCO2 537157138 OTBXWYCVZFIBAA-JOCHJYFZSA-N 408.429 4.674 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])ccc21 537285945 ZXINZGSOQQWUHU-UHFFFAOYSA-N 413.437 4.673 5 20 HJBD C[C@H](N[C@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1)c1cccc([N+](=O)[O-])c1 537991121 MAYXNFCYSVFKHP-CVEARBPZSA-N 402.516 4.723 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3c(F)cc(Cl)cc3F)cc2)c(C)c1[N+](=O)[O-] 540448754 AVSNLDKCIQAWQA-UHFFFAOYSA-N 420.803 4.640 5 20 HJBD CCCc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cnn1-c1ccccc1 541189149 UVZXTYZTQBETCM-UHFFFAOYSA-N 419.485 4.930 5 20 HJBD O=C(N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 541628472 OYEGXCFGDQZRFB-WBVHZDCISA-N 400.431 4.599 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC2CC2)c(F)c1 542526024 CXRRVYNSVGYILM-OLZOCXBDSA-N 404.413 4.643 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nn1-c1ccccc1Cl 543456426 HJUYRMVJQJCPHM-LBPRGKRZSA-N 402.813 4.624 5 20 HJBD C[C@H](C(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccc([N+](=O)[O-])cc1F 543458039 VHARSYSIKCCKMV-NSHDSACASA-N 422.338 4.681 5 20 HJBD Cc1ccc(-c2noc(-c3cc(C4CC4)nc4c3cnn4C(C)C)n2)cc1[N+](=O)[O-] 544951656 RKIMTZGWMWSRFN-UHFFFAOYSA-N 404.430 4.823 5 20 HJBD Cc1c(Cc2noc(Cc3csc(Cc4ccccc4)n3)n2)cccc1[N+](=O)[O-] 545070995 OSXAOWAFPIUGFT-UHFFFAOYSA-N 406.467 4.515 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3cc(C(F)(F)F)ccc3Cl)n2)nc1 545078466 IZZUUTDQJXPNFC-BHQIHCQQSA-N 410.739 4.806 5 20 HJBD C[C@@](O)(C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1)C(F)(F)F 545952169 VIDBPSVHWHPVTI-GOSISDBHSA-N 414.449 4.849 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4c[nH]c5cc(F)ccc45)no3)cs2)cc1 547095213 ICKPRCCNSLCJDM-UHFFFAOYSA-N 421.413 4.980 5 20 HJBD COC(=O)Cc1occc1C(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 549714897 WOPJXPWSFLEFSK-UHFFFAOYSA-N 413.433 4.510 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1ccncc1 555013207 LYDQDEHEYKNNQW-UHFFFAOYSA-N 404.470 4.829 5 20 HJBD Cc1cc(N[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc(C)c1Br 560545481 VYJJBOWTVRKXHE-SFHVURJKSA-N 415.291 4.909 5 20 HJBD CC(C)(c1nc([C@H]2CSc3ccccc3O2)no1)c1ccc([N+](=O)[O-])cc1F 561927208 RFODTBGZJNRDPH-OAHLLOKOSA-N 401.419 4.669 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](CO)c1ccccc1Cl 565679130 QYJQRBYUZJBGQY-AWEZNQCLSA-N 422.934 4.646 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)c1cc2cccc([N+](=O)[O-])c2[nH]1 568918025 PHXFMFUGCHKRTG-UHFFFAOYSA-N 403.423 4.705 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 580797085 QGOMBMIHEGOZIQ-IVZQSRNASA-N 407.495 4.596 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 582986330 QZKKHXYOPWCRNR-GOSISDBHSA-N 413.232 4.821 5 20 HJBD CCOc1c(Cl)cc(-c2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1OC 583959548 IECJBISYTDOFOD-NSHDSACASA-N 403.822 4.857 5 20 HJBD CCOc1cc(NC(=O)c2nc(-c3ccco3)sc2C)c([N+](=O)[O-])cc1OCC 603496350 QSPQRWKTRJEDBO-UHFFFAOYSA-N 417.443 4.669 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)COc2ccc(Cl)cc2[N+](=O)[O-])cc1 603905034 ZJFKBJKRQGMLQE-SFHVURJKSA-N 418.877 4.780 5 20 HJBD Nc1c(C(=O)Nc2ccc(NCc3ccccc3)c(Cl)c2)cc(F)cc1[N+](=O)[O-] 609113057 HOTIVYOEKVDCGP-UHFFFAOYSA-N 414.824 4.834 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 609500734 QYXBLCFWKQNCQE-STQMWFEESA-N 416.302 4.957 5 20 HJBD O=C(NCCc1ccc2c(c1)CCO2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206146 BNXHCOCDMYWYNQ-UHFFFAOYSA-N 412.511 4.537 5 20 HJBD CC(C)(C)OC(=O)NCCc1ccc(CNc2ccc([N+](=O)[O-])c3cccnc23)cc1 725792709 NXEKJJSHKQWJRU-UHFFFAOYSA-N 422.485 4.822 5 20 HJBD C[C@H](CC(=O)OCc1nc2ccccc2c2ccccc12)NC(=O)C1CCCCC1 727531254 FXTICUGVZOZBQL-QGZVFWFLSA-N 404.510 4.906 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3csc(-c4cccs4)n3)[n+]2[O-])c1 728440593 QKXKLDKMTVHJCI-VOTSOKGWSA-N 408.464 4.646 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(F)c(F)c1 735054229 PRNXRKOZGBIUSO-MRXNPFEDSA-N 410.804 4.659 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccccc1 735991196 KOCZAQXLQBGXHX-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD C[C@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741834803 QHWPBAZHAAJOPA-LBPRGKRZSA-N 413.817 4.634 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])c2)[nH]c1C 750997795 ZPDSIDAYKSACBG-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC3(CCC3)Oc3ccccc32)cc1SC 757803046 LLUBVCDOLHZIIJ-OAHLLOKOSA-N 414.483 4.502 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3cccc([N+](=O)[O-])c3Br)nc12 758659602 LRJYZXVZOZIOFF-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1cccs1)c1cccc([N+](=O)[O-])c1 762028675 XPFFXOCIRCAYCY-OXQOHEQNSA-N 410.495 4.522 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1cnc2ccccc2c1 764609454 SCRLPMSQOYHYDK-AWEZNQCLSA-N 412.467 4.800 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccc(Nc2ccccc2)cc1 769470417 FNHUCMFUFDONEL-UHFFFAOYSA-N 414.421 4.904 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@H](O)c2cccs2)c2ccncc12 772901684 RRVKDUVUDLRAEV-NRFANRHFSA-N 405.479 4.945 5 20 HJBD C[C@@H](C(=O)Oc1cccc(CNC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 774488087 BWJLXLOJGRBVOD-MRXNPFEDSA-N 419.437 4.626 5 20 HJBD Cc1ccc([C@H](C)NC/C=C\CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775549990 SPKCUGCSWDFJKY-SLXDBMQSSA-N 412.490 4.677 5 20 HJBD CC(C)Oc1cccc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776136324 JJAMZZHHRZKAGY-HSZRJFAPSA-N 406.438 4.652 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)nc1Cl 776217495 ITNKQOCVQFPTPO-OAHLLOKOSA-N 422.199 4.777 5 20 HJBD O=c1nc(/C(Cl)=C/c2ccc([N+](=O)[O-])cc2F)[nH]c2cc(C(F)(F)F)ccc12 777915323 SYNUSQZXSQQQSB-XGICHPGQSA-N 413.714 4.726 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(-c2noc(C(F)F)n2)cc1 805633796 DUCYJAOSAQXWNW-UHFFFAOYSA-N 409.732 4.593 5 20 HJBD O=C(Nc1cccc(C2CCC2)c1)c1cc([N+](=O)[O-])ccc1I 812656333 JGZUINAQTFWFPE-UHFFFAOYSA-N 422.222 4.719 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 813246079 WSNLQWFFEFZXFQ-UHFFFAOYSA-N 418.837 4.645 5 20 HJBD CCN(CC)Cc1ccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813377216 CWVITUINZJYGQN-UHFFFAOYSA-N 410.301 4.673 5 20 HJBD Cc1cccc2cc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)c(Cl)nc12 816911617 SDWOPFRIRWJIGK-UHFFFAOYSA-N 407.817 4.747 5 20 HJBD O=C(Cc1ccc(-c2ccccc2)cc1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914884521 LGUCYYQQUKFWGN-UHFFFAOYSA-N 415.405 4.598 5 20 HJBD CN1CCc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2C1=O 1320110240 RPLAFQILFHTGFY-UHFFFAOYSA-N 411.845 4.748 5 20 HJBD Cc1ccc(-c2nc(C)sc2Cc2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1 1322776835 AZIPQWRKKJEQLY-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1-c1nnc(-c2cccc(OC(F)F)c2)o1 1341640463 NISULEZUZUPJNI-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD Cc1c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cnn1C1CCCC1 1343332729 YMESUPBZXIFEIK-NRFANRHFSA-N 406.442 4.556 5 20 HJBD CS(=O)(=O)c1ccc(Sc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 15171340 MMECFKJNLTULAG-UHFFFAOYSA-N 411.810 4.822 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)cc1[N+](=O)[O-] 32676216 FPAYHNWPHNJNQS-OAHLLOKOSA-N 423.494 4.782 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCCOc3cccc4cccnc34)cs2)c1 238006288 FACUIBBWSUSUGX-UHFFFAOYSA-N 420.494 4.825 5 20 HJBD COc1ccccc1-c1nnc(Sc2c(Cl)cc([N+](=O)[O-])cc2Cl)n1C 302252325 XHNXVDZTUXPVRS-UHFFFAOYSA-N 411.270 4.857 5 20 HJBD COc1ccccc1[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)N(C)C 409719510 JYDGTVCLDWAGJG-HXUWFJFHSA-N 411.527 4.724 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1nc(C(C)(C)C)cs1 431457576 JLJBKVIAIKUCCX-VIFPVBQESA-N 412.309 4.602 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1 432050691 QNFWZRMJBVVJNQ-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC1CCOCC1 432945743 AEVUGHKOUKRAOJ-UHFFFAOYSA-N 413.474 4.518 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)CC1 436365616 OMMKUIYVEOTIAU-UHFFFAOYSA-N 418.443 4.730 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccnn1-c1ccccc1 437182155 JCLJICVEKWTFOE-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437646524 OYBHARVYOZGYHJ-UHFFFAOYSA-N 424.501 4.660 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CC(F)F)c2cccc(Cl)c2)cc1SC 440684075 UUERFJLQEJYNNG-UHFFFAOYSA-N 416.833 4.891 5 20 HJBD CCc1ccc(NC(=O)Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 445322126 QFWSNXGDVZIQQA-ZDUSSCGKSA-N 412.471 4.575 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)ccc2C)c1 460496171 YIGIHVUTCGLHQR-UHFFFAOYSA-N 416.437 4.992 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C 463960604 WKHFBKAACINPCE-UHFFFAOYSA-N 404.536 4.649 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)CCc2cccs2)cc1 464354836 WFOHPEDYCBBYRQ-UHFFFAOYSA-N 412.467 4.772 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 466301829 YSPFLEIQGZIACZ-UHFFFAOYSA-N 415.902 4.847 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479789391 RBLMHRSCMHPTRM-HWPZZCPQSA-N 416.783 4.773 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)NCc1cccc([N+](=O)[O-])c1C 480066681 ZHTWJKNBLPRSOD-UHFFFAOYSA-N 404.470 4.697 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3cccs3)n2Cc2ccco2)cc1 489406180 RYYMKICBOVJHBY-UHFFFAOYSA-N 412.496 4.891 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 489587598 QEZFLWNHYPHPRR-PGRDOPGGSA-N 418.497 4.951 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2)n1 494166166 XMTSCNVBVSUUNB-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD O=C(c1cnc(-c2ccsc2)s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498134071 FPDVQFVDIOCTOU-QGZVFWFLSA-N 403.510 4.668 5 20 HJBD O=C(Nc1ccnc(OCc2ccccc2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 501697377 WUJZYBRBYRHFBN-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(OCC(C)C)c(OC)c1 505241588 ZBZDSOXVQUJWGW-CQSZACIVSA-N 417.462 4.530 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccc(F)cc2)cc1 513084200 UGFAMNCYFRAYGH-NRFANRHFSA-N 409.417 4.962 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2)cc1 517804134 QMGXJKKLFONSTL-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)CSc2ccc([N+](=O)[O-])cc2)s1 520592267 FIVFXCJDMKEDRN-UHFFFAOYSA-N 402.497 4.879 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(Cc1cccc(F)c1)C1CCCC1 521153910 NQEXOJOERJGOFK-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCCOc1ccc(Cl)cc1Cl 521710436 OQSRHNUOLDBPEY-UHFFFAOYSA-N 412.273 4.512 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 523497652 YBOFVSSJOXKVDE-UHFFFAOYSA-N 409.511 4.703 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc4ccc(F)cc4c3)n2)cc1[N+](=O)[O-] 535457589 QIAHBDDXYVTWPL-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 535526776 ZIPRVGCXGSMDFN-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(OC2CCC2)cc1Cl 536255436 WFRJALGVWCZGMR-UHFFFAOYSA-N 418.833 4.593 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1 537158545 GPNCIXCJFLTOAR-KRWDZBQOSA-N 419.481 4.868 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 537720417 PCGRBYLYNDPPJR-SFHVURJKSA-N 419.529 4.982 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538219909 XTKIPCUKNVFKCT-DJJJIMSYSA-N 406.479 4.740 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1noc(-c2ccccc2)n1 538257532 YYVLYSJIBLHDFD-CYBMUJFWSA-N 412.471 4.636 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc2c1 541079700 BLOHDQCGIKCSEP-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(COCc2ccccc2)cc1 541471486 UELQUOSYIKAOJS-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](Cc1ccccc1)c1cccs1 542526239 SYRVWRDHORIPFO-HXUWFJFHSA-N 409.511 4.867 5 20 HJBD C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 544072994 HOMMKFJSIIKFQG-MRXNPFEDSA-N 423.494 4.538 5 20 HJBD CCc1ccc(-c2nc(-c3cccc(NC(=O)c4ccco4)c3)no2)cc1[N+](=O)[O-] 545039218 VDBQNTYNFAOQFS-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CCOc3ccccc32)no1 546396549 ODDSHYJCCKRPSI-RISCZKNCSA-N 401.806 4.686 5 20 HJBD C[C@@H](Oc1cccc(F)c1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546451370 AVDXCTIICHLIDL-SNVBAGLBSA-N 422.210 4.610 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 562397430 FXHUKYDKRHMGDA-ZDUSSCGKSA-N 417.893 4.909 5 20 HJBD CN(C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@H]1CCCOC1 565321149 JCLZFMKFZRBQPY-AWEZNQCLSA-N 406.891 4.650 5 20 HJBD COC(=O)c1cccc(-c2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)c1 571217737 AYTXBXYGKLWXLB-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C(F)(F)F)cc1N1CCCC1 576669205 ZDZRFSLOEKDQEC-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD CCOC1CC2(CCN(C(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)C2)C1 577238381 YWPVRNOVDJTXTJ-UHFFFAOYSA-N 406.548 4.764 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NC[C@H](Cc2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 589614492 WYTGNQUVIDWCJL-YOEHRIQHSA-N 409.408 4.685 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CNC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 603971319 HSAQSJSMTPCAGL-MFKMUULPSA-N 414.405 4.739 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2c2ccccc2OC)cc1SC 609262952 BTYWFJFWPFDFFQ-MRXNPFEDSA-N 416.499 4.701 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)CC1 609696062 BAQXPHPALGONQD-QGZVFWFLSA-N 409.530 4.848 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1NC(=O)CC(C)C 610226270 RROTXFHPUBZIMC-UHFFFAOYSA-N 411.502 4.939 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 610746235 WLGITYWPNDLFGI-CQSZACIVSA-N 419.466 4.776 5 20 HJBD CC(C)C[C@H](C)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc2[nH]ccc2c1 726914361 AAQFTJGQRYKERZ-INIZCTEOSA-N 419.485 4.894 5 20 HJBD O=C1CCCc2cc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)ccc2N1 728164989 FWGOZLAQNVBJJD-UHFFFAOYSA-N 413.861 4.580 5 20 HJBD O=C(O[C@@H](c1ccc(Br)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 731145955 LPFKIILYIYNEDE-ZDUSSCGKSA-N 404.138 4.818 5 20 HJBD COc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 731970781 JPRBAJKVXKGFQD-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=C(OC1([C@@H]2CCOC2)CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 735911534 YUGFWEGDJSCSMB-CQSZACIVSA-N 403.818 4.766 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc2c(C)nn(-c3ccccc3)c2n1 736160209 MVBDURSZDWDSSS-UHFFFAOYSA-N 421.844 4.851 5 20 HJBD C[C@H]1CN(C(=O)c2cc3ccccc3c3cccnc23)CC[C@@H]1NC(=O)OC(C)(C)C 740831159 BVXNUYKHLGOQIF-KKSFZXQISA-N 419.525 4.763 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)[nH]c1C 742577600 INKYEASXBPHGNF-UHFFFAOYSA-N 404.348 4.873 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)CCC(=O)c1cc(Cl)sc1Cl 756606877 ALIRJHBRKQWBBE-UHFFFAOYSA-N 418.254 4.678 5 20 HJBD CCCOc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(OC(F)F)c1 760702974 LSVQKMPZLZNLNW-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(Cc3c(Cl)cccc3Cl)no2)C1 761363039 ZZXHHSZTPIKGGU-INIZCTEOSA-N 419.224 4.741 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@@H](C)C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 761876814 ZDSHQKDGZRHQBY-WMLDXEAASA-N 406.504 4.568 5 20 HJBD CC[C@@H](Oc1cccc(C)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217459 IKGISGYISVLNKF-FGZHOGPDSA-N 406.438 4.788 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(CNC(=O)OC(C)(C)C)cc1 777834502 CYRCPXNIZIEDRW-UHFFFAOYSA-N 413.474 4.797 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)c1c(C)nn(CC(F)(F)F)c1C 778007007 ZZRPZNKMINIXLO-VIFPVBQESA-N 418.803 4.674 5 20 HJBD C[C@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cn1 781608686 UJKPKXOFGROSTC-VIFPVBQESA-N 404.728 4.502 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3ccnc(Oc4ccccc4F)c3)CC2)cc1 786995805 HEMNVQCVDIVUCW-UHFFFAOYSA-N 422.460 4.680 5 20 HJBD C[C@H](Nc1cc(Br)ccc1[N+](=O)[O-])c1ccc(Br)cn1 795259351 XFIGFELDOBTQKB-QMMMGPOBSA-N 401.058 4.688 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCC(=Cc2cc(Cl)ccc2Cl)CC1 801924169 LDJPEORIYXVZEL-UHFFFAOYSA-N 424.259 4.943 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809956501 MOUCYYSQSGPQLF-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD CC(C)[C@H]1C[C@@H](CC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 811218871 GOYVUAZTOMLMFA-KBXCAEBGSA-N 404.488 4.603 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1C(=O)N(C)C(C)C 813235664 VNBPGTCYBDWQQN-UHFFFAOYSA-N 424.284 4.943 5 20 HJBD COCCC[C@H](Cc1ccccc1)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813236790 PWFTWRHUISMEQW-OAHLLOKOSA-N 411.285 4.669 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 813242833 WMRPULDFPNQCQO-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD COc1ccccc1SCC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 813306092 SSSHRSZBMCSIBE-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD Cc1c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cnc(Cl)c1Br 816102385 GWQLNLOHLPZOKJ-UHFFFAOYSA-N 412.671 4.630 5 20 HJBD CCC[C@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 821235130 NJNFHHKBOCRLMT-CJNGLKHVSA-N 404.850 4.702 5 20 HJBD C[C@@H](OC(=O)c1cc2ccc(Cl)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 914923965 JAPFXUNAWZXENG-SECBINFHSA-N 422.224 4.567 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(COc3ccccc3)c2)c([N+](=O)[O-])cc1OC 917852834 BTNPVJZPSCXJFV-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)COc2cc(Cl)ccc2[N+](=O)[O-])cc1 920992045 JXVXOAAPUXKCBN-SFHVURJKSA-N 418.877 4.780 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])cc1OC 1123334955 WKEDPYVNBCXVTK-AWEZNQCLSA-N 417.462 4.674 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cccc(Cn3cncn3)c2)o1 1318848575 WLYBYSXXWSOXLK-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD Cc1sc2nc([C@@H](C)Sc3nc4ccc([N+](=O)[O-])cc4s3)[nH]c(=O)c2c1C 10592984 RVJZWXGTBFBKID-SECBINFHSA-N 418.525 4.973 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)cc3cccnc23)c([N+](=O)[O-])cc1OC(F)F 29869638 CRFVUWRUDBMNRD-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2nc(C3CC3)cs2)cc1[N+](=O)[O-])c1ccccn1 53799609 FAWFIHHFQMEBHT-GFCCVEGCSA-N 409.471 4.749 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@H]3CCCc4cc(OC(F)F)ccc43)cc2N1 195737351 VISGCGUHUPTVJS-HNNXBMFYSA-N 403.385 4.570 5 20 HJBD O=C(c1ccc(CNc2ccc(Br)cc2[N+](=O)[O-])cc1)N1CCCCC1 301067723 KBDNAWOSXUIIQM-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD Cc1ccnc(N2CCc3c(cccc3NC(=O)Nc3ccccc3)C2)c1[N+](=O)[O-] 301306563 VDYOGHQJUQPFON-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD Cc1cc(NCc2ccc(COC3CCOCC3)cc2)c2cc([N+](=O)[O-])ccc2n1 302103631 WEXLIBPZTXNAHG-UHFFFAOYSA-N 407.470 4.759 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1Br 302763474 MVSQHEADYNNOOW-VIFPVBQESA-N 413.655 4.510 5 20 HJBD Cc1ccc(-n2cnnc2SCc2nc3cc([N+](=O)[O-])ccc3o2)cc1Cl 434806714 IOZHONILOOTDPD-UHFFFAOYSA-N 401.835 4.571 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC[C@H]1Cc1ccc(F)cc1 436149099 HANNHCAJAFUSJW-IBGZPJMESA-N 400.453 4.668 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H](CSc2ccccc2)C1 437045993 LXKJUOWHAFPUMU-CYBMUJFWSA-N 410.417 4.868 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437150210 JLEPGPZIOFFYLA-UHFFFAOYSA-N 423.391 4.560 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CCC(F)(F)F)CC3CC3)cc2[N+](=O)[O-])CC1 438041624 YDADLRVBBXXSCV-UHFFFAOYSA-N 413.440 4.636 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444244660 XAYBWILENKXSTJ-YOEHRIQHSA-N 418.443 4.978 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)nc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444283025 BQYLOTYIBGJNEI-UHFFFAOYSA-N 408.417 4.516 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 445996310 PQNDVBDBVAXFSN-SJORKVTESA-N 421.419 4.786 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 461013393 GXBLEBAJMUSOMF-UHFFFAOYSA-N 423.296 4.762 5 20 HJBD C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO[C@@H]1C1CC1 466848785 YIWTVLAYIMMPPT-IRLDBZIGSA-N 412.511 4.681 5 20 HJBD CCc1ccc(C(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 471934107 NBJODAMDVMZYOL-GOSISDBHSA-N 406.442 4.575 5 20 HJBD C[C@H](c1ccc([S@](C)=O)cc1)N(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 474167313 CCIZIFZVXRQDEX-JTBPQFFMSA-N 422.506 4.761 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2)cc1 474652636 DWTRTVTUJIFIOW-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD CC[C@](C)(OC)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 480058792 LSSSTWGPVUTMJX-IBGZPJMESA-N 410.829 4.611 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc21 480230304 LDXFQTWHPPLIOJ-GOTSBHOMSA-N 414.461 4.867 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)Nc2ccc(OC)cc2[N+](=O)[O-])cc1OC 481200705 PXVGDJKWRFGFHM-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD CNC(=O)c1ccc(N2C[C@@H](C)C[C@@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 482093318 IRRYNALIKNZODA-YVEFUNNKSA-N 407.392 4.561 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC(C)(C)Oc2cc(F)ccc21 484880013 FXIRKXHLIBRMHP-SJCJKPOMSA-N 404.463 4.633 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486110062 ZIXNSVOPDWZZGY-OALUTQOASA-N 404.388 4.908 5 20 HJBD COc1ccccc1C[C@H](C)N(Cc1ccccc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 491508143 KSCCCIMPNJEZRP-IBGZPJMESA-N 418.493 4.806 5 20 HJBD CC(C)(C)[C@H]1C[C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 497388641 OLFGZUUBYZRXGJ-WIYYLYMNSA-N 411.502 4.530 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1coc(-c2ccc(Br)cc2F)n1 497799926 OBZWECNTHALHIP-UHFFFAOYSA-N 424.157 4.543 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])c2cccnc12 498646885 PXYQMDKHZHGJOQ-UHFFFAOYSA-N 418.453 4.914 5 20 HJBD CN(Cc1cnn(-c2ccccc2)c1)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 506141043 OENVVYRSJQMCRS-UHFFFAOYSA-N 405.483 4.536 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 507293363 ZAUSWYDSYADVCW-HXUWFJFHSA-N 415.453 4.834 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2cc(O)cc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 507323277 HFCDYVQFCWJTPH-JTQLQIEISA-N 417.874 4.877 5 20 HJBD C[C@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2ccccc2)cc1 509664779 KPEABCXXOWNOSO-INIZCTEOSA-N 412.467 4.568 5 20 HJBD Cc1sc(NC(=O)C[C@H]2CCO[C@H](C(C)C)C2)nc1-c1cccc([N+](=O)[O-])c1 513681057 XDMGGXNFSCBOEY-YOEHRIQHSA-N 403.504 4.807 5 20 HJBD Cc1cc(Cl)ccc1O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 515098069 CGWRQIHQJKXXHN-SNVBAGLBSA-N 400.765 4.564 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@@H](C)c2ccco2)c([N+](=O)[O-])cc1OCCC 520171686 YWNDLRLUEMYXFK-AWEZNQCLSA-N 405.451 4.990 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc(C)cs3)c2)cc1OC 522581824 IGKLVOGLSLUVBV-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C1CC1 522667208 XCHRLJOVKJDXED-GFCCVEGCSA-N 411.845 4.868 5 20 HJBD CC[C@H]1CCCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 522893849 VWZVTVYPGFISHM-LBPRGKRZSA-N 411.289 4.740 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 522959109 PVEWKPDQIUWUDM-UKRRQHHQSA-N 406.841 4.561 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCO[C@@]3(CCSC3)C2)ccc1Oc1ccc(F)cc1 533188335 ITSULPOUUCVOOD-UWJYYQICSA-N 418.490 4.671 5 20 HJBD O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2nc3ccccc3o2)c(Br)c1 534916595 NQSGCZZSBVPHIO-AWEZNQCLSA-N 403.236 4.625 5 20 HJBD Cc1ccc(CN2CCC(C(=O)Nc3ccc(F)c(Cl)c3)CC2)cc1[N+](=O)[O-] 537626314 NROVPVJSXZMFGF-UHFFFAOYSA-N 405.857 4.546 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n([C@H]2C[C@H]3CC[C@H]2C3)n1 538207909 KCUKGTRPXWNFPA-BJLQDIEVSA-N 411.506 4.903 5 20 HJBD CC[C@H](Oc1ccccc1F)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251061 CFRIOKABSVBHSP-KRWDZBQOSA-N 415.446 4.678 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCc1nc2ccccc2[nH]1 539371955 LHVCQMHADYEOQO-UHFFFAOYSA-N 412.515 4.676 5 20 HJBD O=C(Nc1cccc(CNC/C=C\c2ccccc2[N+](=O)[O-])c1)c1ccc(F)cc1 540525371 VQLUUNKSXLKFBO-DAXSKMNVSA-N 405.429 4.789 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)C2CCCCC2)c1 541302391 UZZOTWPTNYSXBY-UHFFFAOYSA-N 413.499 4.844 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 542589437 BGCRKFMGBSGPHM-GJZGRUSLSA-N 410.499 4.705 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cnn(-c2ccccc2)c1C(F)(F)F 542920250 XENFZDBEYLXHEX-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 544167273 HTNPSPJFDYJJMK-CYBMUJFWSA-N 424.482 4.950 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544603189 ATSMYNXOHDMISJ-MJGOQNOKSA-N 401.438 4.735 5 20 HJBD CCc1cccnc1-c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 544949949 LVXAFFKNOGMXAA-CQSZACIVSA-N 416.441 4.837 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 556885397 XRAPYUNHRSOKIO-VLCRHTCISA-N 407.470 4.691 5 20 HJBD O=C(Nc1cccnc1-c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 559187213 RGZUOYRTCMDGNX-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 584436193 UUNTXFBZNFNXSV-PHIMTYICSA-N 423.229 4.859 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])cc1OC 590666561 SJJZBWTUHUCTCL-IBGZPJMESA-N 406.866 4.783 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2ccsc2)c([N+](=O)[O-])cc1OCCC 603652463 CNTWSHQVHPUFLN-UHFFFAOYSA-N 407.492 4.898 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC(C)(C)c1cccc(C(F)(F)F)c1 608914623 WJSPOGPRGZGWRI-UHFFFAOYSA-N 409.408 4.733 5 20 HJBD CC[C@@H](c1ccccc1)N(CC(C)C)C(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 609509884 WCTYMNWBBAESGM-SFHVURJKSA-N 400.475 4.862 5 20 HJBD O=C(Nc1nc(/C=C/c2ccccc2)cs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609757264 QVOFDAGBTFYJMW-VOTSOKGWSA-N 417.450 4.660 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 610042185 JIOGMENQNLRNTP-CYBMUJFWSA-N 410.392 4.691 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(c2cccc(Cl)c2)CCC1 610305491 GTJACRJVZFFGCN-UHFFFAOYSA-N 414.889 4.740 5 20 HJBD CC1(C)[C@H]2OCCC[C@H]2[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206316 GLRKRHKYQVZNEZ-IPELMVKDSA-N 404.532 4.563 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCCn1ccnc1 727766458 UQVKDXMCBCJOPO-UHFFFAOYSA-N 409.489 4.950 5 20 HJBD C[C@@H](CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)(C)C 734441918 GBMNSXONUGTWFK-ZDUSSCGKSA-N 417.893 4.913 5 20 HJBD CSCCCCC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 739473386 IKAMJMVLUUDWNG-UHFFFAOYSA-N 422.890 4.939 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2ccccc2Cc2ccccc2)c1 745389680 QSWUPNCXWVKFOJ-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CC23CCCC3)cc1)c1cc([N+](=O)[O-])ccc1Cl 747296409 YOAAEDAEWBVCER-KRWDZBQOSA-N 414.845 4.986 5 20 HJBD C[C@@H](Nc1ccc(OCc2ccccc2F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 747458650 NPZDUCWJVIIFIZ-OAHLLOKOSA-N 409.417 4.752 5 20 HJBD COc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(OC2CCCC2)c1 750081103 QWCRSPZGPCAGTQ-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC1CCCCCC1 750156723 PTQBOQHVWBTNHT-UHFFFAOYSA-N 400.479 4.742 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 756774639 USAMCXYLJKESLK-GUYCJALGSA-N 417.918 4.968 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n1[C@@H]1CCC[C@H](C)C1 758170691 ZJXKCDYITVEZGL-WCQYABFASA-N 423.926 4.630 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1c(C(F)(F)F)ccnc1Cl 759412710 LFMCZMBRBJDWFI-UHFFFAOYSA-N 408.163 4.588 5 20 HJBD CC(C)=C[C@@H]1[C@@H](C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)C1(C)C 765868309 GPSUSCWCLFTBSD-MJGOQNOKSA-N 412.490 4.620 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 766111711 GQJXKHHSYMOOJW-UHFFFAOYSA-N 419.315 4.553 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1cc(Br)cc([N+](=O)[O-])c1 770182407 QPOPXWAWCVUMKT-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(CN2CCCC2)cc1 776216521 AKTNQNQYBSEDFT-QHCPKHFHSA-N 417.465 4.532 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1 779138641 XDLTXTFCBUGEJQ-UHFFFAOYSA-N 404.417 4.527 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(c2ccccc2Br)CC1 784263734 WDIJGBUWNPGFJI-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)OCc1ccccc1[N+](=O)[O-] 787012498 WUHMVYFEVGMNLA-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD CN(C(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CCCCC1 789669201 VQNHABFFVXUOAJ-UHFFFAOYSA-N 412.446 4.520 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C2CC2)o1 790535674 MXJQSMIUWUZYGI-XHDPSFHLSA-N 406.841 4.837 5 20 HJBD COc1c(C(=O)OCc2nc(-c3ccccc3Cl)cs2)cccc1[N+](=O)[O-] 796305004 FPCKPQOQHYDIFI-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC[C@H](c4ccccn4)C3)c([N+](=O)[O-])c2)cc1 798414698 BRXZURYABUSUFQ-SFHVURJKSA-N 402.454 4.544 5 20 HJBD C[C@@H](OC(=O)c1nc2ccccc2cc1Br)c1cccc([N+](=O)[O-])c1 808228292 SROZBJCYDCMQJC-LLVKDONJSA-N 401.216 4.824 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2)c1C 812741720 WCTIXVXASGLEHT-UHFFFAOYSA-N 419.485 4.640 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(Cc2ccccc2Cl)no1 904372403 LKBYKZKUKPSRQL-UHFFFAOYSA-N 408.639 4.960 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1 914707367 SKOUKYPQVQHFBA-JZYVYDRUSA-N 415.359 4.791 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C(C)=O)c2ccccc2)cc1 915442108 MNTFUVHYAXKVNU-JOCHJYFZSA-N 421.405 4.883 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])cc1 918193514 JLFGKCLJYJSNLQ-QGZVFWFLSA-N 406.479 4.557 5 20 HJBD CS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 1116137263 MZOUVGVJCKLTNJ-BHYGNILZSA-N 414.531 4.519 5 20 HJBD Cc1ccc(OCc2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)cc1C 1261426176 DNDRLEVOHQUZNB-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1321449318 GGHIFFNDJDOCPC-UHFFFAOYSA-N 408.414 4.900 5 20 HJBD Cn1cc([C@H](Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c2ccccc2)cn1 1323718707 NYTSRGRYAZITRT-HSZRJFAPSA-N 412.449 4.761 5 20 HJBD C[C@H](OC(=O)c1cc2c(s1)CC[C@@H](C)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 7188290 ZUVRWLAFGRGUFX-NEPJUHHUSA-N 413.455 4.749 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)Cc3nc4ccccc4s3)cc2[N+](=O)[O-])CC1 9891596 JAMYQXRIUQZGBJ-UHFFFAOYSA-N 424.526 4.713 5 20 HJBD COc1ccc([C@@H]2CCCN2Cn2c(=S)oc3ccc([N+](=O)[O-])cc32)c(OC)c1 23852312 LQCCSHSOZABJJF-INIZCTEOSA-N 415.471 4.684 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cccnc1SCc1ccc(F)cc1 63446692 UDKARUJJDHZSGJ-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD Cc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OCC(F)F 110433895 XQSZRGXOJWLJSS-NSHDSACASA-N 413.808 4.640 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@@H]3c3cc4ccccc4[nH]3)n2)cc1 112575353 HDFIGVACEGWQCB-HXUWFJFHSA-N 403.442 4.853 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nccn1C 301085603 LGUGWODDZYYFMW-QGZVFWFLSA-N 406.364 4.557 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cc(F)ccc3F)cc2[N+](=O)[O-])C1 303130889 IFVOHPFXQQHDGW-ZIAGYGMSSA-N 403.429 4.632 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc(C)c(Cc3ccccc3Cl)s2)c1[N+](=O)[O-] 303401589 RQJJCIGYMUCZIT-UHFFFAOYSA-N 419.894 4.532 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3CCC[C@]3(C)C(=O)O)cc2[N+](=O)[O-])cc1 426745686 HQIYHTRZXDEMME-FPOVZHCZSA-N 400.500 4.787 5 20 HJBD CCOCCOCc1cccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1C 428858396 CYYNVZWHFHVEAA-KRWDZBQOSA-N 415.490 4.647 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1)CCC2 431487070 MDRSPBXVLKHBKD-CQSZACIVSA-N 424.320 4.526 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC2(CCN(Cc3ccccc3)CC2)C1 433009916 AJKZIAGNNDTJFK-UHFFFAOYSA-N 422.529 4.732 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2ncc3n2CCCC3)c1 436168000 HSLLWPMUXVNBIM-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 437137953 VEMIMBWDGPUKOY-UHFFFAOYSA-N 407.417 4.727 5 20 HJBD Cc1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c(Br)c1 439282742 CVHDJKMYBVDBFI-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1nc(C)c(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 442737843 OMDLYGWFTRTVCR-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD Cn1ccnc1Sc1ccccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443863086 WRKKQVCVDXHWFV-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(OCc3cccc(Cl)c3)cc2C)c1[N+](=O)[O-] 444135115 CHOBKRWIBKPRER-UHFFFAOYSA-N 414.849 4.673 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@H](Nc2ccccc2)C1 444239164 BVIXQECCVFBRLW-KRWDZBQOSA-N 415.468 4.537 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(COc3ccccc3OCc3ccccc3)n2)s1 445473308 UDIPZRSKVFMQDG-UHFFFAOYSA-N 409.423 4.864 5 20 HJBD COc1ccc(C(C)(C)c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc1OC 445682154 GLTPSDZMRHHUBD-UHFFFAOYSA-N 415.471 4.613 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(Br)cc1OCCCO)c1cccc([N+](=O)[O-])c1 462972564 ZDMGVPOCVNHMSY-KBPBESRZSA-N 423.307 4.530 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cnn(-c2ccc(F)cc2)c1C(F)(F)F 464729203 PMOIEFLGQQZLFR-UHFFFAOYSA-N 422.338 4.510 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)NCc1ccc([N+](=O)[O-])cc1Cl 466584265 BWBRPCDNENVHFK-UHFFFAOYSA-N 411.270 4.511 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 468124664 BXMUEFZKSQDEBJ-OAHLLOKOSA-N 402.882 4.549 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1ccc(Cn2cnc3ccccc32)cc1 474139438 QFYWIVAPICZCEM-UHFFFAOYSA-N 402.454 4.751 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@H]3c3nc4ccccc4o3)n2)cc1 474239540 LKXBNPPBWYZPAC-KRWDZBQOSA-N 405.414 4.513 5 20 HJBD CC[C@@H](NC(=O)N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1cccc([N+](=O)[O-])c1 474496700 NYAWOWJVFDEKEK-RCCFBDPRSA-N 405.401 4.503 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2o1 475219251 KHMNVQJGVKFVPF-KSSFIOAISA-N 411.483 4.970 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1Cl 478536419 GIKSGMCOHVETPM-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(-c2nc3ccccc3s2)cn1 479067116 LMMKKLBTDCPZKM-UHFFFAOYSA-N 408.414 4.587 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2c[nH]c3ccccc23)n1C1CC1 485368142 NSDDEIVEKZILGZ-UHFFFAOYSA-N 421.482 4.970 5 20 HJBD CCS[C@H]1CCC[C@H]1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486179923 QNJLMJFFGOHLSO-QUCCMNQESA-N 400.500 4.578 5 20 HJBD CCOc1cc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)ccc1[N+](=O)[O-] 486982453 VCBXJWWDGRGAFF-UHFFFAOYSA-N 422.485 4.725 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1c(F)cccc1Cl 489937919 ZQUHEMFFGWCGLD-LLVKDONJSA-N 412.870 4.617 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-c3ccncc3)cc2)cc1SC 491289993 WBRNYPJEONHYFH-CQSZACIVSA-N 423.494 4.878 5 20 HJBD O=C(Nc1nc(-c2cccs2)ns1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 497989866 VKRVNWZFBNSQOQ-UHFFFAOYSA-N 415.481 4.551 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1 498776191 SWYUXKMMAQEKCM-UHFFFAOYSA-N 402.369 4.898 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 503593810 DTHUVYUVPSUHDH-NSHDSACASA-N 402.248 4.672 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 504701881 CDFCJTINTXFWMP-LBPRGKRZSA-N 406.529 4.928 5 20 HJBD COc1cc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)ccc1[N+](=O)[O-] 506505749 NHJWGQGJTOVERI-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD O=C(c1cc(N[C@H]2C[C@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 507030486 VDJPQWXGYKPTHY-YJBOKZPZSA-N 419.403 4.818 5 20 HJBD COc1ccc2c(-c3ccccc3)c(C(=O)NCc3ccc([N+](=O)[O-])cc3)oc2c1 509296413 ZLFXJBMNOAZMIZ-UHFFFAOYSA-N 402.406 4.947 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccsc3Br)cs2)c1 509441149 VQCNFUMZMSNJMI-UHFFFAOYSA-N 410.318 4.832 5 20 HJBD Cc1nc2cc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)ccc2n1-c1ccccc1 513030038 BPIIPZCCVUAPTG-UHFFFAOYSA-N 414.465 4.557 5 20 HJBD CC(C)CN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(C)C 514402330 RCPDUXIYQHRHGT-UHFFFAOYSA-N 420.513 4.883 5 20 HJBD Cc1cc(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)ccc1[N+](=O)[O-] 519511457 YTUBZKQTOXQHMD-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD COc1ccc(NC(=O)CNc2cc(Cl)ccc2SC(C)C)c([N+](=O)[O-])c1 520636290 XXBQRSIIMHEMQH-UHFFFAOYSA-N 409.895 4.808 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc(C)n(-c2c(Cl)cccc2Cl)n1 531497420 HIBMQEAOYKOZCU-UHFFFAOYSA-N 420.256 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 532244162 VVOJKNKUMNDJFD-HXOBKFHXSA-N 424.522 4.747 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534250605 MQBGATSFQSSLDD-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 534985302 RXBAFKANPDKFQQ-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD C[C@@H](c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)N1CCOCC1 539920285 JEJFOYJEJLAKLN-INIZCTEOSA-N 424.526 4.724 5 20 HJBD CCn1c(SCc2nnc(C3CC3)n2C2CC2)nc2cc3ccccc3cc2c1=O 542102598 LYYWCEWGHOSEGI-UHFFFAOYSA-N 417.538 4.666 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2cc(C)no2)cc1OC 543842210 BREPGGJADBGGSD-WMLDXEAASA-N 403.479 4.662 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC(F)F 551723595 NOOBTWXGPOCOQJ-UHFFFAOYSA-N 414.836 4.926 5 20 HJBD C[C@@H](Nc1ncnc2sc([N+](=O)[O-])cc12)c1ccc(OCc2cccnc2)cc1 552938034 WVCXVQMGCNOIJX-CYBMUJFWSA-N 407.455 4.747 5 20 HJBD C[C@H](c1ccccc1)N(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)C1CC1 553475774 AAUNETLUCWXRKP-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)[C@@H](C)c2ccccc2C(F)(F)F)c1 554962879 CNJYMVZUMMFKPX-CHWSQXEVSA-N 410.392 4.934 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(OC3CCCC3)cc2)cc1SC 560144020 WUJMKZYLRLOXDW-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCc1cccc([N+](=O)[O-])c1 568713178 HZZUNKVNHSAQPE-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD Cn1ccnc1[C@@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 580087608 QMVNBUYVOCRPRH-MRXNPFEDSA-N 412.877 4.764 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc2n[nH]cc2c1 580634507 HBSMJYFQWPSMOJ-OAHLLOKOSA-N 415.453 4.574 5 20 HJBD O=[N+]([O-])c1ccccc1OCCN[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 584485107 RWXQQARXIRRVTG-FQEVSTJZSA-N 424.419 4.750 5 20 HJBD CCCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)[C@@H]1CCc2ccccc21 603527976 XDPRHAYWCIUTQW-OAQYLSRUSA-N 407.514 4.737 5 20 HJBD COc1ccc(CNC(=O)Nc2cc(Cl)ccc2N2CCCCC2)cc1[N+](=O)[O-] 604083454 UQRAKBZNQJVBDM-UHFFFAOYSA-N 418.881 4.569 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H](Cc2ccccc2)C1 609265586 INYVZTNMBBEBQG-KRWDZBQOSA-N 407.495 4.813 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609647213 ARTPVFQWAFOXHA-ZFWWWQNUSA-N 408.376 4.554 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609725228 PGFGCPLREQXVFI-UHFFFAOYSA-N 406.866 4.511 5 20 HJBD CC(C)(C)[C@@H]1CCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609857268 FPQNGMVHVYIDAQ-HNNXBMFYSA-N 411.289 4.738 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 727681832 MMWDIWOTNFOAGV-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cc2)cs1 727707336 MXPUPLLVPAIERW-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@H](c1ccc(-c3ccc([N+](=O)[O-])cc3)o1)N2 730272104 PVIBZAMPVYCAEU-OAQYLSRUSA-N 405.410 4.592 5 20 HJBD CC[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(OC)cc1 731559695 XHEIPVSSGLXIFX-QHCPKHFHSA-N 401.462 4.858 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ccccc1 734399524 UDQXIEBTXDJLKC-CQSZACIVSA-N 407.382 4.895 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1c(Cl)ccc2cccnc12 735148523 NCFDKCBFCCCESQ-UHFFFAOYSA-N 421.236 4.962 5 20 HJBD CCN(c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1)C(C)C 739472591 HENCNEJRTBJYFW-UHFFFAOYSA-N 406.280 4.844 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 743465860 IUIXYCLKJFWNTH-UHFFFAOYSA-N 424.482 4.667 5 20 HJBD CCN(CC1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1)C(=O)OC(C)(C)C 745973374 BGEXILIZTDOGIL-UHFFFAOYSA-N 414.506 4.616 5 20 HJBD C/C(=C\C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(C(F)(F)F)cc1 748390765 LRZQWRRFIYNKOX-WUXMJOGZSA-N 423.343 4.627 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCC[C@@H]1C[C@@H](O)c1ccco1 752106005 ZBSFILCEQLATCC-NVXWUHKLSA-N 414.483 4.911 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])c2)cc1 753095039 UJCVHVIPSCYURW-UHFFFAOYSA-N 421.428 4.533 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CCO2)cc1 757535534 ZSZMETOEVYIVQD-MSOLQXFVSA-N 409.360 4.999 5 20 HJBD Cc1c(COC(=O)c2ccc(C(=O)N(C)c3ccccc3)cc2)cccc1[N+](=O)[O-] 757858791 XMPQRUKRWQKFPY-UHFFFAOYSA-N 404.422 4.537 5 20 HJBD COc1ccc2c(c1)OC[C@H]2CC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 760431665 XTEZJXYBXKXXRC-CQSZACIVSA-N 416.861 4.566 5 20 HJBD Cc1c([C@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cnn1-c1ccc(F)cc1 765434644 UNAPLVMRWBREIH-INIZCTEOSA-N 423.492 4.548 5 20 HJBD O=C(COc1cccc2ccccc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132720 JSILGVKNMCXTJW-DEOSSOPVSA-N 414.417 4.855 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777882278 YQGBFQBALCUSOP-UHFFFAOYSA-N 408.364 4.869 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1 777970531 CTZFTKHKAWCCSD-UHFFFAOYSA-N 411.364 4.578 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(COCc2ccco2)c1 790544377 WHHJAZWBFFETPQ-UHFFFAOYSA-N 418.808 4.878 5 20 HJBD COc1ccc(NC(=O)c2cc(NC(=O)OC(C)(C)C)ccc2C)c([N+](=O)[O-])c1 793890808 XSLYXILTJJOOHJ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)cc1 798393525 CDILBYIKNOAAKC-SECBINFHSA-N 404.291 4.957 5 20 HJBD C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nccn1-c1ccccc1 803072603 VCMJDUUNXFNENQ-GOSISDBHSA-N 423.472 4.645 5 20 HJBD COc1ccc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc2c1 804306375 ZPFKYHPSYDVOTN-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(-c4nc(CCC(C)(C)[N+](=O)[O-])no4)cc3)o2)cc1 812812092 WAFVMZKIIOPELS-UHFFFAOYSA-N 419.441 4.750 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 813305799 HHAJKJNANGAUMR-UHFFFAOYSA-N 415.190 4.595 5 20 HJBD Cc1ccc([C@H](C)N[C@@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cc1[N+](=O)[O-] 813878226 LVXRXDJQGAQGMJ-FUHWJXTLSA-N 403.523 4.876 5 20 HJBD COc1cc(N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)c([N+](=O)[O-])cc1OC 820596271 SQORGKWXJYKWAK-QMMMGPOBSA-N 405.760 4.852 5 20 HJBD O=C(COc1ccc(Cl)c(C(F)(F)F)c1)Nc1cc([N+](=O)[O-])ccc1Cl 916528536 MAFBVFPVPSOGBV-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1 917099244 NPWZFFTUGXEAHD-UHFFFAOYSA-N 410.518 4.679 5 20 HJBD O=C(c1csc(Cc2ccc(Cl)cc2)n1)N1CCCc2c1cccc2[N+](=O)[O-] 1324394230 PUAZEHZHJFQFPC-UHFFFAOYSA-N 413.886 4.889 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(Cc4c[nH]c5cnccc45)n3)cc2)cc1 1343932638 WIDFZXWRJZISJA-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(Br)cc2Br)c1[N+](=O)[O-] 15303328 XZDPSGOAQQFYSW-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n(C)n1 41829343 YBOLGQOWTXFESJ-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(CN4CCCCC4)cc3)cs2)c1 238008533 OPJWZJOGPHBACS-UHFFFAOYSA-N 422.554 4.994 5 20 HJBD Cc1ccccc1-n1c(Sc2ncc([N+](=O)[O-])cc2Cl)nnc1-c1ccncc1 301293254 VTYUDQJRNJNAHR-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD CNC(=O)c1ccc(N2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])c1 302220601 MWZXBKIEQRHROB-MRXNPFEDSA-N 407.392 4.705 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3ccc4c(c3)CCO4)n2)c1 303394727 PJOXCQURDAUILB-UHFFFAOYSA-N 407.451 4.566 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(Cc3ccccc3)CC3CCOCC3)o2)c1 303523098 ZOCBTIPFVGZFCM-UHFFFAOYSA-N 421.497 4.721 5 20 HJBD Cc1ccc(SCCNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 435831862 WFYSZOSNGWRTDS-UHFFFAOYSA-N 424.472 4.790 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)Nc1cc([N+](=O)[O-])ccc1O 436149046 HSVMXWDEYXRWHO-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccc(Cl)cc2s1 436441929 KKRZIEFHEYMCAB-UHFFFAOYSA-N 407.854 4.938 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)C2)cc1 437244298 FXGDTPREFBUOEJ-HNNXBMFYSA-N 422.403 4.717 5 20 HJBD C[C@@H](SCc1nnnn1-c1ccc(C(F)(F)F)cc1)c1ccccc1[N+](=O)[O-] 437268158 MKZZCJCIOIEGJN-LLVKDONJSA-N 409.393 4.584 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC(=O)CC(C)C)cc1 439881053 USRJWJBWBPEZMG-UHFFFAOYSA-N 400.435 4.622 5 20 HJBD O=C1CCN1c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 441217405 NETMCIQGHLRZSH-UHFFFAOYSA-N 411.845 4.535 5 20 HJBD CC1(C)CCc2ccccc2[C@@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C1 442968632 SLNOXWDVHJOGMO-FQEVSTJZSA-N 405.454 4.682 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)Cc1cc(Br)ccc1F 443974063 SFQBTFOSXFGJMK-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)cc1 445272993 GBHIKJDPIPEBAX-NNSJBKGDSA-N 406.442 4.843 5 20 HJBD C[C@@H](c1ccccc1)N(C)C(=O)CSc1ccc(Br)cc1[N+](=O)[O-] 447357284 VOVAPLUIESGOCG-LBPRGKRZSA-N 409.305 4.669 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447371212 FAXVOWCWSJAPQM-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD Cc1c(CSC(C)C)cccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 460841148 SRUUXUJVBZCXSJ-UHFFFAOYSA-N 414.936 4.999 5 20 HJBD Cc1ccc(CN(C(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C2CC2)o1 462224653 DQZCVWUZDBLXKT-UHFFFAOYSA-N 421.453 4.892 5 20 HJBD CC[C@H](Cc1ccccc1)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462394173 LWIJMKUFPWXZAY-CQSZACIVSA-N 417.314 4.543 5 20 HJBD C[C@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 462539818 URKLKZNJXFHNKZ-LLVKDONJSA-N 415.298 4.816 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 462772117 HCLABSDYHWAOOT-RTBURBONSA-N 420.513 4.754 5 20 HJBD CC(C)[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 463042683 HCNPWAOHHVFPSK-OPAMFIHVSA-N 405.454 4.538 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccncc3)n2-c2ccc(C)c(C)c2)c([N+](=O)[O-])c1 463059043 YVHHKWHAJWAVRF-UHFFFAOYSA-N 418.482 4.709 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)c3cccc4cccnc34)cc2[N+](=O)[O-])CC1 466375833 ZJIYIAAKPSAQOD-UHFFFAOYSA-N 404.470 4.656 5 20 HJBD CCCN(C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2)c1cccc2ccccc12 466993872 SZEYEAWVZXHOBG-UHFFFAOYSA-N 406.438 4.505 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 470086188 HKVQHSXTRCNGMQ-UHFFFAOYSA-N 422.279 4.585 5 20 HJBD Cc1cc(NC(=O)N[C@H](c2ccccc2)c2nc3ccccc3n2C)ccc1[N+](=O)[O-] 473783584 SSPNGVSVRDWKEI-OAQYLSRUSA-N 415.453 4.701 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccccc1CN(C)Cc1ccco1 475642999 VSBOGAAOJAQNBH-UHFFFAOYSA-N 422.485 4.758 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 477048221 NFVDVLJWDVFYCV-JLTOFOAXSA-N 417.893 4.672 5 20 HJBD C[C@@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(F)(F)F 479601213 GDZTXVOOAMBWQL-AWEZNQCLSA-N 404.392 4.736 5 20 HJBD CCCCn1ncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1C(C)C 482753953 IQWYODXIAVZSQL-UHFFFAOYSA-N 423.311 4.659 5 20 HJBD CCCC[C@@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(OC)cc1 483782403 FLNWNGGMEZUQGJ-QGZVFWFLSA-N 418.515 4.995 5 20 HJBD O=C1CCCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc2N1 483837804 PIHGEMNZRPGQAK-UHFFFAOYSA-N 417.421 4.914 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 485690138 VKRCIXONXHTZGF-SSDOTTSWSA-N 413.224 4.795 5 20 HJBD COCCSc1ccc([C@H](C)Nc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 486958593 PFKFTVAEXPXKRG-ZDUSSCGKSA-N 400.460 4.556 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@H]1c1ccc(C(F)(F)F)cc1 491999848 MYJSBPWIDWQIRG-GUYCJALGSA-N 400.784 4.575 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccccc2OC(F)(F)F)cc1[N+](=O)[O-] 493309368 FNYXHHPJJSJTKJ-ZDUSSCGKSA-N 411.380 4.704 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 496537726 SGHOEEUAUDXBCL-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD Cc1ccc(-c2[nH]nc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)c2C)o1 501637516 HPOGDACBVFLBPI-UHFFFAOYSA-N 419.235 4.518 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4ncc[nH]4)cc3)cc2[N+](=O)[O-])C1 502569810 NEPBLQLAAVGXHI-HOTGVXAUSA-N 419.485 4.720 5 20 HJBD Cc1ccc(CN(Cc2ccco2)Cc2cc([N+](=O)[O-])cc3c2OCOC3)s1 512279434 TYRPLORCADXIIK-UHFFFAOYSA-N 400.456 4.627 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Br)ccc1Cl 514501855 ZPSVHFDCUMPOPU-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 518906012 QGJOCJQVTOCBHB-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ncc(-c2ccccc2)s1 520387771 KJSQWCRMDXCDSK-NSHDSACASA-N 403.847 4.778 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Br)cc2)C2CCC2)c1 521163327 ZAOVVWLGZRGHEL-GOSISDBHSA-N 419.275 4.637 5 20 HJBD C[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1cccc(OC(F)F)c1 524827642 ZTWNINMRWMIFJM-AWEZNQCLSA-N 407.417 4.579 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 535722860 ISUVYWBVOCBXSJ-SFHVURJKSA-N 410.499 4.869 5 20 HJBD C[C@H](C(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1F 542527733 MFFDQOCZTGYBGQ-RBZFPXEDSA-N 413.836 4.792 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)s2)cc1 542591493 VUWSGCGVEFWEQK-OAHLLOKOSA-N 424.526 4.933 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)Nc1ccc([N+](=O)[O-])cc1F 544622025 QIOLXXKAEAUCCU-UHFFFAOYSA-N 405.451 4.790 5 20 HJBD CCSc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cn1 545738315 ZWTGWBWJKWOPHP-UHFFFAOYSA-N 421.276 4.505 5 20 HJBD CC(C)(C)Oc1ccc(CNc2cccc(C(N)=O)c2[N+](=O)[O-])c(C(F)(F)F)c1 557582639 IGDJQXXPGYGVCX-UHFFFAOYSA-N 411.380 4.502 5 20 HJBD COCCN(Cc1cccnc1)Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 564312362 DDZFAPRUKKUCJF-UHFFFAOYSA-N 411.433 4.570 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(-n2cccn2)c(F)c1 577829929 VGQAGWCOGSFLNZ-CQSZACIVSA-N 423.473 4.894 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@@H]4C[C@H]4c4cc(F)c(F)c(F)c4)n3)c2c1 579525418 UOCAQAQYIWKQPL-GXTWGEPZSA-N 414.343 4.738 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3cccc(OC(F)(F)F)c3)C2)c2ncccc12 583166239 JICGVRLPHAZIQP-GOSISDBHSA-N 419.359 4.620 5 20 HJBD CCCc1nc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)co1 584496306 XRAFIBDAEKOTAK-CYBMUJFWSA-N 420.429 4.820 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1C[C@@H](CO)Cc2ccccc21 590403694 AWBBJKAFOLDBGC-INIZCTEOSA-N 420.490 4.557 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 603867658 XKUMHECQOFELDY-HXUWFJFHSA-N 410.495 4.784 5 20 HJBD COc1nc(C)cc(C)c1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 608983059 YXYQJXORDZMCJM-UHFFFAOYSA-N 401.850 4.818 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(Oc3ccccc3)cc2C)cc([N+](=O)[O-])c1 609444455 AEIUVTRIBLODLY-UHFFFAOYSA-N 406.394 4.734 5 20 HJBD C[C@H](Nc1ccc(-c2nc(Cc3cccs3)no2)cc1[N+](=O)[O-])c1ccccn1 609474450 YCAJXBGIXNVPAQ-ZDUSSCGKSA-N 407.455 4.865 5 20 HJBD Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)sc1Cc1ccccc1 609784324 OAXQCHHLKUSKLE-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)c1 609961129 ONUPWCXVRZVUST-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD CC(C)(C(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1 610044536 SPWBXYCXQXEDDE-UHFFFAOYSA-N 410.499 4.689 5 20 HJBD O=C(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)Nc1ccc(Cl)cc1 726606743 LCTAKYRQNJLZTE-UHFFFAOYSA-N 401.875 4.705 5 20 HJBD O=C(Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727707680 CKMGUXOCDODXFJ-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD CC(C)[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 730646081 ZFSSYOAKTTWTNV-INIZCTEOSA-N 411.241 4.722 5 20 HJBD CC[C@@H](C)CN(C)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 732126887 PLGPKXLYUPNYTE-CYBMUJFWSA-N 403.866 4.619 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 734771826 UAQWJTSUUCKGRL-KRWDZBQOSA-N 422.485 4.884 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 735429319 RZSZYOXOHCRPFA-UHFFFAOYSA-N 423.828 4.916 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800901 QPKXDPNWWUDDNQ-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 741612204 WSDXIMYGWFSFIG-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD CCN(c1ccccc1)c1ccc(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 742000930 PZZSLEHJOFRTLC-UHFFFAOYSA-N 411.408 4.961 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(c2cc3ccccc3[nH]2)CC1 744045437 IKWXPPBECSXZQO-UHFFFAOYSA-N 418.497 4.696 5 20 HJBD C[C@@H]1C[C@@H]1C(=O)Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744284045 MYNPABPTWCLYDG-SKDRFNHKSA-N 409.225 4.715 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc2ccccc2c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745415277 HRFGULAASHYIKS-HNNXBMFYSA-N 406.438 4.596 5 20 HJBD Cc1ccc(CN2C(=O)CC[C@H]2C(=O)OCc2nc3ccccc3c3ccccc23)cc1 745595505 WKXRXKAXFFXSLZ-VWLOTQADSA-N 424.500 4.931 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nccn2-c2ccc(C(F)(F)F)cc2)c1 749688965 KBYXJXJLLQNXNL-INIZCTEOSA-N 409.389 4.625 5 20 HJBD CCC(=O)c1cccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1 750922795 MGTUWDLBZOUQGX-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc(C)nc21 751310642 SMCNXFQUICCVQO-CYBMUJFWSA-N 415.881 4.545 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@H]2C[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 752115442 VFPXFYZQKHKCPI-ICSRJNTNSA-N 414.527 4.825 5 20 HJBD CC(C)COc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754986159 BHYLYODGMWYCPD-UHFFFAOYSA-N 411.227 4.784 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C2CC2)o1 757256826 SSISLMNPHCVQBA-SJCJKPOMSA-N 403.866 4.839 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 759934036 UPGKIGPZHDYWEH-CHWSQXEVSA-N 418.500 4.625 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1ccc(CCNC(=O)OC(C)(C)C)cc1 765608477 WUOYMFGJNCVTGH-KRWDZBQOSA-N 413.518 4.821 5 20 HJBD CC(C)([C@H](OC(=O)c1coc(C2CCC2)n1)c1ccc(Br)cc1)[N+](=O)[O-] 774204100 VRAUVVSBGOWAMR-OAHLLOKOSA-N 423.263 4.658 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1[N+](=O)[O-] 776848831 ICIPEVJMFKLLRF-JTQLQIEISA-N 418.862 4.511 5 20 HJBD CC[C@@H](C)Oc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccn1 777117633 XNCQDOINMDVGAS-QVKFZJNVSA-N 407.426 4.509 5 20 HJBD C[C@@H](OC(=O)C1(C)CCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 781300364 FNIBZOKFZGJACC-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1Br)[C@@H](C)c1cccc(OC)c1 782123494 ONNDGRFISPDEFO-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD COc1ccc([C@@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 794159757 QEYXKYWCYYUURH-AZUAARDMSA-N 412.511 4.924 5 20 HJBD COCc1nc(COC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cs1 799553319 KGWOWAGSSNLXJF-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD COCc1nc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c(-c2ccccc2)s1 799595438 JISKPTMHGSJONK-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(n2c(O)nc3ccccc32)CC1 809931137 HTCRMBKVCBKMJS-UHFFFAOYSA-N 421.284 4.794 5 20 HJBD CC(=O)N(c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)[C@@H]1C[C@H]1C 815164234 QKJJBOAWGNUJBM-KZULUSFZSA-N 405.401 4.570 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)c2ccc(C)c(C)c2)c([N+](=O)[O-])cc1OC 914513717 HEOHGJDOMOOESD-UHFFFAOYSA-N 410.426 4.669 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(Cc3ccc4ccccc4c3)o2)ccc1N1CCCC1 1257868406 NQSNLTIJJQYZCE-UHFFFAOYSA-N 400.438 4.989 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@H](c3nc4ccccc4o3)C2)c(Br)c1 1320713548 WSOKXLQTYRYTTG-AWEZNQCLSA-N 416.275 4.878 5 20 HJBD Cc1ccc(OCCCc2nnc(COc3ccccc3[N+](=O)[O-])o2)c(Cl)c1 1333077572 NNNCTYIEIWHHTL-UHFFFAOYSA-N 403.822 4.530 5 20 HJBD COc1ccc(NC(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 1517274997 ICSMWRUDNHHBEH-UHFFFAOYSA-N 416.821 4.542 5 20 HJBD CSc1ccc(C(=O)NC[C@@H](c2ccccc2Cl)N2CCCC2)cc1[N+](=O)[O-] 10092351 NYUCNMXNEAPOBS-SFHVURJKSA-N 419.934 4.537 5 20 HJBD COc1cc(CSc2nc3ccccc3nc2C)c([N+](=O)[O-])cc1OC(F)F 28191614 NUDJCTNZIZWERF-UHFFFAOYSA-N 407.398 4.749 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 46717072 QZTZNINKHKHHRC-OKILXGFUSA-N 415.877 4.619 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64898053 REUXVXPJTATLCB-UHFFFAOYSA-N 409.345 4.869 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(c3ccc([N+](=O)[O-])cc3Cl)C2)cc1 110441455 YUXAOXNKMJCLPH-HNNXBMFYSA-N 402.882 4.595 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cnc(-c2ccccc2)nc1 195721142 IFPIGJPGMDDHJB-UHFFFAOYSA-N 424.416 4.535 5 20 HJBD COc1ccc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1Cl 196456472 YUDCVYIFJZBTPL-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD COc1ccc(-c2nn(-c3ccccc3)cc2CNCc2ccc([N+](=O)[O-])cc2)cc1 237405717 UUBDUKWMGZPOFS-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD COc1ccc(-n2ccc(CNCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)n2)cc1 237451066 IWOVBVVBXPWONN-UHFFFAOYSA-N 420.494 4.807 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccccc3OCC(F)(F)F)cs2)c1 237900878 HKKKNDUKPPJUQE-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD Cc1ccc([C@@H](Nc2ccc(C)cc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301485615 WRXRGFCVVRXDEN-JOCHJYFZSA-N 410.495 4.817 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 301873572 QYEGOAOEOBLYRW-UHFFFAOYSA-N 420.444 4.651 5 20 HJBD CC(C)Cc1ccc([C@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C2CC2)cc1 303486491 RDUATWACUCXQOU-QHCPKHFHSA-N 419.481 4.928 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 410147980 OBGIVXGWFBIYNS-QFIPXVFZSA-N 405.454 4.582 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c2[nH]ncc12 426110768 GMBODCQBXSIELT-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(OC)c2[N+](=O)[O-])ccc1OCCC(C)C 435560230 LXEVCHSUIIHEKW-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NCc2cccs2)c1)c1ccc([N+](=O)[O-])s1 438538334 BXKKDZGPRFLYHD-UHFFFAOYSA-N 421.887 4.554 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1cc(F)ccc1Nc1ccc(F)cc1F 441450669 UDGIXVPRQCRZJX-UHFFFAOYSA-N 402.332 4.711 5 20 HJBD Cc1c(NC(=O)CCc2cccc([N+](=O)[O-])c2)cccc1NC(=O)c1ccccc1 445992193 JQHIGVMLKXAWMK-UHFFFAOYSA-N 403.438 4.727 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)ccc1OCC(F)(F)F 462792107 PJAKHMBUWWTCCQ-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC(C(F)(F)F)CC2)cc1 464494589 JYEWEKUIUXLETA-UHFFFAOYSA-N 424.375 4.810 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1ccc(F)cc1[N+](=O)[O-] 466295712 GDCHEJKVZZPCRZ-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD COc1cc(CNCc2c(C)oc3ccccc23)c([N+](=O)[O-])cc1OCC(F)(F)F 466461077 AZLRTCXMHQZPGV-UHFFFAOYSA-N 424.375 4.889 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2c[nH]c3ccccc23)CC1 467215078 RTKPIPPVSYYHSZ-UHFFFAOYSA-N 412.877 4.819 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCC3CC3)c(F)c2)c1 469441995 BSKNTXQZBWJCDV-GFCCVEGCSA-N 404.463 4.736 5 20 HJBD Cc1cc(Br)cc(C)c1N(CC(F)F)C(=O)c1ccc([N+](=O)[O-])s1 472017498 LCLCLLFURGHVEM-UHFFFAOYSA-N 419.247 4.948 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1ccc2cc[nH]c2c1 473568415 JGRQZFMSCTYCQG-UHFFFAOYSA-N 400.438 4.965 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@H](c1ccc(C)cc1)c1cccnc1 477216804 PGJKXDMBMXEHQE-HSZRJFAPSA-N 407.445 4.618 5 20 HJBD C[C@H](NC(=O)[C@H](C)Cc1ccc(F)c(Br)c1)c1cccc([N+](=O)[O-])c1 480497811 WPTZLUTVPOUOLM-NEPJUHHUSA-N 409.255 4.552 5 20 HJBD COc1cc(C(=O)N2CC[C@H](C3CC3)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480986638 SZALGBDGXJRBGX-HNNXBMFYSA-N 416.861 4.921 5 20 HJBD Cc1ccc2c(c1)CCN(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 480994441 SNUJGOQQIJSLLH-QGZVFWFLSA-N 416.481 4.670 5 20 HJBD CCOc1cc(NC(=O)N2CCCC[C@H](SCC)C2)c([N+](=O)[O-])cc1OCC 481445684 RFRZIJGCYQLPBG-AWEZNQCLSA-N 411.524 4.532 5 20 HJBD COc1ccc(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OC1CCCC1 485384589 RAXZLQCRKVWRPY-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD Cc1cc(Br)cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 485671352 XTIDZSABFUMACJ-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD Cc1cccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1Br 485755408 CVAWEMONUKQHJP-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487961868 WZZKUOSTLCUEEA-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD C[C@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488815558 BRQOCINGZILJRX-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489937825 UWSDJVMIHQTAES-LSDHHAIUSA-N 412.877 4.698 5 20 HJBD O=C(Nc1ccccc1)c1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 490403511 YJSACAQRQIIPMP-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD CC(C)C[C@@H](CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491160151 GPOSVKQSAXQXCG-MRXNPFEDSA-N 402.516 4.521 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H](C)c1ccc(NC(=O)c2ccncc2)cc1 492755629 FBRXYGVYHPMOKW-IAGOWNOFSA-N 404.470 4.962 5 20 HJBD CC(=O)c1ccc(CNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)nc1C 497471387 IBXAXXVANQBPAA-UHFFFAOYSA-N 415.515 4.569 5 20 HJBD COc1ccc2c(c1)CCCCN2C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 498748838 VRPYOYPRXVJGNC-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@@H]1c1ccc(Br)cc1 502016364 ZGPLNARQYDPUDX-RTBURBONSA-N 417.303 4.898 5 20 HJBD COc1ccc(CN(Cc2nnc(-c3ccccc3Cl)o2)C(C)C)cc1[N+](=O)[O-] 502730901 OHBZJEBESZXTDM-UHFFFAOYSA-N 416.865 4.717 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 504140973 CUOAPLFZIFHKIC-UHFFFAOYSA-N 411.792 4.702 5 20 HJBD COCCSc1ccc([C@H](C)N=c2cc(C)[nH]c(-c3ccc([N+](=O)[O-])cc3)n2)cc1 505600925 BKQAWDJKVNTIJO-INIZCTEOSA-N 424.526 4.694 5 20 HJBD O=C(C[C@H](c1cccs1)n1cccc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 509210769 VQKMECWSHDWZOK-MRXNPFEDSA-N 423.416 4.773 5 20 HJBD CN(CCc1nccs1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 511255801 ATIONPGSSUACSN-UHFFFAOYSA-N 417.874 4.812 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N1N=C(c2ccco2)C[C@H]1c1cccs1 514691897 PNGFASYWICKAQJ-SFHVURJKSA-N 424.482 4.656 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 518298894 UCAJVVPXMKQRRL-HXUWFJFHSA-N 414.465 4.680 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 520437837 ONPQCLFUIYZCQB-IBGZPJMESA-N 406.385 4.799 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 521858519 RWVDPADQDHGKMA-HUUCEWRRSA-N 417.252 4.830 5 20 HJBD CC(C)NC(=O)[C@@H]1CCC[C@@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 522538031 SWFALHIQJAOCJL-WOJBJXKFSA-N 424.545 4.536 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 522893767 OTWGLLZLFFGMJB-CQSZACIVSA-N 415.877 4.824 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@@H]3OCCc4ccccc43)n2)cc1[N+](=O)[O-] 524489848 YTKSDBNRDVWZSU-IBGZPJMESA-N 409.467 4.669 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1c[nH]c2cc([N+](=O)[O-])ccc12 531873464 RUKVYIFJQFWQRJ-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535700834 YROPLKUVFBPKKZ-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccc2OCc2ccccc2)c1 538032887 QYPOGKSUIARLCQ-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)C(=O)N(c2nccs2)C2CCCCC2)cc1[N+](=O)[O-] 539381468 ZLFJRNVJSDQHHH-HOTGVXAUSA-N 416.547 4.765 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 540118731 MDZNFHWFUHGJTO-UHFFFAOYSA-N 418.453 4.730 5 20 HJBD C[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1nc(-c2ccccc2)cs1 541661294 VKDDZXCTCJIBFR-CYBMUJFWSA-N 407.455 4.619 5 20 HJBD CCC[C@@H](NCc1cn2cc(Br)cc(C)c2n1)c1cccc([N+](=O)[O-])c1 545457958 PQUHINREWQCEQP-GOSISDBHSA-N 417.307 4.944 5 20 HJBD CCCc1c(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1cc(C)ccn1 546180641 JDLCMQTUWACPSK-UHFFFAOYSA-N 414.853 4.734 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3ccccc3NC(=O)C3CC3)no2)cc1[N+](=O)[O-] 546291178 XJFBRVXTUGBZEC-UHFFFAOYSA-N 406.442 4.958 5 20 HJBD CC[C@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1ccccc1Cl 556872581 ZRZZYMDFMQHJAV-YLJYHZDGSA-N 414.893 4.518 5 20 HJBD CCS(=O)(=O)c1ccc([C@@H](C)NC(=O)c2cc3ccccc3c3cccnc23)cc1 557202558 FTBMQPKEGQRLQC-MRXNPFEDSA-N 418.518 4.673 5 20 HJBD Cc1cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc2n(C)c1=O 561556221 OQLCXXXRJMSITH-UHFFFAOYSA-N 411.483 4.508 5 20 HJBD CC(C)(C)c1ncc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cn1 568690074 CYVYUYOVDURUKA-UHFFFAOYSA-N 406.442 4.514 5 20 HJBD CN(Cc1nc(-c2cccs2)no1)C(=O)c1cc2ccccc2c2cccnc12 569133501 GWHIHMSAUUHUDF-UHFFFAOYSA-N 400.463 4.772 5 20 HJBD C[C@H](C(=O)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 575849441 VICXIHCVPFEQHS-BTYIYWSLSA-N 409.873 4.847 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(Br)c(Cl)c1Cl 576028809 WUKRDSZYGNLTTP-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 581220914 MLUJKJVXEPOMQI-XLIONFOSSA-N 401.438 4.680 5 20 HJBD O=C(CSCc1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603639073 LXXGYSDJPQFVFK-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD COc1ccc(CNC(=O)[C@H](C)Sc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 604018532 SJLCRJRLMGOITA-JTQLQIEISA-N 415.298 4.707 5 20 HJBD Cn1ccc2c(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cccc21 608882848 VZBRLZINQGBTOR-UHFFFAOYSA-N 400.438 4.951 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)c2cccnc12 609722877 MLXZLLOIALFGLN-UHFFFAOYSA-N 406.225 4.857 5 20 HJBD C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755366 IQJJMAXWYBHVHT-QKKBWIMNSA-N 406.394 4.554 5 20 HJBD O=C(CCCCc1ccc(Br)cc1)N1CCc2c1cccc2[N+](=O)[O-] 609972422 JXRNSIHLOIZKQX-UHFFFAOYSA-N 403.276 4.659 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 610055182 IXDYUWXWQLAJLC-HXUWFJFHSA-N 410.518 4.840 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1Cl 610224865 BJVFJFRFURADKB-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3ncnc4ccc([N+](=O)[O-])cc34)C2)nc2ccccc21 611705013 CREJMBUUGGRDPU-MRXNPFEDSA-N 416.485 4.853 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 615037728 QMDULOVUYBYKLB-URLYPYJESA-N 413.318 4.934 5 20 HJBD COC[C@@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1cccc(C(F)(F)F)c1 616118247 CMIGALIUZOUMOE-MRXNPFEDSA-N 411.380 4.740 5 20 HJBD C[C@H](Oc1ccccc1C(F)(F)F)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 727101019 RYHYVTHDHVISGB-NSHDSACASA-N 416.783 4.693 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1NCc1c(Cl)cccc1Cl 732001998 AGIOXGXGFXZUKO-UHFFFAOYSA-N 411.213 4.510 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735367821 BZUXXGFSBIVFOX-UHFFFAOYSA-N 422.397 4.660 5 20 HJBD O=C(CCCc1c[nH]c2ccccc12)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445753 XWRJQUFIWXJXNJ-UHFFFAOYSA-N 405.410 4.797 5 20 HJBD COc1ccccc1-c1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)no1 736015638 AUQOSOQWEXCZSL-UHFFFAOYSA-N 407.426 4.848 5 20 HJBD O=C(NC[C@H](O)c1c(Cl)cccc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 740941146 MZGFSHNENKOORS-ZDUSSCGKSA-N 411.266 4.580 5 20 HJBD CCC[C@@H](CCc1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 741603372 OMGVCQBJWYWLKD-HNNXBMFYSA-N 405.292 4.889 5 20 HJBD CC(=O)Nc1ccc(NC(=S)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 743062147 SGTZOBQVMDKLHH-UHFFFAOYSA-N 420.494 4.957 5 20 HJBD Cc1nc(C(C)C)nc(O)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 747392319 ANTIITOTKZMILV-UHFFFAOYSA-N 408.414 4.567 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccnc3ccccc23)cc1)c1cccc([N+](=O)[O-])c1 747473072 NRXNDKLCRUVYFI-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD CCc1ccc(-c2nc(C3(NC(=O)OC(C)(C)C)CCCC3)no2)cc1[N+](=O)[O-] 747857688 MMYKBBRIWMHNAO-UHFFFAOYSA-N 402.451 4.501 5 20 HJBD O=C(NCc1cccc(F)n1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 748201693 QZEXLJTWTGERBX-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC(C)(C)CC(=O)N1CCC[C@H]1C(=O)OCc1nc2ccccc2c2ccccc12 748383390 NIIFJOFYRNTQGD-QFIPXVFZSA-N 404.510 4.858 5 20 HJBD C/C(=C/C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(C(F)(F)F)cc1 748390762 LRZQWRRFIYNKOX-SDQBBNPISA-N 423.343 4.627 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ncc(-c2ccc(Cl)cc2Cl)o1 753334509 GCXUKWDRUNIBJB-SECBINFHSA-N 410.213 4.813 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(CSc3ccc(Cl)cc3)no2)C1 761423583 MUZQKBQPFANHME-INIZCTEOSA-N 416.846 4.789 5 20 HJBD COc1ccc(NC(=O)c2ccccc2NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 761446900 IARFHKGXXMMXEE-OAHLLOKOSA-N 419.437 4.598 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCc2ccc([N+](=O)[O-])cc21 764173077 XSDRMQCCQYOCEH-UHFFFAOYSA-N 413.455 4.741 5 20 HJBD COC(=O)N(C)c1ccc(Nc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)cc1 765015397 SFNAWKVIBPZMSF-UHFFFAOYSA-N 408.414 4.515 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 767992445 UOCJIFZGVSAORV-YDTSGJJXSA-N 407.857 4.757 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc(Cl)c1[N+](=O)[O-] 773153430 MXXTXISPJUCVPZ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COc2ccc([N+](=O)[O-])cc2C=O)on1 777893942 DRGXKDQYCYBLQN-UHFFFAOYSA-N 404.378 4.803 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cc1 781108995 HXTMYMAMKBNZBQ-CYBMUJFWSA-N 421.347 4.786 5 20 HJBD O=C(OCc1csc(-c2ccoc2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259835 IYKOZTZKHCOYCK-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc(Cl)cc1 781261767 XOBAMICELPAUHA-ZDUSSCGKSA-N 405.837 4.763 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782480826 SQFGZMPMSWSXSV-GFCCVEGCSA-N 413.371 4.774 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-])c1ccccn1 783152098 CUYMEBMMJTYYLO-AUUYWEPGSA-N 413.474 4.666 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1N 787132684 JXGIUGMZYCANCH-UHFFFAOYSA-N 409.467 4.730 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Cl)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cn1 794942987 GIXQIHBXBRKKKF-KPKJPENVSA-N 400.740 4.766 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccccc2[C@@H]1c1nc(-c2ccc([N+](=O)[O-])cc2)no1 795708246 YOFCKCUBFIFPIV-GOSISDBHSA-N 422.441 4.527 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccccc3)n2Cc2ccco2)c([N+](=O)[O-])c1 799782405 QBTHAFVHNBWCME-UHFFFAOYSA-N 423.410 4.554 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OC[C@@H](OC)c2ccc(Cl)cc2)cc1SC 801257549 ZWYBOKSVJIITFI-MRXNPFEDSA-N 411.863 4.523 5 20 HJBD CCCN(Cc1ccc([N+](=O)[O-])c(F)c1)Cc1nnc(-c2ccccc2Cl)o1 801525344 SNWCFSRWSXHEMW-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)cc1 803209975 IWZPVQKFCCLCGM-UHFFFAOYSA-N 422.384 4.506 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 808244119 XHXOXTAYPQTHHT-UHFFFAOYSA-N 422.190 4.810 5 20 HJBD Cc1cc(N[C@H](C)c2ccc(N3CCCNC3=O)cc2)c2cccc([N+](=O)[O-])c2n1 812487360 LBQNTZHPJJZLQD-OAHLLOKOSA-N 405.458 4.544 5 20 HJBD CC(C)(C)c1nc(CCNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cs1 813117105 RJXCYYABZFUJKH-UHFFFAOYSA-N 402.303 4.628 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813145339 UYEXLCJLEUTOHN-GFCCVEGCSA-N 414.676 4.564 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)c1)c1cccs1 816838436 BDVSNLBGAIWEFB-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1ccc(-c2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c3c(C)noc3n2)cc1 836495014 VNMWLRHYEWUHLA-UHFFFAOYSA-N 406.373 4.806 5 20 HJBD N=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1OC(F)(F)F 849109203 AGMFARKQWOTCBN-UHFFFAOYSA-N 422.285 4.649 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(COCC(F)(F)C(F)F)c2)c([N+](=O)[O-])c1 917084184 XODRHOPFQFVANT-UHFFFAOYSA-N 403.288 4.664 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCC2)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 918027051 SATHWSRTWZLTDS-UHFFFAOYSA-N 416.865 4.699 5 20 HJBD CSc1nc(C)c(CCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c(C)n1 920330642 LKJSYEKEVBAEOU-UHFFFAOYSA-N 423.494 4.929 5 20 HJBD Cc1cc(N2CCC([C@H]3C(=O)Nc4ccccc43)CC2)c([N+](=O)[O-])cc1C(F)(F)F 1324201526 IBPKZWJTGCYZBZ-LJQANCHMSA-N 419.403 4.874 5 20 HJBD CC1CCN(C[C@H](C)c2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)CC1 1329074737 NMCQKDBURLBAHV-AWEZNQCLSA-N 413.503 4.604 5 20 HJBD Cc1c(C(=O)Nc2ccc(SCc3cccnc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 11597849 BUMXAYVOXCTOBB-UHFFFAOYSA-N 424.438 4.751 5 20 HJBD CC(C)Cn1c(SCCOc2ccccc2[N+](=O)[O-])nnc1-c1ccccc1F 59405156 KINGRTOWSWHCFP-UHFFFAOYSA-N 416.478 4.820 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@H]1c1ccncc1 140925550 XTGCGBPAXPKUMH-YOEHRIQHSA-N 403.866 4.554 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(OCC(F)(F)F)cc3)cs2)c1 237685270 IZTRNOWCQAXEOK-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD CCc1ccc([C@@H](Nc2ccccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301468626 NLROMRSNGPQGRA-JOCHJYFZSA-N 410.495 4.762 5 20 HJBD COc1cccc(OC)c1OC1CCN(c2cc(C)nc3ccc([N+](=O)[O-])cc23)CC1 302194008 RXBUWQURRPFXKD-UHFFFAOYSA-N 423.469 4.516 5 20 HJBD CC[C@@H](C)[C@@H](C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 302954929 FMDMJYGAXGIYTA-IAGOWNOFSA-N 406.486 4.541 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 302995053 NDWYNGKGFUGYMV-IFMALSPDSA-N 404.470 4.579 5 20 HJBD Cc1sc(CCNc2ccc(C(=O)NC3CC3)cc2[N+](=O)[O-])nc1-c1ccccc1 433435791 UTLUNYFVQNMBNS-UHFFFAOYSA-N 422.510 4.574 5 20 HJBD CCC(=O)c1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 436652308 LVXGSENMGGLLDC-UHFFFAOYSA-N 402.472 4.894 5 20 HJBD Cc1ccc(CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(OCC2CC2)c1 438015040 RRTSDJQZPWWXLR-UHFFFAOYSA-N 409.486 4.566 5 20 HJBD Cc1c(CC(=O)N2CCC(c3nc(C(C)(C)C)cs3)CC2)cccc1[N+](=O)[O-] 438989797 ZZHJOPWLYKUMTE-UHFFFAOYSA-N 401.532 4.606 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444013581 YSOOUMQFUDYJLI-AWEZNQCLSA-N 416.493 4.707 5 20 HJBD O=C(Cc1noc(/C=C\c2ccccc2[N+](=O)[O-])n1)Nc1cc(Cl)ccc1Cl 445334154 WJRJWSVNXQOTFG-YVMONPNESA-N 419.224 4.636 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCN(c2cccc3cnccc23)C[C@H]1C 446260047 IWYJNXSOCAZWIH-QGZVFWFLSA-N 419.485 4.502 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 447715862 RCQLIOUFGNVFJO-UHFFFAOYSA-N 412.442 4.684 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463628956 MZAGIODYPOSQFK-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD CSc1ccc(F)cc1CNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 465217674 ZTFCEVVGBVDDNB-UHFFFAOYSA-N 403.460 4.509 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 466693751 CGDGPIPIHCYODA-JOCHJYFZSA-N 407.495 4.956 5 20 HJBD C[C@H](N[C@@H](C)c1cc2cccc(F)c2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 475697610 OBUKCOVLNLVGJU-QWRGUYRKSA-N 405.813 4.811 5 20 HJBD CCC[C@@H](CCO)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 476799831 RNYGWBWMWQGNRG-AWEZNQCLSA-N 406.866 4.569 5 20 HJBD COc1cc(OC2CC2)ccc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 480079477 BVYWCPLJXSEQHN-UHFFFAOYSA-N 411.439 4.520 5 20 HJBD CSc1cccc(C(=O)Nc2ncccc2OCC2CCCCC2)c1[N+](=O)[O-] 486253402 QVCRHNCDZOXAPQ-UHFFFAOYSA-N 401.488 4.923 5 20 HJBD Cc1ccccc1[C@H](CC(C)C)Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-] 488210154 OXNNJSPXAVVCOA-NRFANRHFSA-N 411.502 4.575 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 489050426 FNRSGKVGFVMMLK-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H](C)c1ccc(NC(=O)c2ccncc2)cc1 492755634 FBRXYGVYHPMOKW-SJORKVTESA-N 404.470 4.962 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498146693 MIIKBKZOLQNJTQ-ZHALLVOQSA-N 422.428 4.991 5 20 HJBD COCCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 500324610 BXSUZXGBUKBKCG-CQSZACIVSA-N 410.392 4.772 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@H]3C=C(c4ccccc4)C[C@H]2CC3)cc1SC 500593867 CMTOOZQBFOATLG-IAGOWNOFSA-N 410.495 4.786 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 502848123 VCYWTJHQLZXSNZ-CYBMUJFWSA-N 408.376 4.745 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)oc12 503191410 HZYJPUGIVHNVMN-GFCCVEGCSA-N 400.456 4.953 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 506262412 OTOJHFHCAUNWLC-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@H]1c1cc2ccccc2[nH]1 517460750 SKPKGGWXQZKJPM-QFIPXVFZSA-N 415.453 4.629 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 520155056 NUPZPLSLCYQDIP-AWEZNQCLSA-N 420.487 4.748 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[nH]c2c1C(=O)CCC2 522672246 CUADJPZQTPJTJF-UHFFFAOYSA-N 405.410 4.795 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 525138172 BXAHVPXLIYIFJD-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD O=C(Nc1cc(F)ccc1OCC(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 531215862 ZTWRVOZKBKCMBD-UHFFFAOYSA-N 413.327 4.502 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c(C)c2c1 532802186 FTFUSPDKHHZANY-UHFFFAOYSA-N 406.438 4.764 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccsc2)s1 538256980 VFGDOMCHVLZAKX-UHFFFAOYSA-N 406.514 4.928 5 20 HJBD COc1ccc(-n2c(CN[C@H](C)c3cccc([N+](=O)[O-])c3)nc3ccccc32)cc1 540376137 CHHOZBUNGFSTDP-MRXNPFEDSA-N 402.454 4.793 5 20 HJBD C[C@@H](C(=O)NCc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 541614746 VTAMXWAFESLDBD-SECBINFHSA-N 415.646 4.570 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccccc1OCc1cscn1 541997389 MMZOLLRWDKDPQH-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD COc1cc(-c2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc(Cl)c1OC 544617476 XHHNUXVOGKMPRW-VIFPVBQESA-N 407.785 4.606 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545781461 IGFWVJVNKOBXQH-ACJLOTCBSA-N 412.421 4.540 5 20 HJBD COc1ccccc1-c1csc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 546540236 IFUCQFKHIFLGSA-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD C[C@H](c1nc(-c2ccc(C(=O)N3CCC[C@H]3C)cc2)no1)c1ccc([N+](=O)[O-])cc1F 547262777 JBAYDSPVPUZTML-KGLIPLIRSA-N 424.432 4.560 5 20 HJBD C[C@H](C(=O)NCC1(c2ccc(Br)s2)CCC1)c1cccc([N+](=O)[O-])c1 552602630 JTKDRUQJSJTTRI-LBPRGKRZSA-N 423.332 4.760 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 558346251 GLIZKSMICVXBGX-NRFANRHFSA-N 401.397 4.606 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC(F)(F)[C@H](C)C3)cc2[N+](=O)[O-])n1 558581911 JPXIIXQISNRYRN-SNVBAGLBSA-N 413.471 4.628 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cc(Cl)cc4c3OCC4)cs2)c1 563656077 KHESQYFPXUVNEH-UHFFFAOYSA-N 401.875 4.596 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 566046617 OLECDIMZKRSYDD-LLVKDONJSA-N 400.485 4.577 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(N[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 566369676 DZDAMCUQDKOLAZ-FDDCHVKYSA-N 419.529 4.904 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cccc(COc2cccc(F)c2)c1 568611632 OOSRANLGZGAZJM-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD Cc1c(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(Cl)cc1 579056070 QZDSWLUAUUQAAJ-UHFFFAOYSA-N 420.256 4.717 5 20 HJBD C[C@H](Nc1ccc(Oc2cccnc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 579056714 YHTWGHVFULURDO-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD COc1cc(CN[C@H]2c3ccccc3O[C@@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 584802657 GJQXFBQBCURYSH-VOIUYBSRSA-N 420.465 4.794 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1 588962717 OAERBFBEDFFLQL-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1c(F)cccc1F 604047862 OHTIYBBYIFJAQA-VHSXEESVSA-N 417.334 4.570 5 20 HJBD CC(C)[C@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 608810223 FSXGSFPAXJVISG-IBGZPJMESA-N 410.392 4.896 5 20 HJBD COc1ccc(C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1OC 608983241 HDRBULCREGPHTO-FQEVSTJZSA-N 421.453 4.718 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 609505068 JGOJWIYPPSFBCE-DLBZAZTESA-N 416.359 4.542 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609547920 KHGNMSYCCFJKHK-LLVKDONJSA-N 419.359 4.701 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CCSCC3)o2)c(Br)c1 609600771 PNUXXGPIMJUVLB-UHFFFAOYSA-N 411.321 4.850 5 20 HJBD CCc1cccc2c1N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 609860627 CCUAJDKDQAGJJO-UHFFFAOYSA-N 408.376 4.691 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCOC2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 610051789 LMIHDMBAHPPQNC-FQEVSTJZSA-N 419.437 4.758 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H](C2CCOCC2)C1 611207754 UDUYXHNCGRNBNE-MRXNPFEDSA-N 404.532 4.518 5 20 HJBD O=C(NC1CCN(C2CCCC2)CC1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611211517 IPLMFFUXIRNHSG-UHFFFAOYSA-N 417.575 4.766 5 20 HJBD CN(C)CCCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 611238047 OUZIGCMGLHNSST-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD O=C(c1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1)N1CCCC1 726607430 VDZZCCVUYCFVIX-UHFFFAOYSA-N 421.522 4.849 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)c2)n1 729336598 MRNILVIOXXGCET-UHFFFAOYSA-N 407.455 4.697 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)OC(C)(C)C)CCC2)no1 731807361 FPMSYTWCDSIUDZ-GFCCVEGCSA-N 420.491 4.735 5 20 HJBD CCC(C)(C)c1ccc(OC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 732494321 LLKDXSYQQKZFCU-MRXNPFEDSA-N 416.499 4.554 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 735251579 NWNPMFPHSXUJGK-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 735318360 MHPMXUHETKWCME-KRWDZBQOSA-N 405.454 4.921 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443642 PTCINLOYKZMOII-UHFFFAOYSA-N 419.393 4.581 5 20 HJBD NC(=O)c1ccc(-c2nc(CSCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 735506391 GJVNSHSBKNFMGY-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c(Cl)c1 740777643 UEJHNFPZQVGJKF-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1cccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)c1[N+](=O)[O-] 741821202 DMADXSCMLWAART-INIZCTEOSA-N 420.421 4.880 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CC[C@H]1c1cccc(F)c1 750890735 RISVBKHODATPEJ-ZDUSSCGKSA-N 413.630 4.737 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](COc2ccccc2Cl)C1 754125933 RAPVRQUTSLBHTL-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD C[C@@H](C(=O)OCc1ncc(-c2cccc(Cl)c2)o1)c1ccc([N+](=O)[O-])cc1F 754263175 IEKYCPGDCREDAM-LLVKDONJSA-N 404.781 4.889 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)cc1 754984817 BZBGDQIREZDSOW-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(C)c1 755065098 OMHBJAYCWGWZFY-INIZCTEOSA-N 416.449 4.725 5 20 HJBD CC(=O)c1cccc(COC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 755143058 NBQYRQYKFNEOHU-UHFFFAOYSA-N 413.373 4.652 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 760370694 ADMHGFMDFFSMGY-QGZVFWFLSA-N 413.474 4.806 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760509549 PKOXIFYEFHKKLU-UHFFFAOYSA-N 401.444 4.669 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(N2CCOC2=O)cc1 768098075 QYZZWFMKCIWPBE-DOTOQJQBSA-N 415.515 4.773 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCc1nc3cc(Cl)ccc3s1)CC2 773993721 LVCIAKHDFCAIIX-UHFFFAOYSA-N 401.875 4.688 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@H](c2ccc(F)cc2Cl)C1 775597124 RROYSMUXZGQLAW-INIZCTEOSA-N 414.220 4.646 5 20 HJBD COc1ccc(C[C@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137017 LZQKJLBEFGXFQN-KSFYIVLOSA-N 406.438 4.510 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1sccc1Br 776137389 ZYAHWYUPYWNAIZ-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD O=C(OCc1cnc(-c2cccs2)o1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781484081 OIVZVJPNXOZEAW-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD CCn1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c(=O)c2cc(Cl)ccc21 782747566 IHHWEOIREXCQJX-GFCCVEGCSA-N 400.818 4.501 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 783656848 SLSGDHXCOJJKRO-UHFFFAOYSA-N 417.465 4.777 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 789428677 UQOKLLTZHFFFDK-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)c(SC(=O)N(C)C)c1)c1cccc([N+](=O)[O-])c1 805440362 CWBTYDIUXBXNOQ-LLVKDONJSA-N 407.879 4.764 5 20 HJBD CC(C)n1ncc2c(C(=O)Oc3cccc([N+](=O)[O-])c3)cc(-c3cccs3)nc21 809704799 GYSYEFKIFARSIA-UHFFFAOYSA-N 408.439 4.868 5 20 HJBD O=C(N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813167061 TWKALHPVYJCDPQ-CJNGLKHVSA-N 413.232 4.691 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1252310977 TUHUUXZLLQUVMC-UHFFFAOYSA-N 421.316 4.770 5 20 HJBD CN(C)[C@@H]1CCCC[C@@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 1318396715 JAFIUYNBNSUBFD-ZWKOTPCHSA-N 422.323 4.580 5 20 HJBD Cc1ccc(NCCCNC(=O)c2nc(-c3ccccc3)oc2C2CC2)c([N+](=O)[O-])c1 1318707254 CTXSVQNBGCQPAQ-UHFFFAOYSA-N 420.469 4.668 5 20 HJBD O=C(NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1320922788 HDWOOECGZDUZOK-UHFFFAOYSA-N 423.366 4.510 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]2)cc1 7113676 DLAMOZPFOCCFGG-GFCCVEGCSA-N 408.443 4.592 5 20 HJBD O=C(Nn1cnc2ccccc21)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 10136232 KNIXGZBGNGYONM-UHFFFAOYSA-N 408.801 4.774 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2ccccc2C)nc2cc3ccccc3cc2c1=O 22178671 MWOYFYZMGZVVMD-MRXNPFEDSA-N 417.534 4.997 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCN(C(=O)c2cccc3ccccc23)C1 52585816 LPYMMCBPHDALKX-GOSISDBHSA-N 417.465 4.547 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N2CCc3ccc([N+](=O)[O-])cc32)o1 105664422 NMCSCUJQFYYXJA-UHFFFAOYSA-N 414.421 4.552 5 20 HJBD CCOc1cc(C(=O)N2CCC(c3cc4ccccc4[nH]3)CC2)c([N+](=O)[O-])cc1OC 109349011 FVECLBZHSZBQPD-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD COc1ccc(NC(=O)c2cc(C3CC3)nc3onc(CC(C)(C)C)c23)c([N+](=O)[O-])c1 195609813 CYVVOWTUBUXQRN-UHFFFAOYSA-N 424.457 4.858 5 20 HJBD CCc1cc(N2CCC(C(=O)c3cccs3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301546379 PMNSBIZMFANPNG-UHFFFAOYSA-N 422.510 4.775 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCCOc2ccc(OC)cc2[N+](=O)[O-])o1 303936183 RQQDSJADIDIXEF-MRXNPFEDSA-N 415.471 4.699 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)c(F)c1 431133979 CVYRAFCPOWWMNA-INIZCTEOSA-N 411.202 4.613 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2O)cc1 436769187 JQRZANZOEMDPHW-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(C3(c4ccc(Cl)cc4)CCCCC3)n2)n1C 445622203 XIFBLMJYNQRVPV-UHFFFAOYSA-N 401.854 4.590 5 20 HJBD CC(C)C1CCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 461432614 XHANKHIXSBSIIB-UHFFFAOYSA-N 411.289 4.596 5 20 HJBD C[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1ccccc1Cl 462736645 OFWPJHZHLYLGRT-ZDUSSCGKSA-N 403.891 4.929 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC1(c2ccc(Cl)cc2)CCOCC1 463952878 UMNCBURTEHWCFQ-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD O=C([C@H]1C[C@@H]1c1c(F)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634754 SRBZRTAKRKOZHV-IRXDYDNUSA-N 418.852 4.561 5 20 HJBD C[C@H](c1nc2ccccc2n1C(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464778389 NXHHHAXHMAXJPD-CQSZACIVSA-N 416.428 4.944 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@@H](c4cccc(Cl)c4)C3)o2)c1 467188790 YUEHNKDRZOMUTB-HXUWFJFHSA-N 413.861 4.519 5 20 HJBD COc1cc(OC)cc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 468146785 PTEPORKUYFPZPJ-UHFFFAOYSA-N 404.488 4.637 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc(Br)s1 468171011 WOUWMCMFYKMCOL-MRVPVSSYSA-N 403.685 4.872 5 20 HJBD CCc1nn(-c2ccccc2)c(CC)c1CNC(=O)c1cccc(SC)c1[N+](=O)[O-] 468483720 MYIGWHNNEXAUMF-UHFFFAOYSA-N 424.526 4.557 5 20 HJBD Cc1ccc(CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c(-n2cc(Cl)cn2)n1 468602178 OJARRRWZLWBPLU-UHFFFAOYSA-N 423.860 4.687 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nnc(-c2cc3ccccc3o2)s1 470366858 IIKKIZIRBAXLOI-UHFFFAOYSA-N 412.452 4.590 5 20 HJBD CCc1ccc(C(=O)Nc2cc(C(=O)N(C)C(C)C)ccc2Cl)cc1[N+](=O)[O-] 471911650 RHMOTCOIDCLXFB-UHFFFAOYSA-N 403.866 4.543 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(Cl)cc1[N+](=O)[O-] 473401804 PFAXWDPCJXAGJU-GOSISDBHSA-N 402.237 4.816 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 473638494 CFKOKSWZXUJRKS-APWZRJJASA-N 400.453 4.887 5 20 HJBD CSc1ccc(C(=O)NCc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 480374040 AWSBEKFBPVIFPC-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD CN(Cc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 483127673 CKHTZRYMUMIRPH-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cnc(O)c([N+](=O)[O-])c2)cc1Cl)c1ccccc1 484262985 QSIBOAAIBBICPU-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 485685333 SJKDOCWACKUNRX-QGZVFWFLSA-N 423.494 4.997 5 20 HJBD Cc1cccc([C@H](NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c2ccccn2)c1 488352293 WFUBPMHLMHOGLH-NRFANRHFSA-N 424.888 4.583 5 20 HJBD C[C@@H](NC(=O)Nc1cc(-c2ccc(Cl)s2)nn1C)c1cccc([N+](=O)[O-])c1 490524705 UDEKBCFKFXACMC-SNVBAGLBSA-N 405.867 4.593 5 20 HJBD CC(C)c1ccc(CN[C@H](c2ccc(Cl)cc2)[C@H]2CN(C)CCO2)cc1[N+](=O)[O-] 498359367 NYEULZWSBYXBFQ-FGZHOGPDSA-N 417.937 4.533 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 498545240 UABITUOLPPNQJW-JTQLQIEISA-N 413.257 4.752 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1Cc2cc(Br)ccc2C[C@H]1C 499891560 XACVNGLFHSGMBC-GFCCVEGCSA-N 418.291 4.953 5 20 HJBD Cc1cc2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2[nH]1 500290077 SFJKQIIPCXSGAL-NSHDSACASA-N 406.364 4.842 5 20 HJBD O=C(N[C@@H]1CCOc2c(-c3ccccc3)cccc21)c1c[nH]c2cc([N+](=O)[O-])ccc12 505117022 JOYKPXXGNYNKDE-OAQYLSRUSA-N 413.433 4.997 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F 505434598 NRELKXCCKIQBQH-VFZGTOFNSA-N 420.359 4.773 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2CCCc3occc32)cc1[N+](=O)[O-])c1ccccn1 506164170 OXEJBYILBKCXGP-KSSFIOAISA-N 406.442 4.563 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)CSc3ccccc3[N+](=O)[O-])c2)cn1 513020722 TUPVABNAXPQAMN-UHFFFAOYSA-N 409.467 4.705 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1 515847303 HVNUVLFNQBTIKP-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD Cc1cc(Cl)cc2nc(S[C@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)oc12 522684561 NLTFLJPTEGBSTN-HNNXBMFYSA-N 403.847 4.595 5 20 HJBD Cc1ccc(F)cc1C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 522817475 ATJOJNLGXHIJBN-UHFFFAOYSA-N 406.373 4.740 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)Cc4c[nH]c5ccc([N+](=O)[O-])cc45)CC3)c[nH]c2c1 536155310 NHSQQHBTVZIWQW-UHFFFAOYSA-N 414.465 4.724 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1ccc(Br)s1 536319322 IVRAHUOIHJZOJV-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD COc1cc(Br)c(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1OC 536864078 MOPCRQRRJRUWCX-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(COc4ccccc4)cc3)n2)cc1 536882839 QNBBFALRSBIKCH-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 538424924 UACNVYVTWWHUGH-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(N(C)c2ccccc2)CC1 539359244 JAUJJMFCMXDDOD-UHFFFAOYSA-N 413.543 4.836 5 20 HJBD COc1ccc(CNCc2cc(C(F)(F)F)cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 540609688 ZUGNXIHDKQBDGT-UHFFFAOYSA-N 408.298 4.931 5 20 HJBD CCc1ccc([C@H](NCCc2nc3c(F)cccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 541564245 GMAUBDFNGMPZBZ-JOCHJYFZSA-N 422.460 4.698 5 20 HJBD C[C@H](C(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 543585222 CKEYZHRVZUJLRS-ZDUSSCGKSA-N 413.424 4.864 5 20 HJBD COc1cc(-c2nc(Cc3ccc(Cl)cc3Cl)no2)cc([N+](=O)[O-])c1OC 544931635 MFAASEPYXUUGGF-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1=O 544934516 SUDWKFQNLCEJCK-ZBFHGGJFSA-N 406.442 4.679 5 20 HJBD C[C@@H](Nc1ccc(-c2nc([C@H]3Cc4ccccc43)no2)cc1[N+](=O)[O-])c1ccccn1 546291508 ZAALNSJOSIPBAI-KDOFPFPSSA-N 413.437 4.901 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(F)F)cc1 550646301 AKCIOWNEBXFIRY-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)n1 556559988 VGJSCAYBZXZDCW-UHFFFAOYSA-N 420.347 4.787 5 20 HJBD C[C@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)CCc1cccc([N+](=O)[O-])c1 558735399 MQNVXEVDJPPSGU-CYBMUJFWSA-N 420.391 4.899 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Oc2ccncc2)c(Cl)c1 560724946 WOCYRQNNKGVMPL-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD CCc1ccc(-c2nc([C@H](OC)c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 564560617 FFCUEEVZMVNNJR-MRXNPFEDSA-N 418.247 4.706 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1(c2cc(F)cc(Br)c2)CC1 569287373 YOOCQWQQSHFFFV-UHFFFAOYSA-N 423.238 4.565 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1ccc(Br)c(F)c1)c1cccc([N+](=O)[O-])c1 572097603 YSGAOUCCYCULTC-XIKOKIGWSA-N 421.266 4.964 5 20 HJBD O=C(c1c([N+](=O)[O-])cccc1C(F)(F)F)N1CCCn2c(cc3ccccc32)C1 583525136 YNUAUXQJBRAOBH-UHFFFAOYSA-N 403.360 4.614 5 20 HJBD COc1cccc(C2(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)CC2)c1 588886723 RPIUYWJNNBQTTN-UHFFFAOYSA-N 409.467 4.706 5 20 HJBD Cc1cc(Br)ccc1SCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 603966150 BGXRFHCNBWJAMX-GFCCVEGCSA-N 409.305 4.635 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C2CC2)o1 604309575 KMTCHXZQEDIXBK-MRXNPFEDSA-N 420.469 4.869 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 608949743 UNOJWDWNGHGKBU-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c2ccccc2O1 609512112 FQBWBMGALDQQNO-ZACQAIPSSA-N 409.486 4.505 5 20 HJBD C[C@](CO)(NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(C(F)(F)F)c1 609710944 LXGZQYPLSFCWLV-HXUWFJFHSA-N 420.387 4.871 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)CCNc2ccccc2[N+](=O)[O-])c1 609908335 PBZGGMWYLDMZAR-UHFFFAOYSA-N 413.421 4.998 5 20 HJBD CC(C)[C@@H](O)CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610200693 HSWZTGFJQAZXHM-KRWDZBQOSA-N 408.907 4.536 5 20 HJBD CN([C@@H]1CCCc2ccccc21)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 610259598 OWWYAMIANDMTLV-OAHLLOKOSA-N 415.298 4.600 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)C[C@H]2CCCO[C@H]2c2ccccc2)c1Br 612442205 UMWMZAIVGQYQLX-QAPCUYQASA-N 420.307 4.665 5 20 HJBD C[C@H](C(=O)N(Cc1ccc2c(c1)OCO2)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 612444784 RELNUICCGUPXCR-AWEZNQCLSA-N 414.433 4.538 5 20 HJBD O=C1O[C@@H](c2ccccc2)Cc2cc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)ccc21 731792015 FPYFTWYOXVPKIP-HXUWFJFHSA-N 413.389 4.766 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)c1 733245524 QIZFDZWJKYEKBR-UHFFFAOYSA-N 416.846 4.805 5 20 HJBD Cc1cc(-c2noc(CCC(=O)Oc3cc([N+](=O)[O-])c(Cl)cc3C)n2)ccc1F 735644267 MGUZVBQJGDAWQL-UHFFFAOYSA-N 419.796 4.592 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)N1CCc2c1cccc2[N+](=O)[O-] 737581380 HDOPCNJNQHDICY-UHFFFAOYSA-N 421.316 4.817 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745574629 VMVPMRJHDZMJHN-QWHCGFSZSA-N 422.840 4.526 5 20 HJBD O=Cc1ccc([N+](=O)[O-])c(OCC(=O)Nc2ccccc2Sc2ccccc2)c1 748154686 QEFFQVUXLSHTRL-UHFFFAOYSA-N 408.435 4.576 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccs3)cs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748604401 HMQOSEGAPCOYHT-UHFFFAOYSA-N 404.429 4.557 5 20 HJBD O=C(NCCCNc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 748794167 VNNGHNBXQVIWAD-UHFFFAOYSA-N 407.801 4.561 5 20 HJBD CCc1ccc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 749420611 BQTJXYCPJUKICG-UHFFFAOYSA-N 403.685 4.565 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H](c1cccc(Br)c1)C(F)(F)F 750227860 SYZRIIRNIIFJCL-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD CC[C@@H](C)Oc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750522484 JZXHOZRODXPNOO-ZIAGYGMSSA-N 411.414 4.740 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)[C@@H](Oc1ccccc1)c1ccccc1 751646715 HRINOAIYZMBYPT-NRFANRHFSA-N 404.378 4.600 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 758641212 MVFDBCLNDZEVEV-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)C(F)(F)F 760767499 ZDIXCJATXIIOFA-MRXNPFEDSA-N 413.396 4.703 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 763391339 FETPMCDCOPLQRR-NWDGAFQWSA-N 401.806 4.706 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(C)C 764300555 LPQFLHUTYOYBBW-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1cc([N+](=O)[O-])ccc1Cl 772557537 BLRNLMSLRSXGCP-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=C(N(Cc1cccc(-c2cccnc2)c1)C1CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778051141 QPMKNBUPUVQDDT-UHFFFAOYSA-N 423.419 4.940 5 20 HJBD COc1ccccc1-c1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)cs1 778093302 PDDOTRXFVIQFKJ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@H](c1ccccc1F)C1CCCC1 781719827 KKAGXCOPDMSEJK-NRFANRHFSA-N 415.421 4.622 5 20 HJBD Cc1ccc(-c2ncccc2NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])s1 782945189 GJSRLHVFCYWARE-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD Cc1cc(C)cc(OCc2noc(COc3cc(F)c([N+](=O)[O-])cc3Cl)n2)c1 795262449 XVTZKBDHOXANMS-UHFFFAOYSA-N 407.785 4.545 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nc3c(Br)cccc3s2)c1 795543702 APOVJAPWPGPZEZ-GFCCVEGCSA-N 411.302 4.793 5 20 HJBD O=C(c1ncsc1C(F)(F)F)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 801667498 JGDMTIIQTQCTCQ-UHFFFAOYSA-N 405.785 4.780 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 811527814 ZKKLWVVJMVFNLT-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)s2)cc1 914721395 MZXIWOQPCXFINT-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 915181233 PHBFWIXUWWUUMO-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD CCc1ccc(-c2nc(CC(=O)OCc3cc(F)ccc3[N+](=O)[O-])cs2)cc1 918895670 WDDVYNWPHQMUCI-UHFFFAOYSA-N 400.431 4.706 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921267526 TXPGWNCHDWPXMR-HNNXBMFYSA-N 414.458 4.964 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)ccc2OC)cc1 1321139500 NPBXRAAWQKYGMO-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)cccc1[N+](=O)[O-] 3444590 WCUVFAKEAACAJH-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(F)cc3Cl)cc2)c(C)c1[N+](=O)[O-] 14796684 HMOZLQWBLQRPQJ-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C1c2ccccc2N[C@H](c2cccn2-c2ccc([N+](=O)[O-])cc2)N1CC(F)(F)F 15251030 XINDMAZXVNCHCU-SFHVURJKSA-N 416.359 4.514 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](c1ccccc1)c1ccc(C)cc1 26228773 QBKLPGBBDAPMBH-XMMPIXPASA-N 419.481 4.620 5 20 HJBD CCOc1ccc(C(=O)N[C@H](c2ccccc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 45823993 CSODFBKVFYODEL-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2)c1 46002665 LZBMWWRPBKWKIV-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 55753022 MKHMVXWRTZFBSO-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD O=C(NCC1(c2cccc(Br)c2)CCC1)c1ccc([N+](=O)[O-])cc1Cl 59891574 ZYPQRASZCWMEDS-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)on1 61623848 ISUCVYJRZGFNKN-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD CC[C@@H]1CCCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 106150307 RNCJYKMLKSQBRD-GOSISDBHSA-N 418.497 4.639 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2ccc(C)cc2)cc1OC 138340806 HRMWWULOQKXSNH-HSZRJFAPSA-N 420.465 4.830 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1 195623284 GPFXUYWALNCILM-HNNXBMFYSA-N 422.510 4.914 5 20 HJBD CC[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1nc(C(F)(F)F)cs1 301524308 IGQWBCWNYRSSTI-QMMMGPOBSA-N 411.203 4.791 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@H]1CCO[C@@H](c2ccccc2)C1 302161113 HHRUHXNKAHIAPN-XJKSGUPXSA-N 411.336 4.854 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1CCO)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 428799095 VJJHGACEEGHWTA-SUMWQHHRSA-N 420.918 4.680 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(CCc3ccccc3)Cc3cccnc3)co2)cc1 429292529 LBZIEHNPGLEBIK-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD COc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(C)c2)c(OCc2ccccc2)c1 430795745 HVTXHUIEEQJFLK-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CN(C)c1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 431071667 QECFWNYCAGOMNU-UHFFFAOYSA-N 410.396 4.736 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccnc2c([N+](=O)[O-])cccc12 432330650 DCNPKACBPZMOQY-UHFFFAOYSA-N 419.268 4.915 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437134438 ORURBRRILSYDQF-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437551188 SZAKMKFCVPJWOR-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD C[C@]1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO[C@@H]1C1CC1 441274275 BYKNUFSFMPIPQF-IFMALSPDSA-N 412.511 4.681 5 20 HJBD O=C(N[C@@H]1CCCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444282229 AZZDCZGWCRWRNL-HXUWFJFHSA-N 422.406 4.906 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445250756 GVTKEDBEWKNKHP-VIFPVBQESA-N 409.261 4.998 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(Br)c2c1OCCC2 447319063 WBKOAAFXKIRMLS-UHFFFAOYSA-N 405.248 4.551 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccc(Oc2ccccc2F)c(F)c1 462905503 KONUIHSVPMKNHF-UHFFFAOYSA-N 413.380 4.637 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(F)c2)C2CCCC2)cc1OC 465528123 SIEQYUOBKXFCJT-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD CC(C)OCc1c(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)oc2ccccc12 466296693 KSLNOYSMTKPEGC-UHFFFAOYSA-N 402.834 4.849 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCN1C 467801000 PNNQKBGMIZXBIH-UKRRQHHQSA-N 419.934 4.612 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c2o1 467869385 WSSZXAWDFYWALD-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 469062082 ONOYIDDYOCMPJG-HNNXBMFYSA-N 411.483 4.625 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(Br)ccc1NC1CC1 469331539 XLRFSELNXKLPMF-UHFFFAOYSA-N 424.682 4.766 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@H](C(C)C)CC2)c([N+](=O)[O-])cc1OC(F)F 469505262 QPQSZMFUXBGLTP-QWHCGFSZSA-N 400.422 4.540 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])cc1Cl 471575929 XOIRHOXMZBQXIU-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](C[C@H]1CCOC1)c1ccccc1 475190885 MIICUNVQUFCRHG-DYVFJYSZSA-N 423.391 4.903 5 20 HJBD CCn1ccnc1[C@H]1CCCCN1c1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 485436276 JHRWPASJHAUSSL-GOSISDBHSA-N 407.474 4.517 5 20 HJBD COc1ccc(C2(CNC(=O)c3c(C)cccc3[N+](=O)[O-])CCCCC2)cc1OC 485541900 HAPBKTNPEQCUQW-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])nc12 486200888 ZZQUZXYOWJOJOS-UHFFFAOYSA-N 416.253 4.728 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 490779099 AOTQRJNBOWGZKH-SFHVURJKSA-N 420.391 4.564 5 20 HJBD CC[C@@H](NC(=O)Nc1cc(F)c(OC(F)F)cc1F)c1cccc([N+](=O)[O-])c1 491417174 SYAXNWJYORNJGA-CYBMUJFWSA-N 401.316 4.747 5 20 HJBD C[C@H](NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 494544010 CRUJVOFADYXKGI-AWEZNQCLSA-N 404.392 4.709 5 20 HJBD CCc1ccc(CN(C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C2CC2)cc1 499135315 KRYFEFFOVGGFFV-UHFFFAOYSA-N 420.412 4.572 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 499321220 YLRDUCTVLQJHBL-JOCHJYFZSA-N 420.444 4.593 5 20 HJBD CC(C)N(CCc1ccc([N+](=O)[O-])cc1)Cc1nnc(-c2ccccc2Cl)o1 502781133 NUSGSKYUGPTHJP-UHFFFAOYSA-N 400.866 4.751 5 20 HJBD C[C@H](NC(=O)NC1(c2ccc(Br)cc2)CCC1)c1cccc([N+](=O)[O-])c1 503018635 VYOMJGRBXBUDFA-ZDUSSCGKSA-N 418.291 4.797 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NCc2c(F)cc([N+](=O)[O-])cc2F)C1 506298130 OVKBAQMPWOQPCF-ZIAGYGMSSA-N 403.429 4.603 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)[C@@H](C)Sc4ccc([N+](=O)[O-])cc4)C3)[nH]c2c1 508236324 LHWOTICOKRJQAE-CVEARBPZSA-N 424.526 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1cccs1)c1ccc(F)cc1F 511059411 AXYDOAPSVOJEDX-UHFFFAOYSA-N 404.394 4.790 5 20 HJBD O=C(CCCOc1cccc(F)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 516091159 TXFUEXDCVLHTDS-UHFFFAOYSA-N 401.419 4.655 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])s1 517372811 DGHLKWQKAUYGHM-MRXNPFEDSA-N 411.487 4.652 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2c(C)cccc2[N+](=O)[O-])cc1C 520147919 QCFJXJHRBXJZDI-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)CCOc2ccccc2[N+](=O)[O-])c1 522961400 SGZYPTWPVWMGHQ-UHFFFAOYSA-N 414.405 4.965 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(CC(F)(F)F)c1ccc(Br)cc1 524047469 ZQHOEHPKWVZLPZ-UHFFFAOYSA-N 409.183 4.628 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 525562900 LFIHUWJKHDNRCQ-GFCCVEGCSA-N 416.528 4.879 5 20 HJBD CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 525759668 JISSPNUYUCIJGA-CHWSQXEVSA-N 411.296 4.504 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cs2)cc1 531495341 OCOIGCDWJGPHFY-UHFFFAOYSA-N 411.483 4.915 5 20 HJBD O=[N+]([O-])c1cccc(CCc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)c1 531516485 OUKBLEICOBLROS-UHFFFAOYSA-N 406.373 4.756 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(CCc1ccc(F)cc1)C1CCCC1 531794752 ZCQXJQWYDMDXPZ-UHFFFAOYSA-N 423.444 4.615 5 20 HJBD NC(=O)Cc1ccc(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cc1 533212289 GFNQXSHRIVWJKU-UHFFFAOYSA-N 411.845 4.680 5 20 HJBD C[C@@H](c1ncc(-c2ccccc2)o1)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534796765 RYVDGKQDQOHTEQ-KJEZJMDVSA-N 423.450 4.915 5 20 HJBD COc1cccc(COc2cccc(NC(=O)COc3ccc([N+](=O)[O-])cc3)c2C)c1 535974217 WTZITBRKETUWEW-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD COc1cc(F)c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 537091607 MKCBDEIPWCFJSE-LBPRGKRZSA-N 422.478 4.743 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nn1-c1cccc(Cl)c1 537442681 YDVYIAOSTBTKEQ-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(S(=O)(=O)c3ccc4oc5ccccc5c4c3)c2)c1 538666808 DQBBCFLJXKWXQV-UHFFFAOYSA-N 419.418 4.595 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(C(=O)c2cc(F)ccc2F)CC1 538741687 XYHNPCORQGCXQA-UHFFFAOYSA-N 416.424 4.533 5 20 HJBD Cc1cccc(OCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1C 540451991 UQWPFRZDJLGPCD-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)nn1-c1ccc(Cl)cc1 540860883 LHQUUVLGYHIYEK-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1c[nH]c2cc([N+](=O)[O-])ccc12 543099047 YAPCZYUPYNQUQP-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cnc4ccsc4c3)no2)c2ccccc12 544969131 HFVSWJACQQIIJT-UHFFFAOYSA-N 410.458 4.863 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4cccc5cccnc45)no3)cs2)c1 545012051 CVROMZONULUBBF-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD C[C@@H](c1ccncc1)c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 547211447 QUPHQJONUHDFNX-LSDHHAIUSA-N 416.441 4.760 5 20 HJBD C[C@@](O)(C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1)C(F)(F)F 547365390 CMRWKWNZRKXXFK-GOSISDBHSA-N 414.449 4.849 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3cc(C)ccc3C)nc2)c1 549213756 NMUNUKPWJPDNSD-UHFFFAOYSA-N 421.453 4.728 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 549240480 BPJYLDZNVNWUPF-UHFFFAOYSA-N 421.457 4.537 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 552442483 YTAUDHWUXDCIAJ-YCRPNKLZSA-N 406.404 4.539 5 20 HJBD C[C@@H](C(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 552716450 QLDLYPHNNMGERE-GOSISDBHSA-N 416.477 4.630 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)Cc1ccccc1[N+](=O)[O-] 560154504 ODIPSSRMPZTZJJ-UHFFFAOYSA-N 420.309 4.834 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 561139223 YHWOUZGTFMIIJG-HUVFLSCGSA-N 422.528 4.949 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 561998235 YBNHMABIVZXWAK-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 562110080 KCHDKWFVCUGIIV-UHFFFAOYSA-N 410.352 4.692 5 20 HJBD COc1ccc(CN2CCC(F)(F)[C@H](C)C2)cc1OCc1ccc([N+](=O)[O-])cc1 570132664 ASEFRYKLKHHTRL-OAHLLOKOSA-N 406.429 4.660 5 20 HJBD C[C@@H](NC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1cc2cccc(F)c2o1 571317147 GKZBFTZSFZPGCP-GFCCVEGCSA-N 410.405 4.564 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C2CC2)no1 584080012 IQIFJEJUOXTTLZ-UGSOOPFHSA-N 424.482 4.712 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)cc2OCc2ccccc2)c([N+](=O)[O-])cc1OC 603998057 PKWXWIXNESVCMA-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(c2ccc(Br)cn2)C(C)C)c1 603998639 OMNJXSIMSADXMK-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2s1 609500980 YKRVEHXOQISVGZ-UHFFFAOYSA-N 418.862 4.849 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548333 SQUQYASEVHCTSB-JOCHJYFZSA-N 417.396 4.507 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCS[C@@H](c2ccccc2)C1 609807663 IAYJKTQEKWFYKZ-MRXNPFEDSA-N 409.414 4.518 5 20 HJBD Cc1ccc(NCCCNC(=O)N[C@@H](C)c2nc(C(C)(C)C)cs2)c([N+](=O)[O-])c1 610090629 BOPLFINUVSXSMK-AWEZNQCLSA-N 419.551 4.520 5 20 HJBD Cc1cc(N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 610587641 OBBCADNYWNBAKI-CHWSQXEVSA-N 420.313 4.537 5 20 HJBD C[C@@H](Nc1ncnc2ccc([N+](=O)[O-])cc12)c1cccc(NC(=O)c2ccccc2)c1 611700651 JZUYEUQRSDSZOC-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 619578947 WDVMHZJMBHTQOR-QCSYZSNVSA-N 402.516 4.601 5 20 HJBD COc1cc(CNCc2ccc([C@@H](C)O)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 725846942 ZAJOFPJSAYFFKA-QGZVFWFLSA-N 422.481 4.526 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166957 QBARTKKRWIUJJZ-UHFFFAOYSA-N 410.213 4.867 5 20 HJBD Cc1cc(C(=O)Nc2ccc(NC(=O)c3ccc(Cl)cc3)cc2)c(N)c([N+](=O)[O-])c1 729525325 HJRKJIXSLOGPIS-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730646511 FRBJRYYQJBPOII-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD Cc1sc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1-c1cccc([N+](=O)[O-])c1 731977056 YSPDEDRKNFIDNX-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CCCOc1ccc(O[C@@H]2CCCC[C@@H]2NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 735016587 FKRWSGHTLLJTGX-PZJWPPBQSA-N 416.449 4.643 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 736862896 GICQXQSQIKQWGM-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(COC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)Nc1ccccc1-c1ccccc1 736865264 YTJCYXCTVIGRQA-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cccc(Cl)c1[N+](=O)[O-] 750001446 XHRALUGNOCXAQJ-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1Cl 751093161 NCYXMKPXVCQGFP-UHFFFAOYSA-N 407.829 4.558 5 20 HJBD C[C@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)no1 751636000 RPHDLJWCTQMIPS-NSHDSACASA-N 419.846 4.695 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754973342 GJJPVCSKXRQEOZ-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD C[C@@H](c1ccccn1)N(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(N(C)C)cc1 763219418 JOXWROGAPORKOR-MSOLQXFVSA-N 418.497 4.954 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)c1nccn1C 764105458 GIFKNBKYOHASDZ-HXUWFJFHSA-N 410.474 4.716 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](C)CN(C)C(=O)OC(C)(C)C)no1 764914684 HPJOIMVALHVNRO-GDBMZVCRSA-N 418.494 4.685 5 20 HJBD C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Br)cc1 765447454 MWQOZFZQIZLJCZ-CQSZACIVSA-N 404.308 4.677 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H](c2nc3c(s2)CCCC3)C1 769363194 PXKRTNYXNJYWKG-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N[C@H](c1cccc(F)c1)c1ccccn1 770014538 POWNXRIMQFYXRR-GOSISDBHSA-N 400.797 4.693 5 20 HJBD C[C@@H](NCc1cn(-c2ccccc2)nn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 773036599 PUGJXIBNWNLWND-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)s2)cs1 778222526 WQMGIHLRBUECNL-UHFFFAOYSA-N 423.466 4.539 5 20 HJBD Cc1ccc(NC(=O)C[C@@H](O)c2ccc(OCc3ccccc3)cc2)cc1[N+](=O)[O-] 780849518 MDPRHEZVHKAGCD-JOCHJYFZSA-N 406.438 4.544 5 20 HJBD CCCCC[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 783319310 UBUQQKDZCVIKTR-KRWDZBQOSA-N 403.866 4.625 5 20 HJBD Cc1cc(C)c(NC(=O)COC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c(Cl)c1 784256827 ITRIIRUGRMPQIM-UHFFFAOYSA-N 404.850 4.784 5 20 HJBD O=C(CCCc1nnc(-c2ccc(Cl)cc2Cl)o1)Oc1cccc([N+](=O)[O-])c1 786710460 WVPHJHCYBKZNCL-UHFFFAOYSA-N 422.224 4.880 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Nc3cccc(F)c3)s2)c([N+](=O)[O-])c1 789889529 HWUKHDIAKKHREB-UHFFFAOYSA-N 407.408 4.530 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C2CC2)o1 790535673 MXJQSMIUWUZYGI-NHYWBVRUSA-N 406.841 4.837 5 20 HJBD COc1cc([C@@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc(OC)c1OC 809970711 JLTCYKAFUWFNSN-SNVBAGLBSA-N 415.273 4.778 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])c2ccccc21 813278849 SIYXUTIFNBXZSD-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1c(Cl)cccc1[N+](=O)[O-] 815208501 YXEBJRSOKHXCEC-UHFFFAOYSA-N 404.684 4.532 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(Oc3cccc([N+](=O)[O-])c3)cc1)N2 877849432 DOWPERKQFRUULG-OAQYLSRUSA-N 405.410 4.592 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1cccc(COc2ccccc2)c1 917940377 AZPFZNJUJDOJCQ-INIZCTEOSA-N 421.453 4.622 5 20 HJBD Cc1ccc(-c2nc(/C=C3\N=C(c4ccc([N+](=O)[O-])c(C)c4)OC3=O)cs2)cc1 918725886 HJMSIUSMGIDIAU-YVLHZVERSA-N 405.435 4.680 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H]1CCCC[C@@H]1C 920818956 SQXBLYHNHXZWKR-JKSUJKDBSA-N 400.479 4.598 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)o1 1251316761 YDOOFGPKXYSLLG-LSDHHAIUSA-N 408.458 4.864 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 16636638 NLHGEJCVJKDURR-UHFFFAOYSA-N 415.493 4.717 5 20 HJBD COc1cc(C(=O)NC(C2CC2)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 23352952 BZRGAZFTCIRZEA-UHFFFAOYSA-N 416.861 4.968 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCSc2c(F)cccc21 28044532 HZJQWHICKVLOLI-LJQANCHMSA-N 418.493 4.647 5 20 HJBD C[C@H](Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)o1)c1ccccc1 31843249 SCFKXWNKJLVKIX-CYBMUJFWSA-N 423.454 4.666 5 20 HJBD CC(C)c1nc2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2o1 46621576 BEZXOALIRWDAOM-UHFFFAOYSA-N 408.458 4.715 5 20 HJBD COc1cc([C@H](C)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])ccc1OCC(C)C 47049026 IACPSHXJBICKMW-INIZCTEOSA-N 415.490 4.541 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4c[nH]c5cc([N+](=O)[O-])ccc45)CC3)c2c1 56322001 XKNZUFMMKWFCNH-UHFFFAOYSA-N 418.453 4.586 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 58285849 ROIGOLRJNRATPG-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCN(C(C)(C)C)CC2)cc1[N+](=O)[O-] 63727214 RCCPEZCVWFUZKR-UHFFFAOYSA-N 407.580 4.679 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)nc1 64807058 OUSXYVYZRIKTAI-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccc2ccccc12 65884476 URAWWKINVITESA-OAQYLSRUSA-N 412.449 4.911 5 20 HJBD C[C@@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1ccccc1[N+](=O)[O-] 71817027 NOARQBIDZNJDDQ-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD CC(C)c1ccc(N2C(=O)/C(=C/c3cc([N+](=O)[O-])ccc3Cl)NC2=S)cc1 213869320 HSORUBGJKTYNEB-YVLHZVERSA-N 401.875 4.634 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(CC(F)(F)F)cc2)cc1SC 303879436 NVJJWUKENXQBHZ-UHFFFAOYSA-N 400.378 4.682 5 20 HJBD C[C@]1(C(=O)O)CCC[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426727002 LXUIJJZOKXXMCT-QUCCMNQESA-N 404.850 4.774 5 20 HJBD Cc1c(CNc2cccc(Oc3ncc(Br)cn3)c2)cccc1[N+](=O)[O-] 431212363 LJQCKQNQVYCIQK-UHFFFAOYSA-N 415.247 4.860 5 20 HJBD COc1cccc(C(=O)NCC2(c3cccc(C(F)(F)F)c3)CCCC2)c1[N+](=O)[O-] 435515113 FOWLVFSHLOBKSY-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437372705 XIJDNLSNPHEQOY-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(OC(F)(F)F)c1 441825255 OTZOGDJXVVVMHV-CYBMUJFWSA-N 423.391 4.903 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCO[C@@H](c2ccco2)C1 442675235 AFNHFJXXEHHAQY-GOSISDBHSA-N 410.451 4.553 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c(Cl)c1 444516607 UXMDOAFAYOTXDO-UHFFFAOYSA-N 414.849 4.688 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)cc1O 444672688 ZMPPZPBZWWLCMX-UHFFFAOYSA-N 421.240 4.662 5 20 HJBD Cn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2cccc(Cl)c21 444770692 DGFLRILUYDAFFS-UHFFFAOYSA-N 420.831 4.613 5 20 HJBD CC[C@H](NC(=O)Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)c1ccccc1 445722472 IZQUTFNASGUENR-INIZCTEOSA-N 422.466 4.670 5 20 HJBD CC[C@H](Nc1cc(C)c([N+](=O)[O-])cc1F)c1ccccc1OCC(=O)N1CCCC1 461937912 VWSUSDJKKPIYHX-SFHVURJKSA-N 415.465 4.607 5 20 HJBD Cc1cccc(C(=O)NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)c1[N+](=O)[O-] 466267814 YOHYVGBMAFTHLV-INIZCTEOSA-N 407.495 4.562 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(F)c2)c2cccc(Cl)c2)c1 468891183 HBGFGDKZBJNFOF-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1cccc(CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1Br 469160957 KDADXKQNLSKTHB-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](c2ccccc2)C1 476184757 YMAAWYWWWWUEFD-CQSZACIVSA-N 410.417 4.722 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 478142023 JFSGUCYYRUMGDF-AWEZNQCLSA-N 414.405 4.747 5 20 HJBD O=C1CCCN1Cc1ccc(Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1 485390908 OPQOKFBVUBHOHR-UHFFFAOYSA-N 406.417 4.662 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1cccc(F)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485943953 VXGAIRJBNLLIQP-TZIWHRDSSA-N 406.413 4.599 5 20 HJBD COC[C@@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1ccc(Cl)cc1 486022102 AEVCBCMASLOIEB-GFCCVEGCSA-N 400.660 4.514 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC(C)(C)C)nc1 490900356 KZXMDSRXYXUTMM-UHFFFAOYSA-N 403.504 4.598 5 20 HJBD Cc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1Br 493380955 AUFJOKCTMSHPBG-SNVBAGLBSA-N 412.671 4.758 5 20 HJBD CN(Cc1ccc(Br)c(F)c1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 494240402 DXMLNRXXZRIQKJ-UHFFFAOYSA-N 420.238 4.783 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)c1 497828486 MOHRFUJYJROBMF-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(Oc3ccnc4ccccc34)cc2)cc1 499304681 RWRVVVBPBUGQAV-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD O=C(CCN(Cc1cccs1)Cc1cccs1)Nc1ccccc1[N+](=O)[O-] 500944902 NBHSUYRKGZDZLD-UHFFFAOYSA-N 401.513 4.749 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc1OC 501642666 UUYHQUOQLXIRSD-UHFFFAOYSA-N 423.425 4.787 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 502630529 APIGPAMXIHKZIM-OAHLLOKOSA-N 405.401 4.744 5 20 HJBD CC(C)c1nc(C2CCN(c3ccc(C(=O)c4ccccc4)cc3[N+](=O)[O-])CC2)no1 505428229 KJOFBKAFGGKPHH-UHFFFAOYSA-N 420.469 4.716 5 20 HJBD COc1cc(CN(Cc2ccco2)C(C)C)c([N+](=O)[O-])cc1OCC(F)(F)F 506396246 IQCLXCGWVBYXMU-UHFFFAOYSA-N 402.369 4.548 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H]2CCOc3c(-c4ccccc4)cccc32)c([N+](=O)[O-])c1 507021835 MMCUHXRHVDTVBU-IBGZPJMESA-N 424.478 4.601 5 20 HJBD C[S@](=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 508658377 VAQCTPDJFLNNTO-WINIVTDRSA-N 400.525 4.533 5 20 HJBD CCOc1cc(NC(=O)c2cc(-c3ccc(C)cc3)no2)c([N+](=O)[O-])cc1OCC 512233949 MORAXUNPFRDJHZ-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD CCn1c(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc2cc(Br)ccc21 513290594 AXDVNHVQBHAJKV-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(Cc3ccccc3)Cc3cc[nH]n3)cs2)c1 513415529 JZAGXUQTHMJWRS-UHFFFAOYSA-N 405.483 4.644 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 513551800 DVERSQSAMUHXQU-NRFANRHFSA-N 410.517 4.697 5 20 HJBD CCCNC(=O)c1cccc(CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1 515480986 SDVRMOHHSYRFTR-UHFFFAOYSA-N 417.465 4.578 5 20 HJBD CN(C)[C@@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 517542697 FDMUCWIOHZSJJY-IBGZPJMESA-N 415.490 4.980 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)ccc1[N+](=O)[O-] 519132406 SYLSATMUZIGWDR-NSHDSACASA-N 415.446 4.665 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@H](C)c2ccco2)c([N+](=O)[O-])cc1OCCC 520171687 YWNDLRLUEMYXFK-CQSZACIVSA-N 405.451 4.990 5 20 HJBD Cc1nc([C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2F)no1 522283840 LYQNVPUYAFTPDA-FQEVSTJZSA-N 408.389 4.565 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1C 522740390 UFHSABCGCCJNAA-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(c2cccc(Cl)c2)CC1 525360796 OHXDMDNOTLLEHD-UHFFFAOYSA-N 402.881 4.614 5 20 HJBD COc1ccc(CC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 532944309 ZIBRSELVIBTISB-UHFFFAOYSA-N 421.497 4.756 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)c1 537154920 MXIPZTGJFLRULJ-UHFFFAOYSA-N 404.488 4.681 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](CO)c3c(F)cccc3F)o2)c(Cl)c1 538399478 GHMHIGONMVGZPX-QGZVFWFLSA-N 408.788 4.610 5 20 HJBD O=C(O)c1cc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc2s1 539606311 VMNPQEXGIYLMKZ-UHFFFAOYSA-N 421.228 4.523 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OCC(F)F 541872319 UEEYEHGIEZTBNJ-KRWDZBQOSA-N 420.334 4.641 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H](C)c1ccccc1C(F)(F)F 542567391 YEMZBVAATQMHGZ-OLZOCXBDSA-N 420.391 4.945 5 20 HJBD Cc1coc(-c2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 544091642 QRWCKLOTRICLSU-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 545037499 PQTQUXBXBZDXQK-UHFFFAOYSA-N 410.213 4.644 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cccc5cnccc45)no3)cs2)cc1 545788305 YKRCRZFKNNSQDP-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2ncc(-c3ccccc3Br)o2)n1 546179201 WISZAPCYRQBFSU-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)cc1 547195611 RGVWMBXUMPBEEV-ILNGBFOMSA-N 413.817 4.636 5 20 HJBD C[C@H](Nc1ccccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 549711533 TWHWZFIJRYXAFJ-AWEZNQCLSA-N 401.426 4.897 5 20 HJBD CS(=O)(=O)c1ccc(CNc2c(Cl)cc([N+](=O)[O-])cc2Cl)cc1Cl 553583020 HJGRUVQGSZSIFL-UHFFFAOYSA-N 409.678 4.571 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 557631684 KKIQPBQHJOVUDB-IBGZPJMESA-N 421.375 4.573 5 20 HJBD C[C@@H]1COCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 561292269 LEFWOICFBCEOII-ZIAGYGMSSA-N 420.918 4.802 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCOCC3)[C@H]2C2CC2)cc1[N+](=O)[O-] 563965930 IHKXYKMUVYTAPA-HXUWFJFHSA-N 418.559 4.764 5 20 HJBD C[C@@H]1CC[C@@H](c2ccccc2)N(C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)C1 572624447 KKBLBPHXIBYUDP-APWZRJJASA-N 405.454 4.522 5 20 HJBD O=C(Nc1cccc(Cl)c1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 578597704 QWLNWIVRVKQPML-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)CC3 590149763 SXVQXLQLMJJIPP-UHFFFAOYSA-N 403.360 4.602 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590423274 QTRGTILCELGHPD-UHFFFAOYSA-N 419.403 4.503 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 603467083 ADEJSAANCFMMQS-UHFFFAOYSA-N 419.481 4.878 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCCC[C@@H]1c1ccco1 605648425 SIXJPMCGILJUPP-JOCHJYFZSA-N 400.478 4.892 5 20 HJBD O=C(NCCOc1ccccc1F)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609781592 QSEJTLFRNRTIMF-UHFFFAOYSA-N 411.389 4.727 5 20 HJBD Cc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1NC(=O)Nc1ccccc1 610151982 UVHBFEFTVRFLHR-UHFFFAOYSA-N 416.437 4.750 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)c1 619790852 ZBXRTVQKZZHCAD-UHFFFAOYSA-N 420.450 4.918 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Br)co2)cc1 725985527 LSQPFGTVDFDWDQ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CSc1ccc2cc(Cn3cnc(-c4ccc([N+](=O)[O-])cc4)n3)c(Cl)nc2c1 726892855 FCICUXMGOKAQAH-UHFFFAOYSA-N 411.874 4.825 5 20 HJBD Cc1ccccc1N(C(=O)c1cc([N+](=O)[O-])ccc1I)C(C)C 729831719 BATQATYWJRJHTF-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Oc1ccc(NC(=O)C2CCCCC2)cc1 731999558 IZGFJIBZOHGOAL-UHFFFAOYSA-N 421.453 4.674 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 733519957 FMTBYIIURFJYOL-QWHCGFSZSA-N 424.375 4.678 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 737609966 RXPDWBBASPGJDL-HIFRSBDPSA-N 403.276 4.684 5 20 HJBD CCc1ccc([C@H](C)CC(=O)OCC2CCN(c3ccc([N+](=O)[O-])cn3)CC2)cc1 739170075 HKGUPQJUVDBLKC-QGZVFWFLSA-N 411.502 4.506 5 20 HJBD CCCOc1cc(NC(=O)N[C@@H]2CC[C@H](SC)C2)c([N+](=O)[O-])cc1OCCC 743082640 UJHFPIXRDPIZRY-KGLIPLIRSA-N 411.524 4.578 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1 744380029 RZZMWZBXZOHVEZ-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD CCc1ccc(C(=O)Nc2ccccc2CN(C)C(=O)OC(C)(C)C)cc1[N+](=O)[O-] 746518468 ULBZGTHFBQQCQB-UHFFFAOYSA-N 413.474 4.776 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 751197576 LJCMSCOKCVWMRJ-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1 762087231 NPBXIPQJQUXRFZ-UHFFFAOYSA-N 422.224 4.798 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1cccc(Cl)c1[N+](=O)[O-] 762514451 PUWUNDHVURGIMG-ZDUSSCGKSA-N 414.874 4.820 5 20 HJBD Cc1cnc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])nc1 767098765 ABCBTJSJAPXFTO-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CN(C)CCNC(=O)C1CCN(Cc2c3ccccc3c(Cl)c3ccccc23)CC1 769162276 VLJXIBKKUGOZCC-UHFFFAOYSA-N 423.988 4.536 5 20 HJBD CC(=O)c1cccc(C(=O)O[C@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])c1 774370362 VOTPIFWIJXISDD-QGZVFWFLSA-N 420.259 4.605 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135631 HPUDZTQMJCIMGV-JOCHJYFZSA-N 424.840 4.939 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(c1ccc(N(C)C)cc1)[C@@H](C)c1ccccn1 779463505 FJVMCMKXXPSFKI-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)s1 790847163 LWUNLUZOJRZNQY-LLVKDONJSA-N 424.320 4.556 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cc(Cl)ccc1[N+](=O)[O-] 791870208 PBKJSBACURJQED-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CC(C)(COCc1ccccc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 799939920 QIEXJANQJOWVEM-UHFFFAOYSA-N 410.426 4.536 5 20 HJBD O=C(NCc1ccncc1OCc1ccccc1)Nc1cccc([N+](=O)[O-])c1Cl 809915539 QHOUGQFGVHLVMF-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD COCc1ccccc1/C=C(C)/C=C\c1ccnc(-c2cccc([N+](=O)[O-])c2)[n+]1[O-] 814188753 TZENBSKSKCIUPQ-DGLSXKDYSA-N 403.438 4.553 5 20 HJBD Cc1ccccc1[C@H](CC(=O)OCc1nc2ccccc2c2ccccc12)NC(N)=O 914641707 FFKYHNVVZUSNEK-QFIPXVFZSA-N 413.477 4.539 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)c1ccc(Br)cc1 919215046 WHYKZYYRMVGACK-JTQLQIEISA-N 418.199 4.532 5 20 HJBD C[C@@H](COc1ccccc1)OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 920124353 LVDFMJQNZYKMSZ-DLBZAZTESA-N 421.453 4.787 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNCc1ccc([N+](=O)[O-])c(Cl)c1 1320881622 IZUXVBBXNSKASW-UHFFFAOYSA-N 405.882 4.563 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ncc(-c2ccc(Cl)c(Cl)c2)o1 1324335248 UYTSFPAVXKKXLL-SECBINFHSA-N 410.213 4.813 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC(OC[C@@H]3CCCO3)CC2)o1 1333369637 BKVDAEYVZYQGBA-SFHVURJKSA-N 420.893 4.668 5 20 HJBD CCn1c(SCc2cn3cc(C)ccc3n2)nc2cc3ccccc3cc2c1=O 6973183 OUTVTBLCLXHXSK-UHFFFAOYSA-N 400.507 4.818 5 20 HJBD CCCc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)sc1C 12175386 QKFZCPFAJZQIAA-JTQLQIEISA-N 410.879 4.755 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)c1 18182676 PHIHQXDDIANTQP-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CCc1ccccc1NC(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 26580916 VIYQQLQNXVVXCA-OAHLLOKOSA-N 417.534 4.768 5 20 HJBD CCCCSc1nnc(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)s1 26588341 MACYMTGIZASDQK-LLVKDONJSA-N 412.562 4.766 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)c1 28400598 KFXGMQLURZJSCF-GFCCVEGCSA-N 422.890 4.771 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H]2CCSc3ccc(Cl)cc32)cccc1[N+](=O)[O-] 29579224 SQLYSLRIDBYEQV-WBMJQRKESA-N 405.907 4.710 5 20 HJBD Cc1ccccc1CN(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 52956710 DJOVOXJSOKKFAW-KRWDZBQOSA-N 404.470 4.744 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1ccc(COc2ccc(F)cc2)o1 57683831 DQUUVTRALAWDNG-UHFFFAOYSA-N 422.372 4.548 5 20 HJBD COCCN(C(=O)c1ccc2c(c1)CCC2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 57949127 BNFPNGPODRTJEE-UHFFFAOYSA-N 423.494 4.500 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 58475365 XPIHRKDPOUPDHH-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD CCCC(=O)Nc1cccc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)c1 60859626 HRQNDXXTYVWWHN-UHFFFAOYSA-N 405.458 4.711 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)NCc3ccccc3[N+](=O)[O-])cc2)n1 63162629 XZCDYRKSWXKZSL-UHFFFAOYSA-N 400.485 4.833 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 63642002 CVVPSQLNXHLPRF-LBPRGKRZSA-N 411.380 4.760 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccc3ncccc3c2[N+](=O)[O-])CC1)c1ccccc1 301239888 NUCYUSCDCAKMQU-IBGZPJMESA-N 418.497 4.740 5 20 HJBD CN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1ccc(Oc2ccccc2)cc1 302955892 FMELNQLKVLOHCE-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD CCCCN(CC(F)(F)F)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 302957740 BORPWRWYMQSLLJ-AWEZNQCLSA-N 424.423 4.968 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(N3CCC[C@@H]3c3nc4ccc(Cl)cc4[nH]3)n2)cc1 428710202 SCHXPRCHBFFEQH-MRXNPFEDSA-N 410.821 4.516 5 20 HJBD Cc1cc([C@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)ccc1Br 431151097 NCLJMUMMOQFZSF-GOSISDBHSA-N 403.276 4.572 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431789788 UNQMNHFOSHZLON-SNVBAGLBSA-N 420.288 4.800 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccc[nH]2)ccc1OCc1ccc([N+](=O)[O-])cc1 435769631 ASIXDGSIPWLYSG-NRFANRHFSA-N 407.470 4.848 5 20 HJBD O=C(NCC1(c2ccccc2)CCC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435934194 IGDGLMGHDYBHBG-UHFFFAOYSA-N 418.443 4.811 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H]([C@@H]4CCOC4)C3)cc2[N+](=O)[O-])cc1 441539200 ALIYHYLYTORJCS-ZWKOTPCHSA-N 412.511 4.553 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H](C)CO1 443888082 XWMRNOBPNADDQH-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@@H](Oc2ccccc2Cl)C1 443932067 XPFAJQPERMQGCZ-OAHLLOKOSA-N 403.866 4.940 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCc2ccc(Br)cc21 444011440 SDODPDNOSSTBQG-UHFFFAOYSA-N 419.278 4.647 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3ccc(-c4nc5ccccc5s4)o3)[nH]c2c1 446849818 REAJAKSLVLSQSE-UHFFFAOYSA-N 405.439 4.631 5 20 HJBD O=C(CCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(-c2ccccc2)cc1 446876974 WKHNSBHIMIBXHM-UHFFFAOYSA-N 414.461 4.810 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(Oc3cc(C)ccn3)c2)c([N+](=O)[O-])cc1OC 460482322 CSGNULDOAIGRMM-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ncccc12 464334956 BJXZTYVHYDZUCU-UHFFFAOYSA-N 405.332 4.813 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(-c2ccc(OC)c(OC)c2)s1 464719007 HOVBOXIPKDADRU-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)N1CCCCO1 468178772 PLIFDKRWSPBSAU-SSZFMOIBSA-N 409.467 4.689 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(CCC(F)(F)F)CC(F)(F)F)cc1[N+](=O)[O-] 468528633 NCCDTZGXBSYGIP-UHFFFAOYSA-N 400.319 4.849 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](OCc4ccccc4F)C3)co2)cc1 470910671 KAXGKRRLALJJOA-FQEVSTJZSA-N 411.433 4.570 5 20 HJBD CN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc2cc[nH]c2c1 473549929 KUUFPTDDNUVVLP-UHFFFAOYSA-N 401.422 4.932 5 20 HJBD COc1cc(CN[C@H]2CCCc3ccc(F)cc32)c([N+](=O)[O-])cc1OCC(F)F 475502967 OECJGUAMAZDMAW-INIZCTEOSA-N 410.392 4.554 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(Br)cn1 479524586 IBSKCPSZCMFQSA-JSGCOSHPSA-N 410.337 4.974 5 20 HJBD Cc1c(CNCc2ccc(OCc3cn4cccc(C)c4n3)cc2)cccc1[N+](=O)[O-] 480441902 HPKUPJMUENTFOH-UHFFFAOYSA-N 416.481 4.728 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482313536 BZMBRTLUALSZRO-KRWDZBQOSA-N 419.485 4.720 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 482957373 LJGXNIHPVQIAEG-UHFFFAOYSA-N 424.501 4.710 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@]2(C)Cc2ccccc2)cc1SC 484230516 XPGVYZCDTCGVPD-OAQYLSRUSA-N 400.500 4.563 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 484755124 LNASYQDJNHLECL-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])sc(C)c1CC 485738087 YVBAYMWVPUYZAA-UHFFFAOYSA-N 408.501 4.678 5 20 HJBD CCc1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cnn1-c1ccccc1Cl 486405014 PVFBCJHBRZXJLT-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD C[C@@H](NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cccc([N+](=O)[O-])c1 490797959 PBFGASZEXGZFHV-DIFFPNOSSA-N 406.364 4.740 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 500060216 YTYWZVYAJQVFON-UHFFFAOYSA-N 404.426 4.954 5 20 HJBD CCN(CC(=O)Nc1c(F)cccc1F)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 505659164 JERIIACPPFYMDC-UHFFFAOYSA-N 415.396 4.594 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1OCC 508020062 BYZNLPHQWFWNNP-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 511775461 LZLQIYKRXWXLHW-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 513827902 YZFYFFIRYIVXHR-QRFRQXIXSA-N 406.486 4.631 5 20 HJBD CC[C@H]1CCc2sc(-c3nnc(Sc4ncccc4[N+](=O)[O-])n3C)cc2C1 514652645 ACDBXAFZLMYTRS-NSHDSACASA-N 401.517 4.513 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])s1 521637917 SDQOLJXNDBLYDY-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD CCCOc1ncccc1CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 521831782 MYQKAYIPKIRRAF-UHFFFAOYSA-N 411.433 5.000 5 20 HJBD C[C@H](c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1)N1CCOCC1 522020285 OFZOIJOKBADNRF-MRXNPFEDSA-N 411.502 4.538 5 20 HJBD COC(=O)c1cc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2o1 522102752 QERXTTIVHQAVEA-UHFFFAOYSA-N 423.406 4.503 5 20 HJBD COCCOC[C@H]1CCN(Cc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 536665992 FEFOITDIGUFYLJ-IBGZPJMESA-N 416.543 4.539 5 20 HJBD CCOC[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 537624473 KNLYXQPPGQWNCI-CQSZACIVSA-N 423.307 4.866 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537962840 NOKOSJNOQXAFAA-JTQLQIEISA-N 408.336 4.601 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(C)=O)c(OC)c1 538537601 RTDAFORUSIBZHP-UHFFFAOYSA-N 417.487 4.706 5 20 HJBD CCCOc1ccc([C@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 539252205 ICJLQNVNRBJNDL-HNNXBMFYSA-N 411.458 4.898 5 20 HJBD CCc1ccc([C@H](Nc2cnn(Cc3ccncc3)c2)c2ccc([N+](=O)[O-])cc2)o1 543427106 DRSBXUWLPKINJQ-JOCHJYFZSA-N 403.442 4.592 5 20 HJBD CCc1ccc(-c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)cc1[N+](=O)[O-] 545787341 YVXMJCKQMDKLSJ-HNNXBMFYSA-N 415.833 4.695 5 20 HJBD Cc1cc(Cc2noc([C@@H](C)Oc3cccc(Br)c3)n2)ccc1[N+](=O)[O-] 545851860 DEKDWYSDQLHJLG-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(Cc4c[nH]c5ccc(Cl)cc45)no3)c2c1 547168993 YDBQJRSOKIOSFR-UHFFFAOYSA-N 407.817 4.775 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc3ccccc3s2)C1 548744580 VETAXTHXIUIQLI-LBPRGKRZSA-N 400.435 4.755 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 554683388 IJRILMYXGCMBLD-OAHLLOKOSA-N 418.453 4.847 5 20 HJBD CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(F)cc1)c1cccnc1 558053112 LWTDSEQZJVCWOE-QHCPKHFHSA-N 407.445 4.700 5 20 HJBD Cc1cc(CN[C@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc(N2CCCC2)n1 558509392 OPNXETPNIKAZRV-XMMPIXPASA-N 402.498 4.778 5 20 HJBD C[C@@H](C(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 559445005 ZDKYTWAEUZBZLP-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1 560740720 XWOMZPOTCUODLK-WBVHZDCISA-N 408.376 4.688 5 20 HJBD COc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)c(C)c1OC 573520023 NPBCPXVYTRXMBG-NSHDSACASA-N 410.268 4.559 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4ccc(-n5cccn5)cc4)no3)cc12 577897831 GCXMWRZUNLWART-UHFFFAOYSA-N 419.444 4.508 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1[N+](=O)[O-] 582747219 IWUCIFULIZVOKL-CTNGQTDRSA-N 404.532 4.517 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 608871159 RIEFVAVVYQTFPB-UHFFFAOYSA-N 410.361 4.735 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@@H](c2cccc(F)c2)C1 608962514 GPUXSCRNYPDYQO-JOCHJYFZSA-N 422.412 4.740 5 20 HJBD Cn1c(-c2ccc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)nc2ccccc21 609171842 GCBQYKYVMRUOMU-UHFFFAOYSA-N 411.421 4.882 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609723456 WINDUAZKOIZUEL-UHFFFAOYSA-N 403.866 4.564 5 20 HJBD CC(C)c1nc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc2o1 610060255 LWDGKNLQFBFXNE-UHFFFAOYSA-N 404.220 4.874 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(CC(F)(F)F)cc1 610179651 WXZPWVSNCDABKX-SNVBAGLBSA-N 402.756 4.759 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)s2)o1 610210218 BKZYLLYYHWQRAB-IBGZPJMESA-N 415.496 4.816 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC([C@H]2CCCO2)CC1 615279929 JFEDECKGQZNTNV-LJQANCHMSA-N 404.532 4.661 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 725935507 LSFLRCFBPVJWBF-RYUDHWBXSA-N 406.385 4.571 5 20 HJBD O=C(c1cnc(-c2ccccc2)nc1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728167205 SHVKWOKKAWRLJS-UHFFFAOYSA-N 408.845 4.762 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1ccc(Br)c([N+](=O)[O-])c1 732643146 SHVSTOCACKNYGL-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(C[S@@](=O)c3ccccc3)c2)cc1 734388598 XEHCKYGADMCNDM-MUUNZHRXSA-N 411.508 4.712 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1ccc(F)c(Br)c1 736159487 VJVRMHKTGNNHMS-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCC2(C(F)(F)F)CC2)cc1 739335885 IVGRYKHOTIVYRQ-UHFFFAOYSA-N 411.332 4.895 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2Cl)cc1 739657288 NRENNAMJRXQDEH-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc3ccccc3n2C2CCCC2)cc1[N+](=O)[O-] 740809053 VNISELWINCYLPI-UHFFFAOYSA-N 409.402 4.529 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](c2nc3ccccc3s2)C1 749876509 PUTKLYRCJKDEDA-GFCCVEGCSA-N 401.875 4.878 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccccc1)CC(F)F 755024596 FZHDAGLIPYDAHE-UHFFFAOYSA-N 414.206 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCc1ccc(NC(=O)OC(C)(C)C)cc1 755745800 FGTLSYJXMAMMCF-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)on1 756993628 VMFYSRSQGFLXMD-UHFFFAOYSA-N 417.874 4.767 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)n2)ccc1F 770011229 NZIGKPRRAWLTBF-JTQLQIEISA-N 419.800 4.628 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218725 UMTWAIVFOOZQKX-IIPCHUTBSA-N 412.486 4.996 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 782208554 ZATXBTLIEBVODY-UHFFFAOYSA-N 412.855 4.612 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)Nc1c(F)c(F)c(F)c(F)c1F 788504611 QUACTXBWZJPUCE-RXMQYKEDSA-N 410.295 4.549 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1SCc1ccc([N+](=O)[O-])c(F)c1 789953984 MJYDFDJUADBJIV-UHFFFAOYSA-N 402.451 4.525 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(-c3ccccc3OCc3cn4ccccc4n3)n2)c1 794664594 TVMIOOONIHSVSK-UHFFFAOYSA-N 413.393 4.539 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1cccc([N+](=O)[O-])c1Br 796086073 YCCQVTQPCDBBTC-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD C[C@]12CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C[C@@H]1C2(Cl)Cl 804705408 LWBVKZNBEKNHED-JQWIXIFHSA-N 414.167 4.542 5 20 HJBD C[C@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 805543029 YAFVGTDAXKYORN-HNNXBMFYSA-N 411.461 4.980 5 20 HJBD Cc1cc(NCc2ccc(Cl)cc2[N+](=O)[O-])c(C(=O)NCC(F)(F)F)cc1F 864028707 YUJWHMDEQHWMOU-UHFFFAOYSA-N 419.762 4.600 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC=C(c2c[nH]c3ccccc23)CC1 913039082 BYVDMIQBRQNPQT-HNNXBMFYSA-N 415.453 4.976 5 20 HJBD Cc1ccc(-n2c(SCc3cc(F)ccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 915794639 ICMQOHCIDGECHP-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(OC(F)F)c2)CC1 917176883 FSXDETXIKPBYNN-UHFFFAOYSA-N 405.401 4.685 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])CC2)cc1 919863657 QJAZSUULDVBZGJ-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4ncoc4[C@H]4CCCO4)n3)cc2)cc1 1323551899 VNYSPTJQLXXLSQ-QGZVFWFLSA-N 420.381 4.944 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccc(-c3csc(C)n3)cc2)c1 12621451 COPCQLCRZKCOEG-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)cc2Br)ccc1[N+](=O)[O-] 13953332 IWSYFZNAUKQHFX-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(NC(=O)c3ccccc3)cc2)c1 16260780 DUDSPPKAIBOEIN-UHFFFAOYSA-N 408.435 4.788 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])c(C)c2)ccc1OCc1ccccc1 21797765 MXUDHEKBAYZLNV-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1Cl 23388903 RPVPTICDARAFEL-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc(SC)ccc2[N+](=O)[O-])c2ccccc2)cc1 57809150 BCLCJTHEBIMFFP-OAQYLSRUSA-N 408.479 4.845 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)ccc1[N+](=O)[O-] 66027446 WMLAWQLTYHKXIG-UHFFFAOYSA-N 420.849 4.596 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc3c(c2)CCCC3)c([N+](=O)[O-])cc1OC(F)F 115378805 NQMULHJICSEQNL-LBPRGKRZSA-N 420.412 4.575 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1csc(COc2ccc(Cl)cc2)n1 237203163 LYKMRVUUWVFQNZ-UHFFFAOYSA-N 419.890 4.582 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(-n4ccnc4)c(F)c3)s2)cc1 237856326 KIGZUZOEIREISF-UHFFFAOYSA-N 408.458 4.938 5 20 HJBD O=[N+]([O-])c1c(Nc2cccc(C[S@@](=O)C3CCCCC3)c2)nc2sccn12 301308065 CMZFMXJVNDXEHZ-HHHXNRCGSA-N 404.517 4.629 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 303794044 VKZFKLRGDISSBR-SNVBAGLBSA-N 418.462 4.823 5 20 HJBD C[C@@H]1C[C@@]1(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 410383849 SMHYXEPXTFEEAB-CPJLOUKISA-N 416.908 4.860 5 20 HJBD Cc1ccc(C[C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)cc1 426644389 WBEMEMBLNINHRM-QGZVFWFLSA-N 410.495 4.665 5 20 HJBD COc1ccccc1[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccco1 426809622 FMOLALYHHGCESV-FQEVSTJZSA-N 409.442 4.520 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 431880919 IIGRUYTWULTDJK-LBPRGKRZSA-N 417.416 4.635 5 20 HJBD Cc1c(NC(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 432733458 FLZSDIPUQNCZSX-XIKOKIGWSA-N 423.391 4.916 5 20 HJBD CSC[C@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 433169779 SOXXPDIWTXPERT-HNNXBMFYSA-N 401.488 4.994 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 437901933 NIIKXOYNQPYWLM-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCN(Cc2csc(-c3ccccc3)n2)CC1 441256618 JBMYWPYAOMSSEV-QGZVFWFLSA-N 408.527 4.597 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1C 441299016 BOGCXNOEEAMSOD-CQSZACIVSA-N 410.499 5.474 5 20 HJBD CCCN(C(=O)[C@H](C)c1c(C)nn(CC(F)(F)F)c1C)c1cccc([N+](=O)[O-])c1 441466758 RLQGRUFYZXRRIL-GFCCVEGCSA-N 412.412 4.517 5 20 HJBD CC(C)[C@H](c1ccc(F)cc1)N(C)C(=O)COc1ccc([N+](=O)[O-])c2cccnc12 447860111 CSNODLOTGYGSLA-JOCHJYFZSA-N 411.433 4.517 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1 462640838 NMNPENVAJFCDFR-YRVHBCJASA-N 416.543 4.849 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 462744033 GBMPEHYPYFJTPL-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 471567167 UGIYFTNMOZKYPO-UHFFFAOYSA-N 408.332 4.554 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 479291029 YNZBVVPQHLGJAT-QGZVFWFLSA-N 424.881 4.713 5 20 HJBD CCc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 485334999 DZMLGTDHEBFKMF-ZDUSSCGKSA-N 413.865 4.879 5 20 HJBD Cc1cc(Br)cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1 485714433 URTHOYVQGKPMPA-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCc2nnc(-c3cc4ccccc4o3)o2)cc1[N+](=O)[O-] 487974947 BBBQMXJKDJQNMT-AWEZNQCLSA-N 420.425 4.509 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc2ccccc12 488420425 NSRBLGJULITIGV-HNNXBMFYSA-N 410.495 4.978 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccccc2)Cc2ccc(OC)cc2)cc1[N+](=O)[O-] 491755416 AYJJONMTQKCGKZ-UHFFFAOYSA-N 420.465 4.845 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC3(CC2)OCCc2ccsc23)cc1[N+](=O)[O-] 491791379 YVVPBQNIQWHYAJ-UHFFFAOYSA-N 414.527 4.658 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1cccnc1)c1ccc(F)cc1 497796154 WCBSMUFBNQOKAD-UHFFFAOYSA-N 417.343 4.577 5 20 HJBD COCCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@@H](C)c1cccc(C(F)(F)F)c1 500306623 XMOHHNQGYUMPRQ-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD COc1cc(C(=O)N2CC[C@@](C)(F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 500576566 AILFEOBAHBYOPZ-LJQANCHMSA-N 408.813 4.623 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1OCCC(F)(F)F 505851559 WKYBRTDEWTYLTG-UHFFFAOYSA-N 415.343 4.729 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](c1c[nH]c2ccccc12)C(F)(F)F 513133261 FVITZKPQWUVIHY-LJQANCHMSA-N 420.391 4.606 5 20 HJBD Cc1c(NC(=O)NCc2cccc(NC(=O)c3ccc(F)cc3)c2)cccc1[N+](=O)[O-] 515776175 OKNQGVQFQLVDMB-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cn1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 515991879 WKPXGTBFMFEOLY-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD CC(C)(C)c1ncc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)s1 517730637 VEXXNMSYGARJNK-UHFFFAOYSA-N 401.410 4.554 5 20 HJBD Cc1ccc(-c2ccc(CN(C(=O)c3c([N+](=O)[O-])c(C)nn3C)C(C)C)s2)cc1 518840581 OJVAQONTSCFYEM-UHFFFAOYSA-N 412.515 4.724 5 20 HJBD COc1cc(CNCc2ccc([C@H]3C[C@H]3C)o2)c([N+](=O)[O-])cc1OCC(F)(F)F 520955639 AIBYRJHPUBJYHE-RISCZKNCSA-N 414.380 4.551 5 20 HJBD COc1ccc(C)cc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522885324 VSIYEXWOADBYCC-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD O=[N+]([O-])c1cccc(CCN[C@H](c2ccccc2)c2nc(-c3ccccc3)no2)c1 533960709 BPOGWGVJANRFBQ-OAQYLSRUSA-N 400.438 4.567 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 534268787 USIFYSKUOPTNLI-FQEVSTJZSA-N 422.441 4.501 5 20 HJBD CCSCc1ccnc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 534922606 SPYRWCPLDCFQJG-UHFFFAOYSA-N 410.293 4.566 5 20 HJBD CC(=O)N(c1nc(COc2ccc(C)c([N+](=O)[O-])c2)cs1)c1ccccc1F 535133172 GPZZWYXZVHEYSA-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]2CCCC[C@@H]21 535509220 RLVCCMPXGWSATH-KXBFYZLASA-N 415.515 4.664 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(Br)cc(C(F)(F)F)c1 535961409 GCFZZHMYAWNIPD-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CN(C(=O)CCc1cccc(Cl)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537153756 YWRGGQUSNGYSKF-UHFFFAOYSA-N 401.875 4.967 5 20 HJBD CCCNC(=O)c1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 538836044 NOXMKERDGHLWIT-UHFFFAOYSA-N 409.442 4.615 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 539356865 PNXKSRKAQZLCDA-NSHDSACASA-N 418.500 4.549 5 20 HJBD Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)nn1-c1ccc(Cl)cc1Cl 539559878 HJQHIKNTAHQYCH-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD CCOc1cc(Sc2nnc(COc3ccccc3Cl)n2C)ccc1[N+](=O)[O-] 539992095 KONOETQINJFRKS-UHFFFAOYSA-N 420.878 4.506 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(Cl)c1C 543970834 LNYCCABSUQDONC-KRWDZBQOSA-N 418.877 4.678 5 20 HJBD COc1cccc([C@@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2ccccc2[N+](=O)[O-])c1 544250152 DQPXXHNYJIHNNS-WIOPSUGQSA-N 416.477 4.903 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc3c(C)nn(-c4ccccc4)c3n2)n1 544786582 VDVLNLNJRXKVBA-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD Cc1cc(Cc2noc(CCC(=O)c3csc4ccccc34)n2)ccc1[N+](=O)[O-] 545785247 RWYPIAUUWSYGMH-UHFFFAOYSA-N 407.451 4.907 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3cc(C)ccc3Cl)n2)ccc1[N+](=O)[O-] 545786440 PMEJIXJPSWWMPG-LBPRGKRZSA-N 403.822 4.679 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 546539480 FZYDFENUOYIDON-AWEZNQCLSA-N 418.465 4.686 5 20 HJBD COc1ccc(C(=O)CC(C)(C)Cc2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1 546562574 ZPFIDNIFZHOQEV-UHFFFAOYSA-N 423.469 4.727 5 20 HJBD CSc1ccc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 546873912 FMTDZSGARKREMR-NSHDSACASA-N 413.421 4.896 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC[C@@H]1c1ccc(Cl)cc1 553315410 DEROZTYYGKXJKD-HXUWFJFHSA-N 402.881 4.782 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)o1 555314739 OBKDKNFXTXKXQT-GOSISDBHSA-N 418.375 4.605 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2Cl)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 567529439 RRLSATZUUOXUMD-VLIAUNLRSA-N 413.861 4.865 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NCc2cc(O)ccc2[N+](=O)[O-])cc1OC 574214098 IRGBNSNOZJOMDX-NRFANRHFSA-N 422.481 4.700 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccccc4Cn4cccn4)no3)cc12 578365713 ZABSCKLBRFJGCC-UHFFFAOYSA-N 419.444 4.643 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(COc4ccc(Cl)cc4)no3)cc12 583406662 QPKANRQACMUVSD-UHFFFAOYSA-N 403.825 4.964 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccccc2)C1 603438407 HFWKQMLGKAEFRO-QFIPXVFZSA-N 403.438 4.552 5 20 HJBD CCOc1cc(NC(=O)N2CCC(c3ccccc3)CC2)c([N+](=O)[O-])cc1OCC 603650899 MINCHVQKTQBZPG-UHFFFAOYSA-N 413.474 4.804 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OCC(=O)N(C)[C@H](c1ccccc1)c1ccc(F)cc1 603725781 BFLLOPOTTATXEJ-HSZRJFAPSA-N 408.429 4.669 5 20 HJBD Cc1nc(-c2ccc(CNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)s2)cs1 603844764 ZOHMGLHJIBRXRU-UHFFFAOYSA-N 400.485 4.585 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccccc2CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 603862197 KIVTZMZFXANSBC-IRXDYDNUSA-N 422.529 4.570 5 20 HJBD Cc1cc(N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604520501 YKWKIDOSUCLZJN-NFBKMPQASA-N 418.497 4.964 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 609018663 JZZWZYOVNYWMOQ-UHFFFAOYSA-N 402.501 4.934 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)s1 609311647 FFGGHTOPODUSBV-UHFFFAOYSA-N 407.455 4.506 5 20 HJBD CCCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CC(C)(C)NC(C)(C)C1 609518233 QDNFUYYWAQYAMT-UHFFFAOYSA-N 401.507 4.893 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(OCc3ccccc3)cc2C)cc1[N+](=O)[O-] 609774226 ZIUMYWVSRGXXTF-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2CSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609791807 TWGAARZFJCOMMT-AUUYWEPGSA-N 420.494 4.740 5 20 HJBD Cc1ccc([C@H]2[C@@H](C)CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609997884 ZEYRDGJKLGKXKU-OYHNWAKOSA-N 405.454 4.770 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1Cc2cccc(Br)c2C1 613689699 VOHICAYGXKDONI-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD O=C(Cc1ccc(Br)c(Cl)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 615770123 ZXCFWNAYJQGPEC-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD CCCCn1nc(C)c(/C=C2\N=C(c3c(Cl)cccc3[N+](=O)[O-])OC2=O)c1Cl 730958265 RBRJOCSJQULXBK-LCYFTJDESA-N 423.256 4.551 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731839063 CSGIRMGJOWPTHO-UHFFFAOYSA-N 424.457 4.693 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 742426242 WWTKYKPYJZSQJC-UHFFFAOYSA-N 411.336 4.570 5 20 HJBD C[C@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)s1 742502039 ABVLWNCBTHEJLA-VIFPVBQESA-N 417.712 4.834 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 744245036 RIYMFXZCXISCQU-UHFFFAOYSA-N 400.431 4.825 5 20 HJBD CC(C)CCc1nc(COC(=O)c2ccc([N+](=O)[O-])cc2Br)cs1 745073550 IYVFLTXKJUWWLF-UHFFFAOYSA-N 413.293 4.759 5 20 HJBD Cc1c(C(=O)OCc2ccc(Cl)c3cccnc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748944763 OZDQRNQNSNWBDX-UHFFFAOYSA-N 415.789 4.678 5 20 HJBD COc1ccc([C@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2ccc(F)cc2)cc1 750179374 IEDUOAXTQUOVAS-LJQANCHMSA-N 414.820 4.915 5 20 HJBD C[C@@H](C(=O)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755066396 PCYXTKMUERQYFC-QVKFZJNVSA-N 422.412 4.761 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)c1cccc(C(=O)c2ccccc2)c1 761494513 BYYZQAUGUJPANR-OAHLLOKOSA-N 405.406 4.544 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)n2)cn1 762336376 QJJRLZQWMUYKJP-UHFFFAOYSA-N 401.404 4.506 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 768713085 UHSOEINLULNCCR-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cc(F)c([N+](=O)[O-])cc1F 770075412 SCOZEZRNNKKNNA-UHFFFAOYSA-N 408.364 4.523 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1C(F)(F)F 775458148 JNMPFCNRMNLIOD-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCO[C@H](C)C2)cc1 779953735 GHAHDWBAJQEUTD-OAHLLOKOSA-N 415.515 4.574 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nnc(-c2cccc(Cl)c2)o1 784436850 ZTAUYFRZCGAHCN-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 785768839 QIDANUTWPCXHRN-UHFFFAOYSA-N 417.535 4.859 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1N1CCOC1=O 797435662 WKCCOTNOFNWNFE-UHFFFAOYSA-N 424.388 4.505 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])cc2)n1 801710931 XMVARZZXEROCSK-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 813058959 QHJVOJIQYWDJLI-LLVKDONJSA-N 405.241 4.960 5 20 HJBD Cc1nc2c(cnn2C(C)C)cc1C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815176898 PHEZCNXFZNYNKV-UHFFFAOYSA-N 422.363 4.605 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Sc1ccccc1 818025274 BQIZNIUMGVMZSR-OAHLLOKOSA-N 422.462 4.930 5 20 HJBD O=C(Oc1ccc(F)cc1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 876302476 BJNYSQWEEDJXIE-UHFFFAOYSA-N 412.339 4.575 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 914735576 SLYKQKDBOQNDEU-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1cccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1)c1ccc(F)cc1 915144781 ZFMUIWZJRBZWDY-UHFFFAOYSA-N 415.327 4.517 5 20 HJBD Cn1c(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])nc2cc(Cl)ccc21 915994572 OXMXTDOGHRQAQP-UHFFFAOYSA-N 411.270 4.519 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)c1cccs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 916425501 ZWKUCCRGQQCCQQ-QGZVFWFLSA-N 417.830 4.737 5 20 HJBD CC(C)c1c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccccc1 917069546 UHKPRTXHDOBXNL-UHFFFAOYSA-N 412.877 4.830 5 20 HJBD Cc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 919193588 WPTZBWWTKXXFTL-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)CN2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1322785969 GFOPLFLLVRRTNJ-UHFFFAOYSA-N 403.438 4.650 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1324890500 OQKUCNSHZDCBJD-HNNXBMFYSA-N 424.526 4.991 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)C[C@@H]1CCCO[C@@H]1c1ccccc1 1338311633 KODPCIIYPJGNHK-FXAWDEMLSA-N 419.319 4.957 5 20 HJBD CC[C@H](C)CC(=O)NC1(c2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)CCC1 1785791858 MFGNCGZUCRIMPH-KRWDZBQOSA-N 423.513 4.708 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)Nc1ccccc1F 8071620 VNYJLOWMWRHDLY-UHFFFAOYSA-N 416.412 4.768 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)Nc1ccccc1OC(F)F 28303002 LMVNJSSGJQOPPW-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccsc1 31987006 INMBVZGXQRVGSP-MRXNPFEDSA-N 403.529 4.684 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53166444 QXUSJSXXZXIKHH-YWZLYKJASA-N 402.454 4.580 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)NCc1ccc([N+](=O)[O-])cc1 57849727 MLJHURSFYDWCFA-UHFFFAOYSA-N 421.501 4.539 5 20 HJBD COc1ccc([C@H](NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 57896858 KWYJNFQLHMFAKX-XMMPIXPASA-N 419.481 4.701 5 20 HJBD CC(C)Oc1ccc([C@@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])cc1 64113380 KZGZPSYZCWEBLQ-OAHLLOKOSA-N 408.458 4.520 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3cccc(OC(C)C)c3)n2)cc1[N+](=O)[O-] 66018607 KAEJNBHVPRUNTG-UHFFFAOYSA-N 411.483 4.995 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 72088964 YBFBVBJWYAAZFK-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc(NC(N)=O)cc1 237556283 XDFIUVZCIJLMGS-AWEZNQCLSA-N 424.432 4.868 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])c3cnccc23)CC1)c1ccccc1 301094741 GMGUCJKYTUXBRD-LJQANCHMSA-N 418.497 4.740 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1 304004568 KOSUQXWCVVJCME-INIZCTEOSA-N 403.438 4.647 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(F)cc1 429343024 APPLXVPHHGXDCB-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(c1cn(-c2ccc(Cl)c(Cl)c2)cn1)N1CCCc2ccc([N+](=O)[O-])cc21 430563510 WJDURVLPPJXYFH-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD Cc1cc([C@@H]2CCCN2C(=O)Cc2cccc([N+](=O)[O-])c2)ccc1Br 431153707 WGOFCWKATHUYGH-SFHVURJKSA-N 403.276 4.572 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(F)c(Cl)c1Cl 431559131 JJLWLYCEAOJTIJ-YFHOEESVSA-N 406.200 4.695 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(-c3noc(C4CC4)n3)c2)cc1[N+](=O)[O-] 434051203 DPIHEUXUDSPMRG-ZDUSSCGKSA-N 407.430 4.713 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2ccccc2N(C)C)cc1[N+](=O)[O-])c1ccccn1 435369187 KYGOSIHJDCYVMB-MRXNPFEDSA-N 419.485 4.506 5 20 HJBD CCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@@H](c1ccc(C)cc1)c1cccnc1 435876590 YZOWZCNDZIUEDK-NRFANRHFSA-N 405.454 4.559 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@@]2(C[C@H]3CC[C@H]2C3)C1 441622502 FAURGJZITOVWPW-QQMNAOGKSA-N 423.469 4.810 5 20 HJBD O=C(Nc1cccc(Cl)c1O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444253566 ZRZMXNNYSYHZBD-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD CCc1[nH]nc(C(=O)N(C)[C@H](c2ccc(F)cc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 444268379 JGJOBHPKWUFXRB-SFHVURJKSA-N 416.840 4.534 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444287046 CRUQVCQGUMVSEP-JOCHJYFZSA-N 414.509 4.779 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(Cl)c1 444515469 NKGZNFJBTRZIDU-UHFFFAOYSA-N 403.866 4.888 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 446489344 XSAYBGZOSDGCTC-CQSZACIVSA-N 400.504 4.650 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 460521558 RMRLOPRLQHFQGF-UHFFFAOYSA-N 405.292 4.716 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(CCCO)[C@H]1CCCc2ccccc21 467227486 FJLFVTRKKPBFHM-IBGZPJMESA-N 403.866 4.542 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@H]1c1nc2ccccc2n1C(C)C 471032908 QSKXZJQDHDPNEL-NRFANRHFSA-N 421.501 4.609 5 20 HJBD COc1cc(C(=O)Nc2cccc(COc3ccccc3)c2C)c([N+](=O)[O-])cc1OC 471474968 GHLNGEZXGIHPQL-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 474261004 WLYVYNGLYTXBFP-OAHLLOKOSA-N 420.309 4.966 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3OC)n2)cc1Cl 476358240 WUJHZFRUWAEMRF-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD COC(=O)c1csc(-c2cccc(CNC/C(C)=C/c3cccc([N+](=O)[O-])c3)c2)n1 480475862 GXWHYUSDCHPZJW-OQLLNIDSSA-N 423.494 4.698 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1ccccc1)c1ccc(F)cc1 481240684 SCEZBOWQXJFQBF-JOCHJYFZSA-N 407.445 4.707 5 20 HJBD C[C@H](C(=O)OC(C)(C)C)c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 482543820 PNGWRSQXNPDSPA-AWEZNQCLSA-N 424.457 4.702 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(F)(F)F)cc1 484671657 VLWUDANEDRRXRI-UHFFFAOYSA-N 400.378 4.604 5 20 HJBD Cc1ccc([C@H]2C[C@@H](C)N(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 486638751 MOXMLIUJQZAYIX-NQIIRXRSSA-N 407.514 4.524 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2Cl)o1)NCc1ccc([N+](=O)[O-])cc1 490100882 AKXBFYPTQSJDKK-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CC2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 493988088 CYPHORSBLMYMPU-LBPRGKRZSA-N 404.850 4.968 5 20 HJBD CC[C@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)s1 510754896 VLSDUJPZTZRQTE-VIFPVBQESA-N 420.821 4.801 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCN(c3ccccn3)C2)ccc1Oc1ccccc1Cl 513534377 WHXOCIJKYJPSIM-QGZVFWFLSA-N 424.888 4.804 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1OC 514902432 UXSPRYUBGMAHKM-UHFFFAOYSA-N 406.866 4.708 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 517556835 ZPJHPFDCOJZBNR-UHFFFAOYSA-N 417.878 4.582 5 20 HJBD O=C(N[C@H](Cc1ccccc1Cl)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 520141586 DNRQSUWGCGEKQO-GOSISDBHSA-N 424.840 4.691 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCc4c(ccc(Cl)c4Cl)C3)n2)c1 523893082 UTYQTOWYXQIZRV-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD Cc1ccc([C@H]2COCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)o1 524196736 UAKGBNDYRXLAQZ-GOSISDBHSA-N 418.515 4.848 5 20 HJBD COc1ccc(C(=O)N(C)C)cc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 532887007 ZNNAXSXPBVQVEX-UHFFFAOYSA-N 411.483 4.646 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)[C@@H]3CCc4c(Cl)cccc43)co2)cc1 534060638 IYENBHUPPCSJCP-CLYVBNDRSA-N 402.859 4.839 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1 535625746 HEGOMAUGJKOKOK-QGZVFWFLSA-N 409.486 4.612 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n([C@H]2C[C@H]3CC[C@H]2C3)n1 537109580 SCJLHTFYGDUPNH-FTRWYGJKSA-N 409.490 4.657 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](COCc2ccccc2)C1 537151387 HAYSWQIHGQARSL-SFHVURJKSA-N 414.527 4.774 5 20 HJBD CC(C)[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(C(F)(F)F)cc1 538226412 RGNXWQSHUYBODJ-GOSISDBHSA-N 410.392 4.967 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 538250231 DVTWHQGDXOAJQV-UHFFFAOYSA-N 424.482 4.589 5 20 HJBD CN(C(=O)c1ccccc1OC(F)(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251448 KLUFELZVIJRHQD-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2nc(-c3ccccn3)oc2c1 539659735 HDLSKAREQABOQP-UHFFFAOYSA-N 408.801 4.633 5 20 HJBD COCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 540234238 UWIUFSVVXRMHSX-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD CCc1ccc([C@@H](NCCc2nnc(-c3ccccc3)o2)c2ccc([N+](=O)[O-])cc2)o1 541563639 BJPAMBRPALGZSL-QFIPXVFZSA-N 418.453 4.722 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nnc(-c2ccsc2)s1 543142312 NRWVAKNQGJIEJJ-UHFFFAOYSA-N 412.905 4.559 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1=O 546243115 PSNZIGUPMPJMDB-DOTOQJQBSA-N 420.469 4.603 5 20 HJBD COc1cc(Cc2noc(-c3ccc(CCC(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 547179805 VTGFSMNJIYJORC-UHFFFAOYSA-N 407.348 4.739 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547196078 UYZNTKXKFQYKNQ-NSHDSACASA-N 423.347 4.736 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc([C@H](CC)c3ccccc3)s2)c1 549013311 ZCWUMWSONMHZCK-OAHLLOKOSA-N 412.471 4.639 5 20 HJBD CCOC1CC2(CCN(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)C2)C1 554252842 LGVAOSAWTXOCRZ-UHFFFAOYSA-N 411.458 4.810 5 20 HJBD CC(C)c1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)nc1 569653656 QSWXOJDXKVADCQ-UHFFFAOYSA-N 403.236 4.517 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)[C@H]1C[C@@H]1C 573716208 RSIHATFELMJGOG-SGTLLEGYSA-N 409.467 4.823 5 20 HJBD C[C@@H](C(=O)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 575849442 VICXIHCVPFEQHS-QVKFZJNVSA-N 409.873 4.847 5 20 HJBD Cc1nc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)sc1Cc1ccccc1F 603973265 VWQBGBQPCQAHNS-UHFFFAOYSA-N 417.487 4.820 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(OCC(F)(F)F)c2C)c1 609022012 BGWDUDXMLFAJST-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCCc2cc(Br)cc(Cl)c21 609219631 ZZSVECCGHBHHDC-UHFFFAOYSA-N 409.667 4.533 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@H](c2ccccc2)C1 609780770 BBYCDIFBGCBVLO-QFIPXVFZSA-N 419.437 4.993 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccnc(Oc2ccccc2Cl)c1 609816134 OAECQAKCAFZJDF-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccc(CN3CCC(O)CC3)cc2)s1 609851509 RVINTLXSZKUUIN-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD Cc1nc([C@@H]2CCCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)no1 609975769 SZDUGOADKCRUPX-INIZCTEOSA-N 418.519 4.792 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610042470 BFDYTWRKAKKKKO-QGZVFWFLSA-N 423.513 4.834 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)o1 610105444 WCKVQNKJPBNMCA-KRWDZBQOSA-N 401.463 4.586 5 20 HJBD COCCN(C(=O)[C@@H](C)C1CCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 610550043 QNGPHCDVDHRRDL-AWEZNQCLSA-N 403.504 4.524 5 20 HJBD CC(C)n1c([C@H]2CCCN2c2ncnc3ccc([N+](=O)[O-])cc23)nc2ccccc21 611708323 NEYNDHNHKZSGNN-HXUWFJFHSA-N 402.458 4.810 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](c1ccccc1)N1CCC(F)(F)CC1 619516152 PGMAKPJWASIAFH-QGZVFWFLSA-N 409.820 4.659 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccs1 726516147 IUOHCTFDQANOKM-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(CC[C@H]1CCCCO1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 731959958 TWQAPAHXGDXBIH-QGZVFWFLSA-N 423.421 4.623 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1ccccc1N1CCCC1 732567540 BMKGKAGWRIPYOF-UHFFFAOYSA-N 410.352 4.734 5 20 HJBD O=C(NC[C@H](O)c1cc(Cl)cc(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2s1 735699735 DBGXIUXBTQGQKH-AWEZNQCLSA-N 411.266 4.580 5 20 HJBD COc1ccc(Cn2cnc(-c3cccc([N+](=O)[O-])c3)n2)cc1SC(F)(F)F 741861750 UCTDTCVRHOTCJQ-UHFFFAOYSA-N 410.377 4.522 5 20 HJBD O=C(C1=NO[C@H](c2ccccc2)C1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743788715 ALYJNLVMLZHIER-QFIPXVFZSA-N 401.422 4.646 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 744372062 PYBOGPGYAXQJMC-UHFFFAOYSA-N 424.840 4.673 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745299272 CRGZJYFDWUXTKT-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD CC[C@H](NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)c1ccccc1OC(F)F 748618882 KUXMDCXUTHIYNY-AWEZNQCLSA-N 423.372 4.602 5 20 HJBD CCN(CCc1cccs1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750842871 CTIZEPWDLVTVTE-UHFFFAOYSA-N 417.712 4.777 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cccc21 753775292 RMKPLQMDQMEHCN-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1C1CC1 760854967 RWUZXDFCDMOXMG-JTQLQIEISA-N 407.268 4.655 5 20 HJBD CCc1cccnc1C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 766294989 JDCPHSNOWLTTMV-UHFFFAOYSA-N 416.389 4.545 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1nnc(-c2ccccc2Cl)o1 770292528 RIDONVSYFWYZFG-UHFFFAOYSA-N 401.806 4.580 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(OC)c(N3CCCCC3=O)c2)cc1[N+](=O)[O-] 776847257 JKWKTWOJMWEMEE-HNNXBMFYSA-N 413.474 4.692 5 20 HJBD C[C@@](O)(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 779345876 IMHQZPVCBSAWCQ-HSZRJFAPSA-N 422.506 4.774 5 20 HJBD O=C(NC[C@H](O)c1cccc(Br)c1)c1cc2ccccc2c2cccnc12 800581466 CUQMYEUUGUKONT-FQEVSTJZSA-N 421.294 4.614 5 20 HJBD COc1ccc2nc(C)c(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cc2c1 809735136 YIHHNNOWDAWHNI-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cc2cc(F)ccc2oc1=O 811085884 FGTWBWQTEVYJOF-UHFFFAOYSA-N 412.156 4.504 5 20 HJBD COc1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Br 811477485 SSPGNLMTJHRKGY-UHFFFAOYSA-N 420.090 4.963 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1OC 813248800 SFWDEURYKIOGJL-JTQLQIEISA-N 413.257 4.718 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@H]1NCc1ccccc1[N+](=O)[O-] 823666825 TUZNPQBUHRIXBA-NLWGTHIKSA-N 403.523 4.643 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)COc1ccc(Cl)c(Cl)c1 914605170 YLAAJTYIWXKHBP-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3cc(F)ccc3[N+](=O)[O-])cc(-c3ccco3)nc21 919047569 ZTEHITNJSYWMRI-UHFFFAOYSA-N 424.388 4.677 5 20 HJBD CCN1CCC[C@@H](CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 1338751117 LAPPOMZJBFYRBK-KRWDZBQOSA-N 403.910 4.862 5 20 HJBD CC(C)COc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6842877 AZUPDHKMNWRPRQ-AWEZNQCLSA-N 411.414 4.598 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C(C)(C)C)ccc2OC)c([N+](=O)[O-])cc1OC 7920475 DONJQVYKCWAKCV-UHFFFAOYSA-N 402.447 4.561 5 20 HJBD Cc1cc(C(=O)OCc2csc(COc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 14079992 OCKOCQQFWHKBGF-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)cs1 15240128 PKYVAEFLNIGWSM-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2ccccn2)c1 26246674 UUVJOSCQOBAVFF-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@@H](c3ccc(F)cc3)C2)c([N+](=O)[O-])c1 29528095 DREWELGQFNQIMT-JOCHJYFZSA-N 406.413 4.543 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OC(C)C 31513472 GTFWHVLZIDQVQK-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)C(C3CC3)C3CC3)cc2[N+](=O)[O-])n1 50401051 NHADFUZIISQMJC-UHFFFAOYSA-N 403.529 4.772 5 20 HJBD O=[N+]([O-])c1cccc(CSCc2nc(-c3cccc(Br)c3)no2)c1 219727544 IVUZJPPXQUVKCG-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](c3ccccc3)N3CCCC3)cs2)c1 238007645 CHSYWZFQCUDCID-NRFANRHFSA-N 408.527 4.645 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cccc(OC[C@@H]4CCCO4)c3)cs2)c1 238010827 SENACAFOARTCIV-FQEVSTJZSA-N 411.483 4.888 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccc(Cl)cc3)o2)c(Br)c1 301576557 YWABSZPRBILVBG-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD COc1cc(C(=O)NCc2ccc(-c3cccc(C)c3)cc2)c([N+](=O)[O-])cc1OC 303684716 NOCNHLGPKQTUDF-UHFFFAOYSA-N 406.438 4.517 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2COc3cc(F)c(Br)cc32)c2ccncc12 409536022 ONVWKXIQZYKXKK-HNNXBMFYSA-N 404.195 4.590 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@H]1CCOC1 410233888 MELSDUSKWJVABR-OCCSQVGLSA-N 406.891 4.554 5 20 HJBD COc1ccc(NC(=O)CCN[C@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426808988 OKOYJFKMEUQMQX-LLVKDONJSA-N 412.273 4.590 5 20 HJBD CCCCNc1ccc(C(F)(F)F)cc1NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 434239123 HILYOBMYGBXQHV-UHFFFAOYSA-N 413.400 4.924 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444020098 DNQKAQLJLFAKBC-UHFFFAOYSA-N 418.472 5.234 5 20 HJBD C[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1Cl 444079174 FRZRPPMTPZPXIA-CQSZACIVSA-N 406.869 4.532 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c([N+](=O)[O-])cc1F 444821664 YXAPFELPLUWBON-OAHLLOKOSA-N 410.726 4.928 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1-c1nc(-c2c([N+](=O)[O-])nc(C)n2C)no1 445615195 HBRWZFMAESMSLE-UHFFFAOYSA-N 422.879 4.501 5 20 HJBD CN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccc2cccnc12 466372130 OZLRTLWVBWEHSR-UHFFFAOYSA-N 413.433 4.999 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2)C[C@@H]1C 466871715 UHWDZAXDBUPQJN-WMZOPIPTSA-N 414.527 4.742 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(Br)s1 468178366 NTVXUOSAAYFYIR-LLVKDONJSA-N 424.320 4.510 5 20 HJBD Cc1cccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1Br 469648533 CEYQXODUJUZSFH-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1ccc([C@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccccn2)cc1 472487753 VCYKKAMKHQNXDV-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD CCn1c(SCC[C@H](C)OCc2ccccc2)nnc1-c1ccc([N+](=O)[O-])cc1 473401095 LAEIKFBOYMLTJM-INIZCTEOSA-N 412.515 4.961 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1C 482765884 ILRXYOWDZIWEOI-NSHDSACASA-N 410.424 4.688 5 20 HJBD CCC[C@H](NCC1CCN(Cc2nc(C)c(C)o2)CC1)c1cccc([N+](=O)[O-])c1 482868862 LWALMDXMVSRSJQ-NRFANRHFSA-N 400.523 4.543 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483426823 GXIIZAMXQUZYKP-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD CCOCCOc1ccc(Br)cc1NCc1ccc([N+](=O)[O-])cc1F 486369458 GQBHISFGYCGNNN-UHFFFAOYSA-N 413.243 4.524 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](COc2ccccc2F)C(C)(C)C)c1 486446976 NNLGUGIBNJTMCR-GOSISDBHSA-N 406.479 4.679 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccncc2)cs1 488186804 SOGOPWZKQYQZRY-JTQLQIEISA-N 422.388 4.623 5 20 HJBD COc1cc(SC)ccc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 489073787 BLJGHXBPHKAACQ-UHFFFAOYSA-N 415.393 4.666 5 20 HJBD C[C@H](NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccccc1[N+](=O)[O-] 490768765 LVTPNXBYTFXDAS-APPDUMDISA-N 406.364 4.740 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 490978628 BWCNBISZQUXGKT-KRWDZBQOSA-N 421.419 4.661 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(OCc3cccnc3)c2)cc1[N+](=O)[O-] 493211642 QNGHLMJIZSXYSD-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD Cc1cc(C)n(Cc2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)n1 501999887 OKEYPLQFMWKGKZ-UHFFFAOYSA-N 406.486 4.507 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3cccs3)Cc3cccs3)n2)c1 506101876 HEQSNLGJSZPKLE-UHFFFAOYSA-N 412.496 4.970 5 20 HJBD O=[N+]([O-])c1cc(F)c(CNc2cccc(C[S@](=O)c3ccccc3)c2)c(F)c1 506293390 CQOINXLYJHYDSM-NDEPHWFRSA-N 402.422 4.793 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 506485962 JXAILQHLAIJTBX-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD Cc1nc(-c2ccccn2)sc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 506711046 MOTZABPKZOECAZ-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1cccc([N+](=O)[O-])c1 511436400 BVFHSLYYYYZMCA-CQSZACIVSA-N 422.416 4.869 5 20 HJBD CC(=O)N(c1nc(COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cs1)C1CC1 513500460 RIVWNQHHZUGPFE-UHFFFAOYSA-N 409.467 4.813 5 20 HJBD COCCC[C@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1 514828507 LUIIFRVLGSLAQF-CQSZACIVSA-N 411.285 4.842 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H](OCC(F)(F)F)C1 515926373 PKSDABSRUSMNSR-INIZCTEOSA-N 423.391 4.522 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CSc2ncccc2[N+](=O)[O-])cs1 516272625 BBGNHFNVGCOQPR-UHFFFAOYSA-N 414.512 4.986 5 20 HJBD CC(C)(C)c1ccc(-c2nc(C(C)(C)NC(=O)c3ccccc3)no2)cc1[N+](=O)[O-] 518258140 WSVFCDPNHSLPEM-UHFFFAOYSA-N 408.458 4.607 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCSC[C@H]1c1ccc(Cl)cc1 522731647 ZZSJDENMMXJTRZ-KRWDZBQOSA-N 411.310 4.761 5 20 HJBD C[C@@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(OC(F)F)cc1 522962417 DKEHKJWWPKYXJD-GFCCVEGCSA-N 424.425 4.989 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)cc1F 524658308 QPWRLBHSWZHOOY-NRFANRHFSA-N 411.408 4.828 5 20 HJBD Cc1cc(NC(=O)[C@H]2C[C@@H]2c2c(F)cccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 525340658 CNMIJGXJCKHEGY-GJZGRUSLSA-N 414.824 4.624 5 20 HJBD C[C@@H](NC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1Cl 534214785 KOVUHLJSZONODR-SNVBAGLBSA-N 402.756 4.523 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cccc4ncccc34)cc2[N+](=O)[O-])C1 536353815 JWKIOVJCHCYNBD-CALCHBBNSA-N 418.497 4.902 5 20 HJBD CCOc1ccc(CCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 539353471 MFXCVVLRKJGBAN-UHFFFAOYSA-N 411.483 4.713 5 20 HJBD C[C@H](NCc1ccccc1[N+](=O)[O-])c1cccc(OCc2cn3ccccc3n2)c1 540188717 KYOZUWBQTGGQKX-KRWDZBQOSA-N 402.454 4.672 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(C)c3)c2C(F)(F)F)c1 540749313 AUQNRVWTXSSDBL-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 541129644 OHKNYGIKPQBVOV-CYBMUJFWSA-N 424.404 4.502 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)c(C)c1 542511024 PDYPHFNVORKJIA-INIZCTEOSA-N 412.461 4.576 5 20 HJBD C[C@H](C(=O)N(Cc1ccc(F)cc1)CC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543440810 IVESPDMMSKZBRM-NSHDSACASA-N 402.319 4.568 5 20 HJBD Cc1c(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cccc1NC(=O)c1ccccc1 543644422 KFZYEPYMQYSTNG-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(CCCc4ccccc4Cl)no3)c2c1 544927591 NOKNLAPJFTWTIK-UHFFFAOYSA-N 410.817 4.727 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CC(=O)N(c3cccc4ccccc34)C2)n1 545696167 KYMPXXMOUZJYJI-MRXNPFEDSA-N 414.421 4.627 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 547046757 WHNKMDSFWYKGGN-YVMONPNESA-N 402.204 4.586 5 20 HJBD C[C@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1c(F)cccc1F 547171515 QYBNOTSPZNKFPT-VIFPVBQESA-N 424.201 4.761 5 20 HJBD Cc1noc([C@@H]2CCCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n1 554878262 HKXVFEQPBFQKDB-KRWDZBQOSA-N 402.838 4.927 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C(=O)O)c2)ccc1OCCC(C)C 572101884 ZHGCELXXDOAWGK-CQSZACIVSA-N 402.447 4.900 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)Cc1c(C)cc(C(C)(C)C)cc1C 574181281 CFRLLCYRISINEO-UHFFFAOYSA-N 405.516 4.633 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCCN(c4ccc(Cl)c(Cl)c4)CC3)ncnc2s1 574712973 POQXEUNHSJMWAQ-UHFFFAOYSA-N 424.313 4.623 5 20 HJBD CCN(C)C(=O)c1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 576427704 ZTDGIIMNOPJXJT-UHFFFAOYSA-N 409.442 4.567 5 20 HJBD COc1cc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc(OC)c1C(C)C 581639924 NUDHDIAIVAPLSJ-UHFFFAOYSA-N 422.441 4.858 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc([N+](=O)[O-])c4cnccc34)CC2)c(OC)c1 603492995 XWLOGGWTVUIYQU-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD Cc1ccc(-c2nc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cs2)o1 603615168 QAEIVNQAWKDHFX-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 604186884 YMADRPATGXFFMA-UHFFFAOYSA-N 418.453 4.731 5 20 HJBD COc1ccccc1OCCNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 608972106 YDXUFSRYJLQEDR-UHFFFAOYSA-N 421.453 4.655 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc([N+](=O)[O-])s1 609186708 KOSRTULVYSMMGM-LBPRGKRZSA-N 403.460 4.726 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 609709795 YMFKXLPCEQJUAE-CQSZACIVSA-N 402.882 4.595 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 609875271 COOJQIJTTXTAFF-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 610040048 AQMQRFNOKJCHBX-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD O=C(c1csc(-c2cccs2)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610210482 VQOTWZDCVUROPP-KRWDZBQOSA-N 403.510 4.668 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC([C@H]3CCOC3)CC2)cc1[N+](=O)[O-] 612347278 YYJMZFLXNNIKLK-SFHVURJKSA-N 406.548 4.622 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1nc2ccc(Cl)cc2[nH]1 618584275 ZDSZGVHYHUAHBB-UHFFFAOYSA-N 421.713 4.786 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])c(C)c2)s1 727712935 SAUMFJFYZKZPNO-UHFFFAOYSA-N 422.438 4.745 5 20 HJBD CC[C@H](OC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(Br)c1 727960233 BZAATUSEGLRUQL-INIZCTEOSA-N 408.248 4.603 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])c1 731597765 PGFUXRIAVQDVQA-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD CC[C@H](CC1CCCC1)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734055054 WUQIMTAUMPCZKE-CYBMUJFWSA-N 416.396 4.702 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSc1nc(-c2ccccc2)cs1 735230782 QNNQMNIRZXQOEJ-UHFFFAOYSA-N 413.480 4.809 5 20 HJBD CCCOc1cc(NC(=O)N[C@@H]2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCCC 743082642 UJHFPIXRDPIZRY-ZIAGYGMSSA-N 411.524 4.578 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(Cl)c(Cl)c1 747027079 ZWIJMARCCZOHLC-JTQLQIEISA-N 412.225 4.615 5 20 HJBD Cc1ccc(C)c(NC(=S)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 747732306 DJERXBKFIFQWMJ-UHFFFAOYSA-N 412.437 4.629 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 753268132 BDNKYDIPJUWJTP-ZDUSSCGKSA-N 409.202 4.598 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc3nc(Cc4ccccc4F)[nH]c3c2)c1[N+](=O)[O-] 753449481 MKRRTPICWNGCCV-UHFFFAOYSA-N 422.391 4.901 5 20 HJBD COc1ccc(-c2nc(COC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cs2)cc1 754324065 DLCQQZQHFZKHFV-LBPRGKRZSA-N 416.430 4.713 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)ccc1Br 757708417 YCKCIJTVRHSVHX-MRXNPFEDSA-N 403.276 4.893 5 20 HJBD CN(C(=O)c1cc(Cl)cc(Cl)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 762473671 VDFUPUPDBBGUIR-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1cc(Cl)ccc1[N+](=O)[O-] 762514444 POYHCGZACGZCQF-AWEZNQCLSA-N 414.874 4.820 5 20 HJBD CC(C)c1ccc2c(c1)[C@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)CCC2 763177917 SHQRRQPMSMLKGR-GOSISDBHSA-N 415.877 4.504 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc2cc(Cl)ccc2n1C 766485133 DKSBRMWZCBNEMJ-NSHDSACASA-N 410.817 4.601 5 20 HJBD O=C(CCCc1ccccc1Br)OCc1nc2cc([N+](=O)[O-])ccc2o1 767679169 BUGHMICKFUAOCH-UHFFFAOYSA-N 419.231 4.565 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769997750 LMWZIURUCPDIRP-HNAYVOBHSA-N 403.866 4.880 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@H](NC(=O)OC(C)(C)C)c1ccccc1 773251147 ISVVMORKDZTGAD-SFHVURJKSA-N 413.474 4.806 5 20 HJBD CC(C)OCc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137094 NAKDJZXZWUBBNB-JOCHJYFZSA-N 406.438 4.861 5 20 HJBD COc1ccc(NCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])cc1Cl 779031007 QUCRKEZZJAAKFR-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD CC(C)N(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1cnc2ccccc2c1 791029059 SSSLSSGTKSNBDG-UHFFFAOYSA-N 401.825 4.920 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1cc([N+](=O)[O-])ccc1Br 791117789 SZWDPIFQHWQWRM-STQMWFEESA-N 416.275 4.598 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1F 799409579 HHHZCNKJRDDNQB-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1ccc(Cl)cc1[N+](=O)[O-] 803093448 UNVSDIDWAUNSTH-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)C[C@@H](C)C1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809921479 GZFLQESDSGUNER-HUUCEWRRSA-N 416.909 4.526 5 20 HJBD Cn1c([C@H]2CCCN2C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 812945364 BRRSZMWXACYZEO-MRXNPFEDSA-N 419.268 4.766 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(N2CCCOC2=O)cc1 814661732 SXBMRICFRSHATO-MRXNPFEDSA-N 413.474 4.580 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511438 JUHUHRSPWAQXGL-UHFFFAOYSA-N 424.375 4.806 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1cccc([N+](=O)[O-])c1Cl 816870389 YKGDOEQJQGQVHZ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1ccc(Cl)cc1[N+](=O)[O-] 916477584 BFRXSQWXELISTQ-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD Cc1c(OC(=O)c2nc(-c3cccs3)n(-c3cccc(F)c3)n2)cccc1[N+](=O)[O-] 917049910 CSGYGLMLPUOTAG-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD C=C1c2ccccc2C(=O)N1[C@H](C)C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330758 NETVFEFQYXVFSH-MRXNPFEDSA-N 414.417 4.682 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2nc(-c3ccccc3)oc2C2CC2)n1 1254840978 BAFVOTAHEHJYIH-UHFFFAOYSA-N 417.425 4.832 5 20 HJBD CCOc1ccccc1OCc1nnc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)o1 1257692741 BVVVLLIKZLPIKT-CYBMUJFWSA-N 401.444 4.809 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 1319391646 RIBSHZSMJRUSFQ-UHFFFAOYSA-N 421.291 4.507 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(Nc4ccccc4Cl)CC3)co2)cc1 1323085097 NWEDOVBONPYXAJ-UHFFFAOYSA-N 412.877 4.980 5 20 HJBD O=C(Nc1nc(-c2cccs2)ns1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1521430807 VGMXTZPCHCGDNG-UHFFFAOYSA-N 401.256 4.734 5 20 HJBD O=C(NCc1cn2ccccc2n1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 10126935 YZVLSHUSHVIAFM-UHFFFAOYSA-N 422.828 4.618 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2cccc3ccccc23)c1 16196603 TWYZLLOSUCBKKD-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD Cc1ccccc1-n1c(C)nnc1S[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17740397 VBNARNCTGFBPEH-ZDUSSCGKSA-N 422.470 4.696 5 20 HJBD CCCN(Cc1ccccc1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 20010247 BYWDBYGFHXFEOV-UHFFFAOYSA-N 406.486 4.509 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccc(C(F)(F)F)cc3)C3CC3)c1)OCOC2 46586940 JNXNSWAESQIWQW-UHFFFAOYSA-N 408.376 4.645 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3ccc(Cl)cc3[N+](=O)[O-])CC2)c(C)c1 46622201 LYKYIUKWTPNNAN-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 53261512 RDEBZOYFCYHMDK-ZDUSSCGKSA-N 410.455 4.791 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(-c2ccccc2F)n1 64785183 YVXNMKGCMQVYBT-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 195536911 LXJZANCSAFWNRM-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2ccc(OCC(F)(F)F)cc2)cc1[N+](=O)[O-] 195664631 HPVYXELRKXUOEM-LLVKDONJSA-N 414.405 4.749 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 195701638 UPDGOAJFPRTUMO-UHFFFAOYSA-N 421.478 4.846 5 20 HJBD CC(C)c1ccc(CNCc2ccccc2CN2C[C@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] 236718603 NURPDSCXBZEORL-KDURUIRLSA-N 411.546 4.617 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(OCC(F)(F)F)c(Cl)c1 410397448 FRLZDISUIUUNRW-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnn(-c2ccc(Cl)cc2)c1C(C)C 427347957 SLEFCUOACHHNKS-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CN(CCOc1cccc(Cl)c1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435942753 NVLVLPHWDJVCNP-UHFFFAOYSA-N 424.859 4.625 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436334461 FIBOBEAZJVVTER-UHFFFAOYSA-N 422.416 4.663 5 20 HJBD COc1cc(C(=O)N2CCc3cc(-c4cccc(F)c4)ccc32)cc([N+](=O)[O-])c1OC 437097086 MVZXOYPEBLFNRM-UHFFFAOYSA-N 422.412 4.621 5 20 HJBD Cc1cc(C(=O)CCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c(C)s1 461709730 ZDOIXRYNULBKOM-IBGZPJMESA-N 404.513 4.510 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(Br)c1 462261688 WEDNKALJSFGCIB-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3[C@@H]4CCCO[C@@H]4C3(C)C)c([N+](=O)[O-])c2)cc1 462901370 UKSDQJYXWCFSAL-WMQCIHAUSA-N 409.486 4.771 5 20 HJBD Cc1oc2ccccc2c1CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 464437590 QQFOIHBTTDVJJC-UHFFFAOYSA-N 406.360 4.783 5 20 HJBD CC[C@H](C)c1ccccc1OCC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464665214 SLFXYXYEEQFADS-KRWDZBQOSA-N 412.486 4.557 5 20 HJBD COc1cc(C(=O)Nc2cncc(F)c2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 466467961 ZQEFVAYXBKQYRG-UHFFFAOYSA-N 417.780 4.836 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])c2)n1 467991959 BUCLLBIXRWWVSW-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 468193020 QZLMXXUGMLNXOS-CYBMUJFWSA-N 402.472 4.624 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 471853376 ZUIIHLNNTVENSB-CQSZACIVSA-N 413.865 4.684 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(c2ccc(Cl)cc2)CC1 477802529 ORCLLLLWRFECAB-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(OCc2cccc3ccccc23)C1 478693336 CPAIKZQHRZIXIE-UHFFFAOYSA-N 405.454 4.798 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F)CCC2 479534310 DINNNEIXPLRTKJ-ROUUACIJSA-N 414.811 4.968 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C 485933624 NWDMUPTZUIWJOW-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 486197731 KIEGZDXXFLKBGS-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccc(Cl)cc2)c1C(C)C 486836768 ZZEVMMWSKFWYPH-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(OC(F)F)c(F)c1 488337680 SOAUVOFTEXGXEM-UHFFFAOYSA-N 423.269 4.676 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1c(Cl)cccc1[N+](=O)[O-] 497870087 RLBLLUQLEFVQJM-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ccnc3ccccc23)cc1 499860300 IDGGDMRQLVDIPR-UHFFFAOYSA-N 400.394 4.770 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 503614622 WUUPEHBLVFTXHW-UHFFFAOYSA-N 412.402 4.617 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@H]2CCCO2)cc1 504073541 GPKBRKBYFSGPRL-GOSISDBHSA-N 416.499 4.907 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3ccc([N+](=O)[O-])c(C)c3)s2)cc1 505135884 PJQPJIFVBSTQHY-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 505716354 HBYRBUXNACYNLP-UHFFFAOYSA-N 407.474 4.870 5 20 HJBD CC(=O)c1ccc(OCc2cccc(Br)c2OC(F)F)c([N+](=O)[O-])c1 507872048 YCDOSZJKPFLPEY-UHFFFAOYSA-N 416.174 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1 508393536 VGLSYKCDGHEOAX-PBHICJAKSA-N 408.376 4.688 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc(-c2ccccc2)n(-c2ccccc2)n1 515871214 LKMXMQJNITZIKQ-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1nc2c(C(F)(F)F)cccc2s1 520097155 BONYXKLWMCCMQF-UHFFFAOYSA-N 420.372 4.817 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)NC)ccc1Cl 522008426 RKPAEEIVZKRZSX-UHFFFAOYSA-N 421.906 4.752 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCCC[C@@H]2c2nnc([C@H]3CCCO3)o2)o1 522035401 ROMJDPYFLYCFRH-UYAOXDASSA-N 424.457 4.817 5 20 HJBD COc1cc(CN[C@@H]2CCC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 522717204 OQQUTEJRYGBWTQ-RTBURBONSA-N 416.543 4.946 5 20 HJBD CC[C@H](c1ccc(F)cc1F)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795293 OVAPHOOFAUUZLB-GOSISDBHSA-N 422.409 4.594 5 20 HJBD N#CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)c(Br)c1 534829322 XFAMQXBXFOXIMD-UHFFFAOYSA-N 417.194 4.639 5 20 HJBD Cc1sc(CCN[C@@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 537962420 YURBCFQZPLFFPA-HNNXBMFYSA-N 424.526 4.576 5 20 HJBD CN(CCc1ccccc1O)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 541503264 RTXRBHVJJHFDEX-UHFFFAOYSA-N 408.479 4.766 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CN2CCc3ccc([N+](=O)[O-])cc32)cs1 542589434 GHZVESCMAPBGON-UHFFFAOYSA-N 422.510 4.861 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCc1ccc([N+](=O)[O-])cc1 542934232 KQZVAYIELUXPML-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCSc2ccc(F)cc21 543122712 SDODNOOBFFKTOR-CYBMUJFWSA-N 412.895 4.833 5 20 HJBD O=C(CN1CCc2ccc([N+](=O)[O-])cc21)Nc1c(Cl)cc(Cl)cc1Cl 543516266 AEEICKBRVFXKKJ-UHFFFAOYSA-N 400.649 4.556 5 20 HJBD O=C1CCCC(=O)N1Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 546972694 NYSXJQBISAYCBR-UHFFFAOYSA-N 419.437 4.506 5 20 HJBD Cc1cnc(O)n1-c1cccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c1 547068021 OEHANTIQGFGPLW-CYBMUJFWSA-N 423.454 4.698 5 20 HJBD COc1cccc(C[C@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 547705714 IIARYOWZWJNPEW-LJQANCHMSA-N 412.489 4.537 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561758986 QWFTXTDTXCHLKU-ZDUSSCGKSA-N 419.403 4.727 5 20 HJBD CSC[C@@H]1CCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 561906957 UJAQJDACIPFDPK-CYBMUJFWSA-N 423.585 4.726 5 20 HJBD COc1ccc([C@H](Nc2ncnc3sc([N+](=O)[O-])cc23)c2ccccc2OC)cc1 563718337 YGCXZXSFOSELND-IBGZPJMESA-N 422.466 4.818 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)c1ccc(Oc2cccnc2)cc1 568134284 TXKWYORIDOZQKU-CQSZACIVSA-N 408.414 4.673 5 20 HJBD O=C(Nc1ccc2c(c1)Cc1ccccc1-2)N1CCOc2ccc([N+](=O)[O-])cc2C1 569563920 ZDGJDJAQKVNCFZ-UHFFFAOYSA-N 401.422 4.593 5 20 HJBD C[C@@H](C(=O)N(Cc1csc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 573299200 YODHNBPWHPBGLD-LLVKDONJSA-N 409.305 4.714 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCSC[C@H]2c2ccc(C)cc2)cc1SC 581846600 YMYUOHHBVSYWOF-KRWDZBQOSA-N 418.540 4.564 5 20 HJBD COCCN(Cc1ccccn1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 582208843 OZBXMYIZDWKFFZ-UHFFFAOYSA-N 401.850 4.552 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 586834182 QDGDLLHPYQCSOX-UHFFFAOYSA-N 418.425 4.995 5 20 HJBD COc1cc(C(=O)NC2CCC(c3ccccc3)CC2)c([N+](=O)[O-])cc1OC(F)F 603521441 PRSMDMSAJCEXHF-UHFFFAOYSA-N 420.412 4.661 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 603531607 ZUZFHVBGCFLVDM-AWEZNQCLSA-N 410.451 4.789 5 20 HJBD COc1ccc(CSc2nnc(-c3ccco3)n2Cc2ccccc2)cc1[N+](=O)[O-] 603721954 ILWHLRWPLYPLMB-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD Cc1ccc(OCC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 603906859 QZBUVGNEUPFIAI-INIZCTEOSA-N 409.269 4.953 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1cccc(OCc2ccccn2)c1 603982258 CHEYRDAIYZJUHO-OAHLLOKOSA-N 417.421 4.806 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469885 YNCXRKLTDSSTKY-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H](c2ccccc2)CC1 608968512 RXKPGSAKPQWMNG-WBVHZDCISA-N 402.878 4.812 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(Cc1cccc2ccccc12)C1CCCC1 609063811 VWYXDTNDTHCYGG-UHFFFAOYSA-N 406.486 4.614 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)c2cn(-c3cccc([N+](=O)[O-])c3)nn2)cc1 609443495 PIOIFQRUVCWKPL-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Br)ccc1F 609516123 IMPIKIFCFPZJJS-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD COC(=O)CC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 609599667 NIQDYIGQXWPFSU-LJQANCHMSA-N 411.483 4.502 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2c3ccccc3Oc3ccccc32)cc1SC 609637766 BDXFTOJMGBIPJD-UHFFFAOYSA-N 422.462 4.950 5 20 HJBD Cn1c([C@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 609855915 MUXMRXRZSQQOLD-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])o1 610050233 CHVOJPLPDUHDDG-INIZCTEOSA-N 402.447 4.525 5 20 HJBD COc1ccc(CN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])c2ccncc2)cc1 610111616 HIZIRQJPCJGXSA-UHFFFAOYSA-N 409.467 4.567 5 20 HJBD CCC[C@H](CCc1ccccc1)NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 610180895 VMLARLCEQLJANO-LJQANCHMSA-N 406.486 4.527 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H](COc2ccccc2Cl)C1 611085221 SCHNRIWJHDSDMQ-AWEZNQCLSA-N 413.861 4.661 5 20 HJBD COc1ccc(-c2nccnc2NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 619573399 QKXYLDMETUFCQI-UHFFFAOYSA-N 406.442 4.610 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCc1c(Cl)cccc1Cl 642036760 PMJKEPHSEKPQPS-UHFFFAOYSA-N 411.288 4.778 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(-c3ccc([N+](=O)[O-])cc3Cl)o1)N2 726605217 KYUWETKEZZIRME-LJQANCHMSA-N 413.817 4.713 5 20 HJBD COc1ccc(C=O)cc1Oc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 727431941 ZSSBUOQPTJQRTI-UHFFFAOYSA-N 406.394 4.769 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1nc(-c2ccccc2)sc1=S 729982336 XIAYSSUSZNRRDR-UHFFFAOYSA-N 406.920 4.992 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1OC(F)F 734117056 TUMXHDLQVRZHMK-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD COc1cccc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)c2ccccc2Cl)c1 741604690 UNQFSMOLUJGEPO-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD COc1cc(NC(=O)OC(C)(C)C)c(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1 744357810 UKZGAYTUMXSNGF-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)c1cccc(Br)c1 748617045 DDSXCLHSPHEVKO-UHFFFAOYSA-N 422.235 4.549 5 20 HJBD C[C@H](OC(=O)C[C@H]1CCC[C@@H](C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 750237080 CBUMMBSTWGBWBF-AGIUHOORSA-N 416.396 4.700 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 754898017 XGUIZTSVZXTEMJ-SECBINFHSA-N 415.646 4.511 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@H]3c3ccccc3Br)cc2N1 756135140 WJYOPEHZDMPEFR-INIZCTEOSA-N 416.275 4.584 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 758866074 ZETBHEIJCYSBTN-LLVKDONJSA-N 407.289 4.746 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 761501177 QGFIOTLCZFDKNS-UHFFFAOYSA-N 415.409 4.535 5 20 HJBD Cc1ncsc1NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 761543118 FPHJJCQNPXHBMZ-UHFFFAOYSA-N 416.846 4.518 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)n2)c1 764151078 UOLZOEHFTCUWBO-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 765528127 STIYGBHBEKENRJ-CQSZACIVSA-N 415.833 4.610 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1OC1CCCC1 765599664 HFLKMXVZDBINAJ-UHFFFAOYSA-N 407.426 4.725 5 20 HJBD CC[C@H](SC)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 767670204 LSBVHGRANRRCRS-DLBZAZTESA-N 422.890 4.613 5 20 HJBD CCOC(=O)N(C)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 769310087 ZBYYPCNXWMDYAR-UHFFFAOYSA-N 421.881 4.561 5 20 HJBD CC(C)([C@H](OC(=O)c1ccnc(C2CC2)c1)c1ccc(Br)cc1)[N+](=O)[O-] 774366440 KCEXHVUTLMAJGU-QGZVFWFLSA-N 419.275 4.675 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccccn2)c2ccc(N(C)C)cc2)cc1[N+](=O)[O-] 776387269 JFTBPTLMYHZVRL-UHFFFAOYSA-N 422.510 4.625 5 20 HJBD COc1cc(OC)c(NCc2ccc(Cl)c([N+](=O)[O-])c2)cc1Br 777605035 TVWLCFJRDQVNBI-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(CN[C@H](Cc1ccccc1)c1ccccc1)Nc1cc(Cl)ccc1[N+](=O)[O-] 780063673 AWFWCMKOYNBCTM-LJQANCHMSA-N 409.873 4.760 5 20 HJBD O=C(OCc1c(F)cccc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781255867 FMIODHZCTMUILC-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD CC(C)c1ccc(-c2nc(C3(NC(=O)OC(C)(C)C)CCC3)no2)cc1[N+](=O)[O-] 783947992 FUBKFUACHVMQHC-UHFFFAOYSA-N 402.451 4.672 5 20 HJBD Nc1c(Cl)cc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 785993035 PFRDTZCYBGMSLG-UHFFFAOYSA-N 423.178 4.824 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@H](C)c2cccc(N3CCOC3=O)c2)cc1[N+](=O)[O-] 790200719 FKQADAQUPCGYPE-OAHLLOKOSA-N 411.458 4.508 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)s1 790847277 LWUNLUZOJRZNQY-NSHDSACASA-N 424.320 4.556 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 796445218 MBWLTFZVFTVGHD-GFCCVEGCSA-N 403.385 4.922 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2)nc1 801629626 LFENPMDXEOGZJM-UHFFFAOYSA-N 406.442 4.797 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC 809918455 IIINJUCWXIDURQ-LBPRGKRZSA-N 407.854 4.928 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1CCCc2sccc21 811217878 FCSKYHQFFLRIRL-MRXNPFEDSA-N 400.481 4.943 5 20 HJBD COC[C@@H](C)[C@@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 812834162 MIBKGOODVSIKHV-ZIAGYGMSSA-N 406.866 4.684 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(NC(=O)OC(C)(C)C)nc1C 813292548 TVRLOWVVKYBETH-UHFFFAOYSA-N 406.464 4.521 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 915602953 OSTSIZOKJYSAIN-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD CCn1c(SCc2cc(C=O)ccc2OC)nc2cc3ccccc3cc2c1=O 915793345 XOQWNHZGYDQAGG-UHFFFAOYSA-N 404.491 4.683 5 20 HJBD COc1ccc(OC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)c([N+](=O)[O-])c1 915812889 SSBULOWBBXGPDY-UHFFFAOYSA-N 424.457 4.508 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)c2Cl)cc1 916702912 HGWMEPGDOYEUAJ-UHFFFAOYSA-N 412.877 4.979 5 20 HJBD Cc1c(OC(=O)c2ccc3c(c2)C[C@H](c2ccccc2)OC3=O)cccc1[N+](=O)[O-] 917049701 LDTCGWOCQDBXPN-OAQYLSRUSA-N 403.390 4.577 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c12 1318840255 WEZLOSPMWWRNRO-UHFFFAOYSA-N 418.409 4.670 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nnc(-c2cccc(Cl)c2)o1 1322389662 WNOJTPICTRYKFX-UHFFFAOYSA-N 412.755 4.972 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(-c2nnc(CCSc3ccc(F)cc3)o2)c1 1326926589 FQBITXMMOWNCFD-UHFFFAOYSA-N 411.361 4.720 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccccc3OC)cc2)c([N+](=O)[O-])cc1OC 11514567 WASYJBJJNJOGBI-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD COc1ccc2c(c1)CCCN2C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 27063840 ILHJOBLVRFGLJL-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD O=C(Nc1nc(-c2cccc(C(F)(F)F)c2)cs1)c1ccc([N+](=O)[O-])c(O)c1 31183278 PJSYMGRZWGGREG-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(-c4ccc(Cl)cc4)o3)n2)c1 31842249 DRLYRKLTADIFCT-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1Oc1cccnc1 51982762 RDSUGASGNXJESS-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD Cc1ccc(CNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 53164134 XVFTUKXDUCZXOH-OAHLLOKOSA-N 408.433 4.540 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)c1 61315412 PRLUGMWMPAFTDM-FQEVSTJZSA-N 412.392 4.595 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1Br 72042721 FPJPYWUNSKEADH-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 109217414 HPFAKHREBJOBQI-LJQANCHMSA-N 410.861 4.931 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 109779648 RPAFJIUEDLMOPQ-UHFFFAOYSA-N 418.478 4.953 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@@H](C)c1nc2ccccc2s1 140821916 PQPMQTZKQNCSNA-RYUDHWBXSA-N 419.890 4.845 5 20 HJBD Cc1occc1-c1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)n(CCO)n1 237685363 VHFWQIAHYCVYCE-UHFFFAOYSA-N 424.482 4.693 5 20 HJBD COc1ccc2oc([C@@H](C)Nc3c([N+](=O)[O-])cc(CO)c(C)c3[N+](=O)[O-])c(C)c2c1 301211898 XFNGOLYZROVSJC-GFCCVEGCSA-N 415.402 4.540 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)s2)c(F)c1 409958847 SQOWJIUYNLRFJL-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD COc1ccccc1S(=O)(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435138385 REHNICFZRBYPRB-UHFFFAOYSA-N 422.509 4.617 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436054755 AETWTWNXIDFQBI-AWEZNQCLSA-N 406.432 4.629 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc(Cl)ccc2C(=O)N2CCCC2)cc1[N+](=O)[O-] 439106711 JOUZHLBNZWFGGM-UHFFFAOYSA-N 415.877 4.860 5 20 HJBD Cc1cccc([C@@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444078078 UYJMSIDCNCDUDV-AWEZNQCLSA-N 406.432 4.801 5 20 HJBD Cc1cc2occ(CC(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c2cc1C(C)C 444636585 NXSJFQDZZPFPCI-UHFFFAOYSA-N 406.442 4.508 5 20 HJBD O=C(Cc1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1)Nc1cccc2ccccc12 445334592 XUQMIPFVMQZTKN-UHFFFAOYSA-N 408.801 4.633 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460349673 OLQKYMJNXQIDND-MDZRGWNJSA-N 422.428 4.991 5 20 HJBD C[C@H](NC(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 463322303 WQJBKNYLIKUGSR-NUTKFTJISA-N 418.291 4.664 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(c2ccc(F)cc2)C2CCCC2)cc1OC 465472106 PTMNXSJEQXNFES-UHFFFAOYSA-N 402.422 4.731 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 466291474 WNGZGELSLKTXMH-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 466852710 KUNOTEHNSRFAPW-INIZCTEOSA-N 400.500 4.635 5 20 HJBD CC(C)[C@@H](c1ccc(F)cc1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 468122217 XAYXAYJGSPURKP-QFIPXVFZSA-N 413.493 4.806 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2c(F)ccc(F)c21 472566503 ULHLYOTVYJCQBS-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD COc1c(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 475912166 QGLHXAQEDZSBRG-MRXNPFEDSA-N 422.485 4.554 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3cccc(Cl)c3)CC2)cc1OC 477384041 XQWMEKXXDXSSJB-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 477433857 PRLGYIOXOMBQRH-WMLDXEAASA-N 413.232 4.609 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 480088995 DUBMFOLBBZXJRA-UHFFFAOYSA-N 413.305 4.612 5 20 HJBD CC[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(F)cc(Br)c1 485816698 DOQYPTPWGRFLKZ-AWEZNQCLSA-N 408.227 4.879 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CCC(C(F)F)CC2)c([N+](=O)[O-])c1 486121302 AEDUQGOIFBTVIL-LJQANCHMSA-N 403.429 4.560 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1coc(-c2ccc(C)cc2)n1 486165739 NXNPSTRDAZZOND-UHFFFAOYSA-N 411.483 4.643 5 20 HJBD C[C@H](NC(=O)CN(Cc1ccco1)c1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 489228330 RAOWYKRCDREJNJ-HNNXBMFYSA-N 413.861 4.725 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1COCc1ccccc1 498645761 YHMQJRMPUNBQHN-UHFFFAOYSA-N 415.449 4.905 5 20 HJBD CCc1nc(C)c(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 506122955 PNTRDHMQTATXJB-UHFFFAOYSA-N 405.423 4.672 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](C)c1ccccc1Br 508987535 NPLOZLMXSVDMKL-CYBMUJFWSA-N 421.291 4.979 5 20 HJBD CCOc1cc(C(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)c([N+](=O)[O-])cc1OC 513020667 SHRAGDDMEBQNKQ-BCDXTJNWSA-N 410.470 4.553 5 20 HJBD O=c1ccc([N+](=O)[O-])cn1CCSc1nc(-c2ccccc2)c(-c2ccccc2)o1 513273782 YVHUULIFIAUSHA-UHFFFAOYSA-N 419.462 4.871 5 20 HJBD O=C(c1cnc2ccccc2c1C(F)(F)F)N1CCCc2c1cccc2[N+](=O)[O-] 513839253 GYRLOJQYEYSKOM-UHFFFAOYSA-N 401.344 4.755 5 20 HJBD Cc1ccccc1[C@@H](C)c1noc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 514756946 XABIFCPQXUQXSI-UZLBHIALSA-N 420.469 4.724 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CC[C@@H]1c1ccc(Cl)cc1 516591518 AALCBXOGGJXNQA-MRXNPFEDSA-N 409.667 4.527 5 20 HJBD CCCCN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C1CC(C)(C)NC(C)(C)C1 518418824 JNAHHIXVZIJRSB-UHFFFAOYSA-N 400.523 4.628 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H](Cc2ccccc2)C1 518513889 XSTNNUWYWYVPTJ-OAHLLOKOSA-N 405.401 4.683 5 20 HJBD COC(=O)c1ccccc1N(C)C(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 524429636 QQBQUJQUDNMVPJ-UHFFFAOYSA-N 416.499 4.796 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cn2)cc1 525072547 KKVAEZLBQFLRRT-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1 525498715 JHYYBYQZSRDXQO-UHFFFAOYSA-N 415.877 4.826 5 20 HJBD COc1cc(CNCc2ccc3c(c2)COC3)c([N+](=O)[O-])cc1OCc1ccccc1 533208236 XDSBBNXRLNZBOV-UHFFFAOYSA-N 420.465 4.502 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3csc(-c4ncccn4)n3)co2)cc1 534058889 IEJPLKUKTPYVGA-UHFFFAOYSA-N 411.468 4.597 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535700506 NAWDFALLCJYUFH-CQSZACIVSA-N 404.426 4.623 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@H]1c1cc2ccccc2[nH]1 536308344 IXGNETUAIMUDQO-QFIPXVFZSA-N 402.454 4.854 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 537017105 JLTHUEYPPKFJLE-ROUUACIJSA-N 422.859 4.627 5 20 HJBD CSc1ccc(C)c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 537225299 QHSYPCSPWBBULW-UHFFFAOYSA-N 401.488 4.700 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537273017 HXDAAVCWYDFWFX-AWEZNQCLSA-N 414.767 4.911 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 538227687 BWPUMNBBJOUGEE-GJZGRUSLSA-N 415.465 4.509 5 20 HJBD CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 540136548 QPHSWAMDHSIKEJ-JXFKEZNVSA-N 418.877 4.974 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)c1ccccc1[N+](=O)[O-] 540389949 AGKZJEMHQMEUHH-KPZWWZAWSA-N 413.865 4.732 5 20 HJBD Cc1ccc2cccc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)c2n1 540454649 YKIQKPUIQCFYAJ-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD CCCc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 542438121 MVZBWCIWEZUVAR-CQSZACIVSA-N 411.437 4.755 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)[C@@H]2C 543792086 IEYRHCUAIXFCMB-RRFJBIMHSA-N 400.500 4.618 5 20 HJBD CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544621550 CSMKLIYSCUPCFZ-KSSFIOAISA-N 407.829 4.771 5 20 HJBD C[C@H](c1nc(-c2cccc(NC(=O)C3CCC3)c2)no1)c1ccc([N+](=O)[O-])cc1F 545568081 YHGJOAFHARPANO-LBPRGKRZSA-N 410.405 4.674 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 546464198 GASLKYTVESQAJH-UHFFFAOYSA-N 403.822 4.689 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cc(Cl)ccc2Cl)no1 561910688 DUWBAEOAXPQOCH-JTQLQIEISA-N 421.240 4.618 5 20 HJBD CCCCc1ccc([C@@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 569934008 YBZLJURKVGRXAU-GOSISDBHSA-N 410.499 4.694 5 20 HJBD CSCCNC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 603450500 BJWGCSMDTRKUHZ-QINSGFPZSA-N 413.524 4.614 5 20 HJBD Cc1nc(CSc2ccc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2)cs1 603569029 QSBJSJYGCASFPG-UHFFFAOYSA-N 413.524 4.924 5 20 HJBD COc1ccc(CSc2nnc(-c3ccccc3)n2Cc2ccco2)cc1[N+](=O)[O-] 603722683 UYUYQDFRMUNNCP-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 604244271 PJYIUASALXGFKM-DOTOQJQBSA-N 409.511 4.963 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2ccc([N+](=O)[O-])s2)cc1 609130328 UPAZDCQGVFFSHH-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD Cc1ccc(OC(=O)CC[C@H](NC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 729651295 JDTHJUVGGFOLBI-KRWDZBQOSA-N 414.458 4.855 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccnc2Cl)n1 729697278 UXRQKCTUKBQDPO-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD O=C(OCCCCO)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 733372398 AJNWHTWSSACKAQ-UHFFFAOYSA-N 415.389 4.694 5 20 HJBD O=C(Nc1cccc(Cl)c1SCC(F)F)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 736515327 BMZXNWSSYSJJQP-UHFFFAOYSA-N 417.777 4.766 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 743226051 XWCRVXQLPXJMMR-AWEZNQCLSA-N 414.462 4.502 5 20 HJBD CC(C)c1nc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1ccccc1Cl 748422193 YIPSQEQIIIXFEC-CYBMUJFWSA-N 414.849 4.870 5 20 HJBD CC(C)CN(CCc1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1Br 751079537 GLWOKNQYFRQSBB-UHFFFAOYSA-N 405.292 4.698 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@@H](c1ccc(Cl)cc1)c1cccnc1 759330121 XWBCSIJJOBHQDV-QFIPXVFZSA-N 421.840 5.000 5 20 HJBD COc1ccccc1[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 759610403 TYUFMMQKFQENMJ-NRFANRHFSA-N 404.378 4.691 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 762473751 HWRXSNZDTMSHDP-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CCCCC1)c1cc(F)c([N+](=O)[O-])cc1F 769490710 RHQBLZJVEAWBFM-UHFFFAOYSA-N 400.385 4.980 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H](C(F)(F)F)CC[C@H]2C)CC1 780277390 QCDOISNIPUBAMA-HUUCEWRRSA-N 413.440 4.634 5 20 HJBD CC(C)(C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(OC(F)(F)F)cc1 789244526 IFOCJVDMEJSXLU-UHFFFAOYSA-N 424.331 4.656 5 20 HJBD CCOc1ccc(NC(=O)CNc2cc(-c3cncc(F)c3)ccc2C)c([N+](=O)[O-])c1 800879677 QIWWQXJTHLGCLA-UHFFFAOYSA-N 424.432 4.554 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)[C@@H](F)c1ccc(C(F)(F)F)cc1 802110582 YSRKHQPOFMLDCY-LZWOXQAQSA-N 415.343 4.548 5 20 HJBD O=C(Nc1cccc2c1COC2=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 808473397 FATPPGKOEIVEGK-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 809972314 SOXPLRZSLMJYMD-LLVKDONJSA-N 410.257 4.709 5 20 HJBD CCOc1cc(N2CCC(OC(=O)CCc3ccc(C)s3)CC2)ccc1[N+](=O)[O-] 810596466 UDFUFUGRPOFQAB-UHFFFAOYSA-N 418.515 4.508 5 20 HJBD Cc1c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cccc1C(=O)N1CCCC1 812955913 SWKDMRFQZQJILB-UHFFFAOYSA-N 422.268 4.698 5 20 HJBD O=C(/C=C\c1cccnc1)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 879460202 WGCWDHIUYGXOCM-KMKOMSMNSA-N 423.812 4.514 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NC[C@H](c2c(F)cccc2Cl)N2CCCC2)cc1 915125337 NJIWATWLYDMWQV-QGZVFWFLSA-N 422.913 4.511 5 20 HJBD O=C(CCc1nnc(-c2ccccc2)o1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920331035 UNBQBDPUZVOFCY-UHFFFAOYSA-N 415.405 4.850 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc([N+](=O)[O-])ccc3C)cc2)cc1 921275422 FLISXUAGAZRSCA-UHFFFAOYSA-N 404.422 4.707 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1319407071 AUKXYOLYECNCKD-GFCCVEGCSA-N 413.886 4.819 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N3CCc4ccc(C(F)(F)F)cc4C3)c2c1 1348535403 LWZGSPTVDHDZPM-UHFFFAOYSA-N 408.767 4.773 5 20 HJBD CCC(=O)Nc1ccc(C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1 6027924 JBEIIPNLALBAPE-UHFFFAOYSA-N 401.469 4.528 5 20 HJBD Cc1c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)oc2c(F)cccc12 7541977 SPSNOEQUVNYYRC-NSHDSACASA-N 411.345 4.757 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 8807485 LNDDDFIUGGLSMZ-NSHDSACASA-N 404.879 4.737 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53301208 WLFXBDAAGHNQAT-ZHRRBRCNSA-N 416.481 4.922 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 59775776 WNIPSFMZZYYUNC-FRFGOACMSA-N 421.497 4.673 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)ccc21 60319077 KEKJUCZDMSYOOG-UHFFFAOYSA-N 403.442 4.644 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(OCC(F)(F)F)c1 64420117 UHZJJXKZWKSJOH-SNVBAGLBSA-N 418.755 4.595 5 20 HJBD CNC(=O)c1ccc(N[C@H](c2ccc(Br)cc2)C2CCC2)c([N+](=O)[O-])c1 64914999 YNTICQUCIZZZSX-SFHVURJKSA-N 418.291 4.670 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1Cl 106224152 OVIIZZZBZMFRKJ-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCC[C@H]2[C@H](CC)c2ccccc2)cc([N+](=O)[O-])c1 116159939 YVCSZXZRSFTAJQ-RTWAWAEBSA-N 410.470 4.570 5 20 HJBD CC(C)c1sc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)nc1-c1ccccc1 195628320 BRNZZTIEUPEILM-UHFFFAOYSA-N 407.455 4.970 5 20 HJBD CCn1cc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c2ccccc21 216160196 ZXCVQYWLMCFWIZ-UHFFFAOYSA-N 419.403 4.703 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])cc1Cl 217220193 KGLZKFHKHRVNGN-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(-c2ccc(Cl)cc2)n1Cc1ccco1 301099825 QZPYEOOSJLKAJW-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD Cc1ccc([C@H](C)Nc2cc(C(F)(F)F)nc(-c3ccncc3)n2)cc1[N+](=O)[O-] 301572963 LMPRXTWCJXYWIH-LBPRGKRZSA-N 403.364 4.947 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)cc1 301643482 HEYPZXKLMFXEBK-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD Cc1nnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)c2)o1 304018985 LSSTZGSKVNPIGN-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD C[C@@H](CCCC(F)(F)F)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 427969621 KBSYNZLKICQKJQ-JTQLQIEISA-N 412.358 4.810 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1ccc(Br)cc1[N+](=O)[O-] 429938221 SHWUHMONILCCQE-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 432916974 YVJDOCLPRZHHJC-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(Sc2ccncc2)cc1 435131735 FICUMWRYIDGPPW-UHFFFAOYSA-N 421.887 4.595 5 20 HJBD Cc1c(NC(=O)NCc2nccc3ccccc23)cc(Br)cc1[N+](=O)[O-] 435322508 DHLNLIWKNBUABE-UHFFFAOYSA-N 415.247 4.536 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])c2)cc1 435512086 UCVFATOEKBFFHR-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD O=C(N[C@H]1CCc2c(Cl)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436160098 XGWOHVJTZOMADE-IBGZPJMESA-N 406.844 4.891 5 20 HJBD CC(C)c1ccc(CNc2ccc(C(=O)N(C)C3CCOCC3)cc2)cc1[N+](=O)[O-] 437248691 RRAZCIHPFKEQOB-UHFFFAOYSA-N 411.502 4.581 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCC[C@H](C(F)(F)F)C1 439581139 LGRBELDZMNENMB-CVEARBPZSA-N 401.429 4.884 5 20 HJBD O=C(c1cc(-c2ccc(Cl)cc2)[nH]n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 461676347 ACJOAVCSFFGRMM-LJQANCHMSA-N 414.874 4.526 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc(OC)nc4ccccc34)o2)c([N+](=O)[O-])c1 462736773 HHHYQSZOBKRADY-UHFFFAOYSA-N 419.437 4.710 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](CSc2ccccc2)C1 464773731 PNKDRNIKUZYQSK-HZPDHXFCSA-N 410.499 4.820 5 20 HJBD CCC1(CC)Oc2ccc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])cc2O1 469520721 PFVDAFGKXYYHSG-UHFFFAOYSA-N 418.471 4.865 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 470184877 IKYRUXWKYOTDDQ-CWDSQJGSSA-N 422.529 4.605 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(Cl)cc1Br 478928497 IBEIREZNVPTCRI-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)C(F)F 481832522 YTPWONIYYUUGGI-HNNXBMFYSA-N 420.359 4.828 5 20 HJBD CC[C@H](NCc1cc(OC)c(F)cc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485806274 OILGQCDUYWKMFS-HNNXBMFYSA-N 415.234 4.885 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(Br)cc2Cl)c1[N+](=O)[O-] 486249247 HUFVISMLCSPKSX-UHFFFAOYSA-N 415.696 4.663 5 20 HJBD Cc1cc2n[nH]cc2cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486604813 PCOGATBCBBSBBR-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 489644965 BZPCDIKUQFIOLO-UHFFFAOYSA-N 411.483 4.980 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494270478 HYQHKLBTHNTBJZ-UHFFFAOYSA-N 424.501 4.710 5 20 HJBD C[C@H](Sc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 497541804 NDMQBCZEDHKFEA-JTQLQIEISA-N 421.418 4.750 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1c(Cl)cccc1[N+](=O)[O-] 497857946 JESXHDYPUSMNSG-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD C[C@@H](N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccn1 499068255 FQGJEGRZZYTKGI-VLIAUNLRSA-N 410.861 4.674 5 20 HJBD CN(CCc1ccncc1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 499947404 TYLDEZWKBUDYGK-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD C[C@@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 505905857 JCUQFTMYKDYJOJ-CVEARBPZSA-N 401.532 4.536 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506203660 NFSWZHNSXJXFMC-UHFFFAOYSA-N 420.391 4.526 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)c1ccc([N+](=O)[O-])cc1F 506556100 JTNZXXWIRIRSPZ-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 509266857 ZOAZQBWRCIFWJZ-HNNXBMFYSA-N 402.369 4.636 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1N=C(c2ccco2)C[C@@H]1c1cccs1 509724866 BCAMNLZENSYZSZ-MRXNPFEDSA-N 413.480 4.719 5 20 HJBD Cc1ccc(NC(=O)N(C)CCCc2cc(-c3cccc(F)c3)n[nH]2)cc1[N+](=O)[O-] 511812248 WUHQLQKWQLSUOI-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD CN(Cc1nc([C@H](F)c2ccc(C(F)(F)F)cc2)no1)c1ccccc1[N+](=O)[O-] 512060298 SWMGZOCSPAZCER-MRXNPFEDSA-N 410.327 4.692 5 20 HJBD COc1ccc(CNC(=O)C2(c3cccc(C(F)(F)F)c3)CCCC2)cc1[N+](=O)[O-] 515730231 CMUVMRQMPSNFHF-UHFFFAOYSA-N 422.403 4.750 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCSCc1cccc2ccccc12 516176721 QCCXGGCSDGJYEJ-UHFFFAOYSA-N 409.511 4.823 5 20 HJBD CC(C)N(CC(=O)N(Cc1ccco1)c1ccccc1)Cc1ccc([N+](=O)[O-])cc1 516738501 QMXLZUYDEHONFX-UHFFFAOYSA-N 407.470 4.632 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 518807332 KFVBJXURNGUIEP-UHFFFAOYSA-N 411.508 4.773 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC(c2nc3ccccc3s2)CC1 520097392 CARVYILUEKBJKX-UHFFFAOYSA-N 420.494 4.565 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1Cl 520312080 JKYQJZAFTVWLJG-UHFFFAOYSA-N 415.833 4.789 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 525075824 TWYNAEGAGGEBHC-MRXNPFEDSA-N 420.465 4.699 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(Cc4cccs4)o3)co2)cc1 534795380 SXZYHKHSGWHOOP-UHFFFAOYSA-N 400.441 4.577 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(-c2ccccc2)s1 536643825 VHJADKPTCSDADX-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD Cc1ccc(N[C@@H](C)c2cccc([N+](=O)[O-])c2C)cc1NC(=O)CN1CCCCC1 537533122 FTWHHBDQUMTUFD-SFHVURJKSA-N 410.518 4.809 5 20 HJBD CCn1c(Cc2ccccc2)nnc1Sc1ccc([N+](=O)[O-])c(OC(F)F)c1 537789763 CMEIBQGHMOPBHX-UHFFFAOYSA-N 406.414 4.550 5 20 HJBD C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 538758882 QUERKAIWPYFEIS-SUMNFNSASA-N 415.540 4.649 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ncc(-c2ccccc2)[nH]1 540471563 LTAAAJDBSNUNNA-UHFFFAOYSA-N 410.499 4.758 5 20 HJBD CCC[C@H](Nc1cccc(NC(=O)[C@H](C)n2cccn2)c1)c1cccc([N+](=O)[O-])c1 540737349 VHARUGRIXRMOOT-KKSFZXQISA-N 407.474 4.944 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C(F)(F)c3ccc(F)cc3)no2)c(Br)c1 545662709 WJSIROZUWMKCSU-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD O=C(CCc1nc(CCNc2ccccc2[N+](=O)[O-])no1)c1ccc2ccccc2c1 545672867 FHQLSJVZIAUQHJ-UHFFFAOYSA-N 416.437 4.601 5 20 HJBD C[C@@H](C(=O)Nc1c(-c2ccccc2)nc2ccccn12)c1ccc([N+](=O)[O-])cc1F 546705428 VMDKWJJIJLDPDS-CQSZACIVSA-N 404.401 4.791 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 549015912 ZPRPPBPUBYYCMS-LJQANCHMSA-N 408.376 4.650 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc21 550004602 XBDZTCMKJDXUDL-TYZQSYOASA-N 409.467 4.648 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](c3ccccc3)[C@H]3COCC[C@@H]32)nc1-c1ccccc1 553264408 LKKIIAQSEBGZDR-NJYVYQBISA-N 401.466 4.666 5 20 HJBD CC(C)(C)C(=O)N1CCC[C@H](CSc2ccc(Br)cc2[N+](=O)[O-])C1 559629887 IRNBQUIWNXROHS-LBPRGKRZSA-N 415.353 4.734 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C3CC3)[C@@H](C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 564066936 GANWJPTUXASXTC-KRWDZBQOSA-N 418.497 4.822 5 20 HJBD Cc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 574885627 NNJNZZJXQBQKSG-NSHDSACASA-N 402.813 4.624 5 20 HJBD CSc1cccc(-c2nc(-c3ccc(Oc4ncccn4)cc3)no2)c1[N+](=O)[O-] 579771993 JMFRZZNGIYWDQU-UHFFFAOYSA-N 407.411 4.616 5 20 HJBD COc1ccc(-c2cc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])sc2C)cc1 581326971 FBLDWQMRGGIOEQ-UHFFFAOYSA-N 410.495 4.955 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603643820 QYRBXDXOJJIBDL-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD C[C@@H](NC(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cccc([N+](=O)[O-])c1 603827866 TXBPDDKTTJHTKM-LLVKDONJSA-N 418.425 4.803 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(CN2CCCCC2)c1 604446100 CGQJDEYRYOXXBM-HNNXBMFYSA-N 417.893 4.640 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc([N+](=O)[O-])ccc2C)cc1 609129958 YPEMFXFISSRAGH-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(Nc1ccc2[nH]ccc2c1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609873848 MIKKURLIJZVNPC-UHFFFAOYSA-N 416.224 4.821 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610045819 SSOBINBEWMXUMQ-KRWDZBQOSA-N 421.316 4.596 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCC1CCOCC1 610155405 MMHZTFWYNCKMJA-UHFFFAOYSA-N 412.486 4.625 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@H](c2cc3ccccc3[nH]2)C1 610769539 BMUINODIAJYBNT-ZDUSSCGKSA-N 401.264 4.618 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H](C)c2cnccc2C)c2ccccc2)c([N+](=O)[O-])c1 611940011 QHNGWAQRVBAKQL-VGOFRKELSA-N 404.470 4.637 5 20 HJBD CCc1ccc([C@@H](NCCc2cn3cccc(C)c3n2)c2ccc([N+](=O)[O-])cc2)o1 612409976 LWKQYVKWAJZSDI-QFIPXVFZSA-N 404.470 4.628 5 20 HJBD Cc1cc(OCC(=O)Nc2ccccc2SCC(F)(F)F)ccc1[N+](=O)[O-] 619526387 HPYSGPNTGMTLEM-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD Cn1c(SCCC(=O)Nc2cccc(Cl)c2)nc2cc3ccccc3cc2c1=O 644524250 OCZWROLYXNNIAO-UHFFFAOYSA-N 423.925 4.861 5 20 HJBD Cc1ccc(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1NC(=O)c1ccco1 735644926 GBJXKYBHFNXLCZ-UHFFFAOYSA-N 414.801 4.930 5 20 HJBD CC(C)c1ccc(C(=O)COC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 740411404 ZZDSTCGMHZUQMF-UHFFFAOYSA-N 406.232 4.520 5 20 HJBD Cc1cccc(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)c1[N+](=O)[O-] 741823998 XKRIXJVIYZZWIB-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc(NC(=O)N[C@@H]2CCOc3c2ccc(Cl)c3Cl)cc1[N+](=O)[O-] 752983719 QZVKYNDGSHELOU-GFCCVEGCSA-N 412.229 4.556 5 20 HJBD CC1(C)C[C@H](NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)C(=O)O1 762043960 XMRIBRBLVRILBH-FQEVSTJZSA-N 413.473 4.757 5 20 HJBD Cc1c(Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cccc1[N+](=O)[O-] 762319573 KFMHXUNFOMLQKR-GFCCVEGCSA-N 400.416 4.639 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCN(C(=O)C(C)(C)C)CC1 762928178 BIWFIQRCTGQTDK-UHFFFAOYSA-N 422.547 4.537 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 770379156 KUTNIBBPTKUUMJ-DOTOQJQBSA-N 415.490 4.732 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772999382 JRUIHLHKLVNXRZ-BTYIYWSLSA-N 403.910 4.583 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(F)(F)c1ccc(F)cc1 776217746 LHRPTODBKOLJFE-GOSISDBHSA-N 402.328 4.554 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(C1CC1)[C@@H]1CCCc2ccccc21 781604873 WATIESRNFIDRPD-HXUWFJFHSA-N 409.442 4.504 5 20 HJBD C[C@H](NCC1(c2cccc(C(F)(F)F)c2)CCOCC1)c1ccc(N)c([N+](=O)[O-])c1 788563115 NIUFJPMILIAZBG-AWEZNQCLSA-N 423.435 4.595 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl 791251816 JGQUMLYWVLSDRP-ZBFHGGJFSA-N 403.331 4.753 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2nc3ccccc3n2C(F)F)cc([N+](=O)[O-])c1C 791695036 ZDLAMUIUXYIPKL-LLVKDONJSA-N 405.357 4.575 5 20 HJBD C[C@H]1CCC[C@H]1CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 799061683 NQZJJTSJXRXZSR-KBPBESRZSA-N 415.877 4.667 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])o1 800932874 JYAUPACLGGRIFF-NRFANRHFSA-N 409.442 4.541 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccnc(-c2ccc(Cl)cc2)n1 806362042 PCUULQAQIFISTF-UHFFFAOYSA-N 417.252 4.631 5 20 HJBD Cc1cc(C)c(OC(=O)c2nc3ccccc3cc2Br)c([N+](=O)[O-])c1 808023518 NWUCCKGQNHTDNM-UHFFFAOYSA-N 401.216 4.742 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccnc(C(F)(F)F)c1 808755563 ROMRUSLMFGMPKC-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 809916880 WLGVEBNGXCUENU-LBPRGKRZSA-N 418.664 4.800 5 20 HJBD COc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Br 813036018 BFIGIQFEQNXEEI-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CCN1Cc1ccccc1 813043964 WJOJJOMLBMOZNX-BBRMVZONSA-N 422.312 4.685 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 823328930 CLMYOBXDVJWGCZ-LLVKDONJSA-N 407.398 4.736 5 20 HJBD CCCCOc1ccc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc1OC 915054209 QEHKASUROPCNQY-NTMALXAHSA-N 421.453 4.648 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)cc1 917817957 XRPOSXXEEBUYFM-OAHLLOKOSA-N 409.667 4.988 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(N(C)Cc3ccccc3)cc2)cc1[N+](=O)[O-] 917820679 XLFSJYVHNCXPHO-UHFFFAOYSA-N 420.425 4.700 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3csc(-c4ccsc4)n3)[n+]2[O-])c1 920065734 INTHNRPKWRNCRU-PLNGDYQASA-N 408.464 4.646 5 20 HJBD C[C@@H](Cc1cccc(F)c1)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 920999046 MASYCIDEQWFGNX-HNNXBMFYSA-N 422.412 4.770 5 20 HJBD COC(=O)c1cc(NC(=O)c2nc(-c3ccccc3)oc2C(C)C)c(C)c([N+](=O)[O-])c1 1321892822 SIZFBMUECGFZOH-UHFFFAOYSA-N 423.425 4.721 5 20 HJBD CN(Cc1nnc(COc2ccccc2-c2ccccc2)o1)c1ccccc1[N+](=O)[O-] 1341235631 CSMBTWTUQATXOC-UHFFFAOYSA-N 416.437 4.860 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c[nH]c4ccc(OC)cc34)CC2)c([N+](=O)[O-])c1 1345368686 CKORSARNYNBFOQ-UHFFFAOYSA-N 424.457 4.505 5 20 HJBD CSc1ccc2cc(CNc3cc([N+](=O)[O-])ccc3NCCO)c(Cl)nc2c1 15322731 JDSPPJWEDGMKMI-UHFFFAOYSA-N 418.906 4.535 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Cl 16700384 HHXFCFTWANUMEU-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD COc1cc2ccccc2cc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528219 JGFLQBYPLQGBOC-CYBMUJFWSA-N 419.393 4.725 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc(SC)ccc2[N+](=O)[O-])c2ccccc2)cc1 57809153 BCLCJTHEBIMFFP-NRFANRHFSA-N 408.479 4.845 5 20 HJBD Cc1cc(N2CCC(OCc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858549 XFSJUVWPPGVDAZ-UHFFFAOYSA-N 404.470 4.546 5 20 HJBD Cc1c(C(=O)Nc2cccc(-c3nc4ccccc4[nH]3)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 98071408 MIRNUJGRMQWAEX-UHFFFAOYSA-N 417.381 4.607 5 20 HJBD O=[N+]([O-])c1ccccc1CNCc1csc(-c2cccc(Br)c2)n1 236582276 YKUGIQSRFAKDRN-UHFFFAOYSA-N 404.289 4.771 5 20 HJBD CN(C)CCNC(=O)c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1 237182096 CNHGUOJYMVDRAF-UHFFFAOYSA-N 411.549 4.574 5 20 HJBD COc1ccc(C[C@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 237438819 BYHWRHPJRMLWTH-NRFANRHFSA-N 406.482 4.994 5 20 HJBD COc1cccc(OC)c1OC1CCN(c2cc(C)c([N+](=O)[O-])cc2Cl)CC1 301455559 WMPJIEZVSOXEFJ-UHFFFAOYSA-N 406.866 4.622 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@H]3c3nc4ccccc4s3)o2)cc1 301507454 MOTCNQZCHJZOOY-INIZCTEOSA-N 407.455 4.592 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 303654207 LEMXUBCZADBAOX-SFHVURJKSA-N 418.478 4.556 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(c2c[nH]c3ncccc23)CC1 410055885 RUNTYAUBGSGRKB-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(-c4ccccc4F)n3C3CC3)nc2c1 434795825 OOKHSLZYBZSDIN-UHFFFAOYSA-N 411.418 4.761 5 20 HJBD CCN(CC)[C@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 437185663 AWQRAKYFJGNGMT-KRWDZBQOSA-N 417.893 4.597 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1nc(-c2cccc(C(F)(F)F)c2)no1)C1CC1 441257979 JODABGBQYJNGTN-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(CCC(=O)c3csc4ccccc34)n2)c1 445574985 NXENZOPAIVYSBE-UHFFFAOYSA-N 423.450 4.607 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446905182 FVKWUUQHPSXNSP-GOSISDBHSA-N 407.392 4.665 5 20 HJBD C[C@H](Sc1nnc(-c2ccncc2)n1CC(F)(F)F)c1ccccc1[N+](=O)[O-] 463250741 OLXCBHIDFZBQQM-NSHDSACASA-N 409.393 4.664 5 20 HJBD O=C(NCCOc1ccc2ccccc2c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463321455 PMPTUNRPVQSSFS-UHFFFAOYSA-N 404.344 4.576 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1 463726170 KSJNUBDXERRBLW-UHFFFAOYSA-N 419.485 4.501 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cc(Cl)cc([N+](=O)[O-])c1 471622685 XNWOJXXTLQNLJY-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C 472833512 ORCOPIFHZZQECN-PKOBYXMFSA-N 406.486 4.916 5 20 HJBD Cc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)o1 480067108 FXXYNRRRDMAMBG-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485894793 DDAGTWDVOFQMPD-NTZNESFSSA-N 409.305 4.826 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(Cc2ccccc2CO)CC1 490368353 BKGAIVBSSISCOY-UHFFFAOYSA-N 410.517 4.975 5 20 HJBD C[C@@H](NC(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 490980877 PRVOFFUJOLRBEZ-BEFAXECRSA-N 421.457 4.643 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc2nc(C(F)F)sc2c1 492912917 RBTQGBCDJODDFF-UHFFFAOYSA-N 406.414 4.849 5 20 HJBD C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 497809158 TYAXFOUFILITIX-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 504925907 SPBGXQSOZPRTID-KRWDZBQOSA-N 419.275 4.652 5 20 HJBD CC[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccccc1OC(F)F 512092706 RPPLYBINTYDUTP-KRWDZBQOSA-N 407.417 4.531 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1C[C@H]2CCCCN2c2ccccc21 516207275 YYBNUVNOAYXOQW-GOSISDBHSA-N 414.465 4.676 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)COc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 516784111 PIELGWUFCFOLSX-MRXNPFEDSA-N 406.438 4.527 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(Sc2ccccn2)cc1 517579454 XSFMYPRRABAJHW-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)ccc1Oc1cccnc1 519158620 VQSOGGUUKGSBBF-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 520542726 MOZKYRDXSDLUQW-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(-c3ccc4ccccc4c3)n2)c1[N+](=O)[O-] 521514184 NHKGGSFBPZNYNO-UHFFFAOYSA-N 401.426 4.738 5 20 HJBD CC(C)(C(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 523503327 NTRKWCKNPYIBCF-UHFFFAOYSA-N 403.438 4.763 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(CCc1ccc(F)cc1)C1CC1 523888368 FSUWAOZLNWYKQO-UHFFFAOYSA-N 414.480 4.528 5 20 HJBD O=C(NCc1ncccc1F)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 526030588 JRJSOEZFDQHQKQ-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 540542740 GPKNDVVMOAKTKG-PKOBYXMFSA-N 422.453 4.634 5 20 HJBD C[C@@H](C(=O)NCc1ccc(CN2CCCC[C@H]2C)cc1)c1ccc([N+](=O)[O-])cc1F 542613637 WKSBMRQVHTWFIB-IAGOWNOFSA-N 413.493 4.528 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 542713301 ACKVRKFBHHNELK-MRXNPFEDSA-N 403.801 4.633 5 20 HJBD COc1cc(CN[C@H](C)c2nc(C(C)(C)C)cs2)c([N+](=O)[O-])cc1OC(F)F 543515760 LATWBAXFTUIHIU-SNVBAGLBSA-N 415.462 4.810 5 20 HJBD Cc1cccc(NC(=O)N2CCC[C@@H](c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)C2)c1 546398405 GAAGYDLCOIGWJQ-MRXNPFEDSA-N 421.457 4.673 5 20 HJBD O=C(Nc1cn[nH]c1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 551480646 VLPLCDOADCKMKY-UHFFFAOYSA-N 408.361 4.740 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC[C@@H]1CCO[C@H]1c1ccccc1 553346120 WPJNTHLMUDQPGN-LRDDRELGSA-N 417.334 4.834 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2ccc(Cl)cc2)C1 555686716 ZYXNLIQSNODQLP-UGSOOPFHSA-N 414.849 4.513 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1CCC(F)(F)F 559823177 QAIGHTHBGVPWDH-UHFFFAOYSA-N 410.348 4.503 5 20 HJBD C[C@@H](Cc1cccs1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603573604 YQORFBDGGFEWQQ-JTQLQIEISA-N 404.435 4.515 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccccc3)cn2)c([N+](=O)[O-])cc1OC(F)F 604190950 ZBOZCAOMHYZMBD-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD Cc1ccc2c(c1)N(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 604503772 QSDVJFXJSMIPNQ-INIZCTEOSA-N 402.454 4.674 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCC3CCN(Cc4cccs4)CC3)o2)c1 608837063 XLDCOJLFGZPMFY-UHFFFAOYSA-N 411.527 4.918 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(F)c(F)c2)CC1 609182950 FXRKGPXALCPGNN-ZDUSSCGKSA-N 406.454 4.760 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(Sc3ccccc3)c2)ccc1[N+](=O)[O-] 609375195 KBRXMDQKVULCOO-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 609452295 OAYDHGHNGRVJRX-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@@H](c2cc[nH]n2)C1 609780998 JARSYHZAARFJKP-OAHLLOKOSA-N 407.430 4.522 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2CSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609791810 TWGAARZFJCOMMT-LIRRHRJNSA-N 420.494 4.740 5 20 HJBD Cc1c(NC(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 609842784 NBGIOODPJNJHIQ-GOSISDBHSA-N 407.430 4.712 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 610862238 YIMZKTVUHKRNHI-NRFANRHFSA-N 422.510 4.765 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])s1 612502482 YJFYXVUGFPPGCR-SNVBAGLBSA-N 423.210 4.842 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@@H](C)c1cccc(C(F)(F)F)c1 738747745 VRABZUAEMZNTKC-GFCCVEGCSA-N 424.375 4.598 5 20 HJBD Cc1cccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)c1[N+](=O)[O-] 741821208 DMADXSCMLWAART-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD CCOc1cc(N2CCC(OC(=O)/C=C(\C)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744203960 KLGDQGKKRIWAAJ-BMRADRMJSA-N 410.470 4.609 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2)c1 745332229 FCBSJJZTVDQOCP-HSZRJFAPSA-N 418.449 4.677 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757088809 BPDYZISKVPJRDK-LJQANCHMSA-N 416.886 4.686 5 20 HJBD Cc1nnc(-c2cccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)c2)o1 759072380 QVIXTMJCUILDGS-UHFFFAOYSA-N 412.833 4.663 5 20 HJBD COc1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Br)c1 760294756 CRYCTLBIYDAZGT-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD Cc1ccc(-c2noc([C@@H](CNC(=O)OC(C)(C)C)c3ccccc3)n2)cc1[N+](=O)[O-] 761637769 FZWZVBBOTWTXDA-KRWDZBQOSA-N 424.457 4.610 5 20 HJBD Cc1nccn1CCCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761859276 UXVZHUBNDHUHPM-UHFFFAOYSA-N 405.414 4.575 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134048 LJCKKWCMIXETTC-SFHVURJKSA-N 417.343 4.658 5 20 HJBD O=C(CCCOc1ccccc1F)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134505 BYIKKZAGEJEZCE-JOCHJYFZSA-N 410.401 4.621 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(-c2cnco2)cc1 776140535 ZLVQPFUMNVURAG-OAQYLSRUSA-N 401.378 4.591 5 20 HJBD COc1ccccc1-c1nc(COC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cs1 778169353 KBFTUAPAJCMXCN-UHFFFAOYSA-N 420.393 4.562 5 20 HJBD CC(C)(C)c1cnc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 790889254 LCBPSVYMNURJCU-UHFFFAOYSA-N 406.507 4.652 5 20 HJBD C[C@H]1CC[C@@H](CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 794254373 YZDNTSKWQNOOIO-UONOGXRCSA-N 415.877 4.667 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 796689300 KTBVDWVSKDGYRP-UHFFFAOYSA-N 419.393 4.879 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1(Cc2ccc(OC(F)(F)F)cc2)CC1 800143629 CABXSQAHGVLBTL-UHFFFAOYSA-N 412.339 4.902 5 20 HJBD CO[C@@H](c1noc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)n1)c1ccccc1Cl 809446136 QNVCBKRRIFIBJW-GOSISDBHSA-N 414.849 4.634 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812916258 MIGMQUNXYDWDKK-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@@H](O)c3cccc(Br)c3)sc2c1 913115117 RMWVCKODCDLZDG-CYBMUJFWSA-N 411.302 4.793 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 916071813 UBXIOZZQLZXJNA-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD C[C@H](OC(=O)c1ccc(CNC(=O)c2cccs2)cc1)c1ccccc1[N+](=O)[O-] 920269553 VGLSEDRTNOUMFK-AWEZNQCLSA-N 410.451 4.504 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(NC(=O)c2cccs2)cc1 921136223 DPBAWIPIFUISLV-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CC(C)c1c(NC(=O)NCc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 1324545820 VVKOIKVTSAQMNC-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD C[C@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 6899132 BTJYUNRFJDEEKM-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc(OC)c1OCc1ccccc1 15629570 QRYVOWWXEPIZLY-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD C[C@H](NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 24886685 XXDPHUDBHXTWMB-VIFPVBQESA-N 403.649 4.811 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(Cl)cc1Cl 26226569 NUJIFUDOXYBLHJ-LLVKDONJSA-N 412.273 4.590 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1)c1ccccc1 55721662 NLUARAXAVFVBQE-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD Cn1ccnc1[C@@](O)(CCNCc1c2ccccc2cc2ccccc12)C(F)(F)F 237905171 UWKLPEQKWPNNIE-QFIPXVFZSA-N 413.443 4.656 5 20 HJBD O=C(O)C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 426811920 OSDIKTRYMURBAO-KRWDZBQOSA-N 417.874 4.677 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)[nH]1 427190957 FEZQENNNTMULEY-UHFFFAOYSA-N 419.485 4.782 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429820369 XQRWQPZENFBAQQ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCOc1cc(C(=O)N2c3ccccc3S[C@H](C)[C@H]2C)c([N+](=O)[O-])cc1OC 430669283 QSXKZYARGIYISM-CHWSQXEVSA-N 402.472 4.532 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccc(OC(F)F)c1 436101176 HNQYYGRYNGUGGC-CYBMUJFWSA-N 408.404 4.774 5 20 HJBD Cc1cc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)ccc1OC(C)C 438409378 OWEORRUVCSKFAA-UHFFFAOYSA-N 413.474 4.766 5 20 HJBD C[C@H]1CN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c2cccnc2O1 441053923 UUZZOFNZNNBECW-AWEZNQCLSA-N 407.451 4.569 5 20 HJBD COc1cc(CNc2cccc(C[S@@](=O)c3ccccc3)c2)c([N+](=O)[O-])cc1F 442131445 MPPKKISTOXXXSN-GDLZYMKVSA-N 414.458 4.662 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc2nc(C3CC3)oc2c1 446221954 KTFMRHGWCSASCB-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])nn1[C@@H](C)C1CC1 446425337 RCOAFRUOIQNYOO-INIZCTEOSA-N 420.469 4.902 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1 446948607 BJZHEVJCTBNWAN-UHFFFAOYSA-N 419.437 4.986 5 20 HJBD CC(C)Cn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N1CCC[C@H](C(F)(F)F)[C@H]1C 460629872 NSJDDKPHBULWJN-CJNGLKHVSA-N 411.428 4.677 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc(-c2ccncc2)cs1 462995681 XHATYOQCCZVTKD-ZBFHGGJFSA-N 410.499 4.559 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc4c(c3)CCC4)c(C)s2)ccc1[N+](=O)[O-] 464086274 GYGIXRJZHYDSGH-UHFFFAOYSA-N 409.467 4.776 5 20 HJBD CN(C(=O)c1ccc2c(c1)CCCCC2=O)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464281784 JHPMINHZMQYUBT-UHFFFAOYSA-N 421.478 4.904 5 20 HJBD CN(CCOc1ccc(C(F)(F)F)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 469519217 PLADAXCWEXTSKJ-UHFFFAOYSA-N 408.332 4.511 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc([C@@H](CC)c2ccccc2)s1 470879070 DNTIPVHMFISLRE-AWEZNQCLSA-N 412.471 4.639 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](c3ccc(F)cc3)C2)cc1SC 471509290 VNHHKGPGLRXRBM-UKRRQHHQSA-N 404.463 4.531 5 20 HJBD Cc1cc(C)c(C(=O)O)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 472002352 MNRFVONZLRTBCZ-UHFFFAOYSA-N 419.437 4.774 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 476800911 YKAJKWZYEIQMST-HNNXBMFYSA-N 409.255 4.590 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(CN2CCCCC2)cc1 479424155 NRFDONKTKLVCCV-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD CCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C1CCCC1 483954234 JJDPVKPZUHCXBW-UHFFFAOYSA-N 413.440 4.621 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2ccccc2)CC1 485869857 LTBIYAUEXDDBTD-SFHVURJKSA-N 414.527 4.743 5 20 HJBD O=C(CCc1ccccc1OC(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486090987 ATVLRPRMBUNRLB-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD CSc1cccc(C(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)c1[N+](=O)[O-] 486242856 PCQUBVCNVMJDQU-INIZCTEOSA-N 424.482 4.734 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 489281222 VMLDOPIYWFWPHU-RXVVDRJESA-N 411.502 4.605 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)C1CC1)N[C@H](c1ccccc1)c1cccs1 490026230 MJRZCCDTWUQOEU-HSZRJFAPSA-N 421.522 4.527 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498146692 MIIKBKZOLQNJTQ-YQVWRLOYSA-N 422.428 4.991 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](c2cccc(F)c2)c2ccccn2)cc1[N+](=O)[O-] 498231987 RTPQEFOAZQAMBI-BTYIYWSLSA-N 408.433 4.587 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OCC2CC2)c(F)c1 498406021 MEHPPWLLAVOMFG-CYBMUJFWSA-N 409.417 4.562 5 20 HJBD Cc1ccc(Sc2nsc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1 499032511 YUNLHILOXNIOHA-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc2n[nH]cc12 501750581 MNAZIBZIOPOPBS-CQSZACIVSA-N 416.441 4.600 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccs1)Cc1cccs1 502343709 ZQGJLBOUDFLSPU-UHFFFAOYSA-N 403.485 4.961 5 20 HJBD COc1ccc(CNCc2cc3ccccc3[nH]2)cc1OCc1ccc([N+](=O)[O-])cc1 507928586 DKYJPMKAMUJFEV-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)C(c1ccccc1)c1ccccc1 509585198 UXMJOYFMUHWCRE-KRWDZBQOSA-N 404.466 4.772 5 20 HJBD C[C@@H]1CC[C@@H](C)N1[C@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 510461168 OXROIMBVXSDUKP-QINHECLXSA-N 400.548 4.556 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1cccc(C(F)F)c1)c1ccccc1[N+](=O)[O-] 513922338 PICZRTMAEFYHFY-INIZCTEOSA-N 421.400 4.737 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@@H]3CCO[C@H](C(C)C)C3)n2)cc1[N+](=O)[O-] 514151265 JGMNKNSPPCTTPB-KDOFPFPSSA-N 403.504 4.807 5 20 HJBD COc1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)ccc1OC(F)F 518359330 FXTCBLJKYPUQOL-UHFFFAOYSA-N 406.385 4.794 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3c(Cl)cccc3n2)cc1SC 519199741 BMBBUHRXBFETCH-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N(Cc1cccc(Br)c1)C1CC1 521921062 GIURELUZCMVHQE-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD O=C(c1ccc(Oc2ncc([N+](=O)[O-])cc2Cl)cc1)N1CCc2sccc2C1 522936404 ANRWDBSWNQXBPD-UHFFFAOYSA-N 415.858 4.696 5 20 HJBD CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(F)cc1F 523418710 ASHNIVYBIYLAIU-CYBMUJFWSA-N 401.316 4.747 5 20 HJBD Cc1ccccc1NC(=O)[C@@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])s1 524274775 YEVYWUDPTZLBLI-SFHVURJKSA-N 421.478 4.565 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(C)c3)cc2)cc([N+](=O)[O-])c1OC 525520479 MXZZUVWAOQXWBM-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)COc2cccc([N+](=O)[O-])c2)cc1 525588997 URQZSXCOHYSSGM-MRXNPFEDSA-N 406.438 4.527 5 20 HJBD C[C@H]1CN(Cc2ccccc2)CC[C@H]1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 530676115 PBCPTLYSVCWMBT-HTAPYJJXSA-N 422.554 4.719 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 535190562 IFZSISUAMJRPET-LBPRGKRZSA-N 414.487 4.570 5 20 HJBD Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cc1NC(=O)c1ccccc1F 537452098 OVCZSZUWIDDESE-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD COc1cc(CN[C@@H](CC(F)(F)F)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 538178790 AZUJLHSZTWOABQ-ZDUSSCGKSA-N 420.334 4.988 5 20 HJBD CCCN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C 538737086 CAOLADDWPHLKMU-QRVBRYPASA-N 401.532 4.744 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1Oc1ccc(C)nn1 538925184 MJSLKXFQRFMBRQ-UHFFFAOYSA-N 418.356 4.839 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 540377480 WKXKSCWDKXELLQ-KRWDZBQOSA-N 413.371 4.654 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 542205972 BZFNHMQMWSCGDU-UHFFFAOYSA-N 404.291 4.931 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1ccccc1SCc1cccs1 543187581 YDNTYNMKIGAXJJ-UHFFFAOYSA-N 414.508 4.887 5 20 HJBD CC(=O)Nc1cc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc(C(F)(F)F)c1 545144969 YKCLKUZNZOKTAZ-UHFFFAOYSA-N 420.347 4.521 5 20 HJBD CCn1c(SCc2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)nc2ccccc21 547197544 WGCDMZSSPVEIOT-UHFFFAOYSA-N 409.471 4.539 5 20 HJBD CCc1[nH]c(-c2ccc(Cl)cc2)nc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 549144162 NIFOEYQSNWZMHC-UHFFFAOYSA-N 412.877 4.515 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 550191479 LUYBEGZNQMBBEF-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD C[C@@H](Nc1ccc2c(c1)N(C(=O)Cc1ccccc1)CC2)c1ccccc1[N+](=O)[O-] 559900547 UYCYYGKPLVYSCC-QGZVFWFLSA-N 401.466 4.900 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2s1 563551877 FJFFXYXODVOQFH-UHFFFAOYSA-N 404.879 4.873 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cc1[N+](=O)[O-] 565501140 UYYVRMHCSTZRIW-HNNXBMFYSA-N 407.426 4.672 5 20 HJBD O=C(NCC1(N2CCCCC2)CCCCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 576328573 VOEBYDYVHZQBON-UHFFFAOYSA-N 413.440 4.532 5 20 HJBD CCOC(=O)c1c(C2CC2)csc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 603454501 JAIXJFQNKRZADT-UHFFFAOYSA-N 406.485 4.685 5 20 HJBD COC(=O)c1ccoc1CSc1ccccc1C(=O)Nc1ccc([N+](=O)[O-])cc1 603949923 ZUOCGALEIHAJOB-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD O=C(c1cc(-c2ccccc2F)on1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 604112868 MVVUUJONYYJUJN-UHFFFAOYSA-N 423.322 4.594 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccc(-n3cnc4ccccc43)cc2)c1 604532690 RYBVYSXTVZDWMZ-UHFFFAOYSA-N 424.407 4.825 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCCO[C@@H](c2ccccc2)C1 609230606 NGCKLDYYNKITBU-HSZRJFAPSA-N 417.465 4.942 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc([C@@H]3CCCN(c4nc5ccccc5s4)C3)n2)c1 609604260 XARUTKDAPHOBKS-CQSZACIVSA-N 407.455 4.639 5 20 HJBD CCOC(=O)c1ccnc(N[C@@H](C)c2ccc(-c3csc(C)n3)cc2)c1[N+](=O)[O-] 609961268 GLXUEHLTNZMMDV-LBPRGKRZSA-N 412.471 4.772 5 20 HJBD Cc1ccc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)cc1[N+](=O)[O-] 609978119 DOLPGLUSLCIQPE-UHFFFAOYSA-N 401.466 4.960 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@@]2(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610190449 MZDQHWYKLSXXGO-IGUJJWBZSA-N 416.481 4.623 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(C)ccc(C)c2s1 611203207 VEKZBUBHYZRZJT-UHFFFAOYSA-N 417.512 4.812 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3cccc(-c4cccnc4)c3)C3CC3)nc2c1 611243783 OLNNAMPAMZGDJR-UHFFFAOYSA-N 400.438 4.963 5 20 HJBD CO[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 611984105 HYLUYVNSVSRGJD-CQSZACIVSA-N 409.280 4.618 5 20 HJBD CCc1c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cnn1CC(C)C 672909950 UFVNVIPVEPGPDH-UHFFFAOYSA-N 424.451 4.627 5 20 HJBD CC(=O)c1ccc(OC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(F)c1 728489986 MQDVYACCJPNIQR-UHFFFAOYSA-N 417.336 4.653 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 729035215 YYDWWHILTZDNQH-UHFFFAOYSA-N 414.462 4.630 5 20 HJBD C[C@@H](C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)s1 750546758 AENSXQJMNPZKHC-NXEZZACHSA-N 407.835 4.768 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OCCC(C)C 751091741 XNCLEANLAORCPN-CQSZACIVSA-N 404.438 4.658 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1cc([N+](=O)[O-])ccc1Br 751148158 REKSIRGIGGVOGU-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD CS[C@H](C)CC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 756884675 QYOORHIQMNAHBA-LLVKDONJSA-N 408.863 4.548 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C(=O)OCc3ccccc3)c2)c1[N+](=O)[O-] 758470568 AUBSOGAXLPMDQZ-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 760616379 JCSDBDMJFLFUOQ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])c(Cl)c1 764280770 XIWFLMWYZBTTQU-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@H]2CCOC3(CCOCC3)C2)cc1[N+](=O)[O-] 765688881 QMSBKINKQGVLDX-KRWDZBQOSA-N 423.531 4.618 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Sc2ccccc2Cl)nn1 769679049 FXYMIJZJBBTMCG-UHFFFAOYSA-N 404.810 4.581 5 20 HJBD CC(C)S(=O)(=O)c1cccc(C(=O)OCc2nc3ccccc3c3ccccc23)c1 770435457 OZBWANUPEXFATM-UHFFFAOYSA-N 419.502 4.927 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCSc2ccc(Cl)cc21 781717785 DUBNSVGAFDDLSG-UHFFFAOYSA-N 421.862 4.618 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)OC)c(F)c1 783563088 LUNUXAHNMABHJA-UHFFFAOYSA-N 407.423 4.667 5 20 HJBD C[C@H](NCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1SC(C)(C)C 788741656 UBSCTTFHNJNDQJ-HNNXBMFYSA-N 422.572 4.610 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1C 792594633 VTDKKWJOBLXHRS-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD C[C@@H](OC[C@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 794752424 OZXBWPJWEIAMGN-MFKMUULPSA-N 404.221 4.558 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cccc(C(=O)Nc2ccccc2)c1 794909090 QIQGGSLTENPLQB-UHFFFAOYSA-N 423.856 4.773 5 20 HJBD COc1ccc(OC(=O)C(C)(C)c2csc(-c3cccs3)n2)cc1[N+](=O)[O-] 799996173 OJRRKKDQVPEMRI-UHFFFAOYSA-N 404.469 4.672 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC(c2cc3ccccc3[nH]2)CC1 801877011 VMPNJNDQYNDPIY-UHFFFAOYSA-N 416.485 4.739 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccc(F)cc1OC1CCOCC1 806121450 SGFGNLFTPUSELX-UHFFFAOYSA-N 409.801 4.589 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)ccc1[N+](=O)[O-] 806395550 UFRXFDVNCLHRLQ-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)C2)cc1 809912907 OBIOMECMKXQIRQ-HNNXBMFYSA-N 403.866 4.743 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@H](O)c1cccc(C(F)(F)F)c1 811480309 TYKPETXURZZXHE-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(Cl)cc(Cl)n1 813305743 MNOYWWIIYWNZMJ-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)n[nH]1 813480863 YVUSXPZVTISXQW-UHFFFAOYSA-N 407.213 4.978 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1S(=O)(=O)C1CCCC1 817472234 INHAHLZNNGLYBM-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD Cc1c(C(=O)OCc2csc(COc3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 862497351 PKQIQNJUPQAIKI-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3c(F)cccc3[nH]2)cc1)c1cccc([N+](=O)[O-])c1 909176664 FDJCSBBMKCFNGX-UHFFFAOYSA-N 419.368 4.687 5 20 HJBD C[C@H](OC(=O)c1[nH]c2ccc(Cl)cc2c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 915417469 FWZKWNZQMWYALY-VIFPVBQESA-N 422.224 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 919686531 NKHYZVYFZAAHGO-AWEZNQCLSA-N 421.413 4.632 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3cc(Cl)ccc3[N+](=O)[O-])c2)cs1 920993025 FCEUTQVKLSHZAM-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)CO[C@@H]3CCCc4ccccc43)sc2c1 921231223 PKGONFCNORHGPQ-CRAIPNDOSA-N 416.524 4.752 5 20 HJBD COc1ccc([C@H](C)NCc2ccc([N+](=O)[O-])cc2Br)c(C)c1OC 1117297377 HBFBADIEDPKZHZ-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD COc1ccc([C@@H](NC[C@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 1120558763 JGBXSBYTUURJOQ-FCHUYYIVSA-N 412.873 4.669 5 20 HJBD O=C1O[C@H](c2ccccc2)Cc2cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)ccc21 1257813677 JRXSYSACKCPTBG-FQEVSTJZSA-N 413.389 4.766 5 20 HJBD Cc1c(C(=O)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])oc2ccccc12 7116208 XTYIERVJDSDUJC-UHFFFAOYSA-N 407.348 4.510 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1 11576493 PEIZNRSRANWCHL-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2cccnc12 23976936 CUYBBXGFHQKPDM-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD O=C1N/C(=C\c2ccc(-c3cccc([N+](=O)[O-])c3)o2)C(=O)N1c1cccc(Cl)c1 34859390 YTBJQKIBAHQWRB-BOPFTXTBSA-N 409.785 4.606 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2)c2cccs2)cc1 61973130 LBRIIORCTXZUTF-FQEVSTJZSA-N 423.494 4.624 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnn(C(C)(C)C)c2)cc1 65922796 ZTZGIJIEWAYIIU-UHFFFAOYSA-N 410.430 4.600 5 20 HJBD Cc1cc(N2CCC(c3nc4ccccc4o3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301096885 IXZJAAMLPUGTHU-UHFFFAOYSA-N 415.453 4.885 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/C=C/c1nc(O)c2cc(Br)sc2n1 427280167 IQXNUFZDIRKMPW-JHMJBTLWSA-N 404.245 4.794 5 20 HJBD CC(C)(CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1F 436297537 VYVVDJPRDRUWIR-UHFFFAOYSA-N 406.432 4.667 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 436416128 YHJOAAFHBLOIDF-LLVKDONJSA-N 412.437 4.728 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3c[nH]c4ccc([N+](=O)[O-])cc34)ccn2)c1C 437128552 DDNGJXNHWVDAIA-UHFFFAOYSA-N 416.437 4.810 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccs1 438032705 IJSSGWSBYLJYQA-CYBMUJFWSA-N 412.389 4.553 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 440623665 KLBFPDITOGLHLV-ULQDDVLXSA-N 407.580 4.681 5 20 HJBD Cc1ccccc1[C@@H]([C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N(C)C 443005612 WTDZBZZAVDHCQF-IIBYNOLFSA-N 410.543 4.808 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1 443959474 HUVBKPKKAFKCNO-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CC[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1C 444174848 HUXXIFQINKVMLH-GOSISDBHSA-N 400.478 4.577 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 445693525 XDUFIBDLTPARTP-CYBMUJFWSA-N 421.291 4.564 5 20 HJBD Cc1nc(-c2ccc(CCN[C@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)s2)cs1 447526460 BRCKLIKNKLKJIL-DOTOQJQBSA-N 417.560 4.601 5 20 HJBD COc1ccc(Oc2cc(CN[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)ccn2)cc1 447532474 WFVIHFWWENNCNZ-JOCHJYFZSA-N 405.454 4.958 5 20 HJBD C[C@@H](NCCOCC(F)(F)C(F)F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 447597937 UFBYQFIXVZAFDY-LLVKDONJSA-N 406.401 4.891 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463340812 QSYZWKMYKBCAEX-UHFFFAOYSA-N 422.281 4.784 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H](CSc2ccccc2)C1 464353327 GHCATSZSNHNBEK-GJZGRUSLSA-N 420.918 4.656 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nn(-c2ccc(Cl)cc2)cc1C 466807005 WWYBSWCKBHPKAX-UHFFFAOYSA-N 416.890 5.107 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 470697125 MMFZZEOXDHFHHO-UHFFFAOYSA-N 410.361 4.708 5 20 HJBD C[C@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)Cc1c([N+](=O)[O-])ncn1C 476660575 MTRAHOWWZZYKHS-CQSZACIVSA-N 404.923 4.677 5 20 HJBD COc1cccc(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)c1[N+](=O)[O-] 477678430 VWBWFOFEDSGYLJ-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1c(CNC(=O)N(Cc2cccs2)Cc2cccs2)cccc1[N+](=O)[O-] 480070256 NDECEBOYBZNWDS-UHFFFAOYSA-N 401.513 4.938 5 20 HJBD Cc1ccc(NC(=O)[C@H](SCC(=O)C(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 481773115 ZTQPKTNLZYSSEV-LJQANCHMSA-N 400.500 4.931 5 20 HJBD O=C(Nc1ccc(-c2cccc(Br)c2)cn1)c1ccc([N+](=O)[O-])s1 482523291 MOKXCEUILSLCGS-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(C)(C)CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 500111503 QSQKVFFGUGQOLW-HZPDHXFCSA-N 402.564 4.564 5 20 HJBD COc1ccc(CNc2ccc(F)c(NC(=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 500978913 VTIXWEMUXZHMLX-UHFFFAOYSA-N 409.417 4.536 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccc(OC(C)(C)C)n3)o2)c([N+](=O)[O-])c1 503259576 MAPYVFRJCXCLMF-UHFFFAOYSA-N 411.458 4.726 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H]1CCSc2ccc(Br)cc21 504651321 URSUTDVVLLBKKL-INIZCTEOSA-N 421.316 4.595 5 20 HJBD O=C(NC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1)c1ccccc1 506268179 KUVONRSMQAUHEI-UHFFFAOYSA-N 421.522 4.718 5 20 HJBD Cc1sc(NC(=O)CCc2ncc(C(C)(C)C)o2)nc1-c1cccc([N+](=O)[O-])c1 509536443 CTSGXVOZVBNUGV-UHFFFAOYSA-N 414.487 4.884 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cc2ccccc2o1)c1cc2c(cc1[N+](=O)[O-])OCO2 514874577 YAVZKHGXYLVCAJ-QFIPXVFZSA-N 416.389 4.589 5 20 HJBD CSc1cccc(-c2nc(C3(c4ccc(C)cc4)CCOCC3)no2)c1[N+](=O)[O-] 515917285 AAFFEMDVUQSWHE-UHFFFAOYSA-N 411.483 4.772 5 20 HJBD C[C@H](C(=O)Nc1cccc(OCc2cn3ccccc3n2)c1)c1cccc([N+](=O)[O-])c1 516529586 IFPIUTFMXDUVAF-INIZCTEOSA-N 416.437 4.564 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(OCc3cccc(C)c3)c2)cc1[N+](=O)[O-] 517695145 LHPCUGDCFONCHY-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(OCc2cccnc2)cc1 534248317 SVUBLSBYXZQQMQ-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD Cc1nncn1-c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 534972936 WENNVLKFODMRFM-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@H](COCc4ccccc4)C3)cs2)c1 536696339 UUWBWSLWTUMRAL-SFHVURJKSA-N 409.511 4.757 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCc1nnc(-c2ccccc2)s1 536848633 ZCFYYONKQJEVPX-OAHLLOKOSA-N 400.529 4.774 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(OC2CCCC2)c(OC)c1 537051844 JZUIVRYDADJIBP-HNNXBMFYSA-N 400.475 4.784 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 537323612 WVZNQJDPVGKZJJ-CQSZACIVSA-N 422.281 4.656 5 20 HJBD CCNC(=O)c1ccc(C)c(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 537734756 DZAWSRXFHVAMNI-UHFFFAOYSA-N 409.442 4.534 5 20 HJBD Cc1ccc([C@H](OC[C@@H](O)CN[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 540376028 OERHIZXKCNHHRV-BTZRARBUSA-N 420.509 4.721 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)nn1-c1ccc(Cl)cc1 540875717 CHDUVFMKCGEUGT-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 542956173 UNDKIGMBWDIRFH-HNNXBMFYSA-N 420.263 4.735 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)c(C)c2c1 543482012 PRCYBPFSFDKCHL-CHWSQXEVSA-N 400.406 4.778 5 20 HJBD Cc1c(CN[C@@H](C)c2nc(-c3ccc(Br)cc3)no2)cccc1[N+](=O)[O-] 543549047 YJUBIOZAVFKZJG-LBPRGKRZSA-N 417.263 4.567 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c(N2CCCC2)n1 545662251 AFAKFXIDZFNNDJ-CQSZACIVSA-N 411.487 4.802 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(COC(C)C)cc1 550179499 STCNKKZWGHERCK-UHFFFAOYSA-N 407.264 4.843 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3ccn(C)c(=O)c3c2)c2ccc([N+](=O)[O-])cc2)o1 551571990 PQLPHBUCECLPIU-QFIPXVFZSA-N 403.438 4.804 5 20 HJBD CCOc1ccc([C@H](C)Nc2cc(Cl)cc(C(=O)N(C)C)c2OC)cc1[N+](=O)[O-] 551812092 RCRVBSIYXBSVKK-LBPRGKRZSA-N 421.881 4.530 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 559361507 GHQKKLVKMHFNKQ-KKSFZXQISA-N 404.470 4.768 5 20 HJBD O=C(NCCCO[C@H]1CCCc2ccccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559824538 OBKFGXLYAHCZNQ-IBGZPJMESA-N 422.403 4.828 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c1 561443744 MFWFDICJZIEXTB-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCN(c4ccccc4Cl)CC3)co2)cc1 561945026 HIWHZXRMGASBGV-UHFFFAOYSA-N 412.877 4.616 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2NC(=O)C2CC2)no1 564228372 RPPBIPYQYZPBRK-OAHLLOKOSA-N 406.442 4.730 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])c1OC 571718576 QPEXLSLNUDEAFJ-UHFFFAOYSA-N 418.755 4.561 5 20 HJBD O=C(Nc1ccc2c(c1)oc1ccccc12)N1CCOc2ccc([N+](=O)[O-])cc2C1 580015792 BFYJRYVCXDCCMJ-UHFFFAOYSA-N 403.394 4.921 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 581220910 MLUJKJVXEPOMQI-FXAWDEMLSA-N 401.438 4.680 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 603620502 ZCGBFHBRVPZEBA-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD COc1ccc(CNC(=O)NCc2cccc(-c3cccc(C)c3)c2)cc1[N+](=O)[O-] 608861055 XSMFHEQQXHYEPG-UHFFFAOYSA-N 405.454 4.578 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)s1 608978398 BMXSXCHWRKWBJK-ZDUSSCGKSA-N 420.434 4.591 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)cc1[N+](=O)[O-] 609009708 GBXPSQISQJQAAI-UHFFFAOYSA-N 420.469 4.628 5 20 HJBD Cc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cccc1OCC(F)(F)F 609040193 XZNDJURKXHEALK-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(Br)c3)CC2)c1 609646098 CGTKJCWWLRPIPW-UHFFFAOYSA-N 421.316 4.541 5 20 HJBD CCc1nc(C)c(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)s1 609817013 JHJNSOHQDOSMFR-UHFFFAOYSA-N 423.454 4.620 5 20 HJBD COc1cc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC1CCCC1 610039900 KOVBKEMCUNPCDF-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC([C@H]2CCOC2)CC1 612346570 OHTXWVOTEUFUAY-SFHVURJKSA-N 412.511 4.635 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccccc2Oc2ccccn2)cc1SC 728471873 JKYRFJSHEYWEAS-UHFFFAOYSA-N 412.423 4.732 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(-c2noc(C(F)(F)F)n2)cc1 730493744 ISUFWYFOIBHRSW-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C(=O)Nc2ccc(Cl)cn2)c1 731464361 HQROQZSRBHSCGW-WDZFZDKYSA-N 422.828 4.547 5 20 HJBD Cc1cc(C)c(C(=O)COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)c1C 731719221 DBLJHAGKCIJRDX-UHFFFAOYSA-N 424.478 4.992 5 20 HJBD Cc1ccc(COc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)c2)cc1 732014038 YDMJCHQGVVHVIU-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2cccnc2)cc1 733397289 SAANLILPFDDQAE-MRXNPFEDSA-N 424.478 4.793 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc([C@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)c1 733740241 WGWYQFGNXPTVNE-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)[C@@H](O)c1ccc(F)cc1 734845770 FPHWRGFIMIJHQA-WAIKUNEKSA-N 409.461 4.714 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 735087229 KDYLVSRGUZSPMN-UHFFFAOYSA-N 406.826 4.527 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1c(Cl)nc2ccccn12 735273955 UMWZFISAAMEDCS-UHFFFAOYSA-N 408.801 4.941 5 20 HJBD CN(C)C(=O)c1cccc(CCNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 742413101 UUWMNDBTILRURY-UHFFFAOYSA-N 415.799 4.623 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)no1 744894088 CRGGTAKGVPODJZ-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD CCc1cccc(N2C(=O)/C(=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c1 747966940 IMCLKZHKYHDPMU-AQTBWJFISA-N 419.462 4.679 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748390674 LJWFXFWKOODYRM-YLSINNKHSA-N 422.359 4.587 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749937564 CSTVRVLBEIQYPZ-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD C[C@H](OC(=O)CC1CCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 750566993 DGDOESOXBNYPBW-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD O=C(Nc1nc2ccsc2s1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750880803 XLPJQULLVAGATL-UHFFFAOYSA-N 418.681 4.934 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccsc2)cccc1[N+](=O)[O-] 757183221 XXCXRBKYHROEBQ-FQEVSTJZSA-N 411.483 4.732 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)[C@H]1CC12CCC2)c1ccccc1 759983488 RWOWYOFBMXZMBP-QAPCUYQASA-N 414.845 4.661 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc2ccccc2nc1Br 760166646 UIHBEASWAQCBOE-UHFFFAOYSA-N 415.247 4.569 5 20 HJBD COC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc(C(F)(F)F)c1 763253333 WSQKDBMPCAGZQV-UHFFFAOYSA-N 408.292 4.509 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC[C@@H]1NC(=O)OC(C)(C)C 769425986 RXMCACDLIVNVOV-KXBFYZLASA-N 415.490 4.590 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC[C@H](c2ccc(F)cc2)CC1 778507500 LXANENDGXCIPEO-KRWDZBQOSA-N 411.477 4.744 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)CC2(c3ccccc3)CC2)c1=S 780473807 WAWCGYMSYZZPNH-UHFFFAOYSA-N 423.542 4.630 5 20 HJBD C[C@@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cn1 781711528 UJKPKXOFGROSTC-SECBINFHSA-N 404.728 4.502 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 784994675 WODUUKRVWJVZCN-RYUDHWBXSA-N 401.806 4.706 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)cc1F 787487649 IOISANWAGGJZDY-ZDUSSCGKSA-N 420.491 4.525 5 20 HJBD Cc1cc(Br)ccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790539413 QCGLNRUWDYTZPC-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 799401393 IQOAIUWNBHUTCW-UHFFFAOYSA-N 424.388 4.501 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1Br 803565893 PWOAWESKNDHMMO-UHFFFAOYSA-N 419.609 4.533 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817870864 UUVBAKWWTNXZFF-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])N1c1cccc(Cl)c1 877842524 HZMQPNNBOZYMHV-IBGZPJMESA-N 424.800 4.928 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2n[nH]c3ccccc23)cc1 915192389 UPHYLTRCFQZMDE-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c2Cl)cc1 916667385 SMLHRNSMVVQULF-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1ccc2nnc(C(F)F)n2c1 1253147689 RHUYIRSIYRMJBT-UHFFFAOYSA-N 404.376 4.725 5 20 HJBD C[C@@H](NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 1319489877 NFLDQQALNCPBCP-CYBMUJFWSA-N 407.392 4.559 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1324069477 DWNJYINLYLZDDH-NSHDSACASA-N 419.268 4.798 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc([C@@H](C)Oc2cccc(Br)c2)o1 1328242559 NQAGQIAFUYDJOE-SNVBAGLBSA-N 420.219 4.556 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2sccc2C)c2ccccc2)c([N+](=O)[O-])c1 5110169 PCPFUODSBTTWKC-SFHVURJKSA-N 410.451 4.810 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nc(-c2ccc(F)cc2)cs1 6449886 CHBXSDSTEFXLJD-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3ccccc3s2)CC1 8086330 RRAFTJIFYUEOEV-UHFFFAOYSA-N 413.524 4.703 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 14583189 CUQMHPRXFWZCGC-UHFFFAOYSA-N 419.466 4.798 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 16800339 FWPQQNXQUCPBHM-NSHDSACASA-N 418.858 4.955 5 20 HJBD COCCN(CC(C)C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 25668729 CPCPLKDSULAWML-UHFFFAOYSA-N 419.909 4.605 5 20 HJBD Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1NC(=O)c1ccccc1F 31756932 WGJKHBWVWPUVFK-UHFFFAOYSA-N 421.428 4.567 5 20 HJBD Cc1c(CSc2nnc([C@@H](C)N(C)C)n2-c2ccc(F)cc2)cccc1[N+](=O)[O-] 42113448 JDZDQFSBLOESTD-CQSZACIVSA-N 415.494 4.538 5 20 HJBD COc1cc2c(cc1NC(=O)COc1ccc([N+](=O)[O-])cc1F)oc1ccccc12 42178765 GIQJVVCABSTSTB-UHFFFAOYSA-N 410.357 4.659 5 20 HJBD CCc1cccc(C)c1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 54005443 XOTWQQWNSUHFFM-GOSISDBHSA-N 413.474 4.670 5 20 HJBD CCOc1cc(NC(=O)CSc2cc(C)ccc2C)c([N+](=O)[O-])cc1OCC 61131986 NKVOSTKRUHZHKX-UHFFFAOYSA-N 404.488 4.740 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)[C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)c(C)c1 110486166 IZOPUZCJYVKVSL-GJZGRUSLSA-N 415.515 4.551 5 20 HJBD CCOc1cccc2cc([C@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)oc12 216758361 YBUPSGCOTBNUQG-ZDUSSCGKSA-N 408.414 4.641 5 20 HJBD COc1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1OC 237398333 VFXHKCHSYUSAEI-UHFFFAOYSA-N 412.417 4.833 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 301217759 GUVJFLPYEUBQCX-UHFFFAOYSA-N 413.437 4.965 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1)c1ccncc1 301437071 KLYWPGSYGUSZLO-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD Cc1cnc(N[C@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)c([N+](=O)[O-])c1 301444304 NMSSOMVCRCPKBT-OAHLLOKOSA-N 408.433 4.792 5 20 HJBD O=[N+]([O-])c1cc(F)c(Nc2cccc(CS(=O)(=O)Cc3ccccc3)c2)c(F)c1 301809113 XJUSACRZLDOMAG-UHFFFAOYSA-N 418.421 4.732 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H](c2cccc(C)c2)c2ccccn2)cc1Cl 301901588 VQPSDHYBHNZKMF-HXUWFJFHSA-N 411.845 4.940 5 20 HJBD Cc1nc(CN2CCC(CNc3c(Cl)cc([N+](=O)[O-])cc3Cl)CC2)oc1C 302039509 QXYCUNWOIUBGLH-UHFFFAOYSA-N 413.305 4.831 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCC[C@H]2c2cccc3ccccc23)s1 302150111 HLFVEMLHGJXRNN-INIZCTEOSA-N 402.497 4.555 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 303633160 GDLQJJIPLUJPOG-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 429860353 LZNTWQNOWKWTMX-SECBINFHSA-N 417.181 4.867 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429962310 IOLRFGTYGYGRRE-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC[C@H]2CCCCO2)c(F)c1 433388068 TVOJGXODOIEFRU-OXQOHEQNSA-N 402.466 4.575 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)c1[N+](=O)[O-] 433986575 VTKQYQZSMBRQEZ-UHFFFAOYSA-N 409.830 4.610 5 20 HJBD O=C1[C@H](Nc2ccc(Oc3ccc(Cl)cn3)cc2)CCN1c1cccc([N+](=O)[O-])c1 434261803 XYWNIFWDBAIZGD-LJQANCHMSA-N 424.844 4.653 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])c2cccnc12 436167469 VGIFIEYUNOWBQN-UHFFFAOYSA-N 413.437 4.595 5 20 HJBD COc1cc(C(=O)N(C)CCC2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 437498900 QTDDKBYEASFARY-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438230207 YOFWFNIVACTJHZ-MRXNPFEDSA-N 413.474 4.847 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cccc1[N+](=O)[O-] 439069671 KSBINBBRWKMHTR-UHFFFAOYSA-N 420.903 4.741 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 439656927 WZOSBXRNWFYOBB-GFCCVEGCSA-N 401.271 4.647 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1ccc(O)c([N+](=O)[O-])c1 444442207 VTUZHICIIZKABI-GFCCVEGCSA-N 422.281 4.743 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N(C1CC1)[C@H]1CCc2ccccc21 446021292 PCXBDJDMSFSYBD-IBGZPJMESA-N 415.287 4.578 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 446044223 OEEFBVZIXKRQSV-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC1(c2cccc(C(F)(F)F)c2)CCOCC1 446826890 LJJPFJDHPOTWTF-GQCTYLIASA-N 406.404 4.922 5 20 HJBD Cc1ccccc1[C@@H](OC[C@H](O)CSc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040376 WWECVSXMNFSETB-UGKGYDQZSA-N 410.495 4.557 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 462441664 ZEZBDYVNHAOTOT-DZGCQCFKSA-N 416.428 4.786 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 463912588 PBZXJMXOOHLYBG-LIRRHRJNSA-N 417.481 4.837 5 20 HJBD CCc1cnc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)s1 464089234 BYJHBUFWRYANMK-UHFFFAOYSA-N 406.514 4.782 5 20 HJBD O=C(c1ccc2[nH]c3c(c2c1)CCCC3)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464662665 SZNORGQSTFSQJN-UHFFFAOYSA-N 419.481 4.639 5 20 HJBD C[C@@H]1OCC[C@]1(C)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466236267 LNDJDWYUWMBOGR-BUXKBTBVSA-N 406.891 4.697 5 20 HJBD O=[N+]([O-])c1c(Sc2ccc(Cl)cc2)cccc1-c1nc([C@H]2CCOC2)no1 466989514 JYWSBQSIKLWEOP-NSHDSACASA-N 403.847 4.953 5 20 HJBD Cc1ccc([C@@H](C)Nc2ccc(Cc3nnc4n3CCCCC4)cc2)cc1[N+](=O)[O-] 467526112 AXDKJMLIEDXVOE-QGZVFWFLSA-N 405.502 4.985 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1CC(=O)c1ccco1 469131668 XTTACHOKWSSAEK-DOTOQJQBSA-N 416.499 4.713 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 472690796 MBWSRIFDLQSPRY-UHFFFAOYSA-N 405.523 4.757 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])c2)cc1 473455150 QIQJXFSOLMIQRI-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD C[C@@]1(O)CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 473602103 BDSBHLYDKDQAKS-HXUWFJFHSA-N 420.918 4.777 5 20 HJBD Cc1c(CNC(=O)N[C@H](Cc2ccc(Cl)cc2)c2ccccn2)cccc1[N+](=O)[O-] 479721728 QUMTYIHATPHYBT-HXUWFJFHSA-N 424.888 4.735 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)c2C(C)C)cc1 481861104 DPNJLXACJNJOPM-UHFFFAOYSA-N 403.442 4.946 5 20 HJBD CSc1cccc(C(=O)NCc2cccc(COCc3ccccc3)c2)c1[N+](=O)[O-] 485729345 BVFBSJJEJNDVCZ-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486020909 YRLNPTNFZKRXOZ-RTBURBONSA-N 415.287 4.652 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 486175146 FJOXNCGVWHUBNM-NSHDSACASA-N 424.320 4.510 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])c2)n1 486321688 PSAKVNVVWXPRFO-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1ccc([N+](=O)[O-])c2cccnc12 487132070 CRDYMPDOIHBDDA-UHFFFAOYSA-N 422.347 4.861 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCC[C@H](c1ccccc1)C(F)(F)F 490895397 ABNRBURJPABFCR-OAHLLOKOSA-N 400.784 4.643 5 20 HJBD CC[C@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(OC)cc1 494211125 DIPGHSRFDJPQPP-KRWDZBQOSA-N 411.380 4.573 5 20 HJBD COc1cccc([C@@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 497292465 APUUVLOKQXKEAG-LLVKDONJSA-N 414.405 4.592 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCOc1cccc(Br)c1 501975460 BTTULGONOIQRQE-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H]3c3ccc4c(c3)OCCO4)cs2)c1 506099808 WAZGUWIFHZHOKQ-LJQANCHMSA-N 423.494 4.827 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cc2ccccc2s1 506586215 KBJKZZKFYKOIFQ-UHFFFAOYSA-N 416.524 4.521 5 20 HJBD COc1ccc(C2(CNc3ccc([N+](=O)[O-])cc3C(F)(F)F)CCOCC2)cc1 511735131 PKSQGULYBIETPF-UHFFFAOYSA-N 410.392 4.783 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](COc2ccccc2Cl)C1 513872675 LMECHZHNFNZDPN-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 515478972 SRPOTOOKYDUYQJ-HNNXBMFYSA-N 422.210 4.591 5 20 HJBD C[C@@H]1CC[C@@H](C)N1[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 518866031 KSRWMFNUHJFOOT-QWFCFKBJSA-N 423.513 4.865 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccccc3)Cc3ccc(F)cc3)o2)cc1 521819052 RNGIGNGRSVQAEF-UHFFFAOYSA-N 418.428 4.986 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)s1 522034924 HJDTZOIKHNQFSE-KRWDZBQOSA-N 400.422 4.603 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 523265461 VYDJIOCTWMEBGP-UHFFFAOYSA-N 422.466 4.735 5 20 HJBD COc1ccccc1-c1ccc(CN(C(=O)c2cc([N+](=O)[O-])cn2C)C(C)C)cc1 525077474 UIFXCUJFCOAPIY-UHFFFAOYSA-N 407.470 4.660 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525365741 WZFLOZKWCVLOQG-QGZVFWFLSA-N 404.470 4.784 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)c3cccc4ncccc34)cc2[N+](=O)[O-])CC1 534108797 ZLUGRSWZARQQGQ-UHFFFAOYSA-N 404.470 4.656 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N1CCc2sccc2[C@@H]1c1cccs1 536230561 CWRZVPMYGCZAGX-LJQANCHMSA-N 413.524 4.618 5 20 HJBD Cc1nc(O)c(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1-c1ccccc1 536552876 LHJOHHSTYXSYRV-QFIPXVFZSA-N 421.478 4.559 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CC[C@H](CSc2ccccc2)C1 540512158 GFYMEIFYHVRPPV-KRWDZBQOSA-N 419.506 4.911 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1)c1ccc([N+](=O)[O-])cc1F 542513312 PDSVYASJEUJAGP-VIFPVBQESA-N 407.373 4.878 5 20 HJBD C[C@H](c1nc(Cc2c[nH]c3cc(Cl)ccc23)no1)c1ccc([N+](=O)[O-])cc1F 544413230 AGAPPFGWVFCHRR-JTQLQIEISA-N 400.797 4.994 5 20 HJBD COc1ccc2oc([C@@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)c(C)c2c1 544477345 MUUVQENUXMQFEC-CYBMUJFWSA-N 408.414 4.559 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2nc3ccccc3s2)CC1 544624326 YSETZUHQCHGFGY-UHFFFAOYSA-N 400.435 4.755 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(OC)c(OC2CCCC2)c1 545959031 UFZWDNIKJRRZGA-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2SCC(F)F)c1 549308038 YZDVMSWKZZFXRZ-UHFFFAOYSA-N 400.378 4.742 5 20 HJBD CCn1c(S[C@@H](C)c2nccn2-c2ccccc2)nnc1-c1ccc([N+](=O)[O-])cc1 553771275 QUWUVWDTIDGVNN-HNNXBMFYSA-N 420.498 4.912 5 20 HJBD C[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 557540101 YWRXQQKVXPXQLO-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 573516619 DVGYDDBGTGJXHU-NUJGCVRESA-N 423.513 4.764 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3cccc4c(=O)c5ccccc5[nH]c34)c2)n1 577337514 LNAKBZRDPGKJEF-UHFFFAOYSA-N 410.433 4.600 5 20 HJBD CN(C)Cc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 579040053 FIOCUOMIQYCESI-UHFFFAOYSA-N 414.531 4.586 5 20 HJBD COc1cccc(C2(c3noc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)n3)CCC2)c1 589156166 RXZUWMFZDQNTQM-UHFFFAOYSA-N 404.426 4.529 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)CC2)c(C)c1 603576837 WAINOQDNSOKFJC-UHFFFAOYSA-N 421.497 4.521 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cs1 603954077 ZTMXFWWUMBGPSW-UHFFFAOYSA-N 407.455 4.598 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 604735231 AUWYQSGACBZXHN-LJQANCHMSA-N 418.924 4.946 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)C(C)C)cc1 608802977 RUFRISWJEUAYNV-YCRPNKLZSA-N 400.523 4.825 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccc(C(F)(F)F)cc2)nc1 609177759 KRVFYJJESQUEIX-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)C3CCN(C)CC3)cc2[N+](=O)[O-])cc1 609583604 RIGXAHXNQHURFL-INIZCTEOSA-N 413.543 4.515 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(-c2ccccc2Cl)s1 609784824 GKDINJLYKFOWBI-UHFFFAOYSA-N 409.254 4.601 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc21 610571073 GSUFJVYILNKOOL-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660139 FRBBWRSJATYYTB-ZDUSSCGKSA-N 424.444 4.795 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 610927449 XAALBOHCAQDQOT-UHFFFAOYSA-N 407.470 4.776 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNc3ncnc4ccc([N+](=O)[O-])cc34)c2)cc1 611702590 WJNWUKWINSUAJX-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 612483957 GUNIURMYYCXBNY-SGTLLEGYSA-N 421.266 4.964 5 20 HJBD Cc1oc(-c2ccco2)nc1CC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728165668 OLSQYTNMEORBCJ-UHFFFAOYSA-N 415.833 4.790 5 20 HJBD COc1cccc(CO[C@@H](C)C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728166277 ZKBFWKFKOCZQND-AWEZNQCLSA-N 418.877 4.605 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCS[C@@H](c2ccccc2)C1 735453557 FXWVVCKBONRWHV-OAQYLSRUSA-N 411.527 4.515 5 20 HJBD CC/C(=C/C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 745752274 DBKAXTYNSHKGHG-NXXVSJRMSA-N 402.834 4.612 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1COc1ccc(-c2noc([C@@H]3CCCO3)n2)cc1 746049814 CCCVCADAWDUVNV-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD Cc1c(C(=O)N2CCC(CCc3ccccc3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748701188 MVLRKGPVPQDCRQ-UHFFFAOYSA-N 411.458 4.605 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(-c2ccc(F)cc2)n1C 749161646 CJVPINODKZDCJY-UHFFFAOYSA-N 401.825 4.665 5 20 HJBD CC(=O)c1ccc(OCC(=O)c2cc(C)n(Cc3ccc(F)cc3)c2C)c([N+](=O)[O-])c1 749836897 YRGUBULZJKBZTD-UHFFFAOYSA-N 424.428 4.665 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749999226 XCTZULUELVYHOO-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 752509150 NDJXGRHOPMOMOP-HUUCEWRRSA-N 413.440 4.684 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCCCC[C@@H]1c1ccncc1 754964507 AZHVNPOUISDPKY-OAHLLOKOSA-N 422.254 4.649 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1Cl 755006658 FLNANYSXBWUTII-UHFFFAOYSA-N 401.619 4.735 5 20 HJBD CN(Cc1cc(Br)ccc1Cl)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 756772435 NDVWQYFPOBXRAM-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(Cl)c([N+](=O)[O-])c1 760835748 IVFJHPQODBEOPG-SFHVURJKSA-N 402.237 4.816 5 20 HJBD COc1cc2nc(/C(Cl)=C/c3ccc([N+](=O)[O-])c(Cl)c3)nc(O)c2cc1OC 763174257 JJQPTVCEAHYNRT-SDQBBNPISA-N 422.224 4.651 5 20 HJBD C[C@H](C(=O)N(c1ccc(N(C)C)cc1)[C@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 763219427 JOXWROGAPORKOR-ZWKOTPCHSA-N 418.497 4.954 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc(Cl)cc2Cl)o1)c1cccc([N+](=O)[O-])c1 763406433 TUJJHDGJECOUQV-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1ccc(F)cc1Cl 765529068 NQVFCKVXGAXXHH-OCCSQVGLSA-N 422.840 4.526 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@H](c3cccc(Cl)c3)c3ccccn3)CC2)cc1 766600619 OBMMAWFJMBZTFH-HSZRJFAPSA-N 422.916 4.991 5 20 HJBD CC(=O)[C@H](Cc1ccc(Cl)cc1F)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 768857597 DEHJAIAOXMENKL-HNNXBMFYSA-N 418.179 4.537 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)Cc1ccc(Br)c([N+](=O)[O-])c1)CCC2 769513742 ICSLVYMMMMDDLY-AWEZNQCLSA-N 410.337 4.886 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H](C)c3ncc(C)o3)cc2[N+](=O)[O-])n1 770905910 AAGNXPOHUNKNGO-NSHDSACASA-N 405.457 4.725 5 20 HJBD C[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1nc(-c2ccccc2)cs1 782535458 HYKKTXZAEWDLIZ-ZDUSSCGKSA-N 414.462 4.537 5 20 HJBD C[C@H](C(=O)OCc1nc2ccccc2c2ccccc12)C1CN(C(=O)OC(C)(C)C)C1 786981704 QSCCKBTUWHRLCD-INIZCTEOSA-N 420.509 4.934 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c(C)c1 796439996 QDEBCZMFVIMXPW-UHFFFAOYSA-N 412.486 4.760 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)c1ccccc1SCc1cc(C)no1 797472470 JEESWQFJMDXNRW-UHFFFAOYSA-N 414.439 4.549 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801928986 MOKYZLBBXWQTTO-IQMFZBJNSA-N 419.456 4.535 5 20 HJBD Cc1cc(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)n(C)n1 803459068 QKKMWGGJRSUNBJ-UHFFFAOYSA-N 409.489 4.905 5 20 HJBD O=C(NCc1ccncc1OC[C@@H]1CCOC1)c1c2ccccc2cc2ccccc12 809297099 FUNCKVVWDDOYEO-GOSISDBHSA-N 412.489 4.733 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809913987 XGLLQOVALRYFEP-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CCNC(=O)N1CCc2ccc(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc21 811486613 GPAPKYVEEBQVOR-UHFFFAOYSA-N 409.273 4.606 5 20 HJBD CCCC(=O)Nc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812917162 NFXUIDRFHJSTDB-UHFFFAOYSA-N 410.257 4.570 5 20 HJBD C[C@H](OC(=O)c1cc2c(Cl)c(Cl)ccc2[nH]1)C(=O)Nc1ccccc1[N+](=O)[O-] 919204440 HLXHGARQMFDXQV-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cc(Br)cs3)no2)cc1Cl 921140831 NNGLWMGHASFBHC-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CCc1cc(Oc2ccc([N+](=O)[O-])c(Cl)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 921189780 ZBFKYTPGJPJMDT-UHFFFAOYSA-N 400.778 4.968 5 20 HJBD CCCCS(=O)(=O)c1ccc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1327289326 SDWFWPQQRVWSOB-UHFFFAOYSA-N 415.471 4.502 5 20 HJBD Cc1c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)oc2c(F)cccc12 7541982 SPSNOEQUVNYYRC-LLVKDONJSA-N 411.345 4.757 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 14576172 SYJBKCOZQYEILH-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3ccccc3)cc2)cc1OC 15627930 RHZIAYCUJMSYMR-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD Cc1c(C(=O)Nc2cccc(C3SCCS3)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 18216505 GVGRYAPXPHSXKG-UHFFFAOYSA-N 405.457 4.542 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1cc2cc([N+](=O)[O-])ccc2s1 26984491 SITYWEIINGECAX-UHFFFAOYSA-N 404.831 4.877 5 20 HJBD CCOc1cc(C(=O)N[C@@H](CC(C)(C)C)c2ccccc2)c([N+](=O)[O-])cc1OC 31800562 PNYXIYCQHJYDJD-KRWDZBQOSA-N 400.475 4.909 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@H]2C2CCCC2)cc1[N+](=O)[O-])c1ccccn1 54138880 AFWWVZZTGAYZPH-IERDGZPVSA-N 408.502 4.958 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1c(-c2ccccc2)nc2ccccn12 65719023 GODPUFHBMPPSRX-UHFFFAOYSA-N 411.421 4.503 5 20 HJBD O=C(Nc1ccccc1)[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 107512384 GMVHVLUSNGQRQF-IBGZPJMESA-N 409.489 4.879 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@H]3c3cc4ccccc4[nH]3)n2)cc1 112575350 HDFIGVACEGWQCB-FQEVSTJZSA-N 403.442 4.853 5 20 HJBD COc1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1OC 237399837 LESKBXLSQRKPGS-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNc1ccc([N+](=O)[O-])c2cccnc12 301456460 CEHBYCBLYGXHQR-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD CCC(CC)C(=O)N1CCC(Nc2cc(C)c([N+](=O)[O-])cc2Br)CC1 302672434 KZQWQQVMJFTSAX-UHFFFAOYSA-N 412.328 4.505 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1cccc(F)c1)C1CC1 303504392 AVSNNAGRGSOKMW-UHFFFAOYSA-N 411.458 4.705 5 20 HJBD Cc1nnc(-c2cccc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)c2)o1 303988111 HYKQBZZMDHKPQU-UHFFFAOYSA-N 415.409 4.949 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(F)cc1Br 326635471 UNPONHKXPDXIDO-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 426181149 XFSPFJDFWPWWEJ-OAHLLOKOSA-N 410.474 4.553 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2c1cccc2[N+](=O)[O-] 426330552 KQAXFIOKUYTKND-LBPRGKRZSA-N 413.886 4.866 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429955056 WQLHYOMLDDQNTD-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1nc(COc2cccc(C(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)c2)cs1 430542153 CJFQHUBQVPNWSV-UHFFFAOYSA-N 411.483 4.604 5 20 HJBD Cc1c(NC(=O)[C@H]2CCCC[C@H]2C(F)(F)F)cc(Br)cc1[N+](=O)[O-] 431184278 ZSKMYLGHSFVXSA-WDEREUQCSA-N 409.202 4.973 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 435368799 JCUBNBLCPQKOMT-UHFFFAOYSA-N 420.494 4.994 5 20 HJBD COc1cccc(C(=O)Nc2cc(CC(C)(C)C)nn2-c2ccccc2)c1[N+](=O)[O-] 435705505 NCMSXAWQJWZZFG-UHFFFAOYSA-N 408.458 4.630 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)c1[N+](=O)[O-] 436174096 DBGQQZAALKFUKB-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD CC(C)n1ncc2c(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc(C3CC3)nc21 437484089 INYPFBZYDMEHJR-UHFFFAOYSA-N 419.485 4.603 5 20 HJBD COc1ccccc1C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)C(C)C 437983808 GCSYWJLKJVTWQL-FQEVSTJZSA-N 411.502 4.545 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCC[C@H](C(F)(F)F)C1 438517454 MKBVQQFUVIMVDG-NEPJUHHUSA-N 408.804 4.502 5 20 HJBD C[S@](=O)c1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440618440 AOJSRYOIPIIKCK-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1[nH]ccc1-c1c(Cl)cccc1Cl 442718688 GBUSWFJZLJKUAV-UHFFFAOYSA-N 404.253 4.869 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c1 444001541 IBUYNMPELNSAAI-OAHLLOKOSA-N 420.459 4.937 5 20 HJBD O=C(N[C@H]1C[C@H]1c1c(F)cccc1Cl)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444078495 WMDHIJUWSXLXDJ-KDOFPFPSSA-N 424.834 4.899 5 20 HJBD Cc1ccc(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c(OCC2CC2)c1 447053501 CWPPZCDSNJZGNN-UHFFFAOYSA-N 409.486 4.540 5 20 HJBD COc1ccc(CCCCCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1 460366563 YWHYYGBPTRHNSG-JOCHJYFZSA-N 414.527 4.981 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CC[C@H]2CCC[C@H]2C1 460547602 NBETZQZFXSAUEI-MBNYWOFBSA-N 402.438 4.791 5 20 HJBD Cc1cc(C2CC2)nc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)n1 464599109 PTJYPLJKRBQPCE-UHFFFAOYSA-N 406.467 4.974 5 20 HJBD O=C(NCc1cc2ccccc2s1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465724195 RMWFLPSLWWAUKM-UHFFFAOYSA-N 411.483 4.563 5 20 HJBD C[C@H](C(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1)c1ccc([N+](=O)[O-])cc1F 468905089 IHYMZWSCDUMRPG-ZDUSSCGKSA-N 404.388 4.822 5 20 HJBD Cc1ccc(F)cc1-c1nnc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)s1 469892981 MCDFJIMMCKDOHX-UHFFFAOYSA-N 400.435 4.738 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(C(F)(F)F)ccc2C)cc1[N+](=O)[O-] 470006238 OHKRMPDIHVXNQJ-UHFFFAOYSA-N 414.405 4.803 5 20 HJBD CN(C(=O)c1ncoc1-c1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 472383106 FDJLFCVFQGLDHE-UHFFFAOYSA-N 424.413 4.789 5 20 HJBD C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@@H](OC1CCOCC1)c1ccccc1 473511057 SYSIXBLJHKLUJZ-KSFYIVLOSA-N 407.470 4.880 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 475754941 VSXIGWLHKDTABK-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD COc1cc(OCc2ncc(-c3ccc(Br)cc3)o2)ccc1[N+](=O)[O-] 475876627 ZAADRIZBGQWUEU-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cccc([N+](=O)[O-])c2OC)cc1 475896947 DEGRBZQEQVKGAM-JOCHJYFZSA-N 419.481 4.813 5 20 HJBD C[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2cccc(Cl)c2O1 476122648 RZYRPRVFGJBVJP-HNNXBMFYSA-N 418.880 4.622 5 20 HJBD CN(Cc1cccnc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 479051367 VOEUNIFOMBWGMD-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C3CC3)nc2c1 481657040 JODXKPACFBIUBS-UHFFFAOYSA-N 413.455 4.604 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1ccccc1Cl 482704806 HMYGVEJBNNRGPL-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1 485754530 FQQYBUBMXVRPBT-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD CC1(C)CN(C(=O)c2sc(Br)cc2[N+](=O)[O-])C[C@H]1c1ccccc1 486305199 QMBFSTRGTPPMJQ-LBPRGKRZSA-N 409.305 4.685 5 20 HJBD CCN(CC)C[C@@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487943148 HLVUGBUOXWQATM-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H](C)Oc3ccccc3F)n2)cc1[N+](=O)[O-] 498786330 MRFQCVXGOORQOQ-LBPRGKRZSA-N 401.419 4.572 5 20 HJBD Cc1ccccc1[C@@H](OC[C@H](O)CN(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 501824467 HVBRFHMQAQFSNU-RDGATRHJSA-N 420.509 4.502 5 20 HJBD COc1cccc([C@@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 506690147 ZCWOOBNAMMXUFH-INIZCTEOSA-N 408.401 4.551 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1ccccc1N(C)C1CCN(C)CC1 507121114 NIAXOERVXPRIMC-UHFFFAOYSA-N 410.562 4.536 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 508686870 PTYGQWYSZWALIL-QGZVFWFLSA-N 405.813 4.996 5 20 HJBD CO[C@@H](CSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 508997913 LOGUSOFKUYBAAQ-SFHVURJKSA-N 422.890 4.667 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c(F)c1 509006797 MPGLQUSDJKSWQV-SECBINFHSA-N 407.364 4.728 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(OC)c1 509365862 PGVBLSHKSRCIBX-UHFFFAOYSA-N 417.437 4.585 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)s1 513332020 WYQHSBBFNRDGMY-LJQANCHMSA-N 424.526 4.804 5 20 HJBD Cn1c(=O)oc2c(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cccc21 513505312 JMOJVSFERBLZSQ-UHFFFAOYSA-N 409.373 4.583 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](COc2ccccc2Cl)C1 513890360 LRTLSZMHIQWNBH-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD COCCN(C(=O)CCC1CCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514620473 RZBLNNJXAOUBBF-UHFFFAOYSA-N 403.504 4.668 5 20 HJBD CN(CCOc1ccccc1F)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 515286515 JYOGVKDIQNYTRK-UHFFFAOYSA-N 410.401 4.677 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1)c1cc(F)cc([N+](=O)[O-])c1 520967423 ZINMDJUGUSMRGQ-UHFFFAOYSA-N 408.364 4.592 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H](Cc2ccccc2)C1 521580948 SEYNYADOALLHNM-NRFANRHFSA-N 410.517 4.636 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 523867112 RTDQDIULUPJWST-UHFFFAOYSA-N 419.359 4.763 5 20 HJBD COc1ccc(CNc2ccccc2CN(C)C(=O)c2cccs2)cc1[N+](=O)[O-] 532742939 PJNAQDXRMQFHBR-UHFFFAOYSA-N 411.483 4.549 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(NCCc2ccccc2)cc1 534800269 SHEGEAVUMTUJSD-UHFFFAOYSA-N 414.465 4.912 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 534940326 TVTPKXYSDUBNGW-UHFFFAOYSA-N 414.421 4.531 5 20 HJBD CCn1c(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 536572480 MFGQSCVCDNYCLZ-UHFFFAOYSA-N 420.878 4.601 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](CC)c2cccc([N+](=O)[O-])c2)c1 538627580 KOKSHGPTVIRWLK-KKSFZXQISA-N 419.547 4.766 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nnc(C(C)(C)C)s1 539661336 QQLIRLDIOIEIGP-UHFFFAOYSA-N 408.549 4.566 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc(Br)cc2)C(C)C)cc1[N+](=O)[O-] 541104176 NHTLFGINCQUAJW-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD Cc1cc(C)cc(-c2nnc(Sc3ncc([N+](=O)[O-])c(C)c3Br)o2)c1 541590258 QOGYMWYWWDUKCX-UHFFFAOYSA-N 421.276 4.879 5 20 HJBD C[C@@H]1C[C@@H](c2cccnc2)N(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 542681799 PAMDPSVHLQCRHI-VGSWGCGISA-N 416.481 4.825 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2cc(Br)cc3cccnc23)n1 545527801 DLENKSQISRGXJA-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD COc1ccc(CNC(C)(C)c2ccc(C)nc2)cc1OCc1ccc([N+](=O)[O-])cc1 546056941 GTLWXFJARSIVQG-UHFFFAOYSA-N 421.497 4.911 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)C)nn2-c2ccc(C)cc2)c1 549008868 DKTYIZSHNUFDDC-UHFFFAOYSA-N 408.458 4.863 5 20 HJBD O=C(Nc1ccccc1-n1cccn1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 551133412 XAGCONBUVFOKJJ-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)NCC[C@@H](c1ccccc1)C(F)(F)F 556218514 WTOGAESOCROYOA-KSSFIOAISA-N 409.408 4.638 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C2CCOCC2)CC1 560335579 ANKKPWYTXGHNSK-UHFFFAOYSA-N 406.548 4.622 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3cnc4n3CCCC4)cc2[N+](=O)[O-])cc1 566929468 MBFMVUAJPPKJBW-UHFFFAOYSA-N 408.527 4.877 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 567295096 TUJCQKHIPNSLPM-UHFFFAOYSA-N 423.391 4.784 5 20 HJBD Cc1c(Cc2nc(-c3ccc(COCC(F)(F)F)cc3)no2)cccc1[N+](=O)[O-] 573145909 ZRSOZXNSRYJCTN-UHFFFAOYSA-N 407.348 4.623 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 573377107 ARPMHBUZFZKVPR-CYBMUJFWSA-N 414.405 4.590 5 20 HJBD Cc1ccc(NC(=O)Cc2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c(C)c1 581633433 PSHJCGJAIIXSHL-UHFFFAOYSA-N 424.460 4.529 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@](CO)(C(C)C)C2)cc1[N+](=O)[O-] 582201120 HJRWMWBZLSUKDY-NRFANRHFSA-N 408.564 4.604 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)Cc1ccc(Br)cc1 603759747 JDRLEPCNXMTNCL-OLZOCXBDSA-N 423.332 4.585 5 20 HJBD CC[C@H]1CCc2sc(-c3nnc(Sc4cccnc4[N+](=O)[O-])n3C)cc2C1 603845985 FPYRTMPYHBTSRB-NSHDSACASA-N 401.517 4.513 5 20 HJBD CC(C)n1ncc2c(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc(-c3ccco3)nc21 603966385 PEUHZOLLYXYDJL-AWEZNQCLSA-N 419.441 4.671 5 20 HJBD Cc1ccc([C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)N2CCCC2)cc1 604509026 IALQNNAWQBSDPN-IBGZPJMESA-N 402.882 4.515 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 609784354 RADQKJADWIKQRJ-UHFFFAOYSA-N 417.893 4.692 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 609865691 AQBTVWHJQHOMAV-JCGVRSQUSA-N 408.907 4.621 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 610159669 KQJRAQXXVFHHGW-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD CO[C@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccccc1Cl 610795691 FFUZUNIPEODHOM-LJQANCHMSA-N 405.882 4.556 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccc1 610922021 GOCKHZYICSQTMG-QGZVFWFLSA-N 412.467 4.622 5 20 HJBD C[C@@H](CC(=O)OCc1nc2ccccc2c2ccccc12)NC(=O)C1CCCCC1 727531253 FXTICUGVZOZBQL-KRWDZBQOSA-N 404.510 4.906 5 20 HJBD Cc1ccc(C)c(OC2CCN(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)CC2)c1 728899574 XTWRRPKSMCMSGG-UHFFFAOYSA-N 406.486 4.576 5 20 HJBD COc1ccc(OC(=O)[C@@H](Oc2ccc(F)c(F)c2)c2ccccc2)c([N+](=O)[O-])c1 730449961 QBPHNMBBWCRSJT-FQEVSTJZSA-N 415.348 4.607 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 736016164 XASFHUDLYBZOBK-UHFFFAOYSA-N 403.822 4.675 5 20 HJBD Cc1cccc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 736740571 WOOUYMXNUHQDKR-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD CCCN(Cc1nc(O)c2ccc(Cl)cc2n1)Cc1ccc(Cl)cc1[N+](=O)[O-] 738297728 AHOQBUQMBKNQMB-UHFFFAOYSA-N 421.284 4.963 5 20 HJBD CC[C@H](CC(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccc(C)cc1 739173682 TZYISMRTLNNRIX-LJQANCHMSA-N 411.502 4.642 5 20 HJBD C[C@@H]1CCC[C@@H](OCc2cccc(NS(=O)(=O)c3cccc(F)c3[N+](=O)[O-])c2)C1 745099254 LZFVYNNKCOZQEC-RHSMWYFYSA-N 422.478 4.630 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746888396 SGJZAZSRCKJTQY-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3cccc(Cl)c3[N+](=O)[O-])cn2)c1 749908710 KPEBLWXLOGOSAE-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD CCc1noc(C)c1COc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 757615145 PUAJYCKZRNMILC-UHFFFAOYSA-N 406.394 4.806 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 760431385 AGZOKEHSKXDWPM-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD C[C@H](C(=O)Nc1ccccc1C(=O)N[C@H](C)c1ccccc1)c1cccc([N+](=O)[O-])c1 761678347 BEDCUNGHBFFAFW-DLBZAZTESA-N 417.465 4.828 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1 770019495 NZVVAYKXUDVBME-UHFFFAOYSA-N 406.826 4.527 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777996554 CTGQXYKGHXRWKT-UHFFFAOYSA-N 420.759 4.561 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 788568614 HQHITFWGGSBUDZ-GFCCVEGCSA-N 409.364 4.768 5 20 HJBD C[C@](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])(c1ccc(F)cc1)C(F)(F)F 790973880 HQZKVKBFBDYLOT-INIZCTEOSA-N 422.737 4.663 5 20 HJBD Cc1onc(-c2cccnc2)c1C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811086148 PMXYLIXDMHFROD-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)c12 813243958 HLSJRVRDWHLGPU-UHFFFAOYSA-N 400.822 4.867 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCCc3scnc3C)cc2[N+](=O)[O-])n1 912720607 LKEASAUMXRLUGG-UHFFFAOYSA-N 421.525 4.675 5 20 HJBD CCOc1ccc2c(c1)[C@@H](C)CC(C)(C)N2C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 913132942 ADSWDXCCUNGKRP-ZDUSSCGKSA-N 413.430 4.834 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 918887790 XXYSWXGTKDZYQC-NRFANRHFSA-N 421.380 4.545 5 20 HJBD Cc1ccc(OCc2nnc(Cn3c4ccccc4c(=O)c4ccccc43)o2)cc1C 1326056804 IDIQZTNWRDXMFJ-UHFFFAOYSA-N 411.461 4.782 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 1336227174 GCJWAYCFBFEBCM-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCC2)[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 12104703 WEZWRXNDRCOWBQ-NSHDSACASA-N 423.926 4.608 5 20 HJBD COc1cc(C(=O)NCCc2ccco2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 15788896 DQNSRCXNGNRKGP-UHFFFAOYSA-N 416.817 4.615 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COc3ccc(C=O)cc3[N+](=O)[O-])ccc21 26535821 ABJLSYKQVWJCSO-UHFFFAOYSA-N 417.421 4.553 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] 28400694 DOGALTGUPCWYHM-CYBMUJFWSA-N 416.499 4.626 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3c(c2)OC(C)(C)O3)CC1 107962313 MHJDSSPIUHPCFX-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1ccc(Cl)cc1 117359475 PXXVPCKSRLXTLC-JOCHJYFZSA-N 410.857 4.923 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)c1 147152816 XWPQBDLVVRVBRD-GOSISDBHSA-N 402.859 4.838 5 20 HJBD CCOc1ccc([C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 237110703 FDCIEVWHSKZMSF-CQSZACIVSA-N 413.499 4.976 5 20 HJBD CCc1cc(N2CCC(C(=O)c3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301074251 HVOMPVOPXRLCSJ-UHFFFAOYSA-N 416.481 4.714 5 20 HJBD CNC(=O)c1ccc(N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)c([N+](=O)[O-])c1 301185289 JTGAHHXNWQPLLL-CYBMUJFWSA-N 410.499 4.868 5 20 HJBD Cc1cc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)ncc1[N+](=O)[O-] 301417572 GCZWMULJXMMZOX-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Oc1ccc(Oc2ncc(Br)cn2)cc1 302782611 SSMWOAHPALUEBS-UHFFFAOYSA-N 406.167 4.871 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 426884464 YIKHJKSRDRYRNY-IRXDYDNUSA-N 422.909 4.548 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)ccc1[N+](=O)[O-] 430557870 UEOSICPESCDNNB-UHFFFAOYSA-N 412.364 4.727 5 20 HJBD Cc1cc([C@H]2CCCN2C(=O)Cc2ccccc2[N+](=O)[O-])ccc1Br 431134718 YFVPPRXTQFTMPM-QGZVFWFLSA-N 403.276 4.572 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444115210 SIDRXGRRSGYUCS-UHFFFAOYSA-N 418.399 4.978 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc3c(cn2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 462762661 NEURISXJECWJOF-OAHLLOKOSA-N 417.469 4.689 5 20 HJBD CCCOc1ccccc1[C@H](CC(C)C)NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 467781585 BSFOCORXWOUGII-FQEVSTJZSA-N 420.531 4.590 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 468140302 NQSJDUOAFGIHHZ-QGZVFWFLSA-N 419.485 4.720 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2c(C(F)(F)F)cccc2s1 470739774 FGMFWRDDYPAMJZ-UHFFFAOYSA-N 411.361 4.874 5 20 HJBD COc1cc(CN[C@@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OCC(F)F 475700264 QVRKAIMHWLOEQT-NSHDSACASA-N 424.375 4.983 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c(-c2nc3ccccc3[nH]2)c1 479046686 XBIHAYJXCIAGPV-UHFFFAOYSA-N 404.401 4.767 5 20 HJBD Cn1cc([C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccc(F)cc2)cn1 479402183 LRURXGOPMNXKRW-QFIPXVFZSA-N 406.417 4.607 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@H]1CCc2ccccc2C1 483380607 TXTPKMMJDZWMNC-KRWDZBQOSA-N 414.527 4.735 5 20 HJBD CCCn1c(=O)c2ccccc2n2c(S[C@@H](C)c3cc([N+](=O)[O-])ccc3C)nnc12 484545655 VXLFFUXHGIOJQG-AWEZNQCLSA-N 423.498 4.524 5 20 HJBD CCc1c(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cnn1C(CC)CC 485146942 NJUOGLLYNGRDLX-UHFFFAOYSA-N 407.902 4.732 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCC(=O)Nc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 486341534 ZOROELDFIQTCNM-UHFFFAOYSA-N 424.284 4.568 5 20 HJBD C[C@H](NC(=O)Nc1cc(-c2ccc(Cl)s2)nn1C)c1cccc([N+](=O)[O-])c1 490524704 UDEKBCFKFXACMC-JTQLQIEISA-N 405.867 4.593 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F 494803023 BBESDZPSBCWBCW-ZDUSSCGKSA-N 411.380 4.755 5 20 HJBD C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H](C)c1ccccc1C(F)(F)F 499427427 JLBKPFZGPYUPEG-STQMWFEESA-N 419.403 4.946 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 500210187 ZYJVDRPIIMPXME-JTQLQIEISA-N 418.318 4.946 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)C(=O)c1ccc([N+](=O)[O-])n1C 501010232 HRMXGIASRSPTIS-UHFFFAOYSA-N 421.375 4.874 5 20 HJBD COc1cc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc(Cl)c1OC 504773608 HAVWBHQPEKIRPV-UHFFFAOYSA-N 401.762 4.729 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 505359868 OBHVIJVDDXMCED-INIZCTEOSA-N 420.309 4.966 5 20 HJBD COc1cc(CNC2(c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])cc1OCC(F)F 505494196 HXHSAYUOVKVMIF-UHFFFAOYSA-N 412.820 4.680 5 20 HJBD CCc1cc(=N[C@H](c2ccccc2)c2nccs2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 505609004 HZIJIZIVLMKBDU-HXUWFJFHSA-N 417.494 4.694 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)ccc1[N+](=O)[O-] 508814928 ZBZROTUFXBXGQQ-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2Sc2nccn2C)cc([N+](=O)[O-])c1 509206722 CPEBKVQQZCSDEI-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)c1ccsc1)c1cc2c(cc1[N+](=O)[O-])OCO2 516247731 DZKNWVYKNVSKEY-GOSISDBHSA-N 416.842 4.558 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3C)n2)cc1Cl 520014108 HXEAMYSFYIDACV-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1cc(-c2ncccc2NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cs1 527339976 JMNLMTQKBSCRHL-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1 531501215 WBLXCCAWPBZRPQ-CYBMUJFWSA-N 421.291 4.564 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 535190561 IFZSISUAMJRPET-GFCCVEGCSA-N 414.487 4.570 5 20 HJBD CC[C@@H](C[C@@H](C)CO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536222847 WMQOICPKRGTZFK-HIFRSBDPSA-N 422.934 4.926 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](COc3ccccc3)c3ccccc3)n2)c1 537117463 KNUFUWSVANNIES-OAQYLSRUSA-N 416.437 4.555 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccnc1Oc1cccc(F)c1 537654185 GDKXTTRICBBFJG-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCC(C)(C)c1cccc(C(F)(F)F)c1 539449401 VYNOVSXLNKGMDS-UHFFFAOYSA-N 410.392 4.720 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 540401135 NSKANXNGBGEMFY-LSDHHAIUSA-N 417.531 4.782 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 542596254 VPRNWJXTXBITQG-KRWDZBQOSA-N 407.445 4.770 5 20 HJBD Cc1ccc(NC(=O)[C@@H](NC(C)(C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543508522 KNKCUZOEZOQBDM-IBGZPJMESA-N 424.526 4.873 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(F)(F)F 544449548 PPIDVPODLRXSQC-LLVKDONJSA-N 400.328 4.726 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cc1 545572334 ZOKQCEXBKVJVDG-JYRVWZFOSA-N 414.421 4.713 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1 546560098 SSASOLUKVYHGNX-ZDUSSCGKSA-N 412.471 4.689 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 546564693 WXOOJNYYWKQMLO-CQSZACIVSA-N 420.506 4.627 5 20 HJBD Cc1nc2cccc(-c3noc(-c4cc(Br)c(C)c([N+](=O)[O-])c4)n3)c2[nH]1 551425256 BMRJPHPFZBMOKX-UHFFFAOYSA-N 414.219 4.567 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)C2CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 557975539 DJCAJSMBFULARC-AWEZNQCLSA-N 418.444 4.813 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C3CC3)[C@H](C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 564066937 GANWJPTUXASXTC-QGZVFWFLSA-N 418.497 4.822 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 567285374 UXAJELWVGVRDEZ-UHFFFAOYSA-N 422.416 4.531 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 582989445 UIZGJDDPHHXCHE-UHFFFAOYSA-N 414.414 4.810 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2F)c2cccs2)cc1 603915378 YJYNZXIFEHQTBK-NRFANRHFSA-N 414.458 4.642 5 20 HJBD C[C@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955333 RIDOSCXUAVQAFT-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD O=C(CN[C@@H](c1ccccc1)c1cccc(Cl)c1)N1CCc2ccc([N+](=O)[O-])cc21 604009572 QOOIJBYUQCILGG-QHCPKHFHSA-N 421.884 4.517 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cnn(Cc3ccncc3)c2)cc1[N+](=O)[O-] 608982763 UUUKUCHINOYCKX-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD O=C(CSc1nccn1-c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 609451787 MTFRCUYULBZKGZ-UHFFFAOYSA-N 418.272 4.518 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1O[C@@H](C)c1ccccc1 609671189 IUSWQAYGFNFYFC-INIZCTEOSA-N 421.453 4.794 5 20 HJBD CC(C)(C)c1cc(NC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)on1 609765168 NHEQHBUJWZJEJL-UHFFFAOYSA-N 410.430 4.723 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])c1 609838399 KGYAUAQQZYWFIG-NSHDSACASA-N 410.348 4.533 5 20 HJBD CC[C@@H](C)Sc1ccc(NS(=O)(=O)c2cccc([N+](=O)[O-])c2OC)c(C)c1 619467267 XABKSWYAJBKRIY-CYBMUJFWSA-N 410.517 4.603 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)CCc1ncc(-c2ccccc2)o1 729113645 LGCBOMRXNWCNEH-UHFFFAOYSA-N 410.426 4.713 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H]2CCc3c(C)cccc32)c([N+](=O)[O-])c1Br 729469350 FRFUDWBWHDPWJS-LBPRGKRZSA-N 422.235 4.682 5 20 HJBD COc1cc(Br)c(C=O)cc1Oc1nc2ccc([N+](=O)[O-])cc2s1 731205627 DEHNCGRIKXSMKK-UHFFFAOYSA-N 409.217 4.580 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1cc([N+](=O)[O-])ccc1Br 735636379 GMHKOYDJQZPYQS-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 742892293 MTGJRTBSUPSBBU-CYBMUJFWSA-N 424.457 4.914 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 746781608 PLNVDHMABPDOLK-UHFFFAOYSA-N 424.375 4.842 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 749357654 NOXXZXLRCYTDIU-SZRHCGQDSA-N 402.454 4.677 5 20 HJBD COc1cc(C2(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)CCC2)ccc1C 749565295 OCRGRGGUPVXAEE-UHFFFAOYSA-N 402.878 4.646 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 750536668 TVJFZPUCIQNDEQ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 751112219 YVSNBQSMBCKBJW-HXUWFJFHSA-N 412.392 4.595 5 20 HJBD Cc1oc2cc(OC[C@H](O)c3cccc([N+](=O)[O-])c3)ccc2c(=O)c1-c1ccccc1 755311544 VKUROKONTOGVPZ-NRFANRHFSA-N 417.417 4.789 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)OCc1ccccc1[N+](=O)[O-] 759828080 KQEXOGOWHDTYTL-UHFFFAOYSA-N 405.332 4.669 5 20 HJBD CC[C@H](C)n1ncc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C1CC1 760471830 SRTAZNWRTRNCKC-ZDUSSCGKSA-N 410.430 4.652 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc(Cl)c([N+](=O)[O-])c1 761245409 IAKZHQBAUPLFJU-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ncc(C)s3)cc2[N+](=O)[O-])n1 771871100 CACJILFZUVVFCO-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1OC 773989421 ICFTVPAKHBTYFQ-UHFFFAOYSA-N 404.850 4.557 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136466 KAQFPKXZOCNRPU-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777972732 YTJSQIKUAUMGNE-UHFFFAOYSA-N 422.391 4.723 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F 787940388 SKDNRRSGEUXLBE-GXTWGEPZSA-N 402.422 4.740 5 20 HJBD C[C@H](CCOC(=O)c1cccc([N+](=O)[O-])c1Br)OCc1ccccc1 789084913 XPGUBSHNUJICDX-CYBMUJFWSA-N 408.248 4.510 5 20 HJBD Cc1nn(C(C)C)c(C)c1CC(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 795894688 SZIGVVVJUNMBCC-UHFFFAOYSA-N 400.262 4.582 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)s2)c(F)c1 799860723 FQVMYNAOCIGYBE-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)c1coc(C2CCOCC2)n1 800912005 DBPIZFCAGINBBW-UHFFFAOYSA-N 424.409 4.626 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801921405 BRFYXNQJPBLFPE-LBPRGKRZSA-N 414.462 4.581 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801928991 MOKYZLBBXWQTTO-WNSKOXEYSA-N 419.456 4.535 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nccn1-c1ccccc1 803076718 YHYOOXDSFQNTSM-CQSZACIVSA-N 420.450 4.822 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1noc(-c2ccccc2)n1 815512081 HJEYVPNXKFIQRU-JTQLQIEISA-N 407.304 4.582 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1Cl 816911618 SEWDNZNXWXKMIY-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD CN(C)c1ccc([C@@H](CNc2ccc([N+](=O)[O-])nc2)c2c[nH]c3ccccc23)cc1 912728988 IHCJLZGHDBEDJN-HXUWFJFHSA-N 401.470 4.781 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 918637103 OOKXIOKQXREUFX-GHXNOFRVSA-N 413.430 4.602 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2F)c2ccccc2)c1 919251493 WBBGXENALRJSOM-NRFANRHFSA-N 422.412 4.888 5 20 HJBD O=C(Nc1cccc(CSc2ccccn2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116152468 HOZKGPOPHMFRHE-UHFFFAOYSA-N 406.467 4.830 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nnc(COc3ccccc3-c3ccccc3)o2)c1 1345613124 INHJMZYZVAACBC-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)cc1[N+](=O)[O-] 11198788 BZBKTHMXYMBQEM-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cnc3ccccc3c2)cc1[N+](=O)[O-])c1ccccn1 53221155 AMGJCDIKYYNJTR-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(F)c(Cl)c2)cs1 72029384 SWSZRQFWRMITQM-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD CCCOc1cc(NC(=O)c2cccc(-n3cccn3)c2)c([N+](=O)[O-])cc1OCCC 97573908 ZMXITBMWDSTOSC-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)CNc3ccccc3[N+](=O)[O-])c(C)c2)n1 110482526 VIXSIJVEEXJYGN-UHFFFAOYSA-N 414.512 4.870 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2oc(C(F)(F)F)nc2c1 195700162 HPFBQJAFBWGQDT-VIFPVBQESA-N 411.361 4.874 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(Cl)c1 261390149 HFNBFAKRPZPSBF-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1cc(N2CCO[C@@H](c3cccc(OC(F)F)c3)C2)c2cc([N+](=O)[O-])ccc2n1 302111468 ZTTFASYUOQUFDK-HXUWFJFHSA-N 415.396 4.631 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1cc(Cl)ccc1Oc1ccc(Cl)cc1 302993370 MWDJHLOBKQHYOV-UHFFFAOYSA-N 421.240 4.919 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@@H](C)c3cccnc3)cc2[N+](=O)[O-])n1 303066269 NCWKUDXBCPKRTM-ZDUSSCGKSA-N 414.512 4.739 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(CCc1cccc(F)c1)C1CC1 303501853 AOUZCRXBMODJAS-UHFFFAOYSA-N 414.480 4.528 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCc3cccc4ccccc34)cc2)c(C)c1[N+](=O)[O-] 410287231 CLCGKJFYHROCOQ-UHFFFAOYSA-N 414.465 4.540 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(C)c1 426113971 PEQXHBVODPDSDI-MRXNPFEDSA-N 424.501 4.628 5 20 HJBD COc1cc(CNc2cccc(-c3ncco3)c2C)c([N+](=O)[O-])cc1OCC(F)F 427431806 NNEAZGYCLKRSGE-UHFFFAOYSA-N 419.384 4.823 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2c(-c3ccncc3)nc3ccccn23)cc1[N+](=O)[O-] 430672119 YNMULUDCWQSSGW-UHFFFAOYSA-N 415.453 4.854 5 20 HJBD CC(C)S(=O)(=O)Cc1ccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1 433215438 YKWVEORWYIINIS-FQEVSTJZSA-N 402.516 4.753 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443856004 FWQZXMXZYBCERQ-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2C(F)(F)F)nc1)c1ccccc1[N+](=O)[O-] 460449602 LSFFNCXOWMNXBK-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1 463240041 HUORXUNQKKNTGL-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD CCN(C(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@@H](C)c1cc2ccccc2o1 464025495 DZPJZCDYSUQZTO-HNNXBMFYSA-N 409.442 4.506 5 20 HJBD O=C(N[C@H]1CCCOCC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466231909 AOYKNTVUCDENAT-AWEZNQCLSA-N 406.891 4.698 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1c(Cl)cccc1[N+](=O)[O-] 470365898 AYMRZUYCASJRMC-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccnc(Oc3ccccc3F)c2)c1 470538028 NPAXSSBGPVJHIP-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2ccc(C)c(C)c2)s1 470836376 MMVXHJIJPDXQOX-UHFFFAOYSA-N 411.483 4.910 5 20 HJBD Cc1nc(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)oc1-c1ccc(Cl)cc1 471722783 DIVFUEISAAQMBO-UHFFFAOYSA-N 412.833 4.708 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](c1ccccc1)c1ccccn1 475188473 BZEQTFRNKSZKNJ-SFHVURJKSA-N 416.359 4.920 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OC(F)F 475767644 KUYAZCQXVFAABJ-VIFPVBQESA-N 424.331 4.581 5 20 HJBD CCOc1cc(OCc2nnc(-c3c(Cl)cccc3Cl)o2)ccc1[N+](=O)[O-] 478715212 BUQUCXMXNUIDJB-UHFFFAOYSA-N 410.213 4.929 5 20 HJBD COc1cccc(C(NC(=O)Nc2cccc([N+](=O)[O-])c2)c2cccc(OC)c2)c1 481749155 WXSDBNDKLBDWQY-UHFFFAOYSA-N 407.426 4.523 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)co1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 482149744 WHHHJNIVQFYIMY-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)c2cn(C)c3ccccc23)c([N+](=O)[O-])cc1OCC 484111276 ZXYAQSWNJKBPTM-CQSZACIVSA-N 411.458 4.626 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1N1CCNC1=O 487209794 SRRPYJSEAIFJGQ-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD C[S@](=O)c1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 488020069 AZXCFRUTJSLCLR-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CC3 491522575 XDGQJWPQOUNGRF-CYBMUJFWSA-N 417.387 4.691 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)[C@@H]1CCCOC1 503230151 JHAOWCPIVVNLDZ-UKRRQHHQSA-N 404.850 4.585 5 20 HJBD COc1cc(CN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C2CC2)ccc1OC(F)F 503524520 YKJKUXBFRPYXJQ-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD O=C(NCC[C@@H]1CCCCO1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 504164997 MUEPESUASNADKN-INIZCTEOSA-N 404.850 4.730 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F 505434597 NRELKXCCKIQBQH-RFAUZJTJSA-N 420.359 4.773 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc3nc(C4CC4)oc3c2)cc1[N+](=O)[O-] 510046749 XSAAWXKPXIVOKZ-UHFFFAOYSA-N 416.231 4.937 5 20 HJBD COc1ccc(Cl)cc1N(C)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 510742258 ZAAHEFGNNKKDTO-UHFFFAOYSA-N 417.893 4.770 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1CCCN(C2CCC2)C1 511267984 KANUQCDJUBWTKD-IRXDYDNUSA-N 419.591 4.726 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1Cl 512427783 XNUDGGMOLLEHQK-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 513177564 HUBBGRUJZGGQJM-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD COc1cc(CN(C)Cc2ccc(C)s2)c([N+](=O)[O-])cc1OCC(F)(F)F 513437082 BCFNUXRQTYXIEM-UHFFFAOYSA-N 404.410 4.546 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1OC 518723186 GYPQCYNQICWXQZ-OAHLLOKOSA-N 422.437 4.685 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)[C@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 520017336 SMZWBDQXOCFQJL-APWZRJJASA-N 400.431 4.599 5 20 HJBD O=C(Cc1cccc(OCc2ccccc2Cl)c1)NCCc1ccc([N+](=O)[O-])cc1 521581869 RNOQEHCURFQCLN-UHFFFAOYSA-N 424.884 4.729 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)Nc1cccnc1 522755699 VZSZHKPTHOIAQL-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD CC(C)c1c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cnn1-c1cccc(Cl)c1 523163280 UUVLQXOBPJWJFZ-UHFFFAOYSA-N 410.861 4.760 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 524255152 IDUXZJDWUCKUBC-LLVKDONJSA-N 411.683 4.714 5 20 HJBD CC(C)(C)[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 525822170 VSTIYTQMPSIGOR-GFCCVEGCSA-N 418.359 4.799 5 20 HJBD O=C(Nc1cccc(CNCCc2cccc([N+](=O)[O-])c2)c1)c1ccccc1Cl 533921847 BRHXYVZYVUOUBD-UHFFFAOYSA-N 409.873 4.833 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])C(C)C)cc1 537967208 YLFXAVJFNWKDET-FQEVSTJZSA-N 405.454 4.793 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(Cl)c(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 538176595 TTWKADDMOUIVLW-SECBINFHSA-N 402.665 4.844 5 20 HJBD C[C@@H](Nc1cc(NC(=O)CN2CCCC[C@H]2C)ccc1F)c1cccc([N+](=O)[O-])c1 538545279 MZRHMEDFPUSMLL-HZPDHXFCSA-N 414.481 4.720 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(OC[C@H]3CCCCO3)c(F)c2)cc1[N+](=O)[O-] 539070489 LXZRVWPUVDZNAX-MAUKXSAKSA-N 418.465 4.541 5 20 HJBD C[C@H]1Cc2cc(F)ccc2[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 539897904 XSYUULYYWGUOFE-DLBZAZTESA-N 414.480 4.828 5 20 HJBD COc1ccc([C@@H](OC)[C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 540066413 PJLUBXBATQYEDE-VLIAUNLRSA-N 413.499 4.593 5 20 HJBD COc1cc(CN[C@H](C)c2ccccc2OC(C)C)c([N+](=O)[O-])cc1OC(F)F 540325600 MIJSPPGUMUFANJ-CYBMUJFWSA-N 410.417 4.843 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCc1nc(-c2ccc(F)c(F)c2)no1 541287212 VBQUBQBAPXUAMV-UHFFFAOYSA-N 401.247 4.521 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC(CCCO)CC1 543023178 DVIHTFVJDFAVGQ-UHFFFAOYSA-N 418.877 4.665 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccnc(OCC(F)F)c2)no1 545842637 DTSAALWOHNIGJZ-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD Cc1sc(CCN[C@@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)nc1-c1ccccc1 557274442 WMPYFIBSJCZTKK-HNNXBMFYSA-N 424.526 4.576 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@@H](c1ccccc1)C(F)(F)F 569878951 SSHNANBNKADFGS-AWEZNQCLSA-N 421.297 4.716 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)c1 574484424 WFVGOINBUXDUJQ-UHFFFAOYSA-N 402.756 4.942 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1sc2ccccc2c1Br 589463647 ZVAYRFQYQDYYIJ-UHFFFAOYSA-N 419.300 4.887 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3(c4noc(C(F)F)n4)CCC3)s2)cc1 590052704 GVCVNXJOWPGXOT-UHFFFAOYSA-N 406.414 4.813 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590155831 DLYKXGWXTJPJCV-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD C[C@@H]1C[C@H]1CNC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 603640913 JDBVHENJTHUJLV-DYNVFCCOSA-N 407.495 4.907 5 20 HJBD COc1ccc(CNC(=O)CCC(=O)c2ccc(-c3ccccc3)cc2)cc1[N+](=O)[O-] 604191287 BNPPJVKOHDSZRS-UHFFFAOYSA-N 418.449 4.550 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608850639 WCFLQCBFQDNGTP-VIFPVBQESA-N 421.862 4.581 5 20 HJBD COc1ccc(CCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)cc1 608933290 BMYUEBSWNPNLCK-UHFFFAOYSA-N 414.458 4.506 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 609103516 HHCMSSVXSVVLHX-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(Cl)c2OC(C)C)c([N+](=O)[O-])cc1OC 609817622 ZSTAZULNXRTVGA-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD Cc1ccc(F)cc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852697 ZHGBDXFSGZADRL-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=C(c1ccn(-c2ccc(Cl)c(Cl)c2)n1)N1CCCc2ccc([N+](=O)[O-])cc21 609860068 PGSUXRPULUZGOR-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)c1ccccc1[N+](=O)[O-] 610222652 WWLWYKHVYZXXIL-KSSFIOAISA-N 418.877 4.551 5 20 HJBD CO[C@@H](C)c1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cs1 611211929 UBZFWINRVHWVRD-LBPRGKRZSA-N 421.544 4.723 5 20 HJBD Cc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)OCO2 611572422 HTOIBGKHGDSHBX-UHFFFAOYSA-N 400.456 4.919 5 20 HJBD COc1ccc(Oc2ccc(Cl)cc2NC(=O)c2ccc([N+](=O)[O-])n2C)cc1 612557223 PBPZJDCQUBZMQE-UHFFFAOYSA-N 401.806 4.640 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)c(F)c2)c(F)c1 730452512 MBAIGPQBJLXZFZ-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD CCCCN(C)c1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 730575592 RWSBKAVOUUVMKN-AWEZNQCLSA-N 404.898 4.924 5 20 HJBD O=[N+]([O-])c1ccc2nc(Oc3ccc(S(=O)(=O)c4ccccc4)cc3)sc2c1 731206529 KSRWIZAYPYVOFY-UHFFFAOYSA-N 412.448 4.830 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCCOc1ccccc1-c1ccccc1 742397155 LLQQFNIHHXZEFX-UHFFFAOYSA-N 419.437 4.709 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(C(F)(F)F)c2)no1 745334935 JUMZHBDMAZGAEI-LLVKDONJSA-N 421.331 4.511 5 20 HJBD C[C@H](NC(=O)CC1CCCCC1)C(=O)OCc1nc2ccccc2c2ccccc12 750233466 ZTHMYDDODDVVTB-KRWDZBQOSA-N 404.510 4.906 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 750827359 YKMQVOZDETXRFS-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)no2)cc1[N+](=O)[O-] 762285426 WTJTYHUQZCKHAP-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762978143 DNNVNYIDMDSHEU-UHFFFAOYSA-N 416.449 4.625 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769996254 AIYSWQGGACYPBQ-HNNXBMFYSA-N 413.865 4.603 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132059 HUUBXOPIAXISDC-XDHUDOTRSA-N 420.465 4.900 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2ccc(S(=O)(=O)C(F)F)cc2)c(Cl)c1 782245384 MURVBBCFARHDGH-UHFFFAOYSA-N 407.847 4.678 5 20 HJBD Cc1ccc([C@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 799694172 WKGZBZJJKHNWLG-LJQANCHMSA-N 408.479 4.518 5 20 HJBD COc1ccc([C@H](O)C2CCN(Cc3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 815119012 PGNPXUPLKDTFBW-FQEVSTJZSA-N 424.419 4.568 5 20 HJBD O=C(Cc1ccccc1)N1CCc2ccc(NCc3cc(Cl)ccc3[N+](=O)[O-])cc21 815156603 AFHBSNKJFPECKZ-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CNC1(Cc2ccc(F)cc2Cl)CCOCC1 815217210 APZPORGGHZEROU-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD CS(C)(=O)=Nc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 820374294 UKTVKGBLMYPJBH-UHFFFAOYSA-N 424.482 4.950 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 822218730 ZXYKXTNFQADMPL-UHFFFAOYSA-N 423.231 4.846 5 20 HJBD CC(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCOCC2)c(C(C)C)c1 915164249 PVUJIIVBEGDOCV-UHFFFAOYSA-N 411.502 4.931 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)cc12 915427416 ABBCXWCXDIGTTH-HNNXBMFYSA-N 402.410 4.555 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCCN(CC(F)(F)F)C1 920650993 MVRAFBKYOIXSEV-HNNXBMFYSA-N 402.416 4.706 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nnc(-c3cc(-c4ccccc4)on3)o2)c1 1118414607 DGNNLDBSJLSXOZ-UHFFFAOYSA-N 413.187 4.729 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2ccccc2)n[nH]1 1251165107 HKVBPLPFAHVYRE-UHFFFAOYSA-N 421.501 4.512 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n(Cc2ccccc2)n1 1319282385 ODPVGEWSWWWUFM-UHFFFAOYSA-N 414.412 4.668 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(CN(C)C(=O)C(C)C)cc2)n1 1342549353 KNCKLFCNACEIKK-UHFFFAOYSA-N 408.458 4.543 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 1520129641 RDVSYPRVKBZFAK-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 9788643 UXNKGEHDXDAHAF-NSHDSACASA-N 402.501 4.644 5 20 HJBD CNc1ccc(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cc1[N+](=O)[O-] 10690668 OKMXNWQYZHOUHI-LJQANCHMSA-N 414.465 4.680 5 20 HJBD CN(CC(=O)Nc1c(Cl)cc(Cl)cc1Cl)Cc1ccccc1[N+](=O)[O-] 26346012 DTENWTULCKBYNQ-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD O=C(Nc1nc(-c2ccco2)cs1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 44542884 MNZXQPYHQJDIEL-UHFFFAOYSA-N 413.333 4.505 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2cccc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 52932981 OJXJMUSHRFNTMW-CQSZACIVSA-N 410.861 4.746 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1C(F)(F)F 55466471 CKILJTCCDZOXOG-CYBMUJFWSA-N 418.375 4.599 5 20 HJBD COc1cc(C(=O)Nc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCc1cscn1 56380018 TUOJWHPRBYBMEC-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)oc12 61240237 IHLWTRQSFXABJT-HNNXBMFYSA-N 423.469 4.831 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc3nc(C4CC4)sc3c2)cc([N+](=O)[O-])c1 63979727 SCGWIBVQKABWEJ-UHFFFAOYSA-N 411.439 4.511 5 20 HJBD CCCn1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 64868802 UJXISCKYZFYUAT-UHFFFAOYSA-N 407.455 4.730 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cs1 71837537 HSIBJUBZDRHESD-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD O=C(Nc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1 108351078 KYKVMJDICQPZKN-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD COc1c(Cl)cccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 141948987 YNIVAHGTPYYHST-VIFPVBQESA-N 417.771 4.715 5 20 HJBD O=C(Nc1ccc(F)cc1Br)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 195663006 XTQPTNBHLCKHLR-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD COc1ccccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237180391 OULZRFGDJXEIRD-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD Cc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cccc1NC(=O)c1ccccc1 409517136 YYGOYXWMNHRCJY-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@@H]1c1cccc(F)c1 426274597 AFKLOEDVKZCASF-QGZVFWFLSA-N 411.355 4.799 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(-c4ccncc4)noc3C)n2)cc1[N+](=O)[O-] 428503789 QEEIODUTAHLCEB-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1CCO)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 428799093 VJJHGACEEGHWTA-DYVFJYSZSA-N 420.918 4.680 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc2n1 430618564 VIZDFYHHAJJEKI-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@H](c2ccccc2Cl)C1 436320898 XFMILRGVKNZHDM-QFIPXVFZSA-N 418.880 4.548 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2C)c1 437058541 DVZDBUNAACNFOK-UHFFFAOYSA-N 419.485 4.558 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCO[C@@H](c3ccco3)C2)cc1[N+](=O)[O-] 440651704 XOVJECMSMHRYKB-GOSISDBHSA-N 404.488 4.540 5 20 HJBD O=C(NCc1ccc2c(c1)COC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441075097 ANYWEGVYOBDLHN-UHFFFAOYSA-N 406.463 4.706 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCC(F)F)cn2)no1 442679158 OVFZOXGWOIHZBJ-JTQLQIEISA-N 408.386 4.537 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)CCc2cccc(F)c2)c([N+](=O)[O-])cc1OCC 443842981 NKDPMIVAUKZKFB-CQSZACIVSA-N 404.438 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC1(c2ccccc2Cl)CCOCC1 443966676 XLIJHLAVZWCIHB-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD CO[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(Cl)c1 444127294 VQPUAHBVCBFMHB-IBGZPJMESA-N 424.859 4.591 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444284488 GOSHXGVKSNHQPR-QKFKETGDSA-N 420.896 4.841 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1sc(Br)cc1[N+](=O)[O-] 445184034 JYUMDXCVQMGQQU-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(-c4ccccc4)nc3C)n2)c1 445572526 DIARVGHEUHUGBC-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CSc2ccc(Br)cc2[N+](=O)[O-])n1 447357221 VAHZKXHXPDNJTI-JTQLQIEISA-N 416.297 4.766 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccnn1C 447588428 UKNFCMKSDKEGNU-GFCCVEGCSA-N 404.829 4.764 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 460547604 NBETZQZFXSAUEI-OUCADQQQSA-N 402.438 4.791 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc(NC(=O)C(C)(C)C)ccc1F 463138886 DTEQBKYMOMBVCJ-UHFFFAOYSA-N 415.465 4.769 5 20 HJBD COc1cc(C(=O)N2CCC(C)(C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 464502352 OWXVPTSFYGLAMJ-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1 464710361 KZLYNEHNEPHYPQ-UHFFFAOYSA-N 411.849 4.521 5 20 HJBD Cc1cccc(C(=O)NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)c1[N+](=O)[O-] 466267816 YOHYVGBMAFTHLV-MRXNPFEDSA-N 407.495 4.562 5 20 HJBD Cc1cccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1Br 470031323 SUSKNJXPJDXAMJ-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccn2)cc1 471391475 KGKFVAKUNXDJQQ-LJQANCHMSA-N 415.371 4.836 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 478052180 GHDKHEJQQOWLDQ-UHFFFAOYSA-N 423.875 4.788 5 20 HJBD COc1ccc(-n2c(SCc3ccccc3[N+](=O)[O-])nnc2-c2ccccn2)cc1 481337744 CGJQUCCZWUBBBT-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC3CCCC3)cc2)cc1[N+](=O)[O-] 483833507 HNUIHRBQXYVBMZ-UHFFFAOYSA-N 416.499 4.797 5 20 HJBD COc1ccc(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC1CCCC1 486229961 KDJZURJIXIOTFP-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(F)cc1)C(F)(F)F 487178975 HMPCJBVYKYQAHG-KRWDZBQOSA-N 413.371 4.958 5 20 HJBD O=C1CN(Cc2ccccc2)[C@@H](c2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)N1 489125920 AMANPBZFSDZCBF-QFIPXVFZSA-N 423.856 4.671 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(COCCOc2ccccc2)c1 498606903 OXTTZSVBQVQSNR-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 499376548 YDRPXFWAPYDEJP-ZDUSSCGKSA-N 405.376 4.788 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 502278699 IIYOXQKXWZEETP-PXNSSMCTSA-N 423.494 4.616 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)COc3ccc([N+](=O)[O-])cc3)s2)cc1 502565107 YVGQXRPUIHJPRU-CQSZACIVSA-N 411.483 4.591 5 20 HJBD Cc1ccc(Br)c(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1 503285435 SQZXYGUWKPWSNU-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD CC1CCN(C[C@@H]2CCCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)CC1 512177815 GCBLOWZHLUXVDE-SFHVURJKSA-N 414.575 4.662 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2ccc(-c3nnco3)cc2)cc1OC 513585650 HUWAHMKZGVRRSC-CQSZACIVSA-N 413.430 4.657 5 20 HJBD CNc1ccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)cc1[N+](=O)[O-] 516192403 UICFBVAQIVCCAE-UHFFFAOYSA-N 416.890 4.652 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(Cc4ccc(Cl)cc4)no3)cc2)c(C)c1[N+](=O)[O-] 521035341 YITXZZVOABJQQR-UHFFFAOYSA-N 423.860 4.751 5 20 HJBD COc1ccc(CNC(=O)N[C@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 523219486 ZQCDDVWCAFTQSO-MRXNPFEDSA-N 421.453 4.956 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(NCCc3ccccc3)s2)ccc2ncccc12 523647652 SOGXZNOODYSNDY-UHFFFAOYSA-N 409.496 4.800 5 20 HJBD CC(C)Oc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cn1 524223427 RBEAJNYRBJFKJX-UHFFFAOYSA-N 422.441 4.891 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 532826383 TZQRRBPCGMKHPD-UHFFFAOYSA-N 417.874 4.869 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 533797644 HBLACBXMEJMXOW-UHFFFAOYSA-N 418.375 4.584 5 20 HJBD CCCCN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CC(C)(C)NC(C)(C)C1 534251250 PARPSAXHEJARMK-UHFFFAOYSA-N 400.523 4.628 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H]2CCO[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 536944355 RBBWPGTUFPTUKC-OYYNGEPBSA-N 421.856 4.586 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)N(C)C)ccc1C 537433127 OIZVWYVTQSWTES-UHFFFAOYSA-N 415.515 4.750 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 538734772 BMIKZJNLGZODKP-UHFFFAOYSA-N 407.470 4.932 5 20 HJBD CC[C@@H](CSC)N(C)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 539134935 KKJIJURYQVIJRC-ZDUSSCGKSA-N 411.574 4.725 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1OC(F)F 542226053 XLYUSBBNFMHBFF-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD CC[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 542511654 RDKYZOTXHILOCP-PRHODGIISA-N 405.373 4.580 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(OCc2ccccc2)CC1 542515687 VLXZPXLXBAOTAS-UHFFFAOYSA-N 423.391 4.827 5 20 HJBD CCOc1ccc(F)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1F 543159999 WRGTUXUIYRXPFJ-UHFFFAOYSA-N 402.806 4.656 5 20 HJBD CCc1ccc([C@H](N[C@H]2CCC[C@@H](C(=O)NC(C)C)C2)c2ccc([N+](=O)[O-])cc2)o1 543400767 LPKSVUAVFQUKHF-KGVIQGDOSA-N 413.518 4.513 5 20 HJBD C[C@H]1C[C@@H](c2cccnc2)N(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)C1 543484842 KREDFGMAGLQZLZ-SJCJKPOMSA-N 413.275 4.888 5 20 HJBD O=C(NCCc1ccc(O)cc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543579969 SWBCSDDJFBUIBI-UHFFFAOYSA-N 400.500 4.555 5 20 HJBD COC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 544444691 HNPPVERFNFSRCD-UHFFFAOYSA-N 406.548 4.907 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3cccc(OCc4ccccc4)c3)n2)nc1 545071677 LJKJCICEVIUFOR-XFXZXTDPSA-N 414.421 4.713 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ncc(-c2ccccc2Cl)o1 545958846 LEBMWNNQWOXJRI-UHFFFAOYSA-N 415.833 4.873 5 20 HJBD Cc1cccc(O[C@H](C)c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)c1 546445134 HGHKONZGPVIWAN-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc([C@H]2CCCCS2)no1 546519458 WDVOJHHEBXCOSC-CYBMUJFWSA-N 402.398 4.609 5 20 HJBD CCCc1c(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])cnn1-c1ccccc1C 549265972 ARBIWAWRGJBXQY-UHFFFAOYSA-N 408.458 4.692 5 20 HJBD O=C(Nc1cccc(COc2ccc(F)cc2)c1)N1CCc2c(cccc2[N+](=O)[O-])C1 554515495 ZUTUTWPOTOLGAT-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccnc(O)c1 559322152 ZJCWZIPGFFCWGG-LLVKDONJSA-N 418.247 4.569 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccccc3Cn3ccnc3)s2)cc1 569829629 LWBYWGJYNZTTQQ-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD Cc1cccn2c(NC(=O)CCNc3ccccc3[N+](=O)[O-])c(-c3ccccc3)nc12 579508642 YUECSIUPAFXVLE-UHFFFAOYSA-N 415.453 4.659 5 20 HJBD CCCCN(CC)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 594669793 JXSFPKBHTPPQHR-UHFFFAOYSA-N 400.500 4.810 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3nc(C4CC4)cs3)cc2[N+](=O)[O-])C1 603873009 VQOYAUXQACZNQK-BETUJISGSA-N 400.504 4.663 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(OCc2cccc(F)c2)cc1)c1cccc([N+](=O)[O-])c1 604129877 DQOWNOUPTJTCLX-MRXNPFEDSA-N 423.444 4.873 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCCC[C@H]1c1ccco1 605648428 SIXJPMCGILJUPP-QFIPXVFZSA-N 400.478 4.892 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 609855708 WDIGUICHUXIRTF-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CCO[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C12CCC2 610185636 ICLPKBSXWIIRNC-VQTJNVASSA-N 412.511 4.824 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2ccc([N+](=O)[O-])c(F)c2)cc1 731519971 WNLSLMZOUQDHLU-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 733071444 VAXTVVBIFRZRDW-OAHLLOKOSA-N 414.487 4.535 5 20 HJBD O=Cc1ccc(OCC(=O)N2CCCCC[C@@H]2c2ccc(Cl)cc2)c([N+](=O)[O-])c1 735277601 FZGJQYQLVXASNF-GOSISDBHSA-N 416.861 4.583 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 736810690 XRIZLCOJBLZCHU-NSHDSACASA-N 419.484 4.629 5 20 HJBD Cc1ccc(NC(=O)[C@@H](NC(=O)OCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 737764003 YKOPFJBEIXPXDC-NRFANRHFSA-N 419.437 4.509 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 741524130 GICRKTFFVAIFQR-UHFFFAOYSA-N 403.866 4.619 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Sc2ccccc2Cl)nn1 742228131 RJWQRYOJWIPJCA-UHFFFAOYSA-N 404.810 4.581 5 20 HJBD COC(=O)[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1Cl 744480068 UHCRGLVSEGIMNV-CQSZACIVSA-N 418.616 4.626 5 20 HJBD C[C@H](OC(=O)Cc1c(Cl)cccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745904380 QIYYATOAMBGJGN-JTQLQIEISA-N 422.224 4.799 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c2[nH]c(C)c(C)c2c1 748719201 ZPCGDDIAFCGPRA-UHFFFAOYSA-N 406.486 4.532 5 20 HJBD Cc1nn(CN(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)c2ncc([N+](=O)[O-])cc12 749942491 AKZUGPKPSMQAEP-ZDUSSCGKSA-N 419.407 4.850 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCCC1)c1cc(F)ccc1[N+](=O)[O-] 751096922 URTPWQAGXFQHDC-UHFFFAOYSA-N 407.239 4.696 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCCC[C@@H]3C[C@@H](O)c3ccccc3)n2)cc1 751559234 RDLGPKAPLXVIAV-NHCUHLMSSA-N 422.485 4.513 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC(=O)[C@@H]1C[C@@H]1C1CC1)c1ccccc1 751742321 LPZUGHXXEOCRIN-GPMSIDNRSA-N 414.845 4.517 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(CC(C)C)C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 753034871 DGVGWSWTURUBAC-IERDGZPVSA-N 417.481 4.505 5 20 HJBD COc1ccc(/C=C\c2ccc([N+](=O)[O-])cn2)cc1OCc1ccc([N+](=O)[O-])cc1 753147761 RLTFOIFLHQLELQ-KXFIGUGUSA-N 407.382 4.656 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754628916 HFVSGSAAXFDQBY-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD CN1C(=O)N(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@H]1c1ccc(Cl)c(Cl)c1 761031464 VMHHXPMEXFVTQK-CQSZACIVSA-N 414.632 4.695 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C(Cl)=C\c3ccc(C)c([N+](=O)[O-])c3)nc2c1 764437874 LBZPMMLRPILYNI-RIYZIHGNSA-N 406.847 4.575 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 770005489 DINZPMQTQCYPTI-AWEZNQCLSA-N 402.882 4.595 5 20 HJBD Cc1ccc2c(CC(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c[nH]c2c1 776130985 BHTJNLYFDFZXTE-HSZRJFAPSA-N 401.422 4.655 5 20 HJBD CSc1cccc(C(=O)OCc2coc(-c3ccc(F)c(F)c3)n2)c1[N+](=O)[O-] 777073102 BKAJJVNBAXXOLT-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD COCC[C@@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C)o1 778010141 NODXHIXPWVRZCV-LLVKDONJSA-N 403.313 4.613 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])on1 778111900 YVVUWDXBIVRWOO-UHFFFAOYSA-N 422.368 4.908 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCCc4cn(C(C)C)nc43)o2)c([N+](=O)[O-])c1 780765004 UPYUMPKAKMULLY-LJQANCHMSA-N 410.474 4.808 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN(C)C1CCC(NC(=O)OC(C)(C)C)CC1 787407294 YZOUXHHNFYZBCN-SSZFMOIBSA-N 403.523 4.766 5 20 HJBD Cc1ccc(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)cc1NC(=O)OC(C)(C)C 789431187 REPYFKGNVKYBGV-UHFFFAOYSA-N 415.446 4.619 5 20 HJBD C[C@H](OC[C@@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 794752422 OZXBWPJWEIAMGN-GXFFZTMASA-N 404.221 4.558 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796262794 ILYQJYFJNOCYRC-NSHDSACASA-N 403.341 4.675 5 20 HJBD O=C(CCN1CCC[C@@H]1c1ccccc1C(F)(F)F)Nc1ccccc1[N+](=O)[O-] 800337996 RJDLUXXIRSNPEC-QGZVFWFLSA-N 407.392 4.779 5 20 HJBD C[C@H](OC(=O)[C@H](C)Cn1ccc2ccccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803796443 ROGBAJKVIJULKS-CABCVRRESA-N 420.425 4.540 5 20 HJBD CCSc1ccc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cn1 811216454 LCGGIXZGFHOHNA-UHFFFAOYSA-N 401.469 4.582 5 20 HJBD Cc1cc(Sc2nnc(-c3ccncc3)n2Cc2ccccc2)c(F)cc1[N+](=O)[O-] 812452357 RKFZJBHFEGCUOX-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1cccc([N+](=O)[O-])c1 917701910 RDMQTAROLIKPIN-OAHLLOKOSA-N 420.425 4.606 5 20 HJBD O=C(CCCn1c(=O)oc2ccccc21)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330577 HJXIADSJECAKHX-UHFFFAOYSA-N 418.405 4.556 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2F)s1 921161157 PPGBCEDZONIOHQ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(F)cc1OC(F)F 1116438947 XODYJNRSLZVIBF-LBPRGKRZSA-N 423.391 4.771 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@@H]1CCCc3c1cnn3Cc1ccccc1)CCC2 1320861436 BHDZQRZRNIJBHK-XZOQPEGZSA-N 402.498 4.884 5 20 HJBD C[S@@](=O)Cc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1321851144 SYYAWQFTYMEGAJ-MUUNZHRXSA-N 418.902 4.677 5 20 HJBD COc1cc(-c2nnc(CCc3cccc([N+](=O)[O-])c3)o2)ccc1OCCC(C)C 1322279589 JVVHQDMDHHFVTA-UHFFFAOYSA-N 411.458 4.864 5 20 HJBD Cc1nc2cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)ccc2n1Cc1ccsc1 1338844602 GZSHIOAFPAWOED-UHFFFAOYSA-N 418.478 4.519 5 20 HJBD COc1ccc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)cc1[N+](=O)[O-] 1345740473 OVHXHEXVVZZPEV-JTQLQIEISA-N 420.219 4.556 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2)cc1Cl 3502744 NOWHBDXKLVWIHR-SFHVURJKSA-N 414.801 4.686 5 20 HJBD COc1cc(COC(=O)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC(F)F 12491246 VJMQPLWNONDTTF-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD Cc1ccc(/C=C/c2nnc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]2)o1 12842895 QOWOJOKJCMRMGK-VMPCVLLUSA-N 424.442 4.688 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1NC(=O)c1ccc(C(C)(C)C)cc1 24647632 YFUYCSHFACQACO-UHFFFAOYSA-N 420.469 4.735 5 20 HJBD C[C@H](OC(=O)c1cc2c(F)cccc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 24980612 ZDPIISXENVKITM-VIFPVBQESA-N 422.821 4.786 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(-c4cccc(Cl)c4)o3)n2)c1 31844925 DPEOQKYYGQTNIQ-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccc(OCc2ccccc2)cc1 43747847 NSWDCBPVOYXBMG-UHFFFAOYSA-N 408.479 4.582 5 20 HJBD COCc1ccccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 44550639 SXLHQRBSPLKPLT-UHFFFAOYSA-N 415.496 4.910 5 20 HJBD CS(=O)(=O)c1ccc(N2CCC(c3cccc4ccccc34)CC2)c([N+](=O)[O-])c1 58544817 KLVRMTWNGUSZPY-UHFFFAOYSA-N 410.495 4.536 5 20 HJBD CCCN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1cccc2ccccc12 63951629 VPOCRAFUPXURFL-UHFFFAOYSA-N 400.438 4.991 5 20 HJBD CCCN(C(=O)COc1ccc([N+](=O)[O-])c(OC)c1)[C@H](C)c1cc2ccccc2o1 66027266 ONUQUKQEJNXEOK-OAHLLOKOSA-N 412.442 4.728 5 20 HJBD COc1cc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)c(F)cc1[N+](=O)[O-] 301949939 ILZLPWHGJIHHEL-UHFFFAOYSA-N 419.416 4.602 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2nnc(Cc3ccccc3F)s2)cc1[N+](=O)[O-] 303306190 PRIZMVYTHUNZMS-UHFFFAOYSA-N 414.462 4.726 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1ccc(Br)cc1[N+](=O)[O-] 430949994 FYXBZJCAJLCDEE-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Nc1cnc2ccccc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435938418 SDWFTKVEYAWESU-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD CN(CCCc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436120212 VOLKGXUIXKWYBU-UHFFFAOYSA-N 406.432 4.664 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3ccc([N+](=O)[O-])cc3Cl)ccn2)c1C 437151286 MEOWHYLVQFFVJD-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 437432950 IWTDEBGWGBFHRZ-VDGAXYAQSA-N 416.481 4.839 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1Cl 438897272 DLXZKJFPYXSJSX-UHFFFAOYSA-N 417.893 4.656 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 439833859 GSLNUAQNSJOJAJ-ZDUSSCGKSA-N 409.202 4.598 5 20 HJBD CC(C)(CC(=O)c1ccccc1)CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 441610654 HMGQNVIRILWEFR-UHFFFAOYSA-N 424.497 4.654 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444290580 KAOOJGATKGSNDI-UHFFFAOYSA-N 420.871 4.935 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 446489343 XSAYBGZOSDGCTC-AWEZNQCLSA-N 400.504 4.650 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3cc(C)c([N+](=O)[O-])cc3C(F)(F)F)cn2)n1 461776801 NXRDYRJEMPPWAR-UHFFFAOYSA-N 405.380 4.732 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2nc3ccc(Br)cc3o2)C2CC2)cc1 463150073 FPAMDXBCRWEZOE-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD O=C(Cc1ccc(-c2ccccc2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464660086 ILHKDTUAPLHKKG-UHFFFAOYSA-N 416.477 4.874 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(COc2ccc(F)cc2)nc1C 464723639 MXXCTTFEQCHCKE-UHFFFAOYSA-N 415.446 4.893 5 20 HJBD CC[C@H](C)c1ncc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 478280814 NBBPVZNMSRGEAE-LSDHHAIUSA-N 420.473 4.719 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 478577076 QDKVDEYZPJHODM-KOMQPUFPSA-N 420.918 4.663 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cc2)n1 479792884 AJVNVRFTAIWKPP-OAHLLOKOSA-N 404.426 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 482144309 UWMFRQIKALMTPC-UHFFFAOYSA-N 411.380 4.817 5 20 HJBD CCN(CCC1CCN(C(=O)c2cccc(SC)c2[N+](=O)[O-])CC1)CC(C)C 485502638 ICIVRXMITXMCBA-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD COc1ccc2cccc(CC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2c1 486713456 BYJJSCMKTXFPSD-UHFFFAOYSA-N 418.371 4.634 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2n1 488222067 QSOQRQPIKYTVEO-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD COc1ccc2cc(CNC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)ccc2c1 489587803 FQGTWDNAZLSZGJ-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)C1CC1)N[C@@H](c1ccccc1)c1cccs1 490026233 MJRZCCDTWUQOEU-QHCPKHFHSA-N 421.522 4.527 5 20 HJBD Cc1cc(C)c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)cc1[N+](=O)[O-] 491822614 WPQPRBQCUGDEPY-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 499129213 HADBINYCVZJLQJ-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD Cc1cc(C(C)(C)C)cc(C)c1C[S@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 501212008 QGWZVTQIIZLQON-GDLZYMKVSA-N 417.527 4.825 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 507938748 WYIXKDTZHLCNAU-AWEZNQCLSA-N 416.840 4.817 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 509946751 UBCBNIIULWBKCM-GXTWGEPZSA-N 410.396 4.526 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1)Nc1ccnn1C1CCCC1 510386935 SGVSNUHNXWARAO-UHFFFAOYSA-N 420.473 4.701 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cc(F)c(N3CCOCC3)cc2F)o1 513045228 CXBSMAHUDYKRGP-UHFFFAOYSA-N 415.396 4.582 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)C1CCN(Cc2ccccc2)CC1 513457240 VVTMOTJKBKEPGL-UHFFFAOYSA-N 422.554 4.815 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)N3CCCc4c3cccc4[N+](=O)[O-])cs2)cc1 513838672 WGLLQELEJNHEQQ-UHFFFAOYSA-N 423.494 4.639 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c12 515283572 BWZVRSAMZGPSPK-UHFFFAOYSA-N 423.517 4.799 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(COCC(F)(F)F)cc2)no1 518002981 ULMHUGLUOFRADD-GFCCVEGCSA-N 407.348 4.876 5 20 HJBD CC(C)Oc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 520992618 GKXIVLNRTYRQNP-KGLIPLIRSA-N 405.882 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCCOc1cccc(Br)c1 531260678 NBCBZOQIEAEXNO-UHFFFAOYSA-N 407.264 4.772 5 20 HJBD Cc1nn(C)cc1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 534451871 BBIRCACBWJJBQH-UHFFFAOYSA-N 404.829 4.511 5 20 HJBD C[C@@H](c1ccccc1)n1cnnc1SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534792129 UFYBKSRFJWMPJJ-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD O=C(Nc1cccc(CNC/C=C\c2ccccc2[N+](=O)[O-])c1)c1cccc(F)c1 539426615 KRCCEEVWFGEGNK-UITAMQMPSA-N 405.429 4.789 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CN(CC)CC2 540748220 WVFRDORULMMBNF-UHFFFAOYSA-N 420.560 4.574 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 542540568 XXFVSKLGUWQTTO-NWDGAFQWSA-N 414.355 4.656 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc4ncccc4c3)n2)c(Br)c1 546449260 IRXHNGYKJZCBCU-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)c2ccc(C)cn2)cc1[N+](=O)[O-] 561878704 ZFQFBKCHXPWUEH-UHFFFAOYSA-N 421.478 4.528 5 20 HJBD CCN(CC)CCOc1ccccc1-c1noc([C@@H](C)c2cccc([N+](=O)[O-])c2)n1 565447928 UXVZICNNONXQIM-INIZCTEOSA-N 410.474 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 573386366 JLUXMPHJHANTRQ-GTNSWQLSSA-N 402.800 4.960 5 20 HJBD CCc1ccc(-c2nc(CC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cs2)cc1 583457157 QMZFUVMZOWNRQO-UHFFFAOYSA-N 409.511 4.524 5 20 HJBD CCn1c(SCc2ccc(OC)c([N+](=O)[O-])c2)nc2cc3ccccc3cc2c1=O 603723410 SWSDWJLGLLVZKU-UHFFFAOYSA-N 421.478 4.779 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 608838104 CHNKTKINLCWPDG-ZDUSSCGKSA-N 423.300 4.858 5 20 HJBD CC[C@@H](NC(=O)c1ccc(C(=O)N[C@H](CC)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 609241115 SILILEJKFQZCSR-QZTJIDSGSA-N 405.539 4.704 5 20 HJBD Cc1n[nH]c(C)c1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609616125 PSYHDLGOIGQADL-UHFFFAOYSA-N 404.829 4.809 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(c2cccc(Br)c2)CC1 609681611 FFOWCBMNSOFHNH-UHFFFAOYSA-N 404.264 4.519 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c12 609859598 QCAPUJZFNFXJLK-UHFFFAOYSA-N 406.442 4.554 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1ccc([N+](=O)[O-])cc1Br 610038192 JARAKQZYLZWTHG-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD CCc1cc(N2CCO[C@@H](c3ccccc3C)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 610279787 AQCZUFOCTASSNB-OAQYLSRUSA-N 404.470 4.501 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610879383 YOAVGLYDKBUMHK-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD CCc1ccc([C@@H](N[C@H]2CCOc3cc(OC)ccc32)c2ccc([N+](=O)[O-])cc2)o1 612409752 AZDJQJHLKULMIQ-REWPJTCUSA-N 408.454 4.962 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 726761743 PINNCWIWPJWBIB-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1cccc(OCC(F)(F)F)c1 727053308 UOZOUYWNEIJVSD-SNVBAGLBSA-N 419.739 4.562 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NCc1c(Br)cccc1[N+](=O)[O-] 727470291 ORMXVYQWWGXERM-UHFFFAOYSA-N 401.264 4.772 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc(C)c(C)[nH]3)c2)cc1SC 728517753 JWYPOGZIFPQQEB-UHFFFAOYSA-N 412.471 4.585 5 20 HJBD O=C(OCc1nnc(-c2cccc(Cl)c2)o1)c1cc2cc([N+](=O)[O-])ccc2s1 730296265 YAENKYDYOOMAEJ-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD COCc1cccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 733072626 AFGXYOFULYSWRU-HNNXBMFYSA-N 407.426 4.529 5 20 HJBD COc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1NC(=O)C1CCCCC1 734779751 JOKGXHPFBZFJLM-ZROIWOOFSA-N 423.469 4.774 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443387 QADNINHTOYATQS-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1OCc1cscn1 735900811 IXZWCQSTDIYXNV-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 742909590 KUGLVCQSQYPMFY-FUHWJXTLSA-N 405.564 4.577 5 20 HJBD CC(C)CN(CC(N)=O)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746119694 FEDLZNJPRHEALI-UHFFFAOYSA-N 414.505 4.517 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1Cl 748662018 VZNTXXPMBALVKY-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755028027 IUUZDTOHEUJGNO-HNNXBMFYSA-N 420.263 4.735 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757180348 FKCAPXUQEOLKOL-FQEVSTJZSA-N 411.483 4.732 5 20 HJBD CC[C@H](C)Oc1ccc(-c2noc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 762082470 ACEJMNSXXPYUDE-DJJJIMSYSA-N 408.414 4.688 5 20 HJBD COc1ccc2oc(C)c(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c2c1 762308671 MNJYQWXVQQVFMQ-UHFFFAOYSA-N 404.382 4.780 5 20 HJBD O=C(NCc1ccc(OCc2ccccn2)cc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770018592 IXSRFNDMXSWURT-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770325268 MRVYOUFPUCKBCA-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 770328323 OFHPAUCTOOEIAM-BEFAXECRSA-N 423.282 4.585 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@@H](O)c2ccsc2)c2ccncc12 772901083 BBAZSYGKVVAIBF-JOCHJYFZSA-N 405.479 4.945 5 20 HJBD O=C(Cc1ccc(-n2cccc2)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135164 RFKSTFXVMVSCJH-DEOSSOPVSA-N 413.433 4.656 5 20 HJBD O=C(NC[C@H]1CCC=CO1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 781068255 JZWVLIIUVUFUQW-CQSZACIVSA-N 404.875 4.822 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cccc([N+](=O)[O-])c3Br)cs2)o1 781676392 QQZXWVWRQDBMKK-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc12 782148875 MGZHECYPNWEJAW-QGZVFWFLSA-N 414.897 4.694 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccc(F)c(C(F)(F)F)c1 785975980 VVUCGGAMJXRWKQ-UHFFFAOYSA-N 415.342 4.918 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 786013773 HIBZPRZBXBEXBM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1c(OC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 790771332 BAQOMMCBEXPTLZ-UHFFFAOYSA-N 400.431 4.788 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(Cc1ccccc1)C[C@H](O)c1ccccc1 804414706 GKYJBBWJWDGXFH-FQEVSTJZSA-N 410.857 4.624 5 20 HJBD CC(C)Oc1ccc(-c2nc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cs2)cc1 812813201 FYOJNTZNMWYTOM-UHFFFAOYSA-N 402.476 4.635 5 20 HJBD C[C@H](N[C@H](c1ccccc1)c1nc2ccccc2n1C)c1ccc(N)c([N+](=O)[O-])c1 815573131 YWAAWIPIYRGQBX-OYHNWAKOSA-N 401.470 4.504 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@H]1NCc1ccc([N+](=O)[O-])cc1 824084337 BTULQNIOGJOWIA-XUVXKRRUSA-N 403.523 4.643 5 20 HJBD COc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c([N+](=O)[O-])c1 842987207 NDKMCPYCJZGKPH-UHFFFAOYSA-N 408.370 4.508 5 20 HJBD CCOc1cc(CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)ccc1OC(F)F 916668832 CPKGYJSTSQASBC-UHFFFAOYSA-N 415.780 4.570 5 20 HJBD CN(C(=O)c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1)C1CCCCC1 918162882 BEKXWAZAMHPNPE-UHFFFAOYSA-N 412.515 4.808 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921267557 VRZLBXWHACOCMR-SNVBAGLBSA-N 401.221 4.639 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(-c2cccc(Br)c2)o1 1261569949 ZEKARJMYSZRKSJ-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD COc1cc(F)cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 1319111425 WXZCVIJGVCQWFO-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1csc2ccccc12)c1ccccc1[N+](=O)[O-] 25991941 GDICAKSXYAALLI-KRWDZBQOSA-N 412.467 4.622 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 55465559 MXLDNQTWQXMWEK-JTQLQIEISA-N 403.797 4.842 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(NC(=O)c2ccccc2)c1C 55884911 GFPZIBQWTZWDGO-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 58950330 WMMQUJOWUWGMOI-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3ccc([N+](=O)[O-])cc3Cl)c2)cc1 59786948 CVMQHZKVGUZIQG-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 69709767 YKXXTHRXAPTDAI-ZHRRBRCNSA-N 422.506 4.990 5 20 HJBD CC(=O)COC(=O)c1c2c(nc3ccccc13)/C(=C\c1cccc([N+](=O)[O-])c1)CCC2 96483837 WEGHDQDWHYVHBX-ATVHPVEESA-N 416.433 4.766 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 97544701 YNWWZAVUKUYEQP-UHFFFAOYSA-N 403.442 4.586 5 20 HJBD CC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc2c(c1)OCCO2 237040399 MLDJZCRXBPMFPP-GOSISDBHSA-N 411.483 4.730 5 20 HJBD O=C(c1cc(N2CCc3cc(Cl)cc(Cl)c3C2)ccc1[N+](=O)[O-])N1CCCC1 301593865 ZGQCCGOFSJJOEZ-UHFFFAOYSA-N 420.296 4.700 5 20 HJBD CC(C)(C)C(=O)N1CCOc2ccc(Nc3ccc([N+](=O)[O-])c4cccnc34)cc21 301926962 JTHNHMBVWCOWOK-UHFFFAOYSA-N 406.442 4.658 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@@H]1CCOC1 410232858 XYIGEBVDTDZCCP-CHWSQXEVSA-N 406.891 4.554 5 20 HJBD O=C(O)[C@@H](CCCc1ccccc1)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426804983 XUMPCBOXMWRQFH-LJQANCHMSA-N 410.495 4.889 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCCC3)c(F)c2)cc(Br)cc1[N+](=O)[O-] 431175920 ROBWZQVLQKJSRA-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)C(C)(C)c4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 431447193 UCWNDNLYJWETBB-UHFFFAOYSA-N 403.482 4.978 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2ccccc2N(C)C)cc1[N+](=O)[O-])c1ccccn1 435369185 KYGOSIHJDCYVMB-INIZCTEOSA-N 419.485 4.506 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C(C)C)cc1 435940717 SFJXUNOCKFPJFV-HSZRJFAPSA-N 400.478 4.817 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2ccccc2)C1 436230670 XDNSLNJUBCMYGB-HNNXBMFYSA-N 404.416 4.589 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436374514 USXPJFRWKWOFHL-IERDGZPVSA-N 422.406 4.986 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCCC(F)(F)F)cc2[N+](=O)[O-])n1 440538410 IJBADHWHKDATMY-UHFFFAOYSA-N 405.423 4.583 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 444284056 FRYLIPOVUOZZEH-UHFFFAOYSA-N 403.290 4.980 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCc2cc(Cl)cc(F)c21 447418962 QHYIREYXYIATCK-UHFFFAOYSA-N 419.659 4.804 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@H]2CCCc3ccc([N+](=O)[O-])cc32)c1C 447593252 QRQFWIQUHJMOMQ-FQEVSTJZSA-N 403.504 4.544 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(F)cc2n1C 464424665 BINSHBZHNMEOFU-UHFFFAOYSA-N 402.451 4.765 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Cl)cc1 464641704 FJDBDEGBXZRSLC-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)c1ccon1 466547793 HWABLWTXUJOYBH-NSHDSACASA-N 403.847 4.878 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H](CSc2ccccc2)C1 467217783 OHEPVXXASAGHBM-CQSZACIVSA-N 405.907 4.572 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1 471044397 MBEKBPYHRUDSQZ-UHFFFAOYSA-N 411.458 4.765 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](C)c3ncnn3C)cc2[N+](=O)[O-])c1 474025044 RWZAILHFGCPALG-INIZCTEOSA-N 409.490 4.798 5 20 HJBD CC(C)(C)c1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1O 480073165 VTABZQBDGPHVEU-UHFFFAOYSA-N 400.478 4.797 5 20 HJBD COCCSc1ccc([C@@H](C)Nc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 486958592 PFKFTVAEXPXKRG-CYBMUJFWSA-N 400.460 4.556 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@H]1c1ccc(C)cc1 489935702 UNASZVOWHONEON-UWJYYQICSA-N 414.527 4.913 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccc(C(F)(F)F)cc2)cc1 492004278 XCFCLBQMDVKXQO-SFHVURJKSA-N 420.343 4.735 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccc1OC[C@H]1CCCCO1 494213053 QWPRFOCERYHGSD-GOSISDBHSA-N 418.877 4.767 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2C1 494518603 GBMBNUJEXRMZEK-UHFFFAOYSA-N 407.392 4.838 5 20 HJBD COc1cccc([C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 497292471 APUUVLOKQXKEAG-NSHDSACASA-N 414.405 4.592 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCc2c([nH]c3c(F)cccc23)C1 500197536 KFLGXHAOHWFYOH-UHFFFAOYSA-N 402.813 4.849 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)CC(C)C)c([N+](=O)[O-])cc1OC(F)F 500550381 DLOFCGIPWGPVNZ-UHFFFAOYSA-N 408.401 4.503 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1ccc(O)cc1)Cc1ccco1 503594927 CFPNAUKBPJVQCY-UHFFFAOYSA-N 408.435 4.951 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(N2CCCCC2)n1-c1ccccc1 504537067 YMEASDFMNZBPPW-UHFFFAOYSA-N 413.478 4.597 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COc3c(Cl)cncc3[N+](=O)[O-])ccc21 506583459 CLZIPXHVPFSXEL-UHFFFAOYSA-N 424.844 4.789 5 20 HJBD CCCc1[nH]nc(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)c1[N+](=O)[O-] 511646809 INHZVKSNIJZFPB-UHFFFAOYSA-N 409.273 4.507 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])c1)c1cccs1 513837854 HPYNUKJPXWTQCB-UHFFFAOYSA-N 407.451 4.502 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(C(=O)OC(C)(C)C)c(C)c1 526077741 KOSMGVAVIHXJNH-UHFFFAOYSA-N 400.431 4.510 5 20 HJBD C[C@@H](CSc1ccc(F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 531505794 AXEVDXKPIYDJGL-JTQLQIEISA-N 400.378 4.702 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(Cc3ccc(Cl)cc3[N+](=O)[O-])C2)cc1 532684916 REUIVMGYNIOPSG-MRXNPFEDSA-N 416.909 4.590 5 20 HJBD COc1ccc(Cl)cc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152450 VYPSWBHVROXHLY-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNC3(c4cccc(C(F)(F)F)c4)CCC3)n2)cc1 538256351 ISGCPAYHVGBVSG-UHFFFAOYSA-N 418.375 4.833 5 20 HJBD CCCc1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 540792787 BRBDETBSFPNLSO-UHFFFAOYSA-N 407.224 4.565 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1Br 541298790 XAGLKCPURXBVEH-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCNc1nc2ccccc2s1 543632506 VKRYJDWORHLREI-UHFFFAOYSA-N 416.528 4.547 5 20 HJBD C[C@@H](OC[C@@H]1CCCO1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545777506 LDSXFVXWFYDFDI-KUHUBIRLSA-N 411.414 4.694 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4cccnc4OCC(F)F)no3)cc2c1 546551648 SOOWIHNYIACPMY-UHFFFAOYSA-N 404.354 4.565 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cccc([N+](=O)[O-])c1 548420771 LKNHSWLSJKJHHS-VIFPVBQESA-N 406.826 4.648 5 20 HJBD Cc1c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cccc1OCC(F)(F)F 549184071 IEYOOKFINPRXCR-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@@](F)(c2ccccc2F)C1 549329371 INBBXLOXUDZXMT-FQEVSTJZSA-N 415.421 4.568 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1)c1ccccc1 550719093 MWWGHRJRBFKQRC-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(N[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 552063202 SDUYWUZMONCMTJ-JPYJTQIMSA-N 419.529 4.904 5 20 HJBD COc1cc(CN(C)Cc2cccc(C)n2)ccc1OCc1ccc([N+](=O)[O-])cc1 552290631 OWCCITJEQOQNJF-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD CN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H]1CC[C@@H](C(F)(F)F)CC1 582572369 QMGVAUNNYOKIQX-IYBDPMFKSA-N 416.443 4.734 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(CC2CC2)C(C)C)cc1[N+](=O)[O-] 603756017 RBAUBDTXYRQGTD-UHFFFAOYSA-N 412.511 4.808 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](c2nc3ccccc3s2)C1 603777250 DEPDYOAINWQKTM-CYBMUJFWSA-N 407.451 4.971 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(OC(F)F)cc1 603943565 SNACCAUDTFCCSX-CYBMUJFWSA-N 405.401 4.605 5 20 HJBD CNc1ccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 604387391 JLSFQXMDKXQJIA-SFHVURJKSA-N 401.875 4.871 5 20 HJBD CC(C)c1ccc(CNc2cc(-c3cccc(Cl)c3)nn2CCO)cc1[N+](=O)[O-] 608982719 OQVXKBKNGNPURO-UHFFFAOYSA-N 414.893 4.840 5 20 HJBD COc1ccc(CC(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 609012257 RYZAAXDWIIJZJW-MRXNPFEDSA-N 406.438 4.816 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 609031501 LJRGXXLRNBPWGM-VXGBXAGGSA-N 423.252 4.805 5 20 HJBD C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccc(F)c(Br)c1 609382376 RMNKRXSZKRSQRM-GFCCVEGCSA-N 424.270 4.566 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCSc2c(F)cccc21 609722492 YHIGMTLVWFRYRP-CQSZACIVSA-N 410.854 4.510 5 20 HJBD CC(C)(C)[C@H]1CCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609857270 FPQNGMVHVYIDAQ-OAHLLOKOSA-N 411.289 4.738 5 20 HJBD Cc1sc(C(=O)Nc2ccccc2CN(C)C(=O)c2cccs2)cc1[N+](=O)[O-] 609981685 UPXMKWGYGLXMJQ-UHFFFAOYSA-N 415.496 4.551 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H](C(C)(C)C)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 610247543 YFMVJBOGHRRGEY-SGTLLEGYSA-N 424.423 4.870 5 20 HJBD Cc1nn(C)c2ncc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc12 611543640 GWHJGJYEQQBDFY-UHFFFAOYSA-N 407.405 4.728 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 729295710 CQXPCWDLDBJNRE-GOSISDBHSA-N 413.474 4.888 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 730292708 JUGXXTZNPOVYQU-NSHDSACASA-N 419.846 4.522 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ncc(-c2ccccc2)o1 741317028 YSCSQAKRMQWNBX-CHWSQXEVSA-N 416.817 4.975 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(c2c[nH]c3cc(F)ccc23)CC1 742405703 AMGCAOXZHWTAGB-UHFFFAOYSA-N 424.432 4.556 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cc2s1 742779958 ZBWALTPFGPXKFA-UHFFFAOYSA-N 400.416 4.663 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 742890806 GDULVEWALQFSTG-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD O=C(N[C@@H](c1ccc(Br)cc1)C1CCC1)c1cc(F)ccc1[N+](=O)[O-] 751098317 PQNRCQWKXJTJKJ-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)c1cc(F)cc([N+](=O)[O-])c1Br 755003319 XXFSFIVJPBYRBK-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1F 755052538 FHOVKKVIORVRIN-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 756840262 KJWBUUANGRLOSU-NRFANRHFSA-N 406.438 4.522 5 20 HJBD COc1ccccc1[C@@H](Nc1cc(Br)ccc1[N+](=O)[O-])c1ccccn1 760269710 KTSLOPUVHJPVIG-LJQANCHMSA-N 414.259 4.962 5 20 HJBD CCOC(=O)Nc1ccc2c(COc3c(Cl)cccc3[N+](=O)[O-])cc(=O)oc2c1 760430191 VKMBYYSFDYPFRE-UHFFFAOYSA-N 418.789 4.502 5 20 HJBD Nc1ccc(C(=O)Nc2cc(Cl)c(OCC(F)(F)F)c(Cl)c2)cc1[N+](=O)[O-] 763190803 ASKDNYPSXHVSHZ-UHFFFAOYSA-N 424.162 4.677 5 20 HJBD CCn1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc2cc(Br)ccc21 768909321 IEQMDTXAVOLLCS-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=C(Cc1ccc(NCc2c3ccccc3c(Cl)c3ccccc23)cc1)NCCO 770550314 NJHQVVSEJRXTFI-UHFFFAOYSA-N 418.924 4.910 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(-c3ccncc3)nc3ccccc13)CC2 774220249 KOMDJAYEZRIILQ-UHFFFAOYSA-N 410.433 4.716 5 20 HJBD Cc1cnc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c(C)c1 779728234 VNYVFOHEFZDMAR-UHFFFAOYSA-N 416.389 4.599 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1cccc(F)c1)C1CCCC1 781610023 PPUHQBHBFNWHIR-UHFFFAOYSA-N 415.421 4.546 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 798128366 VVBCCJGECSNOQT-OBIZFTICSA-N 416.433 4.840 5 20 HJBD Cc1nc(CCC(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)oc1-c1ccccc1 803341412 NJSYEJPPWAMJFL-UHFFFAOYSA-N 402.353 4.512 5 20 HJBD O=C(N[C@H]1c2ccccc2CC12CCOCC2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813284666 FBYHQEDANJTMPF-SFHVURJKSA-N 421.280 4.726 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(COc2ccccc2)cs1 916553410 MICDMJOOCZDNLB-ZDUSSCGKSA-N 415.496 4.750 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1Cl 921163613 XLOLONKQNFTWLO-UHFFFAOYSA-N 407.829 4.558 5 20 HJBD COC(=O)NCc1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1319730833 MKCDTSDVNOLLBP-UHFFFAOYSA-N 415.833 4.976 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nnc(-c3cc(F)ccc3Br)o2)cc1 1327807982 HOVZSKRLDVXQCP-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD C[C@H](Sc1nnc(-c2ccc(F)cc2)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 12679027 AXAVBAKLWGZEOP-VIFPVBQESA-N 422.825 4.557 5 20 HJBD C[C@@H](Sc1nnc(-c2cccs2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16633916 HIXAGDSRXATPBR-SECBINFHSA-N 401.429 4.610 5 20 HJBD C[C@H](c1nnc(Sc2ccccc2[N+](=O)[O-])n1-c1ccccc1)N1CCCCC1 29004789 AJVVMAVXBQOWDK-MRXNPFEDSA-N 409.515 4.874 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1Cl 55689528 SAJICQJVMTYTPT-NSHDSACASA-N 407.879 4.577 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 56003141 RIODALSCVPVWJG-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 58273657 DDJYUTCUBGAGEE-UHFFFAOYSA-N 413.283 4.531 5 20 HJBD C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cc2ccccc2o1 107699129 DTFSVKRCVSSVLC-LLVKDONJSA-N 408.332 4.773 5 20 HJBD O=C([C@@H]1CCC[C@H](C(F)(F)F)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867325 SNULNUSLZPJCSP-YPMHNXCESA-N 412.330 4.854 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNCC1(c2cccc(C(F)(F)F)c2)CCOCC1 237918508 PZLJEQMJONPHIN-GQCTYLIASA-N 420.431 4.965 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 410140738 CRGZPGBESKVOJE-FQEVSTJZSA-N 414.465 4.707 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN(CCc3ccccn3)Cc3cccnc3)o2)c1 430867584 SJVMAMVWAZJWMJ-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD Cc1c(CC(=O)N[C@H](c2ccc(F)c(Cl)c2)C(F)(F)F)cccc1[N+](=O)[O-] 436459505 RNFNKBLXNGCBPX-MRXNPFEDSA-N 404.747 4.658 5 20 HJBD Cc1c(CC(=O)Nc2cccc(COCCOc3ccccc3)c2)cccc1[N+](=O)[O-] 438905724 XWJQUNSSKFGQDK-UHFFFAOYSA-N 420.465 4.680 5 20 HJBD Cn1c(SCc2nc(C(F)(F)F)cs2)nc2cc3ccccc3cc2c1=O 443507942 CLOUTUITGWRNOA-UHFFFAOYSA-N 407.442 4.854 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447019012 VTHVYZIAOOKACN-JTQLQIEISA-N 422.812 4.649 5 20 HJBD Cc1ccc(C)c([C@@H](C)N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 447023387 MGKWJZKYWKRLHB-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)ccc2o1 447055476 UIGLLOGGOAGMHS-UHFFFAOYSA-N 408.458 4.915 5 20 HJBD COCCN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)Cc1cncs1 448357137 VUMJVQOYDIPTRH-UHFFFAOYSA-N 407.879 4.613 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2cccc(F)c2)nc1 462493831 VYCJOLAGMOGFRZ-GOSISDBHSA-N 423.444 4.806 5 20 HJBD CCOC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 467757245 HGNGIKIHTBREIS-CQSZACIVSA-N 420.918 4.898 5 20 HJBD COc1c(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)cccc1[N+](=O)[O-] 474973969 UZFVABNBMPXYMQ-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@H]2[C@H]2CCCC2=O)cc1[N+](=O)[O-] 478384510 LTCDQHLINGURQD-SJORKVTESA-N 404.532 4.707 5 20 HJBD COc1cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)cc([N+](=O)[O-])c1C 478989964 JGWAFNZRDUJCBE-UHFFFAOYSA-N 404.382 4.816 5 20 HJBD CN(C1c2ccccc2-c2ccccc21)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 479236860 BECVGPHFNRSKMS-UHFFFAOYSA-N 414.870 4.639 5 20 HJBD C[C@H]1CCCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 479264417 VBYFBIONEUJTPH-AWEZNQCLSA-N 415.877 4.763 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(Br)cn1 479534737 XPWDCPRLYXFTRL-DEYYWGMASA-N 404.308 4.814 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(-c2nccs2)cc1 481553684 DISYHSACQGSHCK-UHFFFAOYSA-N 402.863 4.736 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])nn1C)c1ccc(-c2ccc(C(F)(F)F)cc2)s1 481702001 NIEHKGIAOOFSBB-JTQLQIEISA-N 424.404 4.567 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485321698 PYGCSAYCCJRESK-SFHVURJKSA-N 401.463 4.645 5 20 HJBD CSc1cccc(C(=O)NCc2ccnc(Oc3ccc(F)cc3)c2)c1[N+](=O)[O-] 486249377 JBHWCWFGPNALBQ-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)c1cc([N+](=O)[O-])ccc1OC(F)F 486829980 YWVKMWHGKAUFFQ-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 491541136 JDHPZQSVVYIGKE-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 495390024 ZJJYEDOJUFJMPK-UHFFFAOYSA-N 401.532 4.965 5 20 HJBD CCN(Cc1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1)C(C)C 498141349 XGSMGQNBJQYWPT-QFIPXVFZSA-N 413.543 4.713 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 498289936 DOPZUZDLBMVIIK-UHFFFAOYSA-N 418.428 4.783 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2ccc(NC(=O)c3cccs3)cc2)cccc1[N+](=O)[O-] 501979948 HINKNDTYSCPOAS-CQSZACIVSA-N 424.482 4.656 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CCC(C(N)=O)CC3)cc2[N+](=O)[O-])c1 505890528 CAOJOKIYDLVIMA-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD COc1ccc(CNC(=O)c2ccc(SCc3ccccc3)cc2)cc1[N+](=O)[O-] 516000487 MHTSJGDDZQJEQO-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 518474382 GGBZRQORGLIIMH-LBPRGKRZSA-N 409.389 4.866 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnc(C(C)(C)C)nc2)cc1 518855424 INJXWSFKYBVWMT-UHFFFAOYSA-N 422.441 4.736 5 20 HJBD CN(C(=O)CCCOCc1ccccc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 521416175 IFKNUGFIBOWNRJ-UHFFFAOYSA-N 411.483 4.678 5 20 HJBD C[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1nc(C(C)(C)C)cs1 525318640 RENMGGZHDXCMTG-AWEZNQCLSA-N 404.536 4.732 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)c2nc(Cc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 531443730 BZCZDWNFPIRUFD-UONOGXRCSA-N 400.866 4.942 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@H]3c3ccc(Br)cc3)c1)OCOC2 531449438 NSLRTMTWGLLPEB-SFHVURJKSA-N 419.275 4.561 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccccc1F)C1CCCCC1 533402788 WPCJFJXDJSCAJU-UHFFFAOYSA-N 423.444 4.963 5 20 HJBD C[C@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@@](C)=O)cc1 535952643 CDUDRNATBRNDPE-INCQDFKNSA-N 423.494 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1ccc(OCc2ccccc2)cc1 536893471 JXNOCOMNXRAQSX-UHFFFAOYSA-N 412.467 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(Oc2ccccc2OC)cc1 541428844 NALXITQTUTZXHW-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@@H]1c1ccccc1 554209706 ODSCPPZKUMFQOZ-DYESRHJHSA-N 414.527 4.995 5 20 HJBD COc1cc(F)c([C@@H](C)NCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OC 555420613 NDBRFGQJVUQFOA-SNVBAGLBSA-N 402.344 4.621 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 562100079 NDFUKMSSMIPPCP-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD O=C(NC[C@@H]1Cc2ccccc2O1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 567255226 PKVAANITJHOTQZ-FQEVSTJZSA-N 405.410 4.512 5 20 HJBD CC(C)c1scnc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 568562907 VXIIWVOKJIFLRZ-UHFFFAOYSA-N 405.423 4.924 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccnc2OCC(F)F)no1 569373614 OCAWEMBLCLTCHP-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1noc(Cc2ccccc2)n1 574943859 QECRINSKDCBMIL-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD COCc1nc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cs1 578179103 GMJZNLNCSUOQIE-UHFFFAOYSA-N 419.890 4.933 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1ccc(Cl)cc1[N+](=O)[O-] 582196120 JTGOFKUWMJDRJL-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CCn1cnc2cc([N+](=O)[O-])ccc2c1=O 589915208 NUXOFMIEXWZZGJ-UHFFFAOYSA-N 422.485 4.580 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604240766 XVVOHOZLAPFGGF-UHFFFAOYSA-N 401.426 4.597 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c2ncccc12 604446657 NQGHLAYWKHSRPA-JTQLQIEISA-N 406.225 4.856 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(Cc3cccs3)no2)cc1[N+](=O)[O-])c1ccccn1 609474449 YCAJXBGIXNVPAQ-CYBMUJFWSA-N 407.455 4.865 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 609500773 WKXLFQHQWKYQBA-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 609786353 RTKGKNBMWAWNLC-GFCCVEGCSA-N 401.875 4.792 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 612443325 RFYSBOAMGPBBAR-OYHNWAKOSA-N 408.429 4.752 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n[nH]1 617119749 HBDWXXMAWGHTRR-QWHCGFSZSA-N 417.535 4.752 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)ccc21 735290741 DCOWTNPEHZDZJQ-UHFFFAOYSA-N 407.474 4.768 5 20 HJBD CN(C)CC1CCN(c2ccc(Nc3nc4ccc([N+](=O)[O-])cc4s3)cc2)CC1 737414447 YQTCBYAIZRDEIN-UHFFFAOYSA-N 411.531 4.726 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cccc(C(=O)N(C)CC(C)(C)C)c1 741693679 AYLSRHWKOJRPQF-UHFFFAOYSA-N 417.893 4.927 5 20 HJBD Cc1c(COC(=O)[C@H](C)CC(=O)c2cccc(Br)c2)cccc1[N+](=O)[O-] 745225617 FXJWRASBVIKDEO-GFCCVEGCSA-N 420.259 4.618 5 20 HJBD COC(=O)CCCc1nnc(NC(=O)c2c3ccccc3cc3ccccc23)s1 746524187 MPENWMZCKGTLPV-UHFFFAOYSA-N 405.479 4.593 5 20 HJBD CC(C)c1c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccccc1 753157419 GBQJLKAFIGACTB-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1csc(COc2ccc(F)cc2)n1 755024088 JZAIFYPTCJMXMF-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD COc1ccc2cccc(CC(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)c2c1 760542522 ORGSMAMSNSBXBG-UHFFFAOYSA-N 418.405 4.698 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)c1cccc([N+](=O)[O-])c1Br 760812219 JZCACUOBMMXUJN-UHFFFAOYSA-N 401.216 4.547 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1ccc(Oc2ccccn2)cc1 762280635 GRGRRFDYSRGIOL-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 771513634 COQSYNFQTWTTMN-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD COc1ccc(Cl)cc1CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135648 HSQRUQGXWCVBOP-OAQYLSRUSA-N 412.829 4.527 5 20 HJBD O=C(Cc1c(F)cccc1Cl)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135853 SPDYWAVCNHRZNH-FQEVSTJZSA-N 400.793 4.658 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H](c2cccc(F)c2)c2cccc(Cl)c2)cc1 779067714 ZRAWCMRBSMXAGJ-SFTDATJTSA-N 400.837 4.800 5 20 HJBD C[C@](O)(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 779345877 IMHQZPVCBSAWCQ-QHCPKHFHSA-N 422.506 4.774 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CC=C(c2ccccc2)C1 779680744 KZWUTXXDKDWJEN-UHFFFAOYSA-N 407.651 4.550 5 20 HJBD CC(C)c1ccc(C(=O)OCc2nnc(-c3ccccc3Cl)o2)cc1[N+](=O)[O-] 784093161 GDSLMWGWRHDFCG-UHFFFAOYSA-N 401.806 4.779 5 20 HJBD C[C@@H](C(=O)OCc1ccc(Cl)nc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 787794508 PSGCDWPTCWZRST-MRVPVSSYSA-N 406.719 4.648 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1NCC(F)(F)F 790567024 CBUDKKSQMGDQCG-UHFFFAOYSA-N 405.735 4.543 5 20 HJBD O=C(N[C@@H]1CCN(c2cccc(Cl)c2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813043213 HOASJSYJEPHSAH-GFCCVEGCSA-N 414.676 4.564 5 20 HJBD Cc1sc2nc([C@H](C)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)nc(N)c2c1C 840994573 LJRXYMJRPMLNPG-JTQLQIEISA-N 405.911 4.645 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)c1 915281004 DWRPJBKAWSVUMP-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 918138499 QYLVVLGJUGXTRH-UHFFFAOYSA-N 406.398 4.769 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cn2)CC1)c1ccccc1[N+](=O)[O-] 920267354 GHDUHXBDCWYWKO-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD Cc1cc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)nn1-c1ccc(Cl)c(Cl)c1 1116495245 RMCPILJDLVFSNO-UHFFFAOYSA-N 417.252 4.552 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)C2)cc1 1116612679 SGIBLBXEKZIWIG-GOSISDBHSA-N 420.469 4.590 5 20 HJBD Cc1n[nH]c(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 1263847695 QLXJADIGHXIBPT-UHFFFAOYSA-N 416.890 4.669 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COc1ccc(Cl)cc1Br 1319536979 VGHJUODINKTZEO-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(OCc3cccnc3)cc2)n1 1320328667 PSMKGPLBPJZRQR-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD Cc1cc(I)cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1C 1330046044 HSZMHFGAQZODKL-UHFFFAOYSA-N 421.194 4.533 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1ccccc1)c1cccs1 7490002 YLMPHGAYIRPZJZ-IBGZPJMESA-N 402.859 4.594 5 20 HJBD O=C(Nc1cccc(-c2nnco2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 24697115 VMBLFKNOAIQZAY-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD O=C(Nc1cccc(Oc2cnccn2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50313139 DGAYGSMOAQHSNQ-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD O=[N+]([O-])c1ccccc1CNc1nc(-c2cnccn2)nc2sc3c(c12)CCCC3 60859923 TUCUZMDURAPUDS-UHFFFAOYSA-N 418.482 4.547 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CC=C(c2cccc3ccccc23)CC1 63692719 KXZNGAAYWOACQP-UHFFFAOYSA-N 424.460 4.863 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 109136583 UMPSCRUDBUSEQR-UHFFFAOYSA-N 408.483 4.961 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 140999375 MEBULZLLESSBRG-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641507 XXWBJZZUXMVKQT-ACJLOTCBSA-N 412.515 4.731 5 20 HJBD CC(=O)c1ccc(NC[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)c([N+](=O)[O-])c1 301887046 PHVVFQZACJOJLU-MGPUTAFESA-N 405.857 4.695 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ncccc2Cl)CC1 429211104 CUNTXRVNSJYTPH-CYBMUJFWSA-N 413.865 4.634 5 20 HJBD CCCc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(F)cc1 429352720 WVSFKODDWIMXCJ-UHFFFAOYSA-N 414.462 4.603 5 20 HJBD COc1cc([C@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 429836744 JKRPZIKWZPPDLX-HNNXBMFYSA-N 400.475 4.546 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2Br)cc1[N+](=O)[O-] 430314232 IXSPTFSQEUECQJ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 431333685 PJCQHGKVAKNOGE-LLVKDONJSA-N 416.231 4.503 5 20 HJBD C[C@@H](Nc1ccc(Br)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439647077 VCQSGLSHPWIBDY-MRVPVSSYSA-N 416.634 4.589 5 20 HJBD CC(=O)c1ccc(OCc2nnc(-c3ccc(Cl)cc3Cl)o2)c([N+](=O)[O-])c1 440819090 VKHRHKBMQKCZAG-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD O=C(NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1ccc(Cl)cc1[N+](=O)[O-] 442974539 CSAULDQBEBPROM-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC1(c2cccc(Cl)c2)CCOCC1 443945724 JRSJIECZRGMZMT-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444683930 GUMMHHYRDFOICU-NSHDSACASA-N 411.462 4.893 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445259733 KZWCLAINAIVOQR-SSDOTTSWSA-N 405.220 4.530 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C2CC2)n1 460385291 ZFCLFKDKKGJYHV-AWEZNQCLSA-N 423.498 4.737 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)c1C 462760600 HFJRJBBQYZOTNE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(Nc1cc(Br)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 464143904 BDPYPZCQIMGWQK-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] 466334971 QMTHMZZTFDBQPK-JTQLQIEISA-N 403.410 4.796 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cc3cccc(F)c3o2)cc1SC 475752949 FVWWKBXUCNSNAY-JTQLQIEISA-N 404.419 4.702 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2ccc(Cl)cc2Cl)nc1 476460757 NZZRHGORBNFZJS-UHFFFAOYSA-N 403.225 4.798 5 20 HJBD CCOC[C@@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 477687792 BQVHJYYIUAFHNP-CQSZACIVSA-N 404.850 4.539 5 20 HJBD COC(=O)c1ccccc1-c1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)o1 482508904 SULKDEZHLCXUML-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc2ccccc12 483234035 CQVGFRNOFZAKCG-UHFFFAOYSA-N 416.524 4.521 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)n1 485399426 CIVWKBWUFHIBHW-LBPRGKRZSA-N 417.466 4.629 5 20 HJBD C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485402331 IDHKAKGAZXULEE-MLNJSSBLSA-N 413.474 4.731 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3N)c(C)c2)n1 485627156 ZAZKDWLLSDIKKX-UHFFFAOYSA-N 400.485 4.654 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487732641 CKVTVZNIDWHYHI-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD CC(C)C[C@H](C)n1nccc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488719202 NLJBMMQDESOZFK-LBPRGKRZSA-N 413.400 4.739 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(C2CCCCC2)CC1 489985613 XNFQEXJDCTVDLH-UHFFFAOYSA-N 419.591 4.870 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 493256520 NSBWCMNYUFEGQY-FPOVZHCZSA-N 424.545 4.518 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cn2)c1 515744994 VSVARSCJISXPFQ-KRWDZBQOSA-N 420.469 4.959 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cn1 518762198 DUZVHSXSADJEOX-UHFFFAOYSA-N 402.248 4.611 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2o1 521312800 GHGMQNYMOPBAPY-UHFFFAOYSA-N 414.780 4.631 5 20 HJBD Cc1c(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(F)cc2F)cccc1[N+](=O)[O-] 522963772 GMXPGCZLMQWGSD-UHFFFAOYSA-N 424.363 4.879 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@H](c1ccccc1)c1ccc(F)cc1 524671344 JRIFISXSHFCEQW-JOCHJYFZSA-N 408.429 4.994 5 20 HJBD COCCOc1ccc(CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cn1 532447124 UVLDEPKUMLOVDF-OAHLLOKOSA-N 413.499 4.594 5 20 HJBD CN(C(=O)c1ccc(SCc2cn3ccccc3n2)cc1)c1cccc([N+](=O)[O-])c1 533147144 YKRKISPCMWESJV-UHFFFAOYSA-N 418.478 4.811 5 20 HJBD COc1cc(CNC2CCC(F)(F)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 534166004 ZHMZYGXISPGFRV-UHFFFAOYSA-N 406.429 4.850 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H](Oc2cccc(Cl)c2)C1 536251451 URKAFEDNYAIRII-MRXNPFEDSA-N 414.767 4.561 5 20 HJBD C[C@@H]1CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@@H](c2ccsc2)O1 537648723 YZWBEXNVBRVPBB-ACJLOTCBSA-N 401.513 4.742 5 20 HJBD COc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OCc1cccnc1 538747669 PTVPYHOQKMXCMT-UHFFFAOYSA-N 421.453 4.755 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1Cl 539339862 NEKHGLWDPCUNBS-UHFFFAOYSA-N 407.879 4.959 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Oc3ccncc3)c(C)c2)c1 539497630 ZVNDNKYUGGAPNQ-UHFFFAOYSA-N 407.426 4.807 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)cc2)cs1 540380297 KPRWDDUQSADWLB-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cn2)n1 540398287 SKXZPNGJIQEXTE-UHFFFAOYSA-N 411.487 4.545 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 541467071 UMDPCCRZINPAAV-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543164134 LJDFOMOUHJAZJF-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F 543470655 YJWVFNHNCZJYMI-IUODEOHRSA-N 422.840 4.687 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC(n2ccnc2)CC1 544522219 LUSIFGUYBCNPMP-UHFFFAOYSA-N 414.531 4.551 5 20 HJBD Cc1c(Cc2noc(Cc3coc(-c4ccc(Cl)cc4)n3)n2)cccc1[N+](=O)[O-] 547190696 XFJGANDRRRKREJ-UHFFFAOYSA-N 410.817 4.776 5 20 HJBD CCOc1ccc(/C=C\c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1OC 547193981 GOPPQFUQCORUFG-UITAMQMPSA-N 420.425 4.628 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OCC)cc1OC 547372404 JHYINHSVHMHNMS-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(Oc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 552250992 IAXXYUQPAFHOAV-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2ccc(Cl)cc2)C1 555686713 ZYXNLIQSNODQLP-ACJLOTCBSA-N 414.849 4.513 5 20 HJBD C[C@H](NC(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 589860691 BFMTZSWBKCGPER-ZDUSSCGKSA-N 407.392 4.559 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 600666396 RWCKBNFGKCCVHK-GCJKJVERSA-N 403.482 4.804 5 20 HJBD COc1ccc(CN2CCC(CNCc3ccc(C(C)C)c([N+](=O)[O-])c3)CC2)cc1 604532645 IVJUDZWORAPJTF-UHFFFAOYSA-N 411.546 4.729 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](CC(F)(F)F)c1ccccc1 609723717 WWGHLOJCEAVABA-AWEZNQCLSA-N 416.783 4.827 5 20 HJBD CCCc1noc(CCCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 609762771 STEQLNSHBKDRSR-UHFFFAOYSA-N 410.430 4.684 5 20 HJBD C[C@H]1CCC[C@@H](N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 609854833 IPQBTWCAHAUSRT-NWDGAFQWSA-N 411.289 4.738 5 20 HJBD CCC[C@@H](C)N(C(=O)c1cccc([N+](=O)[O-])c1C)c1nc(C)c(C(=O)OCC)s1 609905862 CSMJBMCIPRIQFM-GFCCVEGCSA-N 419.503 4.680 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Br 610038713 SHHYWHKRWZQUOY-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1c1ccc(Cl)cc1 610039696 WJCNWVJCOGLPFH-MRXNPFEDSA-N 409.667 4.988 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@@H]1Cc1ccccc1 610043733 XAOJOIWWWUQMIH-OAHLLOKOSA-N 403.276 4.595 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccc(Br)cc1 610047013 WSRDHGWULGPGBM-OALUTQOASA-N 417.303 4.600 5 20 HJBD C[C@@]1(c2ccccc2)C[C@@H]1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610191422 YTPMVTWBSCDQBG-WMZOPIPTSA-N 410.417 4.552 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610209849 JEGWEWXZINVGHD-SFHVURJKSA-N 401.469 4.508 5 20 HJBD CC(C)(C)c1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 611202036 LMJHUBPVGNXWLX-UHFFFAOYSA-N 400.504 4.969 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2ccc3ccccc3n2)no1 612894115 PERDRXNJXVGLIV-LBPRGKRZSA-N 410.817 4.910 5 20 HJBD O=C(Nc1cccc(-c2ccc3ccccc3c2)n1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 729360130 MXLODEXYBUEDJF-UHFFFAOYSA-N 414.377 4.971 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3ccc(Cl)cc3Cl)o2)cc1 732230479 SQZUHPXTZGHXEU-SFHVURJKSA-N 407.253 4.985 5 20 HJBD Cc1occc1COC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 733700061 KISOEGUTYAUJTQ-UHFFFAOYSA-N 417.421 4.635 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccccc1Br 735354279 UALONOGHNOVDKR-SNVBAGLBSA-N 417.215 4.529 5 20 HJBD CCCOc1ccc([C@H](C)Nc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1OC 742393858 HLIGSGRQJIWREK-AWEZNQCLSA-N 415.446 4.522 5 20 HJBD O=C(OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)C1CCC(c2ccccc2)CC1 744085805 AZHPYGMAENIHMP-UHFFFAOYSA-N 423.513 4.723 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)on1 753638331 YFWVKQSUFYDLGW-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccnc1Br 758239813 LZBCYOHXOFYXEL-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 763961891 HAHKCAWMIBSCES-OAHLLOKOSA-N 408.930 4.757 5 20 HJBD COc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C1CC1 766870203 DKBYWZICMGTEQW-QFIPXVFZSA-N 404.422 4.822 5 20 HJBD CC1(C)CC[C@@H](NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 769152104 CPSJPTGIBFMWDF-CYBMUJFWSA-N 415.877 4.809 5 20 HJBD Cc1ccc([C@H](O)c2ccccn2)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 773615865 SMUYOUZRXLOQIT-NRFANRHFSA-N 419.462 4.847 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(-c3noc(CC(C)(C)C)n3)cc1)CC2 773989514 URHFRPUVHWOGEV-UHFFFAOYSA-N 420.469 4.745 5 20 HJBD CC(C)c1cccc(OCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776134013 KPYRTNSJXDBJDU-HSZRJFAPSA-N 406.438 4.825 5 20 HJBD C[C@H]1CC(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C[C@H](C)C1 780233540 FNCWYFFUGVJVFL-IJLUTSLNSA-N 416.396 4.556 5 20 HJBD O=C(OCc1ccn(C2CCCC2)n1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781257281 SGPVBCKGEKKSKI-UHFFFAOYSA-N 423.419 4.628 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 783554540 SATMYEZMQPQQRY-VIFPVBQESA-N 408.197 4.870 5 20 HJBD Cc1c(C(=O)Nc2nc3c(Cl)cccc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 792717973 XZKRJQJYBYGGGV-UHFFFAOYSA-N 406.807 4.635 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NCc3c(F)cc([N+](=O)[O-])cc3F)cccc21 795260416 DWYKFWLPUHIFRU-UHFFFAOYSA-N 405.401 4.783 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799318304 CHPLZCPAXMJRGS-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(COc2ccccc2)CC1 813443065 WWKUWNKGEXULEY-UHFFFAOYSA-N 409.269 4.833 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H]2c2cccs2)cc1[N+](=O)[O-] 815405811 KICUQMRRSZKSRR-SWLSCSKDSA-N 422.890 4.527 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(=O)c1ccc(-c2ccccc2)cc1 916876572 KLLQSEVEAISLMP-UHFFFAOYSA-N 405.406 4.839 5 20 HJBD Cc1c(OC(=O)[C@@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cccc1[N+](=O)[O-] 917755964 QCVBVINBCSJZOB-GOSISDBHSA-N 418.449 4.514 5 20 HJBD O=C(CCCc1ccc(Br)s1)OCc1cc(F)ccc1[N+](=O)[O-] 918917596 VUYNZUCIZAQTQD-UHFFFAOYSA-N 402.241 4.624 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 920236125 ZZGDFUXWRUCORY-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1320390567 ZYUXGUVUUFURHB-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1 1322982934 IFDNTJWDBWEVLF-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD CCc1ccc(-c2nnc(-c3ccc(OC)c(Br)c3)o2)cc1[N+](=O)[O-] 1324204408 JJHFZNVRFHQJKA-UHFFFAOYSA-N 404.220 4.645 5 20 HJBD CC1CCN(CCc2nnc(-c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)o2)CC1 1326267642 VDTPRBWTDHSJBA-UHFFFAOYSA-N 407.474 4.663 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n[nH]1 1326296946 XXBUKSDDZCCJOY-VXGBXAGGSA-N 403.870 4.991 5 20 HJBD Cc1c(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)cccc1[N+](=O)[O-] 1328241128 HDEPCRVBGLHTSJ-LLVKDONJSA-N 404.220 4.856 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccnn3C(C)C)cc2[N+](=O)[O-])n1 22288451 HGBYUTXUAYSHAW-UHFFFAOYSA-N 403.489 4.541 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cccnc2)c1 46536639 UIIMMPSBGBSXIA-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccccc1C(F)(F)F 65718515 KADALZOUJAEEPJ-CYBMUJFWSA-N 407.392 4.705 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 71971472 QYARASSPASJDPX-UHFFFAOYSA-N 413.453 4.918 5 20 HJBD O=Cc1ccc(Oc2nn3cc(-c4ccc(Cl)cc4)nc3s2)c([N+](=O)[O-])c1 302504090 MGDKNJFRDLYIQH-UHFFFAOYSA-N 400.803 4.624 5 20 HJBD CC(C)C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 302801788 ZULCOQJBELNTQA-HXUWFJFHSA-N 409.490 4.567 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2cccc(F)c2)cc1C 303223038 VJHHDOOTWZKITD-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc2ccccc2n1C 303750917 HUUUEXNNGUOPOE-HNNXBMFYSA-N 416.437 4.765 5 20 HJBD CC(C)(c1nc(-c2cnc(Oc3ccccc3)cn2)no1)c1ccccc1[N+](=O)[O-] 426426835 JQYGOWIGEVWHIC-UHFFFAOYSA-N 403.398 4.553 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC[C@H](c1ccccc1)C(F)(F)F 432468250 GSEUJPPCPQBECX-QGZVFWFLSA-N 409.408 4.515 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1O)[C@H](c1ccc(Cl)cc1)c1cccnc1 436970982 HBVHNFICKLEWMN-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H]3c3ncc[nH]3)o2)c(Br)c1 437978216 UOHGFNYCDFNALW-MRXNPFEDSA-N 417.263 4.678 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccccn2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439661462 NMQMHCXBOSHCJW-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD CCCc1nc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)s1 444327318 FWFWCFWYFNNRLO-UHFFFAOYSA-N 413.449 4.929 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1sc(Br)cc1[N+](=O)[O-] 444825264 JMTQKNAGRSYHJS-VIFPVBQESA-N 417.281 4.525 5 20 HJBD C[C@H](NCc1ccc(Cl)cc1I)c1cccc([N+](=O)[O-])c1 447566399 SPFWSUHSYNIYHE-JTQLQIEISA-N 416.646 4.704 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)c1C 447593253 QRQFWIQUHJMOMQ-HXUWFJFHSA-N 403.504 4.544 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(c1cccc(F)c1)C1CCOCC1 462610233 BYVHWSOJDWRCSM-QGZVFWFLSA-N 400.450 4.515 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 463128348 FAEHSMDMAZTXCJ-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3Cc4ccccc4C[C@@H]3c3ccccc3)o2)cc1 464725543 OIZCXDQHCZGRDE-JOCHJYFZSA-N 412.449 4.944 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1ccc(F)cc1[N+](=O)[O-] 466432166 UTOCNDOBLHQZJN-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)CC(C)C)ccc1Cl 466522048 ZQRGZLXBKSTFIU-UHFFFAOYSA-N 419.865 4.884 5 20 HJBD CCC[C@@](C)(CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468349241 ADMBSXLKHWNWAL-IBGZPJMESA-N 408.907 4.680 5 20 HJBD CS(=O)(=O)c1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1Cl 472774550 QIBRHICXRAJCHO-UHFFFAOYSA-N 410.882 4.697 5 20 HJBD COc1c(C(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)cccc1[N+](=O)[O-] 476136663 FZAKVSLZYHZNRO-LJQANCHMSA-N 408.458 4.511 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(C(C)C)cc1 478779371 WMIKNUKPJNRNFQ-UHFFFAOYSA-N 402.516 4.729 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)nc1-c1ccc(F)cc1 481827883 AMJSWIXRRXZTMU-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cn2)cc1 483939776 IHKWATXAZVFFNT-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(C3CC3)nc3c2cnn3C(C)C)cc1[N+](=O)[O-] 488001423 UNFDCOOKVTZEPT-CQSZACIVSA-N 407.474 4.597 5 20 HJBD CC(C)Oc1ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(F)c1 488372652 MRCLTOYJGPWUMR-UHFFFAOYSA-N 415.343 4.862 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C[C@@H](C)O)c1ccccc1 490586305 MJOVDHZYHBCMEC-AEFFLSMTSA-N 416.543 4.627 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)Nc1ccccc1-c1ccccc1 490841933 KAIYKAXPGVOCKB-UHFFFAOYSA-N 401.466 4.865 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498152153 HLQKLUIKZJNZCV-IBGZPJMESA-N 401.875 4.546 5 20 HJBD O=C(c1cc(-c2ccc(Cl)s2)on1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498154747 ZVLSALOLCUEHEN-KRWDZBQOSA-N 421.887 4.853 5 20 HJBD CC[C@@H](C)n1c(Sc2nc3sccn3c2[N+](=O)[O-])nnc1-c1ccccc1 504184390 OLVSAWROVLBMCE-LLVKDONJSA-N 400.489 4.685 5 20 HJBD NC(=O)CC[C@H]1CCCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 512676269 ADSPXLYGFXWGKE-OAHLLOKOSA-N 417.893 4.518 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@H](C)c2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 512839943 LGOBSRJNUUTZCN-HOTGVXAUSA-N 422.460 4.836 5 20 HJBD CCOc1cc(NC(=O)NCc2ccc(F)c(Cl)c2)c([N+](=O)[O-])cc1OCC 513953911 VOIKDDYTAIMNGG-UHFFFAOYSA-N 411.817 4.506 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 520817941 PLVLTTKJENVYBL-AOMKIAJQSA-N 405.454 4.753 5 20 HJBD COc1cc(C(=O)Nc2ccc3oc(CC(C)(C)C)nc3c2)cc([N+](=O)[O-])c1OC 525053185 CYPRWYBMTFDJST-UHFFFAOYSA-N 413.430 4.594 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)cn2)cc1 525594886 OSPVJFNSXZNZPQ-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1ncc(-c2ccc(Br)cc2)o1 535363324 OUTNXQYEOHPVAM-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD C[C@H]1C[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536403222 TYLFVCYHBYKXLL-QWHCGFSZSA-N 409.280 4.522 5 20 HJBD CC(NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)(c1ccccc1)c1ccccc1 537103843 TUTDNGBDTGQEDX-UHFFFAOYSA-N 400.438 4.698 5 20 HJBD NC(=O)C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cccc(Cl)c1 537431891 KUGKMKXNBFOXHR-QGZVFWFLSA-N 415.902 4.683 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 537811701 ZXHSTOHZKXHBRP-UHFFFAOYSA-N 400.287 4.837 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1sc(-c2ccccc2)nc1C 540512469 GEKRXRRVUSOVDW-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H](C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543547954 ROSVDAZNUBWKON-BEFAXECRSA-N 410.499 4.699 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 544118124 YWUVVFVTOUPLGG-HRAATJIYSA-N 407.470 4.884 5 20 HJBD C[C@H](OC[C@@H]1CCCO1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545777508 LDSXFVXWFYDFDI-LIRRHRJNSA-N 411.414 4.694 5 20 HJBD CCOc1ccc(SCc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 546494497 QVKJSQLWELZJPO-UHFFFAOYSA-N 410.455 4.741 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546528035 GZOGUEWZLGZFBR-UHFFFAOYSA-N 424.432 4.886 5 20 HJBD CC(C)(C(=O)N1CC[C@H](CSc2ccccc2)C1)c1ccc([N+](=O)[O-])cc1F 546530118 XJGGVPDNOVHEPW-HNNXBMFYSA-N 402.491 4.652 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)cc2)n1 546560908 XLSBJYXKHDUYHE-UHFFFAOYSA-N 414.425 4.519 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccccc3Cn3cccn3)no2)c1 550963589 RNHIBFLKBYBRCB-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD CN(C)c1nnc(-c2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc2)o1 551802550 XBBBODVBVBXAEE-UHFFFAOYSA-N 409.449 4.756 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(NC(=O)c2cccs2)c1 558752276 MLQWECSWDPWGMF-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1-c1nc(-c2ccc(N)c([N+](=O)[O-])c2)no1 579642237 YALPAIGDXRHIDI-UHFFFAOYSA-N 411.805 4.765 5 20 HJBD Cc1cc(C)nc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n1 580739834 CKEXDGQGMPCFMO-UHFFFAOYSA-N 400.504 4.561 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Br)cn2)no1 583168852 TVSGWUHYRNYLKF-GFCCVEGCSA-N 403.236 4.539 5 20 HJBD COc1cc(Cl)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1C 589058664 RXUATIYQEKAGJB-UHFFFAOYSA-N 413.655 4.888 5 20 HJBD CCc1noc(-c2ccc(CCNC(=O)c3cc4ccccc4c4cccnc34)cc2)n1 589936065 PVIBYOJPCPILSR-UHFFFAOYSA-N 422.488 4.973 5 20 HJBD CCOc1ccc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)cc1[N+](=O)[O-] 602230119 KMAQFHXFOFURAI-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OCC 603651604 UXGFKIMZHNJAIN-KRWDZBQOSA-N 413.474 4.631 5 20 HJBD COc1cc(C(=O)Nc2n[nH]c(C)c2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609108924 BXPQCBFERHNBON-UHFFFAOYSA-N 416.821 4.641 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CC(F)(F)F)c2ccccc2)cc1SC 609786116 BZOTVHLBTQSGDJ-UHFFFAOYSA-N 400.378 4.534 5 20 HJBD O=C(Nc1ccc(F)cc1F)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852614 SWBPAMRLSYEFOY-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD CCOc1cc(CN(C)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1OC(F)F 609855674 QMEAXNNLTIGBFN-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(O[C@H](C)c3ccccc3)cc2C)c1[N+](=O)[O-] 609988341 WBFZEWBYYCTPDN-OAHLLOKOSA-N 408.458 4.971 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)COc2ccccc2[N+](=O)[O-])cc1 610191315 KKFBLBUFKUZOAB-QGZVFWFLSA-N 420.465 4.869 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610587732 VUZGPKHLHKIPIC-YUMQZZPRSA-N 407.108 4.534 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCSc2ccncc21 617845765 GGRGYPARAVLIMP-UHFFFAOYSA-N 401.513 4.777 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1cc(-c2ccccc2)ccc1Cl 619468298 QEDDQZMUJITDIR-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD CC(=O)N1CCN(c2ccccc2CNCc2c3ccccc3cc3ccccc23)CC1 725853924 YXSKNEKTVJHJOO-UHFFFAOYSA-N 423.560 4.951 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(Oc3ccnc4ccccc34)cc2)cc1[N+](=O)[O-] 733287924 WVLSEDNWQVDPDL-UHFFFAOYSA-N 400.394 4.770 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1(c2ccccc2Cl)CCOCC1 734780457 SPYHPYIVUCTLRY-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368463 POLQIOWWQZBVBR-UHFFFAOYSA-N 408.370 4.617 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=S)Nc1ccc(OC(F)F)c(Cl)c1 735622074 ZDRDCDPQDFDFPM-UHFFFAOYSA-N 403.794 4.667 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H](CCO)c1cccs1 741068768 CFAXRQNVDPXHGK-SFHVURJKSA-N 413.455 4.694 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1ccc(Br)c([N+](=O)[O-])c1 748687853 PFNVUXLGGKZGPZ-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD Cc1occc1-c1nnc(SC[C@H](O)c2cccc([N+](=O)[O-])c2)n1-c1ccccc1 749640026 MFZOXFIZJORMLD-IBGZPJMESA-N 422.466 4.570 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@H]1C[C@H]1C1CC1 751742323 LPZUGHXXEOCRIN-TXPKVOOTSA-N 414.845 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 754451852 HEDHOAVRNAXKOR-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc(Cl)c1[N+](=O)[O-] 754473971 XTQNXKHZEXXQHY-GFCCVEGCSA-N 401.633 4.809 5 20 HJBD O=C(N[C@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755518926 DGKZWNBJCWWWAQ-QGZVFWFLSA-N 420.556 4.792 5 20 HJBD CN(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 758267210 NEHGLHFCYNFYFV-QFBILLFUSA-N 418.856 4.547 5 20 HJBD O=C(Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1ccc([N+](=O)[O-])c(Cl)c1 764204188 NAYPDWBUJVLDJV-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 770010231 DVZUZBLGTPWFIU-GFCCVEGCSA-N 420.922 4.995 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c2ccccc21 771761328 ZBFJORBEEGGPTA-CQSZACIVSA-N 407.239 4.649 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3F)s2)c1[N+](=O)[O-] 773550791 SIUGXHCNMYZTND-UHFFFAOYSA-N 421.400 4.929 5 20 HJBD C[C@](O)(CCNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 779347403 QSDKSKZXBBKLAI-QFIPXVFZSA-N 414.527 4.657 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(N2CCOC2=O)ccc1C 779969550 JTQHUZNXOGJIJB-UHFFFAOYSA-N 415.471 4.614 5 20 HJBD C[C@H]1CC(=O)c2c(OC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc(F)c21 781460982 FXDSCKIVTQXOPS-LBPRGKRZSA-N 401.393 4.730 5 20 HJBD COc1ccc(CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C(C)(C)C)c(OC)c1 788464416 LBHMLWXEMZRDTM-UHFFFAOYSA-N 422.428 4.531 5 20 HJBD Cc1ccc(-c2nc(COC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])co2)cc1 790743863 ZMJYIAUPFDXVPI-UHFFFAOYSA-N 404.781 4.637 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc(Br)c(Cl)c1 790781496 XWDYIISXTAJSFN-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CC[C@@H]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796323123 DTYOUUXHHUNZAP-BZPMIXESSA-N 416.396 4.700 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COc1ccc(OCc2ccccc2)cc1 800151884 QWTUIVVGIDFEIN-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD C[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Oc2cccnc2)cc1 808764252 WSINDAVOAKWAFG-HNNXBMFYSA-N 424.432 4.601 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813409980 LBAJGQSOCUMQNQ-UHFFFAOYSA-N 422.312 4.864 5 20 HJBD C[C@](O)(c1ccc(OCc2ccc(Br)c([N+](=O)[O-])c2)cc1)C(F)(F)F 814264852 IIGZCMJLPIVSFY-HNNXBMFYSA-N 420.181 4.706 5 20 HJBD CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1cc2c(cc1Br)OCO2 864039901 MVRZEJFCVZXVRM-UHFFFAOYSA-N 408.252 4.518 5 20 HJBD Cc1sc(NC(=O)CCc2ccc(N(C)C)cc2)nc1-c1cccc([N+](=O)[O-])c1 917790863 LIFDHXSNNBFFCN-UHFFFAOYSA-N 410.499 4.664 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cc1Br 918255140 RFVRYJYMTYMBLN-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1c(Br)cc(-c2nnc(-c3cccc4ncccc34)o2)cc1[N+](=O)[O-] 1118415285 SDZSLGLQPWJGBV-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)c([N+](=O)[O-])c1 1526688515 ZMPFYSMSGRQGAH-LJQANCHMSA-N 412.833 4.563 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1ccc(F)cc1)c1cccs1 19352747 LSQKTBNZFHWFRK-LJQANCHMSA-N 420.849 4.733 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(Cl)c(Cl)c1 22495578 KISSMPQOIHXOHE-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CC[C@@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 23988991 ODFPTPVVLKFWES-OLZOCXBDSA-N 420.849 4.501 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3Cc4ccccc4[C@H](c4ccccc4)C3)o2)cc1 43751775 CSDOWEVUPQCIHB-QFIPXVFZSA-N 412.449 4.793 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1cccc([N+](=O)[O-])c1 47399576 XHEAMNILJSMLDW-CYBMUJFWSA-N 410.455 4.791 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)N3CCCCCC3)cc2)c1 55742333 IZZPBMGMKVTJHH-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD Cc1cc(NCc2csc(Br)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60859142 ATHTZCXCIOUKKF-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64935204 DOKQHNPYEIDXMR-UHFFFAOYSA-N 422.510 4.609 5 20 HJBD CCCOc1ccc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc1OCC 107841905 UPUQAPGWJOTHST-CSKARUKUSA-N 421.453 4.648 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)n1 108829137 IOYUSZDWKIGLDR-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD C[C@@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 109066008 ZLYKMMDJGFIOBJ-UZUQRXQVSA-N 402.450 4.792 5 20 HJBD CC(C)CC(=O)N1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 236605069 DMNXYHUTGYKEJB-UHFFFAOYSA-N 419.909 4.635 5 20 HJBD CCOc1cc(CNc2ccc(C)c(C)c2)ccc1OCCn1c([N+](=O)[O-])cnc1C 237032760 MLIDUOBEAJWPSS-UHFFFAOYSA-N 424.501 4.806 5 20 HJBD CO[C@@H](C)C(=O)Nc1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 237305552 YVKDJXKSNIUFAR-AWEZNQCLSA-N 411.483 4.909 5 20 HJBD COc1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)c1 237399663 XKZADUCRXQTDKX-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@H](O)c3ccc(F)cc3)CC2)nc1-c1ccccc1 301855936 IJDJMCFYYVEYLT-HSZRJFAPSA-N 407.445 4.746 5 20 HJBD COc1cccc(-c2nnc(Sc3ccc([N+](=O)[O-])cc3)n2Cc2ccco2)c1 302922486 URRMPCPETAHGHP-UHFFFAOYSA-N 408.439 4.654 5 20 HJBD CN(C)c1ccc(Cl)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303077161 ZSXPDCVCVXLPPH-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 426022309 LMIPTNOEYQJZTP-IFXJQAMLSA-N 419.913 4.579 5 20 HJBD C[C@@H]1CC[C@](CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426630709 VQLVZAQHIHHGTB-UZUQRXQVSA-N 411.502 4.733 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1C 429276073 DBEHPXBQGWICNO-HNNXBMFYSA-N 410.449 4.923 5 20 HJBD C[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 433388071 TVOJGXODOIEFRU-UZLBHIALSA-N 402.466 4.575 5 20 HJBD O=C(NCCCSc1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435831019 LWYXTHYZTXJPBU-UHFFFAOYSA-N 406.482 4.733 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 436165299 NQWWHWSGIYDZTC-JCGVRSQUSA-N 424.423 4.870 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)cn1 437127431 ASYMQJAEABNYCD-UHFFFAOYSA-N 417.465 4.682 5 20 HJBD CCc1ncsc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 437815860 BAKPAHVUAIAQEY-UHFFFAOYSA-N 406.514 4.782 5 20 HJBD Cc1cccc(CN(Cc2ccco2)Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 438735219 MSCPNWLZGJMLPH-UHFFFAOYSA-N 404.426 4.749 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(=Cc2cccc(OC)c2)CC1 439883959 QVSAUWNAFUESJN-UHFFFAOYSA-N 411.458 4.713 5 20 HJBD CC(=O)c1ccc(OCC(=O)N2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 440816267 MEZMBZGQZXGSGX-HXUWFJFHSA-N 424.497 4.837 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1sc(Br)cc1[N+](=O)[O-] 444858291 MDVCOIIVOLFDBT-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)c1cccc2c(=O)c3ccccc3[nH]c12 445005775 ADUKOJAGRQMAPL-UHFFFAOYSA-N 400.482 5.095 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 445723411 LFLSLIOTTLOEHQ-OAHLLOKOSA-N 422.510 4.856 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCN(c2ccccc2)C1 447733073 ZYUGBRBKVPKJSP-QGZVFWFLSA-N 413.543 4.743 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463455257 WZTOBOWTIJSODK-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(N[C@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463604503 HXEPSYUKJLJNRN-JSGCOSHPSA-N 418.293 4.918 5 20 HJBD COc1cc(CNCc2c(OC(C)C)ccc3ccccc23)c([N+](=O)[O-])cc1OC 463723418 POEASQFANKNWFE-UHFFFAOYSA-N 410.470 4.842 5 20 HJBD CCCCCOc1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464639960 QNJCUDDZHJKIIO-UHFFFAOYSA-N 412.486 4.847 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Cl)cc1-c1ccccc1Cl 469020151 RZNKIJMVQZTMMP-UHFFFAOYSA-N 405.241 4.712 5 20 HJBD COc1cc(C(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 469192813 ZTFCZORJKDPAPT-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2cccc(Cl)c2)C1 469227724 WXRYYGTXYULKRT-QGZVFWFLSA-N 406.891 4.574 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 470137966 MXGJKMLLMPLFPC-CQSZACIVSA-N 422.416 4.869 5 20 HJBD Cc1nc2cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)ccc2n1Cc1ccsc1 472547349 BUJPTBRPYBGBCJ-UHFFFAOYSA-N 406.467 4.639 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1noc(-c2ccccc2)n1 475207921 XRVZKGAFSWDLAA-JTQLQIEISA-N 421.335 4.546 5 20 HJBD COCCN(C(=O)[C@@H]1CC12CCCCC2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 479065331 GYTYUUAHNSADTC-KRWDZBQOSA-N 415.515 4.668 5 20 HJBD Cc1nc2cc(Cl)c(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3Cl)cc2s1 479289879 VTFQFLHSKDQOAE-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)CCC2 479546587 WVUGJVIBRAPGGE-GOSISDBHSA-N 416.547 4.655 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 482781632 MPVBGFURHOBORY-GJZGRUSLSA-N 422.489 4.891 5 20 HJBD COc1cc(OC)c(OC)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 483395175 OTUZUNZDYXBUKW-UHFFFAOYSA-N 423.425 4.617 5 20 HJBD CSc1cccc(C(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)c1[N+](=O)[O-] 486415195 ZGOOKDXJJXHGAF-SFHVURJKSA-N 413.886 4.885 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccccc2)[C@@H](C)C2CC2)c(C)c([N+](=O)[O-])c1 486875784 ALTSEPISLWTVJY-HNNXBMFYSA-N 411.458 4.522 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(C(=O)c3ccc([N+](=O)[O-])cc3)C2)n1 487241714 WBXPKOQCIBXIOG-INIZCTEOSA-N 423.494 4.747 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 488664613 AIVJXCHIGVPOQZ-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nnc(-c2ccc(C(C)C)cc2)o1 488826718 BZLFAPNZQFSGOO-UHFFFAOYSA-N 412.471 4.807 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)s1 490270726 JQYKXKRWAKUGDR-JTQLQIEISA-N 412.309 4.714 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(OC(F)F)cc1F 491363626 WNVHTXOGTQVDIH-UHFFFAOYSA-N 415.343 4.917 5 20 HJBD O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 492514397 YXYSFHUTICPEAE-BAGYTPMASA-N 402.475 4.814 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)c1 506539848 IAJZVFJIOUYDFA-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 508226548 YSKWKYAAJMEPIE-VBKZILBWSA-N 420.444 4.719 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1CCCN(C2CCC2)C1 511267986 KANUQCDJUBWTKD-SJORKVTESA-N 419.591 4.726 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 512978899 KHKHZDHQWMJVSW-KOUNCHBCSA-N 419.525 4.748 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC(Cc1ccccc1)Cc1ccccc1 522744719 JHMKAEAGSPNSKN-UHFFFAOYSA-N 408.885 4.761 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC2(CCC2)c2c(F)cccc21 523856288 KCEZBSQAUOJTIH-UHFFFAOYSA-N 412.464 4.762 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CCCc2cc(OC(F)F)ccc21 524229318 QLRLTZZDKMVPIB-KRWDZBQOSA-N 410.804 4.586 5 20 HJBD Cc1cnc([C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C2CC2)s1 525163998 KJCQCFCAGZUOHJ-HXUWFJFHSA-N 422.510 4.853 5 20 HJBD CCc1ccc(O)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 531305283 UKRRTWMWLCWHEY-CQSZACIVSA-N 406.442 4.683 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 532625454 SQEQFLBGKRTHRO-JKSUJKDBSA-N 424.526 4.720 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1C 534731753 WUFWZUAVWWOHMK-UHFFFAOYSA-N 420.465 4.980 5 20 HJBD COc1ccc(CNc2cnn(C(C)(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 534866772 FNHSFALOJAYIBY-UHFFFAOYSA-N 410.474 4.746 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 538746784 BHUJKIYIPJPZPF-UHFFFAOYSA-N 407.495 4.889 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@@H]([C@@H]3CCOC3)C2)ccc1Oc1ccccc1Cl 538751610 DOGYKCHZKZFLBO-IAGOWNOFSA-N 402.878 4.899 5 20 HJBD Cc1ccc([C@H](NC(=O)CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2cccs2)cc1 539377171 PJNSYSVGUNHPGQ-HXOBKFHXSA-N 423.538 4.830 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccco1)N1CCCCC1 540398609 XPSLIAUDPJLRTO-GOSISDBHSA-N 417.531 4.645 5 20 HJBD CC(C)COc1ccc(C(C)(C)NCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 540418191 ZYWYZZIDZXKPGO-UHFFFAOYSA-N 410.474 4.705 5 20 HJBD CC[C@H](Oc1ccccc1C)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452090 WUERLEQYNHOPMR-SFHVURJKSA-N 411.483 4.847 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F 541638164 KPFWALABQWHSPJ-ZIAGYGMSSA-N 409.408 4.642 5 20 HJBD COc1ccc(Cc2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)c(Cl)c1OC 546365621 JBSKUEHXXKPKHR-VMPITWQZSA-N 419.796 4.549 5 20 HJBD COCCC(C)(C)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 546634898 JVMSTQYFCBVEKG-UHFFFAOYSA-N 408.907 4.944 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC(c2cccc(F)c2F)CC1 549098502 FVGLOGJXCQEONV-UHFFFAOYSA-N 413.428 4.535 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2Cc2nc(-c3ccco3)no2)cc1 551048329 QUWBFWRXLPFGRG-UHFFFAOYSA-N 413.393 4.681 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4ccc(C(F)(F)F)cc4)o3)n2)nc1 551397352 PFFRDZVKQBCJFN-UHFFFAOYSA-N 416.315 4.909 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccnc(C(F)(F)F)c2)no1 554845449 ROZUOIVWTCWUNW-MRVPVSSYSA-N 414.727 4.852 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCC(n2c(C)ccc2C)CC1 555844607 PZGULZJVURECRA-UHFFFAOYSA-N 420.307 4.561 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccn1)Cc1ccc(F)cc1 567004078 HIWUKQWZKKKTLZ-UHFFFAOYSA-N 422.460 4.968 5 20 HJBD COc1ccccc1NC(=O)C1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 579745872 HBNFSXNUFGLANW-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD Cc1ccc(C2(c3noc(CSc4ccc([N+](=O)[O-])cc4)n3)CCOCC2)cc1 582873627 WSVRZSMZVNHKMF-UHFFFAOYSA-N 411.483 4.675 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608962379 DLTROPPFYGNDSY-QGZVFWFLSA-N 407.392 4.779 5 20 HJBD Cc1cc(S(=O)(=O)N(Cc2cccc(C(F)(F)F)c2)C(C)C)ccc1[N+](=O)[O-] 609674012 QWRYDLBDMVPSSL-UHFFFAOYSA-N 416.421 4.521 5 20 HJBD CC1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCOCC1 609791899 SVOXJVPXIPPMHK-UHFFFAOYSA-N 422.840 4.613 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCN(Cc2ccccc2)c2ccccc21 609978152 JGVUEELJUBEVKT-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2csc([N+](=O)[O-])c2)c1)c1ccccc1 609978404 AGLLLFMVLKGZDH-UHFFFAOYSA-N 415.858 4.839 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 610041974 QBBSGDZEEVXFPM-UHFFFAOYSA-N 409.486 4.574 5 20 HJBD Cc1ccc([C@@H](Nc2ccc([N+](=O)[O-])s2)c2cccc(S(C)(=O)=O)c2)cc1 610282194 QHOVYCQEIXSIEP-LJQANCHMSA-N 402.497 4.570 5 20 HJBD CSc1ccncc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 610543865 DIEDBLQFXVIPSD-UHFFFAOYSA-N 418.525 4.880 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCC(c2nc(-c3ccccc3)no2)CC1 616057537 MKYUCAPMMBCKJN-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD O=C(OCc1cnc2ccccc2n1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 726343748 SNVBDPVQISMUDG-UHFFFAOYSA-N 414.421 4.507 5 20 HJBD O=C(NC[C@H]1CCCCN1Cc1cccc(Cl)c1)c1cc(Cl)ccc1[N+](=O)[O-] 731595110 WVKNVQZYLSNPBI-QGZVFWFLSA-N 422.312 4.686 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3F)s2)cc1 733771772 AOAPBCTYBJOBQA-NSHDSACASA-N 415.446 4.665 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 734850251 WOTWNXQCZVCPHG-HXUWFJFHSA-N 403.486 4.628 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NC(=S)Nc3ccc4c(c3)OCO4)c2)cc1 735241601 HTIXTFGCYORONS-UHFFFAOYSA-N 409.423 4.925 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 735990534 VMZPYMWVPQOLPS-UHFFFAOYSA-N 406.423 4.555 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)sc1C 741858236 SVMGCMPFXDKQEC-SECBINFHSA-N 405.423 4.806 5 20 HJBD Cc1cccc([C@H]2CCCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)c1C 743386886 AMLKLKSZVZAABD-HSZRJFAPSA-N 418.497 4.620 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(Cc2ccccc2)no1 744572869 SNNGCKUNWDVONB-GFCCVEGCSA-N 409.423 4.701 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2cc(Cl)ccc2[N+](=O)[O-])n[nH]1 746389781 WTQVTZSQSQOXDV-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD CN1CC(=O)N(c2cccc(NC(=O)c3c4ccccc4cc4ccccc34)c2)C1=O 746514958 BZBWBNHSPURPEO-UHFFFAOYSA-N 409.445 4.644 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746896913 ALEGYMSMZAKIII-UHFFFAOYSA-N 412.324 4.524 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])c(C)c1 752557260 HMDSCJNOGXSHFJ-UHFFFAOYSA-N 402.422 4.543 5 20 HJBD Cc1c(C(=O)Nc2ccc(CCC(F)(F)F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 753262228 MWDPCSMNKHLALV-UHFFFAOYSA-N 411.336 4.867 5 20 HJBD Cc1c(C(=O)Nc2cnccc2-c2cccc(F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 753275062 WBTYVXGPAFINSJ-UHFFFAOYSA-N 410.361 4.573 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC2(CCC2)c2c(F)cccc21 758226218 KXECPQALFKOFPI-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD Cc1cccc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1Br 760543868 YOABUADHUQIKLT-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cccc2ccsc12 767045246 IVIQRMRMDGULCT-UHFFFAOYSA-N 422.466 4.910 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770413300 QTEALOVURAREMM-JTQLQIEISA-N 403.410 4.796 5 20 HJBD CC(C)(c1noc([C@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1)c1ccccc1Cl 771645622 ADNJYALQJHUWSK-QGZVFWFLSA-N 412.833 4.823 5 20 HJBD CCOC(=O)/C(=C\c1ccc(-c2c(F)cccc2F)o1)c1ccc([N+](=O)[O-])cn1 794948721 HYGLBODMJSSJLO-UVTDQMKNSA-N 400.337 4.632 5 20 HJBD Cc1nc(-c2ccc(C(=O)O[C@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)cc2)cs1 799616686 GAJRCYMXKMOGRS-ROUUACIJSA-N 412.471 4.564 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813090891 RYUGISZKABSLGJ-SJCJKPOMSA-N 409.269 4.799 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@@H]1CSCCS1 916827689 QYLGPGAENVGEMR-KRWDZBQOSA-N 424.931 4.614 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1 918170399 OFVFERHULCLMMD-YHYXMXQVSA-N 411.801 4.745 5 20 HJBD COCCc1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 919678555 BCPJFNRWFHCUHP-INIZCTEOSA-N 421.453 4.571 5 20 HJBD O=C(c1cc(-c2ccccc2)nn1-c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318795669 RCPAFBPJWLNQNK-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD CCCOc1ccc(-c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1OC 1325739880 ZXUWUZPECQJEDF-UHFFFAOYSA-N 403.822 4.686 5 20 HJBD Cc1c(Br)cc(-c2nnc(-c3ccc4ccccc4n3)o2)cc1[N+](=O)[O-] 1329238749 OURWJKLDPMQHRK-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccn(-c3ncccc3F)n2)o1 1335907970 WMABDLVYSAMSAB-UHFFFAOYSA-N 413.796 4.840 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)c1)[C@H](Oc1cccnc1[N+](=O)[O-])c1ccccc1 22027506 JNQQGIPVYKZNIL-QGZVFWFLSA-N 417.343 4.767 5 20 HJBD Cc1ccccc1[C@H](C)NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214149 VWGMZLXSAUAJIF-STQMWFEESA-N 401.513 4.871 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 26274003 JZKKHVQTVYJAGD-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD O=C1c2ccccc2N[C@H](c2cc([N+](=O)[O-])ccc2OC(F)F)N1Cc1ccco1 145807043 SCMZNXLZOJFLNB-SFHVURJKSA-N 415.352 4.556 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cccc([N+](=O)[O-])c1Br 159038313 DPILNRJROLBXOH-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3cccc(-n4cccn4)c3)o2)c([N+](=O)[O-])c1 237426097 MVFRWXDAQPVEDD-MRXNPFEDSA-N 418.453 4.900 5 20 HJBD O=c1ccn(CCOc2ccc([N+](=O)[O-])cc2)c2ccc(Oc3ccccc3)cc12 429316661 ZLEMCLQZZDRXIZ-UHFFFAOYSA-N 402.406 4.781 5 20 HJBD CN(Cc1cn2c(n1)CCCC2)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 429753008 QHGKDJCRNZVZOK-UHFFFAOYSA-N 421.457 4.584 5 20 HJBD CC(=O)c1ccc(Cl)c(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 432076832 MKHCXXNPOYVUTJ-UHFFFAOYSA-N 423.661 4.558 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(OC(F)F)cc1 437928194 GTZHUKKPBZISME-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cc2ccccc2o1 443184101 UPJBBNJMWSOBLV-OAHLLOKOSA-N 409.442 4.655 5 20 HJBD O=C(NCC1(c2ccc(F)cc2Cl)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444294231 XVWPCTMVRHYRAY-UHFFFAOYSA-N 420.871 4.935 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C1(c2cc(C(F)(F)F)ccc2Cl)CC1 445572309 QZANSZUHDORTIY-UHFFFAOYSA-N 414.767 4.946 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cc(C(F)(F)F)ccc1F 445796794 BIYYCBXXUQICJA-UHFFFAOYSA-N 421.144 4.696 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 447196504 ZYZOOTCRWQMSQP-CYBMUJFWSA-N 414.512 4.850 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ncc(-c2ccccc2)[nH]1 447682847 KKTMCXOLEHRGNT-UHFFFAOYSA-N 413.306 4.646 5 20 HJBD Cc1cc(Sc2nnc([C@@H](C)N(C)C)n2-c2ccc(F)cc2)c(F)cc1[N+](=O)[O-] 461722262 XMQYLHJDUZDCSU-GFCCVEGCSA-N 419.457 4.536 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 464056671 LQVPFUZVKSTKFO-SFTDATJTSA-N 407.514 4.912 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(C(F)(F)F)CC1 464494322 AQQMLGNKXGTRFK-UHFFFAOYSA-N 416.343 4.507 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1CC(=O)c1ccco1 469131830 XTTACHOKWSSAEK-RDJZCZTQSA-N 416.499 4.713 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)n1 470143510 WSHKVAOWDKSODG-UHFFFAOYSA-N 417.425 4.519 5 20 HJBD C[C@](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])(C1CC1)C(F)(F)F 471129808 QTPKOZPSBBQHHU-ZDUSSCGKSA-N 416.343 4.553 5 20 HJBD COc1ccccc1[C@H]1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 475223125 HXOFHVIBOYFDSF-LBPRGKRZSA-N 409.364 4.644 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)c2ccc(OC(F)(F)F)cc2)c1 475769242 FUXAWWNCBGXRNU-UHFFFAOYSA-N 414.405 4.880 5 20 HJBD COc1cccc(-c2nc(COc3cc(C)c([N+](=O)[O-])cc3F)cs2)c1OC 479163670 OCFUGDVFIZPEQI-UHFFFAOYSA-N 404.419 4.762 5 20 HJBD CCC(=O)c1ccc(NCCc2nc(-c3ccc(Cl)cc3)no2)c([N+](=O)[O-])c1 480021630 WDLKCKGMFNVWLN-UHFFFAOYSA-N 400.822 4.546 5 20 HJBD COCCn1c(C)c(C)c2cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)ccc21 483320442 CNOIHBFSDFBOEM-UHFFFAOYSA-N 423.513 4.864 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cc(C(F)(F)F)ccc1Br 484790544 ANIKVKNKXPXLRN-UHFFFAOYSA-N 421.144 4.696 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485523591 CRZBHGBFOZWSRZ-NRFANRHFSA-N 405.454 4.792 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])n1 485735186 WXDHCPGAQHRDRA-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CSc1cccc(C(=O)NCCc2ccc(-c3csc(C)n3)cc2)c1[N+](=O)[O-] 485755073 HQLJIPLIEFUGJK-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD COc1cccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1OC(F)F 487165795 FIIXPAXKRAEWHA-UHFFFAOYSA-N 406.307 4.513 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1cccc(Br)c1 488742942 GOFNDHUZDJYMKU-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ccco2)cc1F 489359127 HXPSXBPBDMIDIZ-UHFFFAOYSA-N 416.430 4.985 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 489362047 UJIYGOHMQAALQF-LBPRGKRZSA-N 404.850 4.631 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1 490272855 LZHCOFFRYZLYHR-LBPRGKRZSA-N 423.897 4.508 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cc(F)cc(F)c2)[nH]n1 490399317 OCXOOPRFFCPLMQ-UHFFFAOYSA-N 404.398 4.627 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F 494494885 MERLBYMHZJAJET-CHWSQXEVSA-N 422.840 4.545 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cc(OC)c(OC)cc1C 503067721 SZXVOTGREAWJMK-CQSZACIVSA-N 418.515 4.914 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1C1CCCCC1 510350292 AEKNBZFPZWOEBY-UHFFFAOYSA-N 411.487 4.981 5 20 HJBD Oc1nc(Cc2cc(Br)c3c(c2)OCCO3)nc2cc3ccccc3cc12 511433112 RHFUQNISNZWHHY-UHFFFAOYSA-N 423.266 4.613 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H](CO)c1ccc(Cl)cc1 512722111 BAZSHAMEYARAPP-FQEVSTJZSA-N 412.829 4.755 5 20 HJBD CCN(Cc1cccc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1)C(C)=O 513141014 MDPXWLFEAXBGDE-UHFFFAOYSA-N 417.465 4.938 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccc(OCc4ccccc4)n3)no2)cc1 514593804 YIFAOSYBPVZNKH-UHFFFAOYSA-N 424.363 4.759 5 20 HJBD O=C(CSc1nccn1-c1ccc(C(F)(F)F)cc1)Nc1ccccc1[N+](=O)[O-] 518911493 UQEOMHLBVKSABC-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 519161016 JOGFWJNXKMLOOY-OAQYLSRUSA-N 418.428 4.563 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1SCCc2ccccc21 538257933 RAVQZHNTMJPGJP-IBGZPJMESA-N 402.541 4.856 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1 539757721 BMFNSPMCYDJHGQ-UHFFFAOYSA-N 424.363 4.759 5 20 HJBD CC(C)CN(C(=O)CCc1cccnc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540453429 TUDKLDIVSOYSJD-UHFFFAOYSA-N 410.499 4.735 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@](C)(c2ccc(F)cc2)C(F)(F)F)c1 542868956 OIRNGOTZRKGRDZ-MRXNPFEDSA-N 402.369 4.663 5 20 HJBD C[C@H](NC(=O)Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cccc([N+](=O)[O-])c1 543964949 UDQQFVMVWVAACJ-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(CNC(=O)c4cccs4)cc3)n2)cc1[N+](=O)[O-] 545574587 LHNUAZJGOAXRFX-UHFFFAOYSA-N 420.450 4.612 5 20 HJBD CC(C)(C(=O)Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccc([N+](=O)[O-])cc1F 546537561 PHNJEBGFNQWFIA-UHFFFAOYSA-N 411.311 4.810 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@@H]2c2c(F)cccc2Cl)n1 547084962 KLEINWXDJZJKSW-NWDGAFQWSA-N 402.813 4.696 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ncc(-c2ccccc2)o1 551353928 MVDNOWVOJPBUSL-LLVKDONJSA-N 405.332 4.760 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)OC(C)(C)C)c(C)c2)c1 554962559 WNYFMNFOIDFAEX-UHFFFAOYSA-N 400.431 4.510 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCCCC[C@@H]1c1ccncc1 559516542 CZNUZJLVFBKQDG-GOSISDBHSA-N 418.291 4.818 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@H](c3cccc(OC(F)(F)F)c3)C3CC3)nc(O)c2c1 561358957 QFYJYTYBJIBKLB-INIZCTEOSA-N 420.347 4.705 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC=C(c2ccncc2)C1 566813061 XWAFZOOFNCAFIK-UHFFFAOYSA-N 400.438 4.663 5 20 HJBD O=[N+]([O-])c1cc2c(N[C@H]3CSc4ccc(Br)cc43)ncnc2s1 568023063 QYINPLRXCQMUFX-JTQLQIEISA-N 409.290 4.621 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 581161815 PVTRCQHVTDSLHG-INIZCTEOSA-N 412.273 4.709 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@H]2c2ccc(OC(F)(F)F)cc2)n1 584864020 FTAMCUXUROTVCV-LSDHHAIUSA-N 405.332 4.738 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2ccc(CC)cc2)c([N+](=O)[O-])cc1OCC 603651487 SMIWSNWIPUEMTL-UHFFFAOYSA-N 401.463 4.619 5 20 HJBD O=C(NCc1cccc(OCc2ccccn2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 603665112 MJZQNTRCGVYVQH-UHFFFAOYSA-N 419.462 4.714 5 20 HJBD COc1ccc(-c2ccc([C@@H]3Nc4cc(Cl)ccc4C(=O)N3C)o2)c([N+](=O)[O-])c1 603937899 CGHHJBSUBNLEMF-LJQANCHMSA-N 413.817 4.713 5 20 HJBD COc1cc(CNC[C@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 609223370 LMTCXBYVCMRXCD-LBPRGKRZSA-N 416.371 4.577 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 609584197 JEZZIKSYMLEURI-QFIPXVFZSA-N 422.460 4.731 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H](C)c2c(C)noc2C)c2ccccc2)c([N+](=O)[O-])c1 609615588 FVLQZFPNKAWANX-QKKBWIMNSA-N 408.458 4.539 5 20 HJBD CCC[C@H](C)N(C(=O)c1cccc([N+](=O)[O-])c1C)c1nc(C)c(C(=O)OCC)s1 609905863 CSMJBMCIPRIQFM-LBPRGKRZSA-N 419.503 4.680 5 20 HJBD CN(c1ccccc1)c1ccc(CNC[C@H](CCO)c2ccccc2)cc1[N+](=O)[O-] 610137571 JAUGRFCQQCZPEE-NRFANRHFSA-N 405.498 4.619 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H]2[C@H]1[C@@H]2C(F)(F)F 611211286 NEDYQJZFJOEOJJ-MAZHCROVSA-N 400.422 4.652 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@@](=O)Cc4ccccc4)c3)c2c1 611706809 MFSIQVCHGQLBQS-PMERELPUSA-N 418.478 4.731 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 726156533 WETDSTRUBIAJDU-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C/C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729871768 IGVOQKKNZWPCIK-VOMSXAGXSA-N 423.425 4.579 5 20 HJBD Cc1sc(NC(=O)Cc2cc(-c3ccccc3)on2)nc1-c1cccc([N+](=O)[O-])c1 736005154 GPDGDUMNVQFKNN-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD COc1cc(C(=O)OC2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])cc1OCc1cscn1 744213364 SRKXXLJZLOVPQE-CHWSQXEVSA-N 420.487 4.620 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 746539083 NSOMUDVJWGOPDE-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])ccc1OCC(C)C 753102454 HTDNYZSLRALMNB-CQSZACIVSA-N 404.438 4.577 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccccc2n1C(F)F 754027711 MKTFROHCLYCDSO-GHMZBOCLSA-N 407.348 4.887 5 20 HJBD Cc1nc([C@@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)no1 759537812 FJZSWEZNOGTTDU-SNVBAGLBSA-N 403.778 4.650 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc[nH]2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 760414258 HBEBLHHAEPGXKM-GFCCVEGCSA-N 415.833 4.868 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 761699202 RXDJQZNPOFINCX-ZDUSSCGKSA-N 415.446 4.693 5 20 HJBD COC(=O)Nc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc(C(F)(F)F)c1 764246592 AUHSTGNEEAWMET-UHFFFAOYSA-N 417.727 4.698 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 765523792 BLYQLPVIIHUDMY-VIFPVBQESA-N 415.646 4.511 5 20 HJBD Cc1cc(C(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)cc([N+](=O)[O-])c1 766589563 ZBESKLVEEBTOCB-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770009603 SODIFAMXVMGTRT-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C3)cs1 772859232 RDPBHDBJSFRCBJ-UHFFFAOYSA-N 419.915 4.940 5 20 HJBD Cc1ccc(C)c(OCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776137052 MQTFLTUIDGGTBG-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1csc(-c2ccoc2)n1 776140063 ZIQAXFJEIDEBFM-SFHVURJKSA-N 407.407 4.653 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1nc(Cl)c(Cl)c(N)c1Cl 778738421 WHCCEPLQAUNGQM-ZETCQYMHSA-N 404.637 4.759 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1c[nH]c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 787229406 XLRHKORDGBKKCU-KGLIPLIRSA-N 420.425 4.924 5 20 HJBD Cc1ccc(Br)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790541321 GQQJXCNMTGIRMG-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccnc(F)c1F 791470297 DXJXTERHRDFQLM-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1cc([N+](=O)[O-])ccc1Br 797356052 SUBRAGJWILXNOJ-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 801055430 MDVOFJPKARDPPV-MRXNPFEDSA-N 424.284 4.609 5 20 HJBD O=C(Nc1cc(Cl)c(O)cc1F)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 805261997 SSQJLFFXJJCOOA-UHFFFAOYSA-N 415.808 4.957 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1nc2ccccc2cc1Br 808703977 CVBINKVEGGQGKH-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1ccc(OC(=O)c2sc(COc3ccc(F)cc3)nc2C)c([N+](=O)[O-])c1 818037457 QDFQWLKNKXYXFE-UHFFFAOYSA-N 402.403 4.605 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccsc3C(F)(F)F)no2)C1 904922244 BFSRMQOQZDPOJK-GFCCVEGCSA-N 410.333 4.591 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(c2cccc(Br)c2)CC1 910911300 ZOSMCDRDCNPAMC-UHFFFAOYSA-N 423.694 4.701 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(COc3ccccc3)c2)cc1OC 917855624 ZYVNCSXLYGXESP-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1Cl)c1ccco1 918169923 LFVJQGHOUIDJJS-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD COc1cccc(CO[C@H](C)C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 920329328 IFHVZDHFXDYTPG-MRXNPFEDSA-N 407.422 4.781 5 20 HJBD Cc1csc(CCCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n1 1319599276 OPXLBGAYGNXSPQ-UHFFFAOYSA-N 405.545 4.757 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(Oc2ccccc2)c1 10963476 ZBTYSSGIDSRANA-UHFFFAOYSA-N 406.394 4.801 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 14376612 CCZAERCUHHXNSD-NSHDSACASA-N 403.847 4.778 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc3c(C)cc(C)cc3s2)cc1[N+](=O)[O-] 45713317 VJVJXPYHYAQUOO-UHFFFAOYSA-N 417.512 4.702 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Oc3cc(C)ccc3C)CC2)c1 55709251 GHEXBVQEHSCCSF-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD O=c1c2sccc2nc(SCc2ccccc2[N+](=O)[O-])n1Cc1ccccc1 58170130 SQSDWYFTWMPINL-UHFFFAOYSA-N 409.492 4.707 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCSc2ccc(Cl)cc21 58714871 UCQWIUCLWFMQJR-UHFFFAOYSA-N 403.891 4.601 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 64906479 JADJCYDKZJEMQI-UHFFFAOYSA-N 424.482 4.955 5 20 HJBD Cc1sc(NC(=O)Cc2cn(C)c3ccccc23)nc1-c1cccc([N+](=O)[O-])c1 65852035 RFOLRDZXAKUMJG-UHFFFAOYSA-N 406.467 4.700 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1OC 115521491 ZXFBVRGDAWRWJG-UHFFFAOYSA-N 400.765 4.576 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cc1OCC(F)(F)F 303649366 UANXBWWKPVQIAV-UHFFFAOYSA-N 415.343 4.506 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccco1 426785451 XLRHTEWMHOITCL-QFIPXVFZSA-N 421.453 4.660 5 20 HJBD CCCc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429626818 PHQIHWFQXRJANK-AWEZNQCLSA-N 411.437 4.755 5 20 HJBD Cn1c(SCC(=O)C2CCC(F)(F)CC2)nc2cc3ccccc3cc2c1=O 432715472 ATIJOYPPTJVQHU-UHFFFAOYSA-N 402.466 4.573 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 432825275 VEZCCOLRNFJBSX-UHFFFAOYSA-N 410.861 4.983 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)O[C@@H](C)C2 437194776 OTSWNTWVLNIJCV-JTQLQIEISA-N 410.348 4.588 5 20 HJBD CC(=O)c1ccc(OCC(=O)c2cc(C)n(Cc3cccs3)c2C)c([N+](=O)[O-])c1 440833321 OENSGRDDDCJEFY-UHFFFAOYSA-N 412.467 4.587 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3cc(Cl)ccc3Cl)no2)cc1[N+](=O)[O-] 445330465 AXSRXPNEMGOSNC-UHFFFAOYSA-N 421.240 4.695 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSCc3coc(-c4ccccc4)n3)n2)s1 445471582 HNQXNEJKFGMCPR-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1c(F)cccc1Cl 445953627 SPADTCGBZOKTAL-SECBINFHSA-N 415.646 4.570 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](c2ccc(F)cc2)C(C)C)cc1SC 448513426 QUQQLWFUMQZMFR-LJQANCHMSA-N 406.479 4.934 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 461257264 LTEQRVPBAAWLDL-DLBZAZTESA-N 407.495 4.613 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3ccc(Br)cc3)C2)c1 462371631 RENAQAWLLUVERS-MQMHXKEQSA-N 421.316 4.755 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](CSc2ccccc2)C1 464773729 PNKDRNIKUZYQSK-CVEARBPZSA-N 410.499 4.820 5 20 HJBD CO[C@@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(Cl)cc1 466088193 ZGWDQCLFOPOIAZ-IBGZPJMESA-N 405.882 4.556 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@@H]1O 467757196 GWICDHFNLWGTHL-UUXHPUJUSA-N 420.575 4.889 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3cc(F)ccc3C)s2)cc1[N+](=O)[O-] 469887669 DXUDPFKUEOKRHB-UHFFFAOYSA-N 404.448 4.535 5 20 HJBD Cc1ccc(NC(=O)[C@H](SCC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 472209170 ONKTXWGNJIEKOA-LJQANCHMSA-N 416.499 4.658 5 20 HJBD COc1ccc(CN[C@H](c2ccccc2)c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 475763964 VVSXHBZUMLAZEN-OAQYLSRUSA-N 416.437 4.533 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1cc2cccc(F)c2o1 475794534 VFTJUCBGVAOLGT-VIFPVBQESA-N 407.195 4.734 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 478004408 QICWXTJAMGISJK-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 481301925 RYKLWJUHVHRRCI-INIZCTEOSA-N 408.336 4.510 5 20 HJBD CCC[C@@H](NCC1CCN(Cc2csc(CC)n2)CC1)c1cccc([N+](=O)[O-])c1 482869795 NKQYXGIFNUJRAJ-OAQYLSRUSA-N 416.591 4.957 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482926140 CFUQFDGJDRPLCF-UHFFFAOYSA-N 424.482 4.827 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(Cn2cccn2)c1 484185464 GJPHXOJIIBVDNA-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2ccnc2)c(F)c1 484339277 XGTTXENVMAPWMG-UHFFFAOYSA-N 414.462 4.920 5 20 HJBD CCOC(=O)c1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 486378888 LKVNHQUTLKCQHK-UHFFFAOYSA-N 420.421 4.603 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCc2cc(OC(F)(F)F)ccc21 487145554 AJDKCJBEDRXCJM-UHFFFAOYSA-N 406.316 4.833 5 20 HJBD CCc1nccn1[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)C1 488853251 MAEHUZMWTRMDTR-QGZVFWFLSA-N 416.547 4.579 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2nncc3ccccc23)cc1 498561987 BMFYFUSLXBBTPH-UHFFFAOYSA-N 400.394 4.512 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@@H]1c1ccccc1 503418798 LDSJRWKLHLDXFZ-OXQOHEQNSA-N 400.500 4.605 5 20 HJBD CCOc1ccc(CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1Cl 504387908 KMWLGKDJFPDTPV-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc3c2CN(CC(F)(F)F)C3)cc1[N+](=O)[O-] 504848899 MXRTVOZYOJSREQ-CYBMUJFWSA-N 422.407 4.664 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccc(C)cc4[nH]3)C2)c1 508250284 VDOLHQRQGXXFOQ-AWEZNQCLSA-N 410.499 4.521 5 20 HJBD COc1ccc2nc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)sc2c1 509071375 PTTUBBNOVAGAOP-UHFFFAOYSA-N 400.460 4.656 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 513789587 KVGYGMQJFYDODL-NQSCKRDGSA-N 408.477 4.558 5 20 HJBD COc1cc(CNC(=O)c2cc3ccccc3c3cccnc23)ccc1OC(F)F 514430969 AAXXOFGICPAVMW-UHFFFAOYSA-N 408.404 4.928 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 515454403 SQKVVMYXXZDWQC-INIZCTEOSA-N 424.284 4.957 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccccc2)cc1 517939598 HMYZUDKSYFGOAD-JOCHJYFZSA-N 417.465 4.712 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@H](C)c2ccc(Oc3ccccc3)c(F)c2)c1[N+](=O)[O-] 520290316 DMIYQHMVJQRTQM-CYBMUJFWSA-N 412.421 4.693 5 20 HJBD CNc1ccc(C(=O)N(Cc2cc(Br)ccc2F)C(C)C)cc1[N+](=O)[O-] 521135415 VGJSOAQHFZHAQW-UHFFFAOYSA-N 424.270 4.589 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2ccncc2)cc1OCc1ccc([N+](=O)[O-])cc1 521418929 TTWWQCXDXAAPFJ-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 521821846 ZOBPMNPCNBPTEX-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD C[C@H](Cc1cccs1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 524455405 UTFRPYBMROCYIJ-HUUCEWRRSA-N 410.499 4.585 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N(C)Cc1ccccc1C(F)(F)F 532751802 OFFZLJPKKDHBSZ-NSHDSACASA-N 415.799 4.726 5 20 HJBD CCOC(=O)c1c(C(C)C)csc1NC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 534568552 RBLWXBDIFJGWBT-UHFFFAOYSA-N 417.487 4.547 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 534962880 OULPALGCRJTTJS-QGZVFWFLSA-N 420.252 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1 535679890 KZOSQRZDRYMTAF-LIRRHRJNSA-N 420.872 4.510 5 20 HJBD COc1cc(CN(Cc2ccccn2)C(C)C)c([N+](=O)[O-])cc1OCc1ccccc1 535811466 PIFLIURSBZDHAC-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD CN(CCC1CCOCC1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 537617037 WYALXGBDJVJKSH-UHFFFAOYSA-N 420.513 4.696 5 20 HJBD Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)nn1-c1ccc(Cl)cc1Cl 538450763 RPMOVESNQBKNKW-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCN2C(C)=O 539594384 QBBAPIDADBWTFH-UHFFFAOYSA-N 413.499 4.648 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(-c3csc(C)n3)cc2)cc1[N+](=O)[O-] 541097808 BHCZLVNUANJDNQ-LBPRGKRZSA-N 412.471 4.918 5 20 HJBD CCC(=O)N1CCC[C@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)C1 545051210 VNKQQBVTJLZCSL-INIZCTEOSA-N 422.441 4.553 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 545256385 ZSYOOGMNXYUMKV-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 545534135 ZYWYCRKTVFGSDA-UHFFFAOYSA-N 410.430 4.730 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(-c3nc([C@H](C)c4ccncc4)no3)cc2[N+](=O)[O-])C1 547220508 XQPRHXHKYDLLCL-OWCLPIDISA-N 407.474 4.674 5 20 HJBD Cc1sc(CCNC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])nc1-c1ccccc1 551409250 MPUPMZALIBSIJW-UHFFFAOYSA-N 409.511 4.663 5 20 HJBD Cn1c(C(=O)N(c2cccc(Br)c2)C2CCCCC2)ccc1[N+](=O)[O-] 554934647 YVAMOJFKSBKVFC-UHFFFAOYSA-N 406.280 4.675 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 557632064 TUEINHFRWJYEBX-LJQANCHMSA-N 420.391 4.688 5 20 HJBD COc1ccccc1[C@H]1CCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2CC(C)C)C1 559094442 XNAJVMMMNRYMDS-SFHVURJKSA-N 421.501 4.512 5 20 HJBD CC(=O)N1CCC[C@H](c2noc(-c3ccccc3Sc3ccccc3[N+](=O)[O-])n2)C1 570759302 HHTJMZKXYLSDHP-HNNXBMFYSA-N 424.482 4.522 5 20 HJBD COc1ccc2c(c1)CCCN(c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])C2 573653957 LNCWTJCZOGWNIW-UHFFFAOYSA-N 417.334 4.605 5 20 HJBD COc1c(-c2nc(CCCc3ccccc3Br)no2)cccc1[N+](=O)[O-] 589138246 JDURUNGCZYODGK-UHFFFAOYSA-N 418.247 4.591 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 589244465 VEGVQSXBHHARKX-UONOGXRCSA-N 422.359 4.517 5 20 HJBD Cc1cc(NCc2ccc(S(C)(=O)=O)c(Cl)c2)c([N+](=O)[O-])cc1C(F)(F)F 590138804 JDBCXCBHYCMEJA-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD CCCCOc1ccc([C@H](C)Nc2cc3ncnc(O)c3cc2[N+](=O)[O-])cc1OC 603867153 XSCCESMYAFIIGZ-ZDUSSCGKSA-N 412.446 4.604 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(Cl)cc1 603964128 SMEHVKNVXZXDIX-UHFFFAOYSA-N 411.845 4.646 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c2cccnc12 604446659 NQOAKOWYUNLZDP-JTQLQIEISA-N 406.225 4.856 5 20 HJBD CCn1c(SCCc2nc3ccccc3n2C)nc2cc3ccccc3cc2c1=O 605446712 RYGJZEOHOYKGBJ-UHFFFAOYSA-N 414.534 4.791 5 20 HJBD COc1ccc(CC(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)cc1[N+](=O)[O-] 609507464 LBQVFAMWHBQQSG-UHFFFAOYSA-N 421.384 4.732 5 20 HJBD CC(C)(C(=O)Nc1cccc(Oc2ccnc3ccccc23)c1)n1cc([N+](=O)[O-])cn1 609646548 DZGBSOKHZOMCSD-UHFFFAOYSA-N 417.425 4.506 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)n1 609646911 WHKOXEKEKXTGQO-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD COC(=O)c1cc(NC(=O)[C@H](Sc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1 609838482 TXZIPOZZJYEWLP-HXUWFJFHSA-N 422.462 4.854 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CCCC1 609854533 MKFAXRGHWQIXQN-UHFFFAOYSA-N 411.289 4.881 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(O[C@@H](C)c3ccccc3)cc2C)c1[N+](=O)[O-] 609988340 WBFZEWBYYCTPDN-HNNXBMFYSA-N 408.458 4.971 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nccs1 610049505 WUNRYLXHINDXMQ-PZPWOCDFSA-N 416.547 4.589 5 20 HJBD C[C@H](O)C[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610126248 QTXVXLAOURGTCY-OLZOCXBDSA-N 408.907 4.536 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OC 610224270 STABKOBTKDEKJF-INIZCTEOSA-N 400.475 4.972 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H]2[C@H]1[C@H]2C(F)(F)F 611211287 NEDYQJZFJOEOJJ-RCBQFDQVSA-N 400.422 4.652 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 613225611 BHRMFCTZBJGPGH-MSOLQXFVSA-N 407.470 4.711 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([C@H](C)O)cc3)c([N+](=O)[O-])c2)cc1 727431666 UKSGETYXDCNHGE-INIZCTEOSA-N 405.454 4.821 5 20 HJBD CC(C)(C)[C@@H](Cn1ccnc1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 727645341 GVYPCIIUJWKLAO-OAQYLSRUSA-N 412.465 4.927 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 727804246 ASRHESXXHKHMPZ-UHFFFAOYSA-N 412.446 4.768 5 20 HJBD Cc1nc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])nn1-c1c(Cl)cccc1Cl 728412985 HOQGKPMUJZTTSO-SNVBAGLBSA-N 421.240 4.709 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)O[C@@H](C)C2 730493733 INJCVDLDYKWOGH-PJODQICGSA-N 416.861 4.713 5 20 HJBD COc1ccc(Nc2nc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])cs2)cc1 730642908 FFICTBRBEKAJLF-UHFFFAOYSA-N 419.846 4.814 5 20 HJBD Cc1cc(C(=O)OCC(=O)c2cccc([N+](=O)[O-])c2)c(C)n1[C@@H](C)c1ccccc1 731702870 LERUHHDVNRDBAY-INIZCTEOSA-N 406.438 4.662 5 20 HJBD Cc1ccc([C@H](O)CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 741260095 DOKNAWGVROJVGC-GOSISDBHSA-N 402.834 4.765 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(-c2ccccc2Cl)c1 741398821 NZCLAAMNNMYFFU-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD CC(C)(CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O)c1ccc(F)cc1Cl 742405449 HJXWZUAZQCCFHK-UHFFFAOYSA-N 407.829 4.734 5 20 HJBD CCCOc1ccc(CC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1OCCC 746805871 JHDUFKYMTCGMLM-UHFFFAOYSA-N 408.401 4.632 5 20 HJBD O=C(NOCc1ccc(C(F)(F)F)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 746939185 SNZRLVFLJLFZAF-UHFFFAOYSA-N 423.372 4.599 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CNC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c1 749643532 CIQNWGIMUSAJEE-ZJSXRUAMSA-N 401.466 4.531 5 20 HJBD O=C(CCc1ccc(Cl)cc1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 750663333 MLODUSOKIXVOJA-UHFFFAOYSA-N 423.694 4.528 5 20 HJBD COc1cccc([C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1 750842820 BBJBHLHUIMETHB-VIFPVBQESA-N 413.655 4.510 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc2ccc(SC(F)F)cc2s1 756599681 USHGTGGTRCIRNK-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)Nc3ccc([N+](=O)[O-])cc3F)ccc21 758353231 ADWFEYTZMDLGSX-UHFFFAOYSA-N 416.409 4.676 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC(=O)[C@@H]1CC12CCC2)c1ccccc1 759983487 RWOWYOFBMXZMBP-MAUKXSAKSA-N 414.845 4.661 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC[C@@H](O)c1cccc(OCc2ccccc2)c1 760704216 SGIDYTUPQCRBTC-KGUVVTLUSA-N 404.466 4.510 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1)c1ccsc1 762980546 JOOAHHCJINPCNH-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3cc(F)c([N+](=O)[O-])cc3F)CC2)cc1 763422856 WOZDKCCNIVOXOO-UHFFFAOYSA-N 404.413 4.680 5 20 HJBD COc1cc(CSCc2cccc(SC(=O)N(C)C)c2)c([N+](=O)[O-])cc1F 766081287 SOJOHIICDGKCCB-UHFFFAOYSA-N 410.492 4.950 5 20 HJBD CC(C)Oc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 774989018 OTDQFBNXUWOAGS-ZIAGYGMSSA-N 405.882 4.713 5 20 HJBD O=C(Nc1c(-c2ccccc2)nc2ccccn12)C(F)(F)c1ccc([N+](=O)[O-])cc1 777900567 BYJIHFQWHIAFSM-UHFFFAOYSA-N 408.364 4.640 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 781600996 HNZXVGFWCAYVGO-CYBMUJFWSA-N 417.849 4.841 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(COCC(F)(F)C(F)F)c1 916476712 KRZYARIJGNKVAO-TWGQIWQCSA-N 412.339 4.664 5 20 HJBD C[C@@H](OC(=O)Cc1csc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 919370523 MIZOTBCFFOSKIA-LLVKDONJSA-N 418.858 4.576 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cnn(-c4ccc(F)cc4)c3C(F)(F)F)o2)c1 1348950900 HHUZXBXTLZCJBT-UHFFFAOYSA-N 419.294 4.655 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nnc3c(Cl)cc(C(F)(F)F)cn23)c(Cl)c1 14680280 RCBXJCYRAZLZAH-UHFFFAOYSA-N 406.151 4.575 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc(SC)ccc3[N+](=O)[O-])cs2)c1 16196788 WHZTUHWFJLRZIK-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD CCOc1cc(C(=O)N2CC[C@H](C)Sc3ccccc32)c([N+](=O)[O-])cc1OC 24585732 OUMAVEJLERSDIU-ZDUSSCGKSA-N 402.472 4.533 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(OC3CCCC3)c2)cc1OC 29070918 XCDHDDGXAOJENN-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCc1ccsc1)c1ccccc1 56153680 XRDZXNXGRLBWKQ-SFHVURJKSA-N 401.875 4.779 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 56197348 HTQGZYNZTKAHDZ-HXOBKFHXSA-N 419.481 4.942 5 20 HJBD CN(Cc1ccc(C(C)(C)C)cc1)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 64691396 GHMQMHAYABRPQR-UHFFFAOYSA-N 406.486 4.565 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 195589821 FDJULOJXEKUVMM-DLBZAZTESA-N 423.494 4.579 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 195644781 ZLSCZDJKFRSPBS-UHFFFAOYSA-N 401.410 4.866 5 20 HJBD COc1ccc(CN[C@@H](C)c2c(C)noc2C)cc1OCc1ccc([N+](=O)[O-])cc1 237264570 VMDKHBSSLHNUHN-AWEZNQCLSA-N 411.458 4.638 5 20 HJBD O=C(O)C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1cccc(Cl)c1 426828186 VFGVUORFCZQZBW-QGZVFWFLSA-N 400.818 4.814 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(c1ccccn1)C1CCCC1 430278098 OQOAEZDYYJWBIM-UHFFFAOYSA-N 409.364 4.520 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 430317464 RGIRCCDPIRXRCN-GOSISDBHSA-N 401.344 4.528 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccccc1)c1ccccn1 437367042 VJYOBAOVJMYLOE-UHFFFAOYSA-N 401.344 4.856 5 20 HJBD CC(=O)c1ccc(OCc2ncc(-c3ccc(Br)cc3)o2)c([N+](=O)[O-])c1 440822039 JXENKGRUJIOYLY-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)n1 441111234 IXXWULZMICUHOC-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)OCCO2 443866219 YZBCSLSEYICZQC-GOSISDBHSA-N 424.375 4.512 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2cc(Cl)ccc2O1 443970618 UPWWLMFHCYFTKR-VIFPVBQESA-N 400.740 4.695 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 445659396 FFBDVGDFUSXRDB-UHFFFAOYSA-N 413.384 4.639 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 446179265 JCRWWLOJUBVTFO-GDLZYMKVSA-N 414.527 4.748 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 448089435 QMGDKLRRBSKGJY-ZFWWWQNUSA-N 400.866 4.559 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc(OCc2ccccc2)cc1 448108334 PIHSUEVDYKGHBC-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD CCOCCCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@H]1c1ccccc1 460694796 YWZIIBMXUCKQNJ-NRFANRHFSA-N 421.501 4.621 5 20 HJBD NC(=O)c1ccc(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cc1F 461188732 SKSSAVJIIUDABU-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(c2ccccc2F)CCCC1 464641500 YRFHKLUZGPLIKT-UHFFFAOYSA-N 412.461 4.616 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 466890317 JJKAKPUCTLDWAX-UHFFFAOYSA-N 404.392 4.612 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 467146749 KCAXZGQUWDTIOX-UHFFFAOYSA-N 415.837 4.743 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccccc1OCC(=O)Nc1cccnc1 470213485 IODCMTWPEAIPBR-SFQUDFHCSA-N 418.453 4.523 5 20 HJBD Cc1nc(NC(=O)c2ccc(COc3ccc4ccccc4c3)o2)ccc1[N+](=O)[O-] 475308608 BJTDPYWYFZBEHM-UHFFFAOYSA-N 403.394 4.876 5 20 HJBD COc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc(-n2cccn2)c1 475348325 LFXCAAPGIIJACQ-UHFFFAOYSA-N 407.455 4.525 5 20 HJBD O=[N+]([O-])c1ccccc1CNC1(c2ccc(OCc3ccccc3)cc2)CCOCC1 477672575 QAABPURFRBYCHU-UHFFFAOYSA-N 418.493 4.969 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 481502817 CVEPTWJOSJVNFU-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD Cc1ccccc1-c1nc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 483327138 RDIUOJBZFPXXPT-UHFFFAOYSA-N 406.467 4.972 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2Cc2ccc(C(C)C)c([N+](=O)[O-])c2)n1 483434494 WMHVFTYGQXZMAS-QGZVFWFLSA-N 403.504 4.689 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccccc2C)C[C@H]1C 489596197 LBWRKVGCXJQQCP-IAGOWNOFSA-N 414.527 4.660 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 494004787 SWHQYRCBVCLANN-JKSUJKDBSA-N 412.795 4.943 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])s2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497837699 VDZCYFRIXHKAHJ-CYBMUJFWSA-N 414.405 4.667 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 500375321 WJCRNZPQVJMGAK-QYTDDSRESA-N 423.513 4.740 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNC3(c4ccc(Cl)c(Cl)c4)CC3)n2)cc1 500433126 RZCYIMVYLSZNGR-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 502280642 MMEAKTFKGJMKNI-FXAWDEMLSA-N 423.494 4.616 5 20 HJBD COc1cc(CNc2ccccc2N2CCCCC2)c([N+](=O)[O-])cc1OCC(F)F 502656671 WTHCOBQVQJOVED-UHFFFAOYSA-N 421.444 4.850 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3ccc([N+](=O)[O-])cc3F)s2)cc1 504143399 MFSHVQSHZKNGGO-NSHDSACASA-N 415.446 4.665 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N3c4ccc([N+](=O)[O-])cc4C[C@H]3C)n2)cc1 504317904 IUOFSFKUYMJROB-OAHLLOKOSA-N 420.469 4.679 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1ccccc1Cc1ccccc1 504577028 FVIPMGYMPXGIMI-UHFFFAOYSA-N 412.449 4.688 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccc(C)cc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 504919608 LSNPQLUOYDSKQO-PXNSSMCTSA-N 414.502 4.711 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1c(C)cccc1[N+](=O)[O-] 505460202 MIUSEQYBDVWKBD-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1ccc(Cl)cn1 507141533 BQWBSHNMWMLLGH-CQSZACIVSA-N 410.861 4.746 5 20 HJBD COc1cc(C(=O)N(C)c2ccccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 509128987 IBARIOPJXBTFQC-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510053304 DAVXGBOXMRQYAK-HNAYVOBHSA-N 423.494 4.616 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510820661 KXTFFHAKLHYRSZ-UHFFFAOYSA-N 417.368 4.680 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](COc2ccccc2Cl)C1 513876697 QEYQMLGJHXLQBZ-AWEZNQCLSA-N 414.845 4.926 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)cc1[N+](=O)[O-] 519932060 JKUBCFSLLCFLHZ-LJQANCHMSA-N 408.458 4.872 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncc(Cc2ccc(F)cc2F)s1 522745447 YMOBZGMKRNODHJ-UHFFFAOYSA-N 423.828 4.755 5 20 HJBD COc1ccc(C)cc1-n1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)n1 522873202 OAKAPROEBNULIR-UHFFFAOYSA-N 420.469 4.687 5 20 HJBD COCCN(C(=O)[C@@H](C)c1ccsc1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 523101623 SATJLIRWUZEABO-ZDUSSCGKSA-N 417.512 4.563 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)nc1-c1ccc(Cl)cc1 531774996 MPDFBBYSEQXJAT-SNVBAGLBSA-N 404.879 4.510 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@H](c4nc5ccccc5s4)C3)n2)c1 533471929 UKMMUFOTVYSBAF-HNNXBMFYSA-N 421.482 4.634 5 20 HJBD Cc1cc(N2CCC[C@H]2c2nc3ccccc3n2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 535228552 CJUDEZAELYSQNM-FQEVSTJZSA-N 414.469 4.588 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 536257049 HNLLSCSIJFNNLD-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ncccc1-c1ccccc1 540948776 OJQJEEMLMVJBDP-UHFFFAOYSA-N 412.243 4.601 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])c(C)c2c1 542213279 COSVVGRDBPRXLN-CYBMUJFWSA-N 400.456 4.628 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Cl 543471651 GSLZCUOIRGEYIT-LBPRGKRZSA-N 421.856 4.515 5 20 HJBD COc1ccc(-c2nc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)sc2C)cc1 544401266 IPIAHAJTXZVEPL-NSHDSACASA-N 415.446 4.917 5 20 HJBD COc1ccc(S[C@H](C)c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 545078260 FKWPENJPHZRTFA-GFCCVEGCSA-N 410.455 4.912 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccccc3OC(F)F)cc2[N+](=O)[O-])C1 559304527 GVQOEJHJEFMHTJ-KBPBESRZSA-N 419.428 4.931 5 20 HJBD CCc1ccc([C@@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])N(CC)CC)cc1 562190374 PBJSTDVCOPYWBC-LJQANCHMSA-N 403.910 4.624 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(N[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 566369678 DZDAMCUQDKOLAZ-MBSDFSHPSA-N 419.529 4.904 5 20 HJBD Cc1c(NC(=O)CCN2CCC(c3c[nH]c4ccc(F)cc34)CC2)cccc1[N+](=O)[O-] 569135806 KDZLPGXPVQTZDI-UHFFFAOYSA-N 424.476 4.732 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1C[C@@H](CC(=O)N(C)C)c2cc(Cl)ccc21 569291576 IUCUNHSUUAFUMG-NQUDRAQSSA-N 413.905 4.734 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2c2ncon2)ccc1Oc1ccccc1Cl 584795940 WEHQVXFKUXTFTC-HNNXBMFYSA-N 400.822 4.761 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])cc2OC)n1 589153436 LIINECZPLCFXBO-MRXNPFEDSA-N 417.849 4.865 5 20 HJBD O=C(NCc1ccc(OCC(F)(F)F)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 591607450 IZXQWDZLWQSMTC-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD COc1ccc([C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 603518482 URPDZEISXNYBPT-NSHDSACASA-N 414.405 4.592 5 20 HJBD Cc1nc2ccccc2c(C)c1CC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638880 JGBNXRZNTATXDS-UHFFFAOYSA-N 401.369 4.542 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C)c(Cc2ccccc2Cl)s1 603974799 JXJUWRKCPVEWEF-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1sc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 604295356 NLNATNQECSMVTB-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1 609475659 RQFOCIPLQQNQOM-XGICHPGQSA-N 400.394 4.789 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc21 609763772 LSOFFPGJIAQOGP-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD COc1cc(C(=O)N2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 610186750 MNBDZYMPSXPFRW-NFAWXSAZSA-N 420.412 4.612 5 20 HJBD CN(C(=O)CSCc1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400839 ZDDXZLLGSZBTNP-UHFFFAOYSA-N 417.487 4.754 5 20 HJBD CCC[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccccn1 618651655 BTGCMOMGQXAXPG-OAQYLSRUSA-N 405.454 4.840 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1cc(Cl)ccc1[N+](=O)[O-] 728148460 GNEZQDPARSELGS-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] 728986587 VSGIQDZFBRVBKR-UHFFFAOYSA-N 416.430 4.988 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3ccccc3)s2)cccc1[N+](=O)[O-] 729941824 YWIHTNASCGTLNO-GFCCVEGCSA-N 400.485 4.541 5 20 HJBD O=C1CCCCN1Cc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 736015754 SNUVQINAKXWYNS-UHFFFAOYSA-N 421.497 4.697 5 20 HJBD Cc1c(C(=O)Nc2ccc(N3CCC(C)CC3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607403 CHBMVZKFWPHNMD-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)n1 748619832 UXDIFSLXMZPKHB-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCO2)cc1 757533942 VUZDGIMUUPLFOV-MAUKXSAKSA-N 409.360 4.999 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1OC 758414053 PEGXJBRVMNEHFZ-CQSZACIVSA-N 404.438 4.721 5 20 HJBD O=C(c1cnc(-c2cccnc2)s1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 761668229 ABPQOFWOMDZEJL-UHFFFAOYSA-N 414.874 4.824 5 20 HJBD Cc1c(CC(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 762992163 MFCPMFGHJRRXGY-QHCPKHFHSA-N 404.466 4.751 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)nc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 767863735 MEBWSQFUWFQNBR-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(CNC(=O)OC(C)(C)C)c2)c1[N+](=O)[O-] 777141075 FJALLFDXBORKBA-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CC[C@H]1C[C@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CCO1 779304704 SIMFULNIORQJEW-UONOGXRCSA-N 408.501 4.625 5 20 HJBD O=C(OCc1ccc(F)c(F)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781257918 XJVGITKGIPOWQX-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cc2ccccc2o1 787411153 ALCCWZSBJBKEHM-VIFPVBQESA-N 407.195 4.734 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 796216776 RSKYVYHJGUZSQO-UHFFFAOYSA-N 419.393 4.879 5 20 HJBD CC(=O)N1CCCC[C@H]1c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809362381 AUNYHZFRFDSWAM-IBGZPJMESA-N 408.414 4.511 5 20 HJBD CC(=O)c1ccc(N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)c([N+](=O)[O-])c1 813613738 QNDNCNWUTNHBLV-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 914728688 HCJXOBHGWUCYKZ-UHFFFAOYSA-N 423.450 4.926 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnc2c(c1)c(C)nn2-c1ccccc1 915139203 ULKBCQYRQVDATQ-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cc1F 917836004 RKALCLQJRPFBTQ-UHFFFAOYSA-N 401.438 4.621 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccc(SC(F)F)cc3s2)c1 920273448 HVRWKHGPMDFOBA-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD CSc1cccc(C(=O)N2CCc3cc(-c4csc(C)n4)ccc32)c1[N+](=O)[O-] 1116686118 MCYRLMBTWPHVRA-UHFFFAOYSA-N 411.508 4.952 5 20 HJBD O=C(Cc1c[nH]c2cnccc12)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 1324760654 ODHXSVJMPXDIQL-UHFFFAOYSA-N 410.480 4.865 5 20 HJBD CC1CCN(C[C@H](C)c2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)CC1 1329074666 MGSGYWWELSMVLQ-AWEZNQCLSA-N 413.503 4.604 5 20 HJBD C[C@H]1CCc2c(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)csc2C1 17661964 PDPGJJKJSICSRA-RYUDHWBXSA-N 413.455 4.749 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 62271395 NFEZELGXZFMCQX-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD C[C@H](NC(=O)NCc1ccc(CN2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1 63799243 NQEFYKZLTJBUOA-SFHVURJKSA-N 410.518 4.531 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 65308463 VXNCXBGJBRDHEV-UHFFFAOYSA-N 422.510 4.591 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(c3nc4ccccc4s3)CC2)c2ncccc12 65947072 RALSLCCAPSQPEC-UHFFFAOYSA-N 405.483 4.834 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 105865658 NLBBQLFYRGZJIE-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3ccc(-n4cccn4)cc3)o2)c([N+](=O)[O-])c1 237425885 PACYXETYESQWKV-MRXNPFEDSA-N 418.453 4.900 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1cc2c(s1)-c1ccccc1OC2 247351892 WJFFUDIXIHBCGS-UHFFFAOYSA-N 418.434 4.858 5 20 HJBD Cc1ccc([C@H](C)Nc2cc(C(F)(F)F)nc(-c3cccnc3)n2)cc1[N+](=O)[O-] 301605966 YJYMQMUKOPFANS-LBPRGKRZSA-N 403.364 4.947 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 430469630 DKTFNJUQOWRUBZ-UHFFFAOYSA-N 406.423 4.989 5 20 HJBD O=C(c1cc(NCC[C@@H](c2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 432166650 YQQTUAIRLJFBND-SFHVURJKSA-N 421.419 4.979 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(C)cc1 432568988 NPETXXRCLYLBMJ-HNNXBMFYSA-N 410.449 4.923 5 20 HJBD O=C(Cc1csc2nccn12)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435444064 QFRIGAGTSJKGOL-UHFFFAOYSA-N 416.509 4.698 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc2ccccc2[nH]1 437700406 KJQJTPZREIUNDV-HXUWFJFHSA-N 407.474 4.542 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 437844779 IPPUBEHCDSWNBL-MRXNPFEDSA-N 413.474 4.847 5 20 HJBD Cc1nc2ccc(NS(=O)(=O)c3c(Cl)cc(Cl)cc3[N+](=O)[O-])cc2s1 438807368 DGLALTFNNIEDRO-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)c1ccccn1 438955062 SVPTZDDVEIITOW-JOCHJYFZSA-N 405.454 4.641 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(Br)s1 440871374 VOQFKTQRKAYPOF-AAEUAGOBSA-N 411.321 4.865 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)[C@H]1CCCC(F)(F)C1 443529773 HNYKJGLNXSPNOC-ZDUSSCGKSA-N 416.384 4.805 5 20 HJBD C[C@@H](c1ncc(-c2ccc(Cl)cc2)o1)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 443594816 UGKJGCHQVGTGPE-XJKSGUPXSA-N 413.865 4.546 5 20 HJBD COc1ccc([C@H]2CCCN2c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447682295 XJABSCBWFDQYGY-CYBMUJFWSA-N 417.334 4.994 5 20 HJBD Cc1cc(C)cc(C[C@@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 460503790 NRNNMGCQUXYOHL-CQSZACIVSA-N 410.392 4.514 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@@H]2c2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCC 463639223 AVRYMIPJJVTVNJ-VXGBXAGGSA-N 424.375 4.552 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(C)c(C)c3)CCC2)cc1SC 468929772 LIAQLQSVUIRCCV-UHFFFAOYSA-N 414.527 4.794 5 20 HJBD CCOc1cc(C(=O)N2CC[C@@H](c3ccccc3)[C@@H](CC)C2)c([N+](=O)[O-])cc1OC 470015803 QAIXCXAWNNHKOH-FUHWJXTLSA-N 412.486 4.658 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])c2)n1 470101804 VARVVUCCKQQACO-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cc(-c3nc(C)co3)ccc2C)c([N+](=O)[O-])c1 470277341 ACSUKYUVGRNMAM-UHFFFAOYSA-N 423.473 4.799 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C/c2ccc(-c3cccc([N+](=O)[O-])c3)s2)nc1O 470297781 KEEREXOQKDMKRC-FMIVXFBMSA-N 411.439 4.556 5 20 HJBD C[C@@H](CCC1CC1)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 470344148 KGBBHLOGFIAGOP-ZDUSSCGKSA-N 413.440 4.525 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470704924 LKABUDNFURHUJT-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD O=C(CSCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1)c1ccccc1 470988240 CQGNAUWLBHSZHR-UHFFFAOYSA-N 420.490 4.744 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](COc2ccccc2Cl)C1 471035642 PJOSDLGYUKCBJT-OAHLLOKOSA-N 418.877 4.578 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2o1 472277926 OMKQFXPNJPNKCC-FQEVSTJZSA-N 407.813 4.909 5 20 HJBD CCc1cc(Br)ccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 477976774 JVYNSSPBJKGEMT-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD O=C(c1ccccc1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485393059 YFTZOZWWLGOWJU-UHFFFAOYSA-N 405.429 4.895 5 20 HJBD CCO[C@@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccccc1 486583249 CHLLROGBMSDEPP-QHCPKHFHSA-N 420.465 4.681 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488016834 WBOQEHYDGSWSSN-GFCCVEGCSA-N 418.906 4.803 5 20 HJBD Cc1ncsc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 490561409 GDACOSMSBIOOPE-UHFFFAOYSA-N 402.863 4.878 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(F)cc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 497800617 SMMLIXOCIQLSGJ-LSDHHAIUSA-N 414.330 4.568 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c(OC)c1 498870349 DBMBETVRIHPHLG-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 505081800 MTQAYUSMEKQXGK-CABCVRRESA-N 420.556 4.629 5 20 HJBD O=C(Nc1cc2c(cc1Sc1ccccc1)OCCO2)c1ccccc1[N+](=O)[O-] 509266024 CGQXRXVOAPMBPA-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD CCc1ccc(OCc2nnc(Sc3ncccc3[N+](=O)[O-])n2CC(C)C)cc1 515164643 JHYQZLMHBBOOOP-UHFFFAOYSA-N 413.503 4.530 5 20 HJBD O=C(NC[C@@H]1CCOc2ccccc21)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 518193543 LPVJPJDVTHSKKG-SFHVURJKSA-N 417.465 4.503 5 20 HJBD C[C@H]1CCCN(Cc2ccccc2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)C1 518763359 DRAPQGZJQMSBLJ-INIZCTEOSA-N 419.472 4.718 5 20 HJBD O=C(c1cc(N2CCc3c(ccc(Cl)c3Cl)C2)ccc1[N+](=O)[O-])N1CCCC1 523548411 ASPHVUISLJINEP-UHFFFAOYSA-N 420.296 4.700 5 20 HJBD O=[N+]([O-])c1cnn(CCSc2nnc(-c3ccccc3Cl)n2C2CCCC2)c1 525600355 RKZNNJGABFVQMP-UHFFFAOYSA-N 418.910 4.611 5 20 HJBD CN(CCc1ccccn1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 525867572 VRPIRQKQHNWNGI-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=C(NCC(=O)c1cccs1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 534208623 MIOQHYKTERVBEJ-UHFFFAOYSA-N 416.842 4.715 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc([S@](C)=O)cc1 535951780 KOKZNEMQMIDNAW-JGVYIQDASA-N 424.478 4.616 5 20 HJBD Cc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1NC(=O)C(C)(C)C 536194265 YRPUYWFCDJQEAX-UHFFFAOYSA-N 408.458 4.550 5 20 HJBD CC(C)[C@H]1C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536757372 UEFCCPZFGKGONV-BFUOFWGJSA-N 423.307 4.911 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)NC(c1ccccc1)c1ccccc1 537073784 JQZRRYAQKVNQJH-MSOLQXFVSA-N 403.482 4.540 5 20 HJBD C[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1c(F)cccc1F 539353895 GTKMAYDFKNCOCD-NSHDSACASA-N 403.410 4.763 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC(Cc2cccnc2)CC1 540031110 NTTGWBAARCBBLZ-UHFFFAOYSA-N 416.481 4.828 5 20 HJBD COc1cccc(CN(Cc2ccc([N+](=O)[O-])cc2Cl)C2CCC(O)CC2)c1 541074912 WLAVPTZWBLQOMB-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD COc1cc(-c2nc(Cc3nc4cc(Cl)ccc4s3)no2)ccc1[N+](=O)[O-] 545596887 BTLUPTAZJXMKGD-UHFFFAOYSA-N 402.819 4.507 5 20 HJBD Cc1ccc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc1NC(=O)c1ccco1 546981504 IMHTVZKQQZGJAV-UHFFFAOYSA-N 404.382 4.774 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 551096870 XJGWRJSBQJSAHF-UHFFFAOYSA-N 403.482 4.983 5 20 HJBD CC(C)(NC(=O)c1ccc(C(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 553727044 SFXLBQMCDJUJBH-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@@H]2c2ccc(Br)cn2)cc1[N+](=O)[O-] 569882354 QDJJMAHBUBBMKY-QGZVFWFLSA-N 418.291 4.853 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(c3ccccc3)CCOCC2)no1 570126642 GKHMKWYVVITNPU-GOSISDBHSA-N 407.470 4.811 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@H]1CCCC[C@H]1C(F)(F)F 571710613 YFXWQOKLSUDQQL-NQBHXWOUSA-N 408.804 4.500 5 20 HJBD CC(C)c1scnc1-c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 576262572 AQTHZLRMXBRCRG-UHFFFAOYSA-N 409.265 4.578 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccs2)Cc2cccs2)c1 578911489 DOGVARKTVLCVES-UHFFFAOYSA-N 402.497 4.959 5 20 HJBD C[C@@H](C(=O)Nc1ccc(CC(=O)N(C)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 581522928 IYYMJAIIXGYLHK-QGZVFWFLSA-N 417.465 4.543 5 20 HJBD Cn1ncc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)c1C1CC1 584680708 RXOCSYXPEWKZAJ-UHFFFAOYSA-N 403.398 4.715 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1)N1CCN(c2ccccc2[N+](=O)[O-])CC1 603643651 RPKQTLCLGUUSKH-UHFFFAOYSA-N 402.454 4.616 5 20 HJBD CCC(CC)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744474 VTMMOPSBTGDBHE-GOSISDBHSA-N 420.307 4.815 5 20 HJBD C[C@@H]1CCCN(Cc2ccccc2CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 603766041 KHENBNZUYZPLEP-MRXNPFEDSA-N 407.470 4.503 5 20 HJBD CC(C)c1ccc(OC2CCN(Cc3cc([N+](=O)[O-])cc4c3OCOC4)CC2)cc1 603850454 GSXLLTVHNQXWDM-UHFFFAOYSA-N 412.486 4.628 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1C[C@H]1C[C@H]2CC[C@H]1C2 608881021 OAOIAQXXNCXGFU-MJUUVYJYSA-N 411.546 4.629 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccc(Cl)c1Cl 609505146 YIFFKIZAXAQRQO-LSDHHAIUSA-N 417.252 4.830 5 20 HJBD Cn1c(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)nc2c(F)cccc21 609599151 BYPGDFRFQGFACN-UHFFFAOYSA-N 410.474 4.681 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C3CCC(C(C)(C)C)CC3)[nH]n2)c1 609827427 LOHVVKUGENOYNK-UHFFFAOYSA-N 400.479 4.899 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1cccs1)N1CCc2c1cccc2[N+](=O)[O-] 609974189 PMSGJOGWAIULHN-UHFFFAOYSA-N 416.462 4.712 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)cs1 611200844 FZCXWKLHFLZGMM-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD CC(C)(C)OC(=O)c1ccccc1C1CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 615209665 AHLRTFDCQHZKPV-UHFFFAOYSA-N 416.499 4.631 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1OC 726150441 NLKYQPXSYSKQNP-CKOFDZGGSA-N 424.497 4.639 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 731594666 KYUIRRFOHUIFIO-UHFFFAOYSA-N 411.527 4.640 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NC(=S)Nc3ccc4c(c3)OCCO4)c2)cc1 735242621 SUMHTFPLURHBIY-UHFFFAOYSA-N 423.450 4.967 5 20 HJBD Cn1c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)nc2cc(F)ccc21 736357679 NNDIUNKSILYKAR-UHFFFAOYSA-N 414.824 4.824 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c1 736501821 UMPSWLXJOFIOHG-UHFFFAOYSA-N 415.349 4.718 5 20 HJBD CC(C)CO[C@H]1C[C@H](OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1(C)C 739296923 PBPDXUPLBPKUQX-IRXDYDNUSA-N 404.488 4.715 5 20 HJBD Cc1c(C(=O)N[C@@H](Cc2ccccc2)c2ccccc2F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 740799140 KTPKOFQRRAUMNQ-FQEVSTJZSA-N 423.400 4.664 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)c1cccc(Cl)c1 741001842 GIDYOBMKBMUQPF-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745586584 XBKRVIATVVFXGH-STQMWFEESA-N 422.840 4.526 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(COc3ccc4c(c3)OCO4)c2)c1[N+](=O)[O-] 753093665 JJEPRJALCCRKME-UHFFFAOYSA-N 424.384 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)[C@@H](O)c1c(Cl)cccc1Cl 754454577 NLKLNXPUHQJIOG-APPDUMDISA-N 412.273 4.762 5 20 HJBD O=C(N[C@H]1CCc2c(Cl)cccc21)c1cc(F)cc([N+](=O)[O-])c1Br 754994593 TXAKPAWECKKMPZ-ZDUSSCGKSA-N 413.630 4.567 5 20 HJBD COc1cccc(C=C2CCN(C(=O)NCc3cc([N+](=O)[O-])ccc3Cl)CC2)c1 760800302 IBYQLUNWLFBODV-UHFFFAOYSA-N 415.877 4.646 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1OC 763422807 VCGHXTBWYUDZPN-LBPRGKRZSA-N 408.401 4.552 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nc(Cc2ccc(Cl)cc2)no1 763714914 GGFSFPWYMHPUBX-JTQLQIEISA-N 422.224 4.793 5 20 HJBD CCOc1ccc([C@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1OCC 764600958 CPFKXWRNVULFQW-KRWDZBQOSA-N 413.518 4.712 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 770006799 CRWXTPIGNUARQM-INIZCTEOSA-N 414.220 4.646 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(Br)c1Cl 780130250 ZTHDLDGXQALYFB-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260521 VSUKJXIFOUOWJP-UHFFFAOYSA-N 414.461 4.600 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNC(=S)Nc2cccc(OC(F)(F)F)c2)c1 783750322 ZOJUTZOLMWQFJS-UHFFFAOYSA-N 405.785 4.633 5 20 HJBD Cc1ccc(N2CCNC2=O)cc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 785551164 RUULMCRKMWDZRB-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD C[C@@H](c1ccccc1)n1cnnc1SCc1ccc(Br)c([N+](=O)[O-])c1 800834807 WEZKBUXEWPMUOE-LBPRGKRZSA-N 419.304 4.850 5 20 HJBD CC[C@H](OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cn1 808946660 FUWBWABFYKXZDX-AWEZNQCLSA-N 418.368 4.934 5 20 HJBD CCn1ncc2c(C(=O)Oc3ccc(C)cc3[N+](=O)[O-])cc(-c3ccccc3)nc21 822382609 OHSUUHGHBDFSCG-UHFFFAOYSA-N 402.410 4.554 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(N2CCCC2)cc1 825207721 LYNVHIHHXQZNIR-UHFFFAOYSA-N 422.407 4.655 5 20 HJBD O=Cc1cc([N+](=O)[O-])ccc1OCc1csc(-c2ccc(Br)cc2)n1 913589263 CZAMJPFOQOXIMJ-UHFFFAOYSA-N 419.256 4.872 5 20 HJBD CCc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)cs1 915248979 AXZAKTBUQWJCKG-UHFFFAOYSA-N 414.439 4.772 5 20 HJBD Cc1c(OC(=O)Cc2csc(Cc3cccc(Cl)c3)n2)cccc1[N+](=O)[O-] 917755772 NNMZOFIMENYKKT-UHFFFAOYSA-N 402.859 4.752 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Oc1ccccc1 919569734 RBLLIJYVHXIARI-CQSZACIVSA-N 424.384 4.710 5 20 HJBD C[C@@H](OC(=O)c1ccc(CNC(=O)c2cccs2)cc1)c1ccccc1[N+](=O)[O-] 920269554 VGLSEDRTNOUMFK-CQSZACIVSA-N 410.451 4.504 5 20 HJBD CCOc1cc(-c2nnc(Cc3ccc4ccccc4c3)o2)c([N+](=O)[O-])cc1OC 1322498634 NVLCNPWPZPBTJT-UHFFFAOYSA-N 405.410 4.796 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2Cc2ccccc2)cc([N+](=O)[O-])c1 7344740 NPNIYHHEYVEAJE-UHFFFAOYSA-N 404.422 4.615 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2ccc(Cl)cc2)n1 16457691 BFLPMSYJDLHIMS-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 43056906 MPFMJNYKPSSZEQ-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cc(C)ccc2[N+](=O)[O-])cs1 54914867 KQDZBMQFSOHMPB-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 58353449 CXZZHESTABJYLC-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C(C)C)cc1 61962584 WWOILGFZVVMABV-BTYIYWSLSA-N 411.502 4.869 5 20 HJBD COc1ccc(-n2ccc(CNC(=O)c3cc4ccccc4c4cccnc34)n2)cc1 65703399 DBSZVVNXVBHGOP-UHFFFAOYSA-N 408.461 4.512 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1)c1ccccc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 104798003 DYIUBHNPODDEQH-IBGZPJMESA-N 423.856 4.748 5 20 HJBD CC(C)c1ccc(N(C(=O)Cn2cc([N+](=O)[O-])cn2)c2nc3ccccc3s2)cc1 219528131 IZLAQJKNPNEUKW-UHFFFAOYSA-N 421.482 4.889 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1N1CCCCC1 247319888 LIAQCZQIPMRBEA-UHFFFAOYSA-N 405.907 4.969 5 20 HJBD CCc1cc(Sc2nnc(-c3ccccc3)n2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 301059682 BJNKRFOJRSBDOQ-UHFFFAOYSA-N 418.482 4.561 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@@H]1C[C@H](O)c1ccco1 301629601 IHRMGRYNXBXZBQ-BMIGLBTASA-N 404.772 4.953 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(Oc2ccc(F)cc2F)CC1 409752841 JIFUNLAFWZJKFV-UHFFFAOYSA-N 411.792 4.602 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426025299 ZCJPYKNNDIBAGL-GOSISDBHSA-N 401.463 4.645 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 431920806 VHPLNCQDBXKPOT-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 436137868 ALTLSUARACJWAG-HXUWFJFHSA-N 404.853 4.588 5 20 HJBD COc1cc(C)ccc1Oc1ccc(F)cc1NC(=O)c1ccc(O)c([N+](=O)[O-])c1 436952276 VNCUPYHYNMVHDS-UHFFFAOYSA-N 412.373 4.801 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437391661 VXIXXMMHBNYWND-UHFFFAOYSA-N 422.529 4.820 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438443119 FCSYUQQNGYJAKY-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CSc1ccccc1[C@H](C)Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl 440655822 QLUZOIPDXHFNDM-LBPRGKRZSA-N 416.890 4.689 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 440881694 VCNRGSFSHLSQGF-UHFFFAOYSA-N 405.241 4.780 5 20 HJBD CN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccc(Cl)cc2s1 443853774 BDXAVAYUAKULKB-UHFFFAOYSA-N 402.863 4.709 5 20 HJBD Cc1cc2cc[nH]c2cc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 444449898 NXWXJEGJKBSOFT-UHFFFAOYSA-N 406.364 4.844 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cnn(-c5ccccc5)c4)no3)cs2)c1 445436170 PUTOILAZDCTFJF-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD COc1ccc(C(C)(C)c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1OC 445690700 IKBKHUMFGXSJOX-UHFFFAOYSA-N 411.458 4.647 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(N4CCCCC4)nc3)no2)cc(C(F)(F)F)c1 447036145 FVMMQAWUYUDFSI-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 447539462 ALTVZCDDRLUTGA-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[C@H](C)CO1 460381161 BNTZDRLOUMTSRE-FOIQADDNSA-N 423.494 4.629 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463661290 FJOLVAPQWSDXFM-UHFFFAOYSA-N 423.391 4.528 5 20 HJBD CC[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465264321 FYEWYTRUVVENOL-NSHDSACASA-N 414.409 4.526 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(OCc3cccc4ccccc34)C2)c1 467472940 DKQSUKIXULBOLM-UHFFFAOYSA-N 408.479 4.511 5 20 HJBD C[C@@H](O)C[C@H]1CCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468050769 ZKORIDNEVKIHDE-UKRRQHHQSA-N 420.918 4.775 5 20 HJBD CCc1ccc(C(=O)Nc2nc(-c3cc(OC)ccc3OC)cs2)cc1[N+](=O)[O-] 471357569 PBMVADCSPMBZJK-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD COc1c(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 475111583 DGAZTJPBUUKXHB-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD Cc1cnc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C2CC2)s1 475223269 GTRXASBNYLMGFF-ZDUSSCGKSA-N 400.382 4.651 5 20 HJBD C[C@H]1[C@H](C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCN1c1ccccc1 475803457 DEQDUTUPPCIYMZ-DOTOQJQBSA-N 422.510 4.596 5 20 HJBD COc1c(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 476664370 QYVRLSVHOAESEL-CQSZACIVSA-N 422.281 4.656 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C\c1ccc2c([N+](=O)[O-])cccc2n1 478369062 KBCGWIIJQQCMRO-KTKRTIGZSA-N 402.859 4.760 5 20 HJBD CCCOc1cc(NC(=O)c2ccn(C3CCCC3)n2)c([N+](=O)[O-])cc1OCCC 479074244 ZKACBUZMQHOGSB-UHFFFAOYSA-N 416.478 4.736 5 20 HJBD O=C(c1cc(N2CCC(c3cccc(Cl)c3)CC2)ccc1[N+](=O)[O-])N1CCCC1 480798320 ZCKXQZBUYSNRTO-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2cc(C)cc3c(C)c(C)[nH]c23)cc1SC 483377019 LJIZTTBCCCLJEE-UHFFFAOYSA-N 413.499 4.662 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc(OC)c1OC 484941550 BFWOGZTWYJWWOK-ZDUSSCGKSA-N 404.463 4.971 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1COc1ccc(-c2noc([C@@H]3CCCO3)n2)cc1 488788625 XWWNRMVNGKNBLC-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489104837 ROZRNPOQZPMTPI-CQSZACIVSA-N 413.503 4.502 5 20 HJBD COc1ccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(Br)c1OC 490039282 BWWJUEHTUFQLQC-UHFFFAOYSA-N 421.291 4.539 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC[C@H](C(F)(F)F)c2ccccc21 492198623 YQAPWWXJEGSYHZ-HNNXBMFYSA-N 405.376 4.866 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CC2(CCC2)[C@@H]1c1ccccc1 495484975 QYKQKXUEOBRXEE-HNNXBMFYSA-N 407.289 4.786 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1c(Cl)cccc1[N+](=O)[O-] 497738883 XCZUKFKWWJXROF-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD COc1ccc(CCCCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1 498147724 UEEKNDQNLYRECP-OAQYLSRUSA-N 400.500 4.590 5 20 HJBD CC[C@H](C)NC(=O)c1cccc(CNC(=O)c2cc3ccccc3c3cccnc23)c1 500000025 MJXMZSDKYGBGEC-KRWDZBQOSA-N 411.505 4.846 5 20 HJBD CC[C@@H]1C[C@@]1(NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1cccc(C)c1 501606241 JKTLXDGTGSSFSR-SZNDQCEHSA-N 400.500 4.689 5 20 HJBD COc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC1CCCC1 505957090 OXXSCXHIMPDHOX-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD Cc1c(CN2CCC(C(=O)Nc3ccc(F)c(Cl)c3)CC2)cccc1[N+](=O)[O-] 506497252 XDSDRVIZTKOMIL-UHFFFAOYSA-N 405.857 4.546 5 20 HJBD COc1ccccc1C1(CNC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)CCCC1 507595359 ICSBOAGJUSZMKW-MRXNPFEDSA-N 414.527 4.712 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccncc2)n1C1CC1 511053631 WAKFYSSIIIMQQK-UHFFFAOYSA-N 411.487 4.663 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1 511607295 USKJGUVDZPKKLY-UHFFFAOYSA-N 415.858 4.839 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c1 511693822 YGPFQKKVOLRUET-CQSZACIVSA-N 404.291 4.538 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 512468947 PICFCTCOCFNDKM-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD COc1cc([C@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])ccc1OC(C)C 513146768 FPVCODNFJJEXLB-HNNXBMFYSA-N 415.490 4.702 5 20 HJBD Cn1ccnc1[C@@H](NCCCCOc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 513703070 PZEJMDXLIWPFCF-FQEVSTJZSA-N 414.893 4.520 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])s2)cc1F 515218981 BGZNBWZRMLDKFZ-UHFFFAOYSA-N 414.462 4.754 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Br)cc1)C1CCC1 518349748 IKLZQOYQWPHWTG-GOSISDBHSA-N 418.291 4.698 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)s1 518567517 IKJQOTRRRVDEBJ-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)C[C@@H]3CCCOC3)cc2[N+](=O)[O-])cc1 518609015 ISDUDJZKENINKA-INIZCTEOSA-N 400.500 4.553 5 20 HJBD CN(C)C(=O)c1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 518872248 PVSHVDMINADRPA-UHFFFAOYSA-N 423.444 4.518 5 20 HJBD CCCCOC1CCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 524750009 XKBXTBDRZQAXRA-UHFFFAOYSA-N 411.502 4.628 5 20 HJBD CN(CCc1ccccn1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 527108077 GWNZYDSCTFCBOE-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD CSc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccc1F 537150920 ZAQOMLXIEMHBSZ-UHFFFAOYSA-N 403.460 4.856 5 20 HJBD Cc1ccc([C@@H](C)NCc2c(C)nn(-c3ccc(F)cc3F)c2C)cc1[N+](=O)[O-] 539104925 JSWZVLSKHQIROO-CYBMUJFWSA-N 400.429 4.835 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@@H]1NCCCc1nc(-c2ccc(F)cc2)no1 542497765 DCAXDCDFUCMOLQ-XLIONFOSSA-N 424.476 4.718 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 545101013 GHSWQGQZENBKJI-AWEZNQCLSA-N 424.638 4.797 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)NCC[C@@H](c1ccccc1)C(F)(F)F 551240251 GZVFMZHCPLDXCC-KSSFIOAISA-N 409.408 4.638 5 20 HJBD CC[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(OC)c(OC)c1 557470012 NXFCVGDFJCLVLS-IBGZPJMESA-N 416.477 4.580 5 20 HJBD CN(C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@H]1CCCc2ccccc21 562367993 MNAJIQVZFIRIEG-SFHVURJKSA-N 407.392 4.833 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 563486251 YFTFAURWGCRHHN-SFHVURJKSA-N 407.829 4.555 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 609192219 GMPUVALKLAQFIA-HWPZZCPQSA-N 407.289 4.570 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3ccccc3s2)C1 609792255 SZNMMSPHTQYHBZ-AWEZNQCLSA-N 415.902 4.807 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)COc2cccc([N+](=O)[O-])c2)c1 609908274 KMYQQRQLDIYGCH-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccc(Br)cc1 610047015 WSRDHGWULGPGBM-RTBURBONSA-N 417.303 4.600 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Oc2cccc(C(F)(F)F)c2)cc1 610051937 BIPQVPQMNWOYHV-UHFFFAOYSA-N 420.347 4.550 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610065128 MIKORLHQJKZRBI-LJQANCHMSA-N 403.276 4.551 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2c3ccccc3CC[C@@H]2C(=O)Nc2ccccc2)c1 610227247 GATROXDXWPWMKR-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1OC 611198245 GKDONNXCROBYEY-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611208221 DLWLIWXSHJDMSY-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCC3(c4ccccn4)CC3)c([N+](=O)[O-])c2)cc1 617966071 MFNBPKSERYSFCU-UHFFFAOYSA-N 402.454 4.694 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 730454651 FGZFZNXFAHVEKO-LJQANCHMSA-N 420.318 4.910 5 20 HJBD Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)nnn1-c1ccc(-c2ccccc2)cc1 731163006 LBUQUSPWSNMAAL-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Nc2ccccc2)c1 735718345 RLZQCXLXOKJQIS-UHFFFAOYSA-N 416.393 4.745 5 20 HJBD C[C@@H](Sc1ncccc1Br)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 735981134 CLGZHGUABKBMLI-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c(Cl)c1 747019896 PHIQHAYXTXMWFQ-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)nc1-c1ccccc1 748606850 RCBZJLYLLXRPGK-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CC1(C)C(=O)N(CN(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)[C@@H]1c1ccccc1 749939889 VHRILBCHZHJBOA-GOSISDBHSA-N 421.419 4.526 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC(c2c[nH]c3cc(F)ccc23)CC1 749989998 JXQHKYQBLIHSKS-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=C(COC(=O)c1nc(Cl)c(Cl)c(Cl)c1Cl)c1cccc([N+](=O)[O-])c1 752031899 XTHRLKVNWUXAQZ-UHFFFAOYSA-N 424.023 4.643 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757952680 NWSDUBRHKIQXCN-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c2cccnc2c1C 758511852 AHAPCDRKPGPEEO-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758730611 IUMCMGZOTYENNR-OAHLLOKOSA-N 423.469 4.621 5 20 HJBD Cc1cc(NC(=O)CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1-c1ccccc1 762602894 BUVLAXFVJONPSP-UHFFFAOYSA-N 423.856 4.592 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1ccccc1)C[C@@H](O)c1cccs1 763009954 OKFCBKPYZBNXNF-LJQANCHMSA-N 421.478 4.514 5 20 HJBD O=C(NC[C@H](O)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763599774 IEBIRGZSVBANNU-SFHVURJKSA-N 420.918 4.746 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1c(C)cccc1C 763811923 VVBNLISKHDWEMZ-CQSZACIVSA-N 402.472 4.508 5 20 HJBD CC(C)c1ccc([C@H]2CCCCN2C(=O)COc2ccc(C=O)cc2[N+](=O)[O-])cc1 765288327 QYPQPWHDSMBYMX-HXUWFJFHSA-N 410.470 4.663 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c(OC)c1 770021936 YTTKMHAJEPZGCJ-MRXNPFEDSA-N 405.838 4.634 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc(-c2cccc(F)c2)no1 775149643 PPLXGUBYCVCGET-NSHDSACASA-N 417.368 4.505 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776134050 LKEDLWZIRLQUSQ-XMMPIXPASA-N 418.449 4.902 5 20 HJBD O=C(c1ccn(Cc2ccccc2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 776310781 JOSWPQOJCAFOEZ-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD O=C(NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1cccc(Cl)c1[N+](=O)[O-] 785719556 WFAJTSFCBLQYTC-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1csc(Cc2ccccc2)n1 785945903 DJWZLMBSHCCRER-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC([C@@H](C)NC(=O)OC(C)(C)C)CC1 787406575 QQADKVHPLWUDJF-HJUDDPQBSA-N 403.523 4.623 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])c(N(C)C)cc2F)nc1-c1ccc(F)cc1 791204650 LMYZSPHXRXZUCS-UHFFFAOYSA-N 418.425 4.623 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1C(F)(F)F 794494655 MIUFDIOYVBCCOK-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cc1F 801520879 AMQYXFSYNKBURH-HNNXBMFYSA-N 416.840 4.817 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc(-c2cccnc2)s1 811220993 XNZUGBJCAHMOEO-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD CCN(C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Br)cn1 812958418 FEOVHZCLDDMKII-UHFFFAOYSA-N 419.062 4.726 5 20 HJBD COC[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813235475 MKEYISSPXOFPMB-AWEZNQCLSA-N 403.649 4.673 5 20 HJBD O=C(NC1CCN(CC2CCCCC2)CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813426771 QYURRUUYYFCIJI-UHFFFAOYSA-N 414.333 4.676 5 20 HJBD CCCCc1nc(-c2ccc(OC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)no1 920146274 UFQONRSPMGPGGP-UHFFFAOYSA-N 413.455 4.685 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nnc(Cc4cccs4)o3)n2)c(Cl)c1 1261569902 XKRSXXKVVIBKNP-UHFFFAOYSA-N 422.253 4.790 5 20 HJBD Cc1ccc(CC2CCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)CC2)cc1 1337717255 VOPKKCMAQWGJCF-UHFFFAOYSA-N 421.541 4.601 5 20 HJBD CCn1c(SCc2nc(-c3ccccc3)no2)nc2cc3ccccc3cc2c1=O 7577932 WTUPIOMGXKUIEH-UHFFFAOYSA-N 414.490 4.912 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1sc2ccccc2c1Cl 7652705 KJJBLUMOZZKZFT-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD CSc1ccc(C(=O)N2CCC(c3nc4ccccc4s3)CC2)cc1[N+](=O)[O-] 8086207 SHJHBRDEWBHACY-UHFFFAOYSA-N 413.524 4.946 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(CN3CCCCC3)n2Cc2ccccc2)c1 12136062 UIIXQUWUNXMHES-UHFFFAOYSA-N 423.542 4.513 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cc1 16023353 NNODNNCPEWWHPH-UHFFFAOYSA-N 413.480 4.966 5 20 HJBD CCCc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 18840037 LMWSEVMCOIFHIA-UHFFFAOYSA-N 400.485 4.802 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc1OC 19199759 RFYBRHOBUNCYBV-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4ccc(Cl)cc4[N+](=O)[O-])CC3)c2c1 32337846 CZFDRIWHJBRTJY-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD Cc1c(C(=O)N(Cc2cccs2)c2ccc3c(c2)OCCO3)cccc1[N+](=O)[O-] 32480116 BURPQTGITLZRIV-UHFFFAOYSA-N 410.451 4.583 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@H]2c2ccncc2)cc1[N+](=O)[O-])c1ccccn1 53299888 LGNOLPODFGMUCE-KKSFZXQISA-N 417.469 4.535 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53301201 WLFXBDAAGHNQAT-KSFYIVLOSA-N 416.481 4.922 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OC[C@@H]2CCCO2)c1 56303187 AALUXCZAPWXVQF-RDJZCZTQSA-N 416.499 4.580 5 20 HJBD CCCNC(=O)c1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 60645271 VXWXCONOUONMOQ-UHFFFAOYSA-N 418.453 4.731 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1SCc1csc(C)n1 105515287 AGVZWPXULTWWND-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD CC(C)c1ccc(CN[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 236725518 PWDKAXOKTHPGOK-GOSISDBHSA-N 424.545 4.825 5 20 HJBD O=[N+]([O-])c1ccccc1CNCc1cn(-c2ccccc2)nc1-c1ccc(F)cc1 237920308 MZAKPNMCNGEDSB-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD CC(C)[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1nc(-c2ccncc2)no1 301699511 DZNUBMRWSLZHDZ-HNNXBMFYSA-N 418.251 4.612 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4sccc4[C@H]3c3cccs3)o2)cc1 302836285 FRJGLNATFWGFNK-IBGZPJMESA-N 424.507 4.916 5 20 HJBD Cc1csc(-c2cccc(NC(=O)COc3ccc([N+](=O)[O-])c4cccnc34)c2)n1 428028747 VVCGBAPKRKKFSD-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 431337665 OFNXLNAPMRUVGP-UHFFFAOYSA-N 411.502 4.773 5 20 HJBD Cn1cc(C(=O)N2C[C@@H](c3ccccc3)c3ccccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 436264292 JBZBXZAVKGDAEY-NRFANRHFSA-N 424.460 4.788 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@H](c2n[nH]c(C3CCCCC3)n2)C1 436342171 WTYXAGBVIVDDOY-ZDUSSCGKSA-N 424.427 4.558 5 20 HJBD CSCc1cccc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 438384277 HUHMZTMOXQZHEL-UHFFFAOYSA-N 424.472 4.753 5 20 HJBD COc1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c(OCc2ccccc2)c1 439872533 RPTSTWSBOAXWLC-UHFFFAOYSA-N 417.421 4.916 5 20 HJBD CCO[C@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444080930 BVGIPTUNFQNTPY-PKTZIBPZSA-N 418.537 4.881 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2)c2cccc(F)c2)c([N+](=O)[O-])cc1OC 446641201 CLJITBPPVPYPIZ-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD CCC(=O)c1cccc(S(=O)(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 448166990 YAHOMQOKKPPKFG-UHFFFAOYSA-N 424.478 4.583 5 20 HJBD CC1(C)[C@H]2OCCC[C@@H]2[C@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 462764605 GPNJXALNSGMSHD-UIFIKXQLSA-N 400.450 4.819 5 20 HJBD CC(C)C(=O)COc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 463207464 ZLMXGJOEMICSLI-UHFFFAOYSA-N 411.241 4.758 5 20 HJBD CC(C)CCOc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 465350945 QAOOVPIKKGHASG-UHFFFAOYSA-N 411.502 4.875 5 20 HJBD CCOC(=O)c1cc(N[C@H](CC)c2nc(C(F)(F)F)cs2)ccc1[N+](=O)[O-] 467781158 BFSVXEIGFRPJJT-LLVKDONJSA-N 403.382 4.810 5 20 HJBD COc1ccc(NC(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 469684432 PAMODLLDXKRRRQ-UHFFFAOYSA-N 403.385 4.593 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4cc(F)cc([N+](=O)[O-])c4)CC3)c2c1 470150897 JAAIMUIFNDSJIU-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](c1ccc(Cl)cc1)c1nccn1C 475651808 URYKGRLUYFUECA-GOSISDBHSA-N 413.865 4.510 5 20 HJBD CC(C)n1cc2cc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])ccc2n1 477584378 CMJATFSBMWIYLD-UHFFFAOYSA-N 401.854 4.543 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477707204 XJIHHYYBWOPLPL-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)NCc1cccc([N+](=O)[O-])c1C 480061889 QILKLNAGNJDJFV-UHFFFAOYSA-N 424.270 4.537 5 20 HJBD Cc1csc(-c2cccc(Nc3ccc(C(=O)c4nccn4C)cc3[N+](=O)[O-])c2)n1 480480008 HTUFZEYCDOWHQU-UHFFFAOYSA-N 419.466 4.735 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1Sc1ccc(F)cc1[N+](=O)[O-] 481833702 FRLJWMSLEOZRPZ-UHFFFAOYSA-N 408.842 4.729 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Cl)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 485735832 SWTIFYSCBWYVST-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD COc1cc(C(=O)N2CC3(CCCC3)c3cccc(Cl)c32)cc([N+](=O)[O-])c1OC 486401546 XKXCNFPSFWLLOA-UHFFFAOYSA-N 416.861 4.738 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(OCC3CC3)c(F)c2)c1[N+](=O)[O-] 486426052 DRXHUPHSUGMERK-LBPRGKRZSA-N 404.463 4.736 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(OCC(F)(F)F)cc2)cc(C(F)(F)F)c1 487187677 MURNEFPYQMMQCA-UHFFFAOYSA-N 408.298 4.845 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccn(C2CCCC2)n1 489169301 HTIPBBGCXXWPMS-UHFFFAOYSA-N 402.520 4.585 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H]3CCOC4(CCC4)C3)cc2[N+](=O)[O-])cc1 489202658 HQGYUWYTWZKFSA-KRWDZBQOSA-N 412.511 4.886 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 489488702 XNNBNJIHOXLDIL-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD COc1cc(CN2CCC(n3c(C)ccc3C)CC2)c([N+](=O)[O-])cc1OCC(F)F 491109818 BHNIAPPELXNQJL-UHFFFAOYSA-N 423.460 4.503 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNc1cccc(NS(=O)(=O)Cc2ccccc2)c1 492334417 ONZOTSQCAWKQCS-YRNVUSSQSA-N 423.494 4.662 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 498248641 ZBOLNXXUCKGBOD-HXUWFJFHSA-N 408.433 4.800 5 20 HJBD O=C(c1ccccc1)c1ccc(Sc2nccn2Cc2ccccn2)c([N+](=O)[O-])c1 498918462 QEFSJJXSUVROGY-UHFFFAOYSA-N 416.462 4.617 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 499996123 ANMKPEIHZGWYQZ-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1ccc([N+](=O)[O-])cc1 502286309 LDIUFWVKETXTDU-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 504712330 OLRHNICNWSRWJA-AWEZNQCLSA-N 410.499 4.598 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(c3c[nH]c4ncccc34)CC2)cc1[N+](=O)[O-] 509437761 PJCJWYNZISFNAL-UHFFFAOYSA-N 406.486 4.788 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)N1CCCc2ccc([N+](=O)[O-])cc21 509951010 CVCBXMIASHDYHF-UHFFFAOYSA-N 413.886 4.894 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)s1 510309219 STKDORLEILXYOY-UHFFFAOYSA-N 424.347 4.771 5 20 HJBD Cc1ccc(OCc2ccc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)o2)c(C)c1 512956178 XSFFOVGFVFNULX-UHFFFAOYSA-N 408.454 4.698 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@H]2CCCC[C@@H]21 516111467 UKRLWBIGVFYYFY-XPKDYRNWSA-N 400.397 4.577 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 516578578 BLOUAKIXFJYRSC-QHCPKHFHSA-N 419.481 4.625 5 20 HJBD CC(C)c1ccc(CNCCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 517581047 FHZYTJVEAXZKKY-UHFFFAOYSA-N 400.866 4.754 5 20 HJBD O=C(Nc1ccnn1[C@H]1CCCc2ccccc21)c1cc2cc([N+](=O)[O-])ccc2o1 523381915 IKFRSGNUIADOOH-SFHVURJKSA-N 402.410 4.716 5 20 HJBD C[C@H]1CCC[C@@H](C)CN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 525567685 AXLKLAAVFZNWEX-NEPJUHHUSA-N 411.289 4.738 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2nc(-c3ccc(F)cc3)n[nH]2)s1 531018351 NWMUHPJQSPFOSR-UHFFFAOYSA-N 409.446 4.537 5 20 HJBD COc1cc([C@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccncc1 532211886 XCSUTWXSRYWAKT-IRXDYDNUSA-N 407.470 4.989 5 20 HJBD COc1ccc(Cc2nnc(Sc3ccc([N+](=O)[O-])c(-c4ccccc4)n3)o2)cc1 534143694 CGQISPQRDTZYNM-UHFFFAOYSA-N 420.450 4.790 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)NCCc2cccc([N+](=O)[O-])c2)cs1 536281979 SQKWBKLGMBSMNS-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538003447 ZRQUSYWWAWRPQX-CVEARBPZSA-N 414.220 4.692 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CCN(C)C2CC2)c1 539331966 KKGWYGCZEJHKJA-UHFFFAOYSA-N 413.543 4.984 5 20 HJBD O=C(CCCC1CCOCC1)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 543068025 DTONIPZBMPJHDS-UHFFFAOYSA-N 424.457 4.576 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1F 543457712 PMZBOUNWXVMJKD-UONOGXRCSA-N 417.506 4.579 5 20 HJBD C[C@@H](N[C@H](C)c1nc(C(C)(C)C)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 543511207 GECGNGKPLUTJRS-VXGBXAGGSA-N 401.492 4.811 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 544118127 YWUVVFVTOUPLGG-KKSFZXQISA-N 407.470 4.884 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 544456132 DYCULWGNIPILDW-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD CCC[C@H](NS(=O)(=O)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 544713651 PABVGUVYMXUHSP-INIZCTEOSA-N 416.421 4.574 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 546260186 PNCUXNVNPQCNSN-UHFFFAOYSA-N 409.833 4.692 5 20 HJBD COc1c(-c2nc(-c3ccc(NC(=O)CC4CCCC4)cc3)no2)cccc1[N+](=O)[O-] 554805694 FBDHCXGTDIHCMG-UHFFFAOYSA-N 422.441 4.839 5 20 HJBD COc1ccc(-c2ccc(CNc3cnn(Cc4ccccc4)c3)o2)c([N+](=O)[O-])c1 556067713 FSFKYQIROUKVMD-UHFFFAOYSA-N 404.426 4.720 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCCc2nccs2)cc1 561980958 BZLVFSYEVZRXFC-UHFFFAOYSA-N 419.510 4.626 5 20 HJBD COc1cc(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)ccc1[N+](=O)[O-] 567311468 FIULSYGDFADRMA-UHFFFAOYSA-N 405.332 4.752 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 569412063 IRLOBBHIQRKRDU-LJQANCHMSA-N 424.284 4.590 5 20 HJBD O=C(Nc1ccc(Oc2nncc3ccccc23)cc1)c1cc(F)cc([N+](=O)[O-])c1 572438280 JAHXYXPDVZBCMO-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccc(Br)cc1[N+](=O)[O-] 581965652 RDLRGEYFAPVVFH-UMSPYCQHSA-N 409.667 4.687 5 20 HJBD CC[C@@H]1CCc2sc(-c3nnc(Sc4cccnc4[N+](=O)[O-])n3C)cc2C1 603845984 FPYRTMPYHBTSRB-LLVKDONJSA-N 401.517 4.513 5 20 HJBD COC(=O)c1sc(-c2ccccc2Cl)cc1NC(=O)c1ccc([N+](=O)[O-])o1 604007114 MMDCHDNJRCDSLR-UHFFFAOYSA-N 406.803 4.609 5 20 HJBD CC(C)N1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 609036625 JTUQDLYDSAXZAL-UHFFFAOYSA-N 417.893 4.597 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)c1 609483130 WBOUJXPUBRRLQC-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cccc(Cl)c1SCC(F)F 609932833 NPFAUKNGDMXKSD-UHFFFAOYSA-N 401.822 4.927 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccc([N+](=O)[O-])cc1Br 610036160 BLQLYKHWACZEJM-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Cl 610290969 DTQOAECOZQDRPT-UHFFFAOYSA-N 416.739 4.696 5 20 HJBD O=C1NCCc2ccc(NCc3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)cc21 610911062 HOXFACXCUBJYGO-UHFFFAOYSA-N 423.856 4.939 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2c(F)cccc2F)n1 726323863 RQONOGMUJVQTIB-UHFFFAOYSA-N 420.393 4.752 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1 727705980 DQSZETPYDGTJGY-UHFFFAOYSA-N 400.822 4.848 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC(c2c[nH]c3cc(F)ccc23)CC1 732134100 XOPQVANYWVMIGG-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccc(F)nc1 735459255 VSHALBTXHVRKSN-UHFFFAOYSA-N 419.771 4.644 5 20 HJBD O=C(OCc1cnc(-c2cccs2)o1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 739255753 FYZRDGYYNARDTI-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1ccc([N+](=O)[O-])cc1Br 740410344 OGWAWUZFOLOKFH-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD CNc1ccc(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 748016065 GGSWIFQQCBPNRU-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2n1C 750199650 CCVIZTVCAUAVRA-GFCCVEGCSA-N 408.439 4.523 5 20 HJBD COc1ccc(N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C(C)C)cc1F 751249769 CDBPSUPBJZQQHS-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 752140172 ZMIWOBMAIJMDCM-KRWDZBQOSA-N 417.465 4.695 5 20 HJBD CC(C)c1nnc(COC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)o1 755039340 NGRJILOLLQZDLF-UHFFFAOYSA-N 405.476 4.741 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)Cn2cc(Br)c(-c3ccc(Cl)cc3)n2)c1 755299553 XYXJLGPHUUDPMK-MRXNPFEDSA-N 422.666 4.608 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1cc(Cl)ccc1Cl 756764606 ASGKPVNSOYQJCU-SECBINFHSA-N 422.224 4.567 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NC(=S)NC[C@@H]2CCCCO2)c1 758249932 AJKWAUNUAJNQJR-ZDUSSCGKSA-N 409.558 4.663 5 20 HJBD O=C(N[C@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761941931 SONOINMTRTZCBY-QGZVFWFLSA-N 414.508 4.661 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1cc(F)cc([N+](=O)[O-])c1 770078778 DBEVWWYNHDPNPR-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NCc2cc(Cl)ccc2[N+](=O)[O-])cc1 770543691 BFACTNVEHSXTIA-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD CCN(C[C@H](O)c1ccc(C)cc1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 771763884 FQQCBOJLNZBBCZ-KRWDZBQOSA-N 411.380 4.509 5 20 HJBD C[C@H](CC(=O)O[C@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-])c1ccncc1 774368404 KRJQQLNRNJSKQT-FZKQIMNGSA-N 421.291 4.678 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(CCCc3ccccc3)o2)ccc1Br 777518142 DXEZHIQXYNFUSB-UHFFFAOYSA-N 417.263 4.528 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 782781372 NGGVGSBWQXJOGK-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 796262535 FGSOAPLBUHANTL-SNVBAGLBSA-N 412.776 4.544 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](F)c1ccc(C(F)(F)F)cc1 801690351 ZMFPVRGXJFFJJR-HNNXBMFYSA-N 404.747 4.637 5 20 HJBD CC(C)(CCc1noc([C@@H]2C[C@H]2c2ccc(OCc3ccccc3)cc2)n1)[N+](=O)[O-] 812816043 JNTRTEHCMNWEDP-VQTJNVASSA-N 407.470 4.908 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@@H]2COCC[C@H]21 813250312 LYTSGHOTTIJIJK-SOLBZPMBSA-N 421.280 4.546 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815406714 RWGMDAQIHLPPGQ-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)Nc2ccccc2)cc1 849200328 DZTFHOHWCXRIIS-OVCLIPMQSA-N 402.410 4.891 5 20 HJBD O=C(Nc1ccc(=O)n(Cc2ccccc2)c1)c1cc2ccccc2c2cccnc12 916466618 YHKMILRTIKESLX-UHFFFAOYSA-N 405.457 4.850 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)c1ccc(OC(F)F)cc1 916541061 DCARXNOYAWPBKU-JTQLQIEISA-N 421.377 4.839 5 20 HJBD C[C@@H](CC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(F)c(Br)c1 1117691026 CYUHTTFIHKUZSF-NSHDSACASA-N 407.239 4.532 5 20 HJBD C[S@@](=O)C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1 1325078655 ZZJNMJHPJLGXPY-KWMCUTETSA-N 418.902 4.718 5 20 HJBD C[C@@H](NCC(c1ccccc1)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11046049 UIVJMKPSSNIKSR-MRXNPFEDSA-N 423.900 4.997 5 20 HJBD COc1ccc(C(=O)Nc2nc3ccc(SC(F)F)cc3s2)cc1[N+](=O)[O-] 15308992 RPSVTGMDSKXPIT-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD Cc1cc(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)ccc1[N+](=O)[O-] 16008627 HXQCZKRUFLJZBK-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 45731760 FHOFJZYUZXLZCH-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD CCc1nc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)sc1C 65061573 FZUFMQYPQMKFAK-GFCCVEGCSA-N 411.487 4.743 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1sc(-c2ccco2)nc1-c1ccccc1 65123978 OFDHUCBDXJSIND-UHFFFAOYSA-N 419.462 4.951 5 20 HJBD COc1cc(N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)c(F)cc1[N+](=O)[O-] 301942042 XESJUBKEBJSBAV-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD C[C@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(C(F)(F)F)cc1 409747729 GXERMSUKPGDSFS-AWEZNQCLSA-N 422.403 4.787 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-])c1ccccn1 410262336 UNFXXFODWSOXPJ-LSDHHAIUSA-N 418.444 4.718 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)n[nH]1 426816269 JTIZHUXHQDVGLK-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccc(Br)cc1[N+](=O)[O-] 429920622 FECIFGBRFSOHDE-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)n1 431214506 USJLKOFVUJYACG-UHFFFAOYSA-N 409.446 4.825 5 20 HJBD COc1ccccc1CSc1ccccc1NCc1ccc(C(N)=O)cc1[N+](=O)[O-] 431319519 RXCFJKHYZCEICO-UHFFFAOYSA-N 423.494 4.607 5 20 HJBD COc1ccc(CN(CCc2ccccn2)C(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1 431432305 HTUUNDJKMYBQDK-UHFFFAOYSA-N 420.469 4.584 5 20 HJBD C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 435767605 JLAQYLPVQGOELI-INIZCTEOSA-N 403.442 4.629 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2cccc(OC)c2[N+](=O)[O-])cc1 435822089 MCMFTFDABCKMCV-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436054770 AETWTWNXIDFQBI-CQSZACIVSA-N 406.432 4.629 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCCO[C@H]1c1ccc(C)cc1 439906971 ARIHDBBJNGQASW-UWJYYQICSA-N 413.474 4.591 5 20 HJBD COc1ccc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1OC 440598101 DESKOMAOIOYHLD-UHFFFAOYSA-N 420.465 4.778 5 20 HJBD Cc1cc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1C(F)(F)F 443931646 ZAPPFEQEAUQYGH-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 446483682 DGLJAQFQORJPRA-KRWDZBQOSA-N 410.257 4.815 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC(C)(C)[C@@H]3C3CC3)cc2[N+](=O)[O-])n1 460962815 YLWNELAAJXSLOV-INIZCTEOSA-N 403.529 4.772 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 464667715 KHQYCRPLXJQVFI-UHFFFAOYSA-N 423.269 4.510 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467211255 IDLIXHGTXQYANX-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 468334674 UXBKTIXXVXWBSV-QGZVFWFLSA-N 411.506 4.516 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Sc2ccccc2Cl)nn1 470706479 FYJNORRYCLPUTQ-UHFFFAOYSA-N 404.810 4.581 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OCc3ccccn3)c(F)c2)cc1[N+](=O)[O-] 471576188 AXXOINVCRKQZTM-UHFFFAOYSA-N 413.430 4.682 5 20 HJBD C[C@@H](NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1 471985055 QUEYNXGHQHFARU-JLTOFOAXSA-N 410.861 4.793 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472264668 WAWPIYQYGODXMT-GOSISDBHSA-N 424.444 4.863 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 477879762 PMBFAAXRDDBKES-OAQYLSRUSA-N 406.548 4.908 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Br)ccc1N1CCCC1 478244733 UTAYGYSTHZVDKA-UHFFFAOYSA-N 418.291 4.529 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H](c1ccccc1)C(F)F 481832523 YTPWONIYYUUGGI-OAHLLOKOSA-N 420.359 4.828 5 20 HJBD O=C(c1csc(Cc2ccccc2F)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486070550 OVTQMPKGGISISR-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccnc1 486173560 IUFYGAODTVVOFV-QFIPXVFZSA-N 419.481 4.738 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2)[C@H](C)C1 487738427 JCGSZJXSSQGNIW-VQIMIIECSA-N 414.527 4.599 5 20 HJBD CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1Br 490481503 WQNMRDYZHCVTMG-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)n1 494104598 DRDLBWKXGJNWLI-UHFFFAOYSA-N 421.457 4.712 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@@H]1c1ccc(Br)cc1 502016361 ZGPLNARQYDPUDX-MOPGFXCFSA-N 417.303 4.898 5 20 HJBD O=C(Nc1cc(F)ccc1OC[C@@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 502308996 JFPKPNVVMOZEDD-HNNXBMFYSA-N 416.430 4.759 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1nccn1C 504927821 VGOGBXMUHGPTLK-FXAWDEMLSA-N 414.506 4.717 5 20 HJBD COc1ccc([C@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccccc2OC)cc1 506247605 TYFCUQOWNITADP-QFIPXVFZSA-N 414.408 4.769 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1sc(-c2ccccc2)nc1C 506576249 DIYNFGUTYWWCOD-CYBMUJFWSA-N 412.471 4.918 5 20 HJBD O=C(c1csc(-c2ccc(Cl)cc2)c1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 508486373 CYLZOBKXQMRMEI-UHFFFAOYSA-N 416.890 4.651 5 20 HJBD COc1ccc(CN(Cc2ccccc2)Cc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 508774425 PVCSTQQWSGMNRL-UHFFFAOYSA-N 420.465 4.672 5 20 HJBD Cc1cc(NC(=O)CCCc2c[nH]c3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 514490576 KVTJVSQQYFQWKY-UHFFFAOYSA-N 403.442 4.532 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1)c1cc2ccccc2o1 516168188 CVZJXGCLHDQBCO-HSZRJFAPSA-N 415.449 4.972 5 20 HJBD COCCN(Cc1ccc(OC)c([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 520183601 HOIFKISMDZMPNB-AWEZNQCLSA-N 412.408 4.832 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1Cc2ccccc2[C@H](c2ccccc2)C1 520528408 LKRJWSBRDAZOHW-FQEVSTJZSA-N 403.438 4.783 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC3CCN(Cc4ccc(F)cc4)CC3)o2)c1 520956217 HYFNVMCPRRIRSE-UHFFFAOYSA-N 409.461 4.748 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 522509151 NDAAIJMWFOAGIO-FXAWDEMLSA-N 412.486 4.596 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1nc2cc(Cl)cc(C)c2o1 522685223 WESPTHVDQOXHGJ-SNVBAGLBSA-N 421.862 4.826 5 20 HJBD COc1cc(C)ccc1OCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 524214638 XFZHOFVBSOXQHM-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](Cc2ccccc2)c2ccccc2F)cccc1[N+](=O)[O-] 525403320 KSZFUFHEKVVUPW-HTAPYJJXSA-N 421.472 4.943 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(NCCc4ccccc4)s3)nc2c1 531198654 VVGCBGCYIXGSOI-UHFFFAOYSA-N 413.484 4.534 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)cc1C(F)(F)F 533101831 GLSWLGDVPLWJTQ-UHFFFAOYSA-N 410.392 4.921 5 20 HJBD CC[C@@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccccc1OC(F)F 537052566 KCOGECMRFAOROZ-MRXNPFEDSA-N 407.417 4.574 5 20 HJBD CN(C(=O)CCc1ccc(Cl)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537146178 UCTMNWREUGGVFY-UHFFFAOYSA-N 401.875 4.967 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N(C)C)c(C)c1 539618885 BWFKWBVPQIKIMT-UHFFFAOYSA-N 415.515 4.750 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3ccc(Oc4ncccn4)cc3)no2)cc1 544096273 AMYRQUCYKYNAQP-UHFFFAOYSA-N 407.411 4.519 5 20 HJBD COc1cc(Cc2noc([C@@H](C)CSc3ccc(F)cc3)n2)ccc1[N+](=O)[O-] 545047945 FTKBWRMETZVDOQ-LBPRGKRZSA-N 403.435 4.612 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](COc2ccccc2F)c2ccccc2)c1 549200018 XZKYWWOITYCXTE-FQEVSTJZSA-N 424.428 4.683 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3ccc(OCC4CC4)c(Cl)c3)o2)cc1 549368398 RFEIYYHWIVQZAF-UHFFFAOYSA-N 401.806 4.666 5 20 HJBD CC(C)c1ccc(O[C@H](C)CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 563612860 KHBSDMVCUFJZQC-VGSWGCGISA-N 408.502 4.598 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](C)c2ccc(OCC(C)C)c(OC)c2)cc1[N+](=O)[O-] 603596611 NRJHXMHDXRKNEL-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD CCn1c(SCCOc2cccc([N+](=O)[O-])c2)nnc1-c1cccc(Cl)c1 603722096 FAQFNLVIIUAPGA-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD Cc1cc(NC(=O)NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603844761 YTPQSWODCQGPSK-UWVGGRQHSA-N 413.318 4.934 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)NCc3cccc([N+](=O)[O-])c3)cc2)n1 604475294 BNWATVYNMLIJCJ-UHFFFAOYSA-N 400.485 4.833 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H](c4ccccc4)C[C@H]3C)cc2[N+](=O)[O-])CC1 609647725 YICZJUSSUSATKZ-WIYYLYMNSA-N 407.514 4.849 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)c1ccc([N+](=O)[O-])cc1Br 610044827 XCCDMELENAWDFP-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC3CCCCC3)cc2)cc([N+](=O)[O-])c1OC 610176367 HCWMQLSJLILMFS-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD CC(C)n1ncc2cc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])ccc21 611503417 RLSMALZPOVCRNE-UHFFFAOYSA-N 401.854 4.543 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1)c1ccccn1 612927804 MFPXVWXFBXMGPM-UHFFFAOYSA-N 421.800 4.613 5 20 HJBD O=C(O)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 617746048 QNDVDALTLJIUHL-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1c(Cl)cccc1Cl 726028167 HUZPVLBYVCTWGX-JTQLQIEISA-N 422.224 4.799 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1cccc2ccccc12)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 730872301 NBKBIQRIAKPWHD-AGRHKRQWSA-N 422.412 4.561 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCC2(C(F)(F)F)CC2)cc1[N+](=O)[O-])c1ccccn1 739335599 HNFPUZVRGMJSED-GFCCVEGCSA-N 409.364 4.662 5 20 HJBD O=c1cc(COc2ccc(Br)cc2[N+](=O)[O-])c2cc3c(cc2o1)CCC3 741854850 FNCURUPULPEKIK-UHFFFAOYSA-N 416.227 4.531 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 746886509 AUPWRJQPXMWYPV-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1C 750921038 BJXOBPBNXGTYQY-UHFFFAOYSA-N 415.675 4.568 5 20 HJBD COC(=O)COc1c(C)cc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3o2)cc1C 751518803 ZMTPTBCFENSQOS-NVNXTCNLSA-N 416.817 4.642 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1c2ccccc2Oc2ccccc21 751623238 KIRQCHHRTZFLNM-UHFFFAOYSA-N 402.362 4.717 5 20 HJBD O=C(OCc1cc(-c2ccccc2)no1)c1cc([N+](=O)[O-])c(Br)cc1F 751693299 YIYPTSLLDPJVTP-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 754733584 VPNNLBXPRCWMOB-UHFFFAOYSA-N 401.488 4.511 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)Nc1ccc([N+](=O)[O-])cc1F 758355501 BNHUYNLEBZJVQJ-UHFFFAOYSA-N 403.757 4.614 5 20 HJBD Cc1ncc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Cl)c1Cl 758517457 JSGRXJLSVQTFCR-SECBINFHSA-N 423.212 4.573 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1ncc(-c2cccc(Cl)c2)o1 758736744 APRAYJOQLNVDJT-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760457420 WNGIMOCFDRXSNK-UHFFFAOYSA-N 408.410 4.573 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764197233 HVRSPUNOJPCQSB-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccccc1NC(=O)c1ccco1 765611644 WLPUTQKSLWFFKM-KRWDZBQOSA-N 422.437 4.752 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccccc1C(=O)c1cccs1 769680003 QEDOYSFEESAJQG-UHFFFAOYSA-N 418.434 4.530 5 20 HJBD Cc1ccc(-c2[nH]nc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)c2C)o1 774043422 LSJXGHWXKGZJQA-UHFFFAOYSA-N 423.473 4.683 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1c(F)cccc1F 775088697 WIJCEPYQLFEJFK-NSHDSACASA-N 424.425 4.558 5 20 HJBD CN1CC=C(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)CC1 775961689 QZEQCTQNGXXNNM-UHFFFAOYSA-N 410.517 4.925 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)OC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781841942 XNPPFEFMZIVDAU-GFCCVEGCSA-N 407.448 4.628 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cnn1-c1ccccc1 783536640 UVVKCLMZZMUFND-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD COC(=O)Nc1cccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 796491250 POMXSHDQPGHAIL-UHFFFAOYSA-N 420.469 4.831 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(SC(F)(F)F)cc1 797121930 OJRBQEXCZPTJTM-FMIVXFBMSA-N 419.384 4.861 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OC(C)(C)C)c(C)c1 798543462 WYDLPJGYNSHDPJ-UHFFFAOYSA-N 415.446 4.901 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc2c(C)cc(=O)oc2c1 805346694 ORNVCXVASYRTGQ-UHFFFAOYSA-N 412.467 4.788 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1OC(F)F 812894751 PSRALMXBTDGJDT-QMMMGPOBSA-N 405.184 4.994 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccsc1 813409299 QSIDCDJQXZZDTL-HNNXBMFYSA-N 416.330 4.776 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2ccc(Cl)cc2[N+](=O)[O-])c1=O 864028574 TVVOAHMCVUTRRI-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3)nn2-c2ccccc2)c1 920278416 BWNGWUNPLHGIBE-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc4ccccc4[nH]3)C2)c(Br)c1 1116134987 QDKCRSGECYSKLL-GFCCVEGCSA-N 401.264 4.618 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(c3nc4ccccc4o3)CC2)c(Br)c1 1255175333 KLGYKWOSOWVDGD-UHFFFAOYSA-N 416.275 4.878 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(C)c2)c2ccccc2)cc1 7530793 WXNFNSTXLXILHO-NRFANRHFSA-N 404.422 4.748 5 20 HJBD C[C@@H](OC(=O)CCc1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12952704 VZXGVSYKJYBZEL-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCCCC3)cc2)cc1OC 15827298 ZFIQEVJGNHLWQJ-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD C[C@@H](Sc1nc(C(F)(F)F)nc2ccccc12)C(=O)Nc1cccc([N+](=O)[O-])c1 22248092 RLNVPUOSFRLOIT-SNVBAGLBSA-N 422.388 4.676 5 20 HJBD COc1ccc2cc(CN(C)[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)ccc2c1 27260957 GLWDTMZYNJLJGZ-INIZCTEOSA-N 407.470 4.524 5 20 HJBD COCCn1c(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 32509955 RCKIWJDBPBVEGU-UHFFFAOYSA-N 405.863 4.598 5 20 HJBD Cc1c(NC(=O)[C@@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cccc1[N+](=O)[O-] 52586070 GQXUKHXGTXZLLK-GOSISDBHSA-N 417.465 4.547 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)ccc1[N+](=O)[O-] 56027318 YLXGLXYKPIBUTM-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD CCCN(C)c1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 63929998 BGUDIHKQVIYTMN-UHFFFAOYSA-N 409.408 4.646 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](Cc3ccccc3)c3ccccc3)o2)cc1 96981808 OKWWUBDTHRSSTE-NRFANRHFSA-N 400.438 4.718 5 20 HJBD COc1cc(C=O)c(Br)cc1Oc1ccc([N+](=O)[O-])c2cnccc12 301088017 KFELACSZEHOFBL-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3cccnc3)n2-c2ccccc2)c([N+](=O)[O-])c1 301106267 UFRHLUAFGOWKDD-UHFFFAOYSA-N 417.450 4.591 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)cc1OC(F)F 301391837 BESKBWYCUZRDRQ-MRXNPFEDSA-N 402.756 4.567 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CCCSc2ccc(Cl)cc21 430441457 XCDFRDJOWIDZCN-UHFFFAOYSA-N 414.874 4.577 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3ccc(F)cc3)s2)cc1OC 432189130 BEJBVOXVDVIEGW-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)c2ccccc21 436269020 WCMUQOPSKDPCJY-HXUWFJFHSA-N 410.433 4.573 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)n1 437756128 FTLHWURPXVPOSN-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438001869 NRFIRNKQCNIJOK-UHFFFAOYSA-N 416.890 4.732 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](CSc2ccccc2)C1 439904133 SJDDUQMDARGQHM-HNNXBMFYSA-N 401.488 4.640 5 20 HJBD CC(C)C[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442114427 OZDSDFZAXMUBKJ-QGZVFWFLSA-N 424.284 4.744 5 20 HJBD O=C(NC[C@H]1CCCC[C@H]1C(F)(F)F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444093591 BEVAVKHOPHHQFA-MLGOLLRUSA-N 420.406 4.919 5 20 HJBD Cc1ccc(C)c([C@H]2CN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)[C@@H](C)CO2)c1 444195698 OKZZZYXBBBNPSQ-FDDCHVKYSA-N 421.497 4.871 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccccn2)c1 444283449 CYPJCWTYGGLVIG-RUZDIDTESA-N 419.484 4.904 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)cc1 445272995 GBHIKJDPIPEBAX-OEWWAVFSSA-N 406.442 4.843 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 463951558 BIEXBKSYMPJMRB-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CCC(CC)(CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccccc1 464694021 VYZIYINOEIPWHE-UHFFFAOYSA-N 402.516 4.813 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)NCc1ccc([N+](=O)[O-])cc1Cl 466262722 GKYVDNQMGGIDRQ-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD COc1cccc(OC)c1OC1CCN(C/C(C)=C/c2cccc([N+](=O)[O-])c2)CC1 470175322 PZQFUGPJLIRUGU-SAPNQHFASA-N 412.486 4.559 5 20 HJBD COc1cc(C(=O)Nc2ncc(-c3ccc(C)cc3C)s2)c([N+](=O)[O-])cc1OC 470357659 RFYYQKIBJDDWQA-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COc1ccc(CN[C@H](c2ccc(Br)cc2F)C2CC2)cc1[N+](=O)[O-] 479071363 SRPPFZYFUGOOAV-SFHVURJKSA-N 409.255 4.746 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3C[C@H](c4ccccc4)[C@@H]4CCCC[C@H]43)o2)cc1 485913501 NBMZSGXYYYQQLB-PWRODBHTSA-N 404.470 4.803 5 20 HJBD Cc1cc(N2CCC(OCCc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 489183722 JGHVDTZJWYGQMA-UHFFFAOYSA-N 418.497 4.588 5 20 HJBD CSc1cccc(C(=O)NCc2ccnc(Oc3ccccc3F)c2)c1[N+](=O)[O-] 489640097 POYYSYAGJBHSBZ-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD C[C@@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 489642360 YCWNZNFAAAVGJP-LLVKDONJSA-N 410.411 4.510 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 497417448 MVHOYCPFWCHRRQ-HXUWFJFHSA-N 419.485 4.524 5 20 HJBD COc1cccc(CNC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c1OC1CCCC1 499268503 SGHAFLYGWLDCKO-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD Cc1sc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)nc1-c1c[nH]c2ncccc12 503906595 BWONRBXSEFXCGO-UHFFFAOYSA-N 418.438 4.637 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 504262431 WGYYNUZAUFNEEI-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD Cc1ccc(-n2nc(C)c(CC(=O)Nc3cccc([N+](=O)[O-])c3C)c2C)cc1Cl 508796403 QNCWHXZBOZEJSY-UHFFFAOYSA-N 412.877 4.849 5 20 HJBD O=C(Nc1cnn(C(F)F)c1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 509551305 AGDJHLQJXZAPRC-UHFFFAOYSA-N 408.748 4.884 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](CC(F)(F)F)c1ccc(F)cc1 511997056 OEQMDGVHXSLLAS-OAHLLOKOSA-N 404.747 4.740 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 513696814 DZDWTXYQURVYDI-VGOFRKELSA-N 408.502 4.693 5 20 HJBD COc1ccc(CSc2nnc(-c3ccc(C(C)(C)C)cc3)n2C)cc1[N+](=O)[O-] 514434566 UBPGEJARUPKQCK-UHFFFAOYSA-N 412.515 4.989 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 514501808 POTAIPOBSYWQAX-UHFFFAOYSA-N 402.806 4.971 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 514528537 UECFLOVKECLWPW-ZIAGYGMSSA-N 410.499 4.705 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c12 514562814 YORCEEHIIZTHJD-UHFFFAOYSA-N 420.469 4.800 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C\c4ccccc4[N+](=O)[O-])n3)c2)n1 517628456 KQHJERRCGWQHPF-KHPPLWFESA-N 401.426 4.677 5 20 HJBD O=C(NCCCCNc1ccccn1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 524223123 FCRSGFGHAQIMPU-UHFFFAOYSA-N 421.457 4.796 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCc4c(Br)cccc4C3)co2)cc1 534113182 DYNYHQBPXNXRMN-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD Cc1cccc(-c2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2C)c1 536305311 OFRCSMBUKKSMJV-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)Nc2ccccc2Cc2ccccc2)cc1[N+](=O)[O-] 537176084 PVBDVGHKFMZGFX-GOSISDBHSA-N 403.482 4.783 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)nn1-c1ccccc1Cl 537354568 WQIBGZHWIAJQSA-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(c1ccc(Br)cc1C(F)(F)F)N1CCc2c1cccc2[N+](=O)[O-] 538462713 VHLSGXOPKHHJRS-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD COc1cccc(Cl)c1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356424 CUICWUUCBSZHOR-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD CC[C@@H](CSC)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 540338819 XPVXPBNRWBVCPS-KBXCAEBGSA-N 407.923 4.659 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 540348634 ROEWZCWKFVUVTD-WBAXXEDZSA-N 402.441 4.535 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)c1ccccc1[N+](=O)[O-] 540389968 AGKZJEMHQMEUHH-XIKOKIGWSA-N 413.865 4.732 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)c(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c1 542729428 RBUAELFQNICGBZ-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)cc1 544486571 KSRHDPUPSLHQMN-OAHLLOKOSA-N 424.526 4.933 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(COc2ccc(Cl)cc2Cl)n1 546377010 GKKDAKGJNKVPKR-UHFFFAOYSA-N 409.229 4.518 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3cccc4cccnc34)no2)c1 550957534 LUICJZXUDPJWBH-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)c2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 553729893 LZYAOOMDBMUNBI-HNNXBMFYSA-N 422.460 4.967 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 557357718 YUSGTUJMKYQBAV-HLLQZAQXSA-N 420.444 4.588 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H](Oc2ccccc2)c2ccccc2)n1 567789170 ATFWGLNVAZTVDC-QFIPXVFZSA-N 416.437 4.801 5 20 HJBD COCCC[C@@H](CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 570833430 XGRMNSSSVALOHM-QAPCUYQASA-N 404.894 4.678 5 20 HJBD CC(C)(C(=O)Nc1cccc(Cl)c1OCC(F)F)c1ccc([N+](=O)[O-])cc1F 576973507 KRVXECUJBPGXKR-UHFFFAOYSA-N 416.783 4.948 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(OC(F)F)cc2)cs1 603572084 GSVGTMGEPZIUBB-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nc3ccc(C(F)(F)F)cc3[nH]2)cccc1[N+](=O)[O-] 603938202 MEXBKXOBFBCTBG-SNVBAGLBSA-N 424.404 4.918 5 20 HJBD C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1cccc(OCc2ccccn2)c1 603982164 FLJOHPBQPVGWBU-INIZCTEOSA-N 423.494 4.538 5 20 HJBD COc1cccc2c1OCC[C@H]2Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 608779396 JMKKCSBMLNOWLE-GOSISDBHSA-N 404.422 4.770 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2ccc([N+](=O)[O-])cc2F)o1 608870359 CABGYKCTDKWROY-UHFFFAOYSA-N 406.373 4.740 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CCc1ccccn1)Cc1ccc(F)cc1 608880418 BGGURYCPGCTMIU-UHFFFAOYSA-N 419.412 4.760 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)cc1[N+](=O)[O-] 609073650 QRCJITZXYHFBCN-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 609700232 OAIZZIWCQRYZMB-BEFAXECRSA-N 402.541 4.701 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609857143 HZPXWASFXYCKAS-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD O=C([C@@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] 609973580 XQIWPBJYYATQOJ-NRFANRHFSA-N 410.376 4.582 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cnc(Cc2ccc(F)cc2)s1 610047211 QOVHUQCRPLFTPF-UHFFFAOYSA-N 413.474 4.534 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@H]2[C@H](C(F)(F)F)[C@@H]21 610860857 MFMMZUJBAJMVLM-YESZJQIVSA-N 408.401 4.769 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 611222168 WCMSDOWYMGOSQH-INIZCTEOSA-N 400.438 4.644 5 20 HJBD Cc1cccc2sc(N(Cc3ccccc3)C(=O)c3cc([N+](=O)[O-])cn3C)nc12 612556698 HLJWKLBEZFLOBW-UHFFFAOYSA-N 406.467 4.698 5 20 HJBD CCc1ccc(NC(=O)c2nnc(CSc3ccc([N+](=O)[O-])cc3F)s2)cc1 618963188 JYVWRORQPNOFSQ-UHFFFAOYSA-N 418.475 4.692 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 725790100 BKCCYUHQXKMCOG-LSDHHAIUSA-N 400.479 4.591 5 20 HJBD Cc1ccc(COc2ccc(C[C@@H](C)NC(=O)c3cc(F)ccc3[N+](=O)[O-])cc2)cc1 727098201 VFJQEVLVWQIJHR-QGZVFWFLSA-N 422.456 4.982 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cs2)cc1 727711456 YLANOHPHELBLHW-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD CCSc1ccc([C@@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1 732037400 RCKXQVUAXVYXNV-LLVKDONJSA-N 416.471 4.876 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745344933 CWDOSYFGRAXZIX-VQISRLSMSA-N 423.252 4.576 5 20 HJBD COc1cc(OCC(=O)O[C@@H](c2cccs2)c2ccccc2C)ccc1[N+](=O)[O-] 748504911 GTRUFJSUEINIFX-OAQYLSRUSA-N 413.451 4.685 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1cccc(F)c1)c1ccccn1 750629941 BCCRIBLCAVJKMR-JLTOFOAXSA-N 412.442 4.942 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1cc([N+](=O)[O-])ccc1Br 751075524 DCAIQFFFPUGGPQ-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cs1 752556029 VLORGGIOXUDVGR-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD C[C@H](Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 754887055 MVAYTVWGGZQYFJ-ZQIUZPCESA-N 414.893 4.676 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC=C(c2ccccc2Cl)CC1 758933252 DJAQLQVRTBNNII-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1Cc1nc2ccc([N+](=O)[O-])cc2[nH]1 769735253 WAEYUUAHKUOBPX-QGZVFWFLSA-N 416.913 4.623 5 20 HJBD C[C@@H](CC(=O)O[C@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-])c1ccncc1 774368405 KRJQQLNRNJSKQT-SCLBCKFNSA-N 421.291 4.678 5 20 HJBD O=C(N1CCc2sccc2[C@H]1c1cccs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777917896 UVXFBJFNFPUWOY-KRWDZBQOSA-N 420.462 4.984 5 20 HJBD Cc1c(C(=O)N2CCC[C@H](c3ccc(F)cc3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 778507484 LVSOHTKBWFWFAZ-HNNXBMFYSA-N 415.421 4.669 5 20 HJBD C[C@H](c1ccccn1)N(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)C)cc1 779465165 UBGBMNYYVIREKJ-YZIPPPLESA-N 416.481 4.863 5 20 HJBD O=C(OCC(=O)C12CC3CC(CC(C3)C1)C2)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259089 IWFMBZQSRRYNRO-UHFFFAOYSA-N 415.489 4.624 5 20 HJBD C[C@H](CNC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1 788005295 WHSLSBMBVIQKEF-CHWSQXEVSA-N 401.513 4.605 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CNC2(c3cc(C(F)(F)F)ccc3Cl)CC2)cc1 792432972 LPGUCMFZDPUERB-MRXNPFEDSA-N 400.784 4.579 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](Cc2ccc(Cl)cc2)c2ccccn2)c([N+](=O)[O-])c1 792876167 MHPAYELZFYMHIE-LJQANCHMSA-N 412.833 4.625 5 20 HJBD COCCOc1c(Cl)cc(NCc2ccc([N+](=O)[O-])cc2OC)cc1Cl 797422828 CYWCJCKKNGJTNB-UHFFFAOYSA-N 401.246 4.548 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1csc(Cc2cccc(Cl)c2)n1 800145516 WJODGMQBGXWJRA-UHFFFAOYSA-N 419.865 4.924 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nccn1-c1ccccc1 803073476 RVYDVJAVLQDFQT-AWEZNQCLSA-N 420.450 4.822 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cc(OC)ccc2c1 804307752 JIBBQHLNHSWPHF-UHFFFAOYSA-N 412.467 4.747 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 809920676 ZILVBVPILMAFIO-YUMQZZPRSA-N 419.709 4.843 5 20 HJBD CC[C@H](C)NC(=O)c1cccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811474246 GILAFLOELQQPIT-LBPRGKRZSA-N 410.301 4.720 5 20 HJBD C[C@H](OC(=O)C[C@H](C)CC(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 919495622 FGYREIXIRUZPMA-RYUDHWBXSA-N 418.412 4.946 5 20 HJBD CCOc1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 919679668 CSCBZCXVFSERCP-HNNXBMFYSA-N 407.426 4.781 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCCc2c1cnn2Cc1ccccc1 1116755371 FWDIAWAJQKZIBO-LJQANCHMSA-N 419.485 4.656 5 20 HJBD COC(=O)c1cc(-c2nnc([C@@H](C)Oc3ccc4ccccc4c3)o2)cc([N+](=O)[O-])c1 1117192035 SWAKGSNGHQNBDR-CYBMUJFWSA-N 419.393 4.725 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCCc3cccc([N+](=O)[O-])c3)ccc2OC)cc1 1324494970 ZRGAOLHPWBJTOL-UHFFFAOYSA-N 421.453 4.643 5 20 HJBD COc1ccc2cc(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)ccc2c1 1356028379 CEKLQWDUCAXILC-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD CCn1c(SCc2ccc(OC)c([N+](=O)[O-])c2)nnc1-c1cccc(Cl)c1 42113703 PWZNDWBMLGSAQR-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD CC(C)c1nc2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)ccc2o1 106059834 FLCYCAOJCFTNRQ-LLVKDONJSA-N 402.838 4.952 5 20 HJBD CN(Cc1coc(-c2ccc(Br)cc2)n1)Cc1ccccc1[N+](=O)[O-] 142764783 KCIHFARLTDUDOB-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD COC[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc(C)o1 237178116 NKKFGNSAVBUDMG-GOSISDBHSA-N 400.406 4.905 5 20 HJBD O=C(CNc1cccc([N+](=O)[O-])c1)N1N=C(c2ccc(Cl)cc2)C[C@@H]1c1ccco1 301237494 DRVDMHWYVUIYNU-LJQANCHMSA-N 424.844 4.631 5 20 HJBD C[C@H]1C[C@]1(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 410366705 HUERIDLCUKNNLG-VXJOIVPMSA-N 415.696 4.737 5 20 HJBD COc1ccccc1[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccco1 426809628 FMOLALYHHGCESV-HXUWFJFHSA-N 409.442 4.520 5 20 HJBD CC1(C)C[C@@H](N[C@H](CCO)c2ccccc2Br)c2cc([N+](=O)[O-])ccc21 433244201 UJTFSWPATLEEBB-RTBURBONSA-N 419.319 4.793 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 435777493 CWHHAHZSGFKUDW-UHFFFAOYSA-N 418.434 4.647 5 20 HJBD O=C(N[C@@H]1CCSc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435819692 XYJBODDZQOHXRC-GOSISDBHSA-N 404.466 4.787 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816287 BNPYFKAELKCLTM-BDJLRTHQSA-N 409.364 4.950 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)NCCNc3ccc([N+](=O)[O-])cc3)ccc2C)c1 438672840 RNYGCPQARKNWQU-UHFFFAOYSA-N 421.457 4.633 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@H]3c3cc4ccccc4[nH]3)o2)cc1 440322579 YDRRAKAGWGBDKG-FQEVSTJZSA-N 403.442 4.853 5 20 HJBD C[C@H](CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1)NC(=O)C1CCCCC1 440602688 OPFDGSKEQUKCDJ-GOSISDBHSA-N 423.513 4.603 5 20 HJBD CCCN(C(=O)Cc1cn2cc(Cl)cc(Cl)c2n1)c1cccc([N+](=O)[O-])c1 441448455 GVEUQXNLXJUOCM-UHFFFAOYSA-N 407.257 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](Oc4ccccc4Cl)C3)o2)c1 443652659 LLAMZLPPLPFDAW-QGZVFWFLSA-N 413.861 4.599 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Sc1ccccc1 444094134 CEHCUKDOIITZIX-CYBMUJFWSA-N 424.472 4.870 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(/C=C/c3cc(C(F)(F)F)ccc3Cl)n2)n1C 445599438 GOCRAJOEVWDEPJ-ZZXKWVIFSA-N 413.743 4.529 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1nnc(-c3c(Cl)cccc3Cl)o1)CC2 446714896 OFRAFPCELWLJTG-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](CSc2ccccc2)C1 464326326 WFDZUEHYIPKMNS-CVEARBPZSA-N 402.541 4.716 5 20 HJBD CC(C)(C)OC(=O)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 465278858 YCPDVNXLCWLVBF-UHFFFAOYSA-N 416.499 4.598 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1Cl)C1CCCC1 465748025 GKWOHNUBDLQYJQ-UHFFFAOYSA-N 401.894 4.945 5 20 HJBD COCCCC[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 472170785 ITRKCKHKJFTJFB-IBGZPJMESA-N 405.882 4.605 5 20 HJBD COc1ccc(-c2csc(CNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)c2)cc1 474161635 YARSYCRURJKJIP-UHFFFAOYSA-N 409.467 4.829 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 475344524 CZWVJVHZJHCQPN-UHFFFAOYSA-N 421.478 4.979 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2cc3ccccc3o2)n1 485092684 MFSHQCBSRPVDCT-UHFFFAOYSA-N 423.454 4.879 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(C3CC3)nc3ccc(C)cc23)c(C)c([N+](=O)[O-])c1 485948245 BYVCYBLIBSWQON-UHFFFAOYSA-N 419.437 4.676 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(COC(C)C)c1 485990773 PXEHGKMXGXWTEF-UHFFFAOYSA-N 404.488 4.511 5 20 HJBD CCCN(C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C)[C@@H]1CCc2ccccc21 486859941 QPUGWDDTHGHZSL-LJQANCHMSA-N 411.458 4.621 5 20 HJBD Cc1cc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)ccc1NC(=O)c1ccco1 492637221 SKRZYBWMZQUEIT-UHFFFAOYSA-N 422.441 4.579 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497921410 GXKCGDWUEORLEN-HNNXBMFYSA-N 414.767 4.911 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 500210189 ZYJVDRPIIMPXME-SNVBAGLBSA-N 418.318 4.946 5 20 HJBD CC1CCN([C@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C(C)C)CC1 500263756 GUKUJRCAHVWWGV-HXUWFJFHSA-N 402.564 4.564 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 500620719 ZEMIKKQHTSJPCD-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1nc2c(s1)CCCC2 503021973 DAUYGMDDIMNLRQ-UHFFFAOYSA-N 419.572 4.795 5 20 HJBD CC[C@H](NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1F 505465136 RHWQSLQNWRDJKQ-HNNXBMFYSA-N 416.371 4.766 5 20 HJBD CC(C)c1c(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccc(Cl)cc1 508486752 IFBFVWYDXBEORZ-UHFFFAOYSA-N 424.848 4.686 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 509969102 MSLNTWPAZHCVAE-UHFFFAOYSA-N 422.828 4.809 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 519029744 WOZJGVAJPXUGJP-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccccc1)Cc1ccccc1 520041517 IHURPUHQTNCTMS-UHFFFAOYSA-N 412.449 4.623 5 20 HJBD Cc1cccc2c1CC[C@H]2NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 520625584 QKYWTGAOJMHERS-OAHLLOKOSA-N 410.417 4.818 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)c(C(F)(F)F)c1 521411738 CFZHZPCUTZAOOR-UHFFFAOYSA-N 414.358 4.951 5 20 HJBD CCOc1cc(NC(=O)c2ncoc2-c2ccc(C)cc2)c([N+](=O)[O-])cc1OCC 525649662 UIJIZKHSEIBJTK-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(-c4nnco4)cc3C)o2)c([N+](=O)[O-])c1 538832367 IZHMVFVEOUDOHS-UHFFFAOYSA-N 406.398 4.834 5 20 HJBD COc1ccc(NC(=O)c2[nH]c3ccc(Br)cc3c2Cl)cc1[N+](=O)[O-] 543926244 BGMOPGZYARHYKO-UHFFFAOYSA-N 424.638 4.753 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cccc(C(F)(F)F)n4)no3)cs2)cc1 545019932 QFDZZVNUIPDWJS-UHFFFAOYSA-N 419.344 4.849 5 20 HJBD Cc1c(-c2nc(Cc3c[nH]c4cccc(Br)c34)no2)cccc1[N+](=O)[O-] 546488110 MCFGPKLEGTXRAB-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)c1 549212560 AUQIMQAKDJGMNY-UHFFFAOYSA-N 402.410 4.789 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(Cl)c1 549867518 CGCSTWDNNAIRHB-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD COc1ccccc1C1(CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)CCCC1 552249778 KGFBGAHMELCBIK-QFIPXVFZSA-N 420.513 4.528 5 20 HJBD CCC(CC)(CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 574982162 CXKYEIQNSAOPNW-MRXNPFEDSA-N 414.502 4.590 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@@H]2F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 578541420 KEUICYUGUQBDTA-UONOGXRCSA-N 408.813 4.670 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 588054487 RLBSNAPNZLYUJA-UHFFFAOYSA-N 416.365 4.648 5 20 HJBD CCCOc1ccc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1OCCC 603659729 VATUEJIVMHUYSM-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1ccccc1)C(=O)c1csc([N+](=O)[O-])c1 603751642 VGHWUKYFJUBKKR-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890151 SCKIRQRTMRXTJR-SFHVURJKSA-N 406.486 4.593 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)CCOc1ccccc1[N+](=O)[O-] 603956417 ROAPEBZTUWQYLT-UHFFFAOYSA-N 410.392 4.820 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(O)cc1 604485269 IABWNRTZBPCMCV-QFIPXVFZSA-N 417.465 4.890 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 609654136 LLEKHEMWFXLBKA-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 610055188 IZKLLKWOYOTXEL-MRXNPFEDSA-N 411.380 4.544 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(OCc2ccccc2F)CC1 616119259 WRRAMUQYTXLPST-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3ccccc3Cl)no2)ccc1[N+](=O)[O-] 619508053 CMDIKUZOPRIYKM-QGZVFWFLSA-N 412.833 4.584 5 20 HJBD CCn1c(SCCC(=O)Nc2cccc(F)c2)nc2cc3ccccc3cc2c1=O 644524241 MCFKNDZSUOYFGT-UHFFFAOYSA-N 421.497 4.830 5 20 HJBD CC(=O)c1c(C)cc(C)c(COC(=O)c2cc([N+](=O)[O-])ccc2Br)c1C 725984403 BEKNFQJCYYZEOT-UHFFFAOYSA-N 420.259 4.842 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1c(Cl)cccc1Cl 726028144 HNMYSCALTIAGLD-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD CSc1nc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c2ccccn12 728166903 QBRPLQXOCJTJRL-UHFFFAOYSA-N 416.890 4.675 5 20 HJBD COc1ccc(COC(=O)c2cc(-c3ccc(C(F)(F)F)cc3)no2)cc1[N+](=O)[O-] 730858611 OJVFTHRYWABRTR-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD COC(=O)c1cc(Oc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])ccc1[N+](=O)[O-] 732667861 XMXBFVDSDGEJTJ-UHFFFAOYSA-N 420.683 4.754 5 20 HJBD O=C(OC[C@@H]1CCCN(C(=O)c2ccccc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 749080345 SMLBJXCGEWNGFH-OAHLLOKOSA-N 424.478 4.519 5 20 HJBD O=C(NCc1ccccc1Cl)c1cc(Br)cc([N+](=O)[O-])c1Cl 749408924 KEVCBUUVSVEUEK-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 752108666 DABXLKJBTOITAM-AZUAARDMSA-N 408.454 4.850 5 20 HJBD O=C(Cc1csc2nc(-c3ccccc3)cn12)Nc1cccc([N+](=O)[O-])c1Cl 752985200 WYOFZLPOILSQJG-UHFFFAOYSA-N 412.858 4.806 5 20 HJBD O=C(CCc1ccoc1)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 753179845 CAQHTGGDECXHPF-UHFFFAOYSA-N 414.801 4.632 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1c(Cl)cccc1[N+](=O)[O-] 754632070 OCXJNLHCRSXEDR-NSHDSACASA-N 401.633 4.809 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(NC(=O)OC(C)(C)C)cc1 758853123 SYSAARZGZLEQLJ-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CCCNc1ccc(C(F)(F)F)cc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 759250830 YHPARMWHCPYYHZ-UHFFFAOYSA-N 412.324 4.596 5 20 HJBD CC[C@@H](OC(=O)c1c(F)ccc([N+](=O)[O-])c1F)c1ccc(Br)cc1 761190193 CACTYMHZTTZHKO-CYBMUJFWSA-N 400.175 4.944 5 20 HJBD CC(C)C[C@H](NC(=O)OCc1ccccc1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 761343521 HFLMVNBDWDRXSS-SFHVURJKSA-N 424.457 4.582 5 20 HJBD COc1ccc(-c2ncc(C(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])s2)cc1OC 774066656 ZAGRRKDEXRBUDP-GFCCVEGCSA-N 414.439 4.654 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc([N+](=O)[O-])c(Cl)c1 787472746 TWONRXKUQDLDEY-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD C[C@H](NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1ccc(N)c([N+](=O)[O-])c1 788749791 HOTWQANDDDRYKH-YOEHRIQHSA-N 408.527 4.757 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNCCC1=CCN(C(=O)OC(C)(C)C)CC1 793333085 VSVAIMNFNWIYMA-SAPNQHFASA-N 401.507 4.545 5 20 HJBD Cc1c(N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cccc1-c1ncco1 793721198 LCTACJRUBDDYPO-LBPRGKRZSA-N 400.822 4.651 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3sccc3Cl)CC2)ccc1[N+](=O)[O-] 797059955 FKGYDRVUOVNLCO-UHFFFAOYSA-N 410.879 4.534 5 20 HJBD COCc1nc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c(-c2ccccc2)s1 799338521 QRXRAEZFJJHJHY-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H](O)c1c(Cl)cccc1Cl 809923191 YHYWJYHZZNMNSJ-AYVTZFPOSA-N 418.664 4.799 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncsc1C(F)(F)F 811217966 JJCBIYYVJOWZQN-UHFFFAOYSA-N 415.374 4.551 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(Oc2cccc(Br)c2)CC1 811828663 LLTCELMQQKHOSF-UHFFFAOYSA-N 419.275 4.608 5 20 HJBD CC(C)(COc1cc(Cl)cc(Cl)c1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812815526 ORYVYGDLSRNYMT-UHFFFAOYSA-N 402.278 4.721 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnnc(-c2ccccc2)c1 813305036 QQCOQAGLXPTJRI-UHFFFAOYSA-N 418.434 4.532 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NCc2cc(Cl)ccc2[N+](=O)[O-])CC12CCC2 813785985 IUYFCVUEDPBDKJ-MRXNPFEDSA-N 409.914 4.660 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NCc1ccc(Cl)cc1[N+](=O)[O-] 863996824 HFEZBHMZSOTMGP-UHFFFAOYSA-N 404.772 4.904 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1F 915838404 CPLPDENUXFQMSJ-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD Cn1c(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc2cc(Cl)ccc21 915994831 VZJVXNHBMIYRIT-UHFFFAOYSA-N 411.270 4.519 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 919368048 IAPSBQDTYWOLOH-ZCFIWIBFSA-N 423.661 4.801 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc2ccccc21 8807540 LINCRPSPSKAKPJ-LLVKDONJSA-N 404.879 4.737 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 9352455 IMQLWLLNGFVEQG-HUUCEWRRSA-N 416.499 4.771 5 20 HJBD COc1ccc(C(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)cc1Cl 25646043 RIXVEOSDDRNUSV-UHFFFAOYSA-N 424.909 4.724 5 20 HJBD COc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1Cl 28875580 ZDKVCJUXLXOJLN-SECBINFHSA-N 417.771 4.715 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])cn1 52429542 GREPCDOTKQYABM-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nccn2C)cc1 54748460 CMXFLWVDVFBJHG-ZDUSSCGKSA-N 414.512 4.599 5 20 HJBD COc1ccc(C(=O)Nc2nc(C)c(Cc3ccccc3Cl)s2)cc1[N+](=O)[O-] 59773846 USTUOVAVMZLPOU-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(OC)cc2F)c([N+](=O)[O-])cc1OCCC 105310247 UVKDOEVDAYSHPL-UHFFFAOYSA-N 406.410 4.572 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(CC(F)(F)F)C3CC3)cc2[N+](=O)[O-])n1 115802993 GDMJJYRXYUSITC-UHFFFAOYSA-N 417.434 4.678 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(c2nc3cc(Cl)ccc3o2)CC1 116573540 ZVNBQTYWPTUXTR-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD Cc1sc(NC(=O)c2ccccc2OC(F)F)nc1-c1cccc([N+](=O)[O-])c1 195703777 JAKGHZRIWZMVAE-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@@H](NC(C)(C)CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 237385676 ZJQUWSQWGBIQBI-MRXNPFEDSA-N 410.543 4.937 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](c3nc(C4CC4)no3)C(C)C)o2)c([N+](=O)[O-])c1 237423010 JPDGPDNJVWGDQO-IBGZPJMESA-N 412.446 4.611 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 301594737 ROYKRGYZYADAMJ-AREMUKBSSA-N 406.813 4.641 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1nc2ccccc2[nH]1 302638277 VZYFTZHUDCOZDD-UHFFFAOYSA-N 415.453 4.969 5 20 HJBD C[C@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 410316981 ACPYZVDOTRJBPJ-QMMMGPOBSA-N 421.183 4.909 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 410408500 AHSVMPAETHLAMS-UHFFFAOYSA-N 413.327 4.502 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)C12CC3CC(CC(C3)C1)C2 426827805 SYPPIZLOZIXDPC-KNMWWIEVSA-N 410.470 4.614 5 20 HJBD Cc1c(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cccc1-c1ncco1 427455250 IGIVDGTUZXVYEY-GFCCVEGCSA-N 400.822 4.651 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3ccc(F)cc3C2)cc1[N+](=O)[O-])c1ccccn1 433537656 JOVUIABAEHMFIS-HNNXBMFYSA-N 420.444 4.501 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437130328 YLCVBIORFCEJJV-ROUUACIJSA-N 415.287 4.652 5 20 HJBD O=C(N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 438688673 SVUPSCGRLFKMSA-ROUUACIJSA-N 414.480 4.962 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](c2ccccc2)c2nccs2)nc1OCc1ccccc1 462515651 XRHLPOUQOCKRQW-HXUWFJFHSA-N 418.478 5.227 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)NC(c1cccs1)c1cccs1 466221069 SNXBHQGVIAIIAQ-UHFFFAOYSA-N 407.904 4.960 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc(CS(=O)(=O)C(C)(C)C)cc1 468742261 BPSYITXSNHYMSP-VBKFSLOCSA-N 402.516 4.824 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475213887 ZFZRECJKSCPSKP-OAHLLOKOSA-N 409.364 4.991 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 476665379 NPFWIOQHZSUYOT-UHFFFAOYSA-N 401.806 4.727 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 477347481 DUKRCACSSYDCIH-UHFFFAOYSA-N 420.494 4.945 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(C(C)(C)C)c3O)cc2)c(C)c1[N+](=O)[O-] 480066916 FNWKTHDIOFXHOK-UHFFFAOYSA-N 422.485 4.712 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(Br)cc2C(F)(F)F)cc1 481051930 BUAXWYJNQDQZPD-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4cc5ccccc5o4)o3)n2)cc1 484754454 RGTXQHJCLKAPBF-UHFFFAOYSA-N 421.394 4.733 5 20 HJBD CCCc1nnc(S[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)o1 489632336 KBDVNVFXLMOWGM-GOSISDBHSA-N 412.471 4.711 5 20 HJBD O=C(CCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc2ccccc2c1 497587160 JGCQDMVJYFFFIG-UHFFFAOYSA-N 402.450 4.902 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(C(F)(F)F)c1 497795858 RZEUEGQQJTUZLV-UHFFFAOYSA-N 420.290 4.866 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H](C)Oc3ccccc3F)n2)cc1[N+](=O)[O-] 498786328 MRFQCVXGOORQOQ-GFCCVEGCSA-N 401.419 4.572 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(SCC)cc2)c([N+](=O)[O-])cc1OC 504710464 BSNYPWUCOOFHAD-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)ccc1[N+](=O)[O-] 515184220 FOGDRHGNMLNOOA-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1C(F)(F)F 516271447 MZJVRGQHOIWOBB-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD C[C@@H](c1c(F)cccc1F)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 516364742 UAGQIXLSUDTZBD-VIFPVBQESA-N 401.316 4.699 5 20 HJBD Cc1cc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C2CCCC2)c2ccccc2n1 518358358 KAVADVSDUFSNDI-UHFFFAOYSA-N 403.482 4.965 5 20 HJBD CC(C)c1ccc(CNCc2ccc(CN3C[C@@H](C)O[C@H](C)C3)cc2)cc1[N+](=O)[O-] 520207892 PNIUCCPCBVEWRT-RTBURBONSA-N 411.546 4.617 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3cccc([N+](=O)[O-])c3)cc(-c3cccs3)nc21 522239229 ODGNHCPFNWOGLM-UHFFFAOYSA-N 421.482 4.579 5 20 HJBD Cc1ccc(C(C)C)c(O[C@@H](C)C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)c1 524685440 APKQJNHECYLXFW-INIZCTEOSA-N 408.458 4.618 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)o1 533922237 MJADMMODDGDWPT-QGZVFWFLSA-N 406.360 4.697 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(-c4ccncc4)n3C3CC3)co2)cc1 534056358 SNUQGWOHXLMXLF-UHFFFAOYSA-N 420.454 4.531 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 534247467 YCENOGGAGYMPNE-QFIPXVFZSA-N 401.422 4.604 5 20 HJBD CCOc1ccc(NC(=O)CN(C)[C@@H](C)c2sc(C(C)C)nc2C)c([N+](=O)[O-])c1 535972466 PIMDXKCUBHKZAN-AWEZNQCLSA-N 420.535 4.513 5 20 HJBD Cc1c(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 536103048 LXNSLDYJVMPISQ-UHFFFAOYSA-N 420.391 4.857 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@H]3c3ccc(F)c(F)c3)cs2)c1 538444547 CTIWWDOSIIFYCS-MOPGFXCFSA-N 417.437 4.616 5 20 HJBD Cc1nc(CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cs1 541610116 IFEXSUZGHRYVKW-LBPRGKRZSA-N 417.437 4.975 5 20 HJBD Cn1cc(C(=O)NC2CCC(c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 541930976 SOHUQRLNVLGYAH-UHFFFAOYSA-N 422.460 4.591 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)c3nnc(C)s3)cc2[N+](=O)[O-])cc1 544709391 ZJDKMCPNOSQWEY-GFCCVEGCSA-N 414.512 4.705 5 20 HJBD Cc1n[nH]cc1C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 551827912 YMODOQFIQUIWOS-UHFFFAOYSA-N 400.866 4.919 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)Cc2ccccc2C(F)(F)F)cc([N+](=O)[O-])c1C 553779672 GPGWFZMXZBKGQA-LBPRGKRZSA-N 410.392 4.634 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@H](Cc3ccc(C)cc3)C2)cc1SC 563865161 AAPDKIYAEZBLHJ-QGZVFWFLSA-N 414.527 4.729 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3ccccc3[N+](=O)[O-])cc2)n1 604113994 UTVQUSKGYRUXFF-UHFFFAOYSA-N 401.469 4.528 5 20 HJBD C[C@H](NC(=O)NCCOc1ccccc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 604460028 GDYATFZIGGHDLU-KRWDZBQOSA-N 405.454 4.701 5 20 HJBD COc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 609709757 UAVUIVSPOBFHTQ-UHFFFAOYSA-N 412.446 4.766 5 20 HJBD CN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)[C@H](c1cccc(F)c1)c1cnn(C)c1 609970205 OXAFALGYOHCPPG-OAQYLSRUSA-N 424.476 4.627 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 609976225 BKERZPAHRCQVOT-ICSRJNTNSA-N 407.514 4.524 5 20 HJBD CCOC(=O)c1sc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1C 610017657 ZHVNYIDBEANHJG-UHFFFAOYSA-N 405.476 4.584 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CCCCOc3ccccc3C(F)(F)F)n2)cc1 610167015 ZHLFJXHTKCIPHV-UHFFFAOYSA-N 406.364 4.731 5 20 HJBD O=C(O)C[C@@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 611526642 YSNKDWZRIAPVSE-HNNXBMFYSA-N 418.833 4.510 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3cccc(COCc4ccccc4)c3)c2c1 611701068 OAOMZZSYDZSNSE-UHFFFAOYSA-N 400.438 4.867 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(C)cc2OCc2ccccc2)cc1[N+](=O)[O-] 727665238 LJMISQHHNHDTRX-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Cl)cc2NC(=O)c2ccoc2C)cc1[N+](=O)[O-] 728170866 TWSXHUHFMZOJQW-UHFFFAOYSA-N 413.817 4.963 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)O[C@H](C)C2 730493734 INJCVDLDYKWOGH-WPGHFRTFSA-N 416.861 4.713 5 20 HJBD CSCC[C@H](C)Nc1ccc(S(=O)(=O)Nc2ccc(C)cc2C)cc1[N+](=O)[O-] 736296651 LRGNLVOXAFXAAV-HNNXBMFYSA-N 423.560 4.566 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 741729890 GVQXAUCWESXLLJ-OAHLLOKOSA-N 405.454 4.686 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 742765445 IAWCCVVTMFKQMR-UHFFFAOYSA-N 420.849 4.768 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1cc([N+](=O)[O-])ccc1F 744776402 LPSJBPMPIDLDJB-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)c1 748017038 QQIOZPQBFBKKSN-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD CCc1ccc([C@H](NCCc2ccc(NC(=O)OC)cc2)c2ccc([N+](=O)[O-])cc2)o1 753677383 DNROKCFRWHBJST-JOCHJYFZSA-N 423.469 4.850 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 758866084 ZETBHEIJCYSBTN-NSHDSACASA-N 407.289 4.746 5 20 HJBD Cc1nc(NC(=O)c2ccc(NC(=O)OC(C)(C)C)cc2Cl)ccc1[N+](=O)[O-] 762341357 KHTIHMSEELQWCA-UHFFFAOYSA-N 406.826 4.551 5 20 HJBD O=C(Nc1cc(C2CCCC2)nn1-c1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F 763384392 QDKSQQGGUCQCCQ-UHFFFAOYSA-N 412.396 4.969 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1ccc(Cl)c([N+](=O)[O-])c1 764618187 KSJVGMBICQSLPY-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])OCc1nc(C2CCCCC2)cs1 767060991 SSIKPGBFVDZDNS-UHFFFAOYSA-N 419.459 4.683 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)CC2)c1 770020260 PDOLCIDAYDKHFH-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD Cc1nc(NCC(=O)Nc2cccc([N+](=O)[O-])c2)c2cc(-c3ccccc3)sc2n1 770745800 HGMBCCSWTFBBTM-UHFFFAOYSA-N 419.466 4.626 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)C 771518588 WAMILFNURWIJFI-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cn(C)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 772852878 MTELCWMJULKOPH-KGLIPLIRSA-N 420.425 4.544 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 778208849 VHMUZEGFOWEFEZ-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)cc2)nc1 778742866 PBUTZQFUDTXPKL-UHFFFAOYSA-N 415.352 4.944 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780295135 IQBNKJYFIVXHSU-UHFFFAOYSA-N 421.840 4.515 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(Cl)nc1C(F)(F)F 787580906 NTJWADZRQLYGCX-SECBINFHSA-N 420.796 4.886 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H](CCc1ccccc1)C(F)(F)F 790565727 RXKWBNURYKGEBN-MRXNPFEDSA-N 418.774 4.610 5 20 HJBD Cc1ccsc1[C@H]1C[C@H]1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220865 RYZGLTRJQKQROP-JKSUJKDBSA-N 400.481 4.935 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl 844170116 FUNNIVKQNAUSKB-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD COc1cc(C(=O)OCCCC(C)=O)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 887560239 FZVFCSWNXJGYCU-UHFFFAOYSA-N 407.806 4.575 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(NC(=O)C(C)C)c2)CC1 914727522 PBHRVTJEWGVYBL-UHFFFAOYSA-N 424.501 4.678 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nnc(-c4cccc5ncccc45)o3)cs2)c1 1118069374 ZZSDJFWKJZMELZ-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD COc1cc(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 18162969 TZIIYNOEQKWGTI-UHFFFAOYSA-N 423.494 4.669 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])cn3)n2C2CCCCC2)cc1 23615170 NOMLADGRKBXDEZ-UHFFFAOYSA-N 411.487 4.913 5 20 HJBD Cc1nc(SCC(=O)N2CCc3ccc([N+](=O)[O-])cc32)n(C2CCCCC2)c1C 26513614 NMEXULGSALUELQ-UHFFFAOYSA-N 414.531 4.595 5 20 HJBD CCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1cccc(Br)c1 27680807 QPBPAZAVDLRKEW-UHFFFAOYSA-N 418.291 4.624 5 20 HJBD CCCCOc1ccccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 56029016 IOMFBFYUDQAJKD-UHFFFAOYSA-N 412.364 4.967 5 20 HJBD Cc1cccn2cc(-c3ccc(NC(=O)c4c[nH]c5cc([N+](=O)[O-])ccc45)cc3)nc12 58382968 CLUHWSOVPNPEPQ-UHFFFAOYSA-N 411.421 4.952 5 20 HJBD O=C(CSCc1cccc2ccccc12)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 58485870 NMYLWWJKMKHXLK-UHFFFAOYSA-N 424.478 4.781 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1N1CCCCC1 65438193 CXCJFPPFSPNVFW-UHFFFAOYSA-N 402.882 4.603 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccccc1NC12CC3CC(CC(C3)C1)C2 116350307 STJNMAAVCAVWDI-UHFFFAOYSA-N 423.517 4.509 5 20 HJBD COc1cc([C@@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 237249622 ZZAYMINGYLBUFM-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD Cn1c(COc2ccccc2Cl)nnc1Sc1ccc(Cl)cc1[N+](=O)[O-] 301085510 LDKQLZTWAHNTNU-UHFFFAOYSA-N 411.270 4.760 5 20 HJBD O=C1CCCN1C[C@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 301409628 JGPWIVVXXGHRKL-INIZCTEOSA-N 411.355 4.528 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccccn1 301891278 UUPLCSXPNLQHMK-LJQANCHMSA-N 414.259 4.962 5 20 HJBD O=C(Nc1cccnc1)c1ccc(Oc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1 301930413 MUPGZAOICPDAJW-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426269117 VPZVUVUODNKWIH-UHFFFAOYSA-N 419.762 4.748 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(OCc3ccccn3)c(F)c2)cc1[N+](=O)[O-] 428846410 BLZPBJBILRZRGF-OAHLLOKOSA-N 424.432 4.899 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1cc(Br)cc([N+](=O)[O-])c1 432643056 GORKMHYHHGDWPJ-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(Nc1ccc2ncsc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436113519 ZCPILNNUZOYGCG-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)o1 437473995 PZEMTCWPMDLDQP-UHFFFAOYSA-N 422.437 4.580 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444038735 QHEHEOOXEROITQ-FATZIPQQSA-N 420.896 4.841 5 20 HJBD C[C@H](Nc1ccc(-c2nc(-c3ccc(O)c(F)c3)no2)cc1[N+](=O)[O-])c1ccccn1 445476185 ZNZBVQPQJQZDII-LBPRGKRZSA-N 421.388 4.725 5 20 HJBD Cc1ccc(N[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cc1OCC1CCOCC1 447580957 RIMFEKNTMLYFGI-WOJBJXKFSA-N 414.506 4.501 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(F)cc2F)c1 460141253 WZVBGJXWPVSIED-UHFFFAOYSA-N 424.363 4.879 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3ccccc3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461723194 YGQYYQJKJFHDSB-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD Cc1occc1-c1nnc(Sc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)o1 462443008 WERBOLDCBHKNGV-UHFFFAOYSA-N 410.411 4.671 5 20 HJBD C=Cc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 462575444 OKFUKXBBRWBIGD-UHFFFAOYSA-N 404.416 4.575 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463397732 FLSAOHSHVRSSMJ-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD COc1cc(CNc2ccc(CC(=O)N(C)c3ccccc3)cc2)c([N+](=O)[O-])cc1F 464069329 GFSQFIVVEWVHPS-UHFFFAOYSA-N 423.444 4.560 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 464713738 SJCIKCYFVWFHOI-UHFFFAOYSA-N 424.375 4.688 5 20 HJBD CC[C@H](NC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465265111 HCPGQOZXYSDZIH-VIFPVBQESA-N 405.423 4.673 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2)n1 470122277 SQABAMIYXFEVIE-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@@H]2C)cc1SC 470580518 GHEDUDKYUWLQIU-HOCLYGCPSA-N 400.500 4.652 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cccc1[N+](=O)[O-] 475582476 BBSKBCQTVWDPDP-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 476174346 YTIWCIZJUQQKLL-QFIPXVFZSA-N 405.454 4.510 5 20 HJBD O=C(Cc1ccoc1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 476770893 ZPYZLMDLDBISNB-UHFFFAOYSA-N 404.781 4.632 5 20 HJBD CN(CCOc1cccc(Cl)c1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 497502739 ZRQPUPAFNUUCSJ-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 500250387 LQDIMKJNLVUMSS-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(OCc3cscn3)c2)cc1[N+](=O)[O-] 505011753 ZSRBPOAWKXNAKO-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(C[C@@H]3CCOC3)C3CC3)o2)c(Br)c1 506579659 QFEIGJXQPYQCSY-ZDUSSCGKSA-N 421.291 4.618 5 20 HJBD O=C(CCCC(=O)c1ccc(Cl)c(Cl)c1)NCCc1ccccc1[N+](=O)[O-] 514009359 DIFMREFSLXOWSS-UHFFFAOYSA-N 409.269 4.614 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 514528534 UECFLOVKECLWPW-KBPBESRZSA-N 410.499 4.705 5 20 HJBD COc1cc(C(=O)N(C)C)ccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 514692655 YOWKDCJPSVGMTO-UHFFFAOYSA-N 411.483 4.646 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1OC 515787342 DESYILNYGBAYNJ-CQSZACIVSA-N 404.438 4.803 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)s1 520593879 WRIZGBQOFGWYND-UHFFFAOYSA-N 422.466 4.586 5 20 HJBD CC(C)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1ccc(F)cc1Cl 522816851 AVZZSSZDYTUDET-UHFFFAOYSA-N 402.813 4.628 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1c[nH]c2cc([N+](=O)[O-])ccc12 532054770 UWDCZXOOPPQUES-UHFFFAOYSA-N 411.215 4.639 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)cc1 532827275 JQZOWGCERABEEQ-UHFFFAOYSA-N 402.410 4.691 5 20 HJBD Cc1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 536080560 HOLBMPKUWOZARP-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NCc1cc([N+](=O)[O-])cc2c1OCOC2 537123938 PRAZVZSVLYOKCY-FQEVSTJZSA-N 400.475 4.737 5 20 HJBD O=C(CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 539278345 LSBGLJYDFRVURL-AWEZNQCLSA-N 419.762 4.609 5 20 HJBD CN(C(=O)c1ccc(OCC2CC2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451398 HRZUUEOACDMEIW-UHFFFAOYSA-N 409.467 4.784 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 541121627 QFTOUPJAQUDMNV-SFHVURJKSA-N 404.555 4.508 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1ccncc1 541263183 DODLRTSVTJTBEG-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD O=C1Cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC3CCCC3)ccc2N1 542655821 BDILPTCISOGDEW-UHFFFAOYSA-N 411.483 4.624 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(Cc3csc(-c4ccccc4)n3)no2)cc1 546248092 XRQHBRTYSOWVAE-UHFFFAOYSA-N 421.482 4.737 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(C(=O)Nc3ccccc3)cc2)n1 547112095 XLGDGFINXFQJJV-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H](CO)c2c(Cl)cccc2Cl)c1 549998974 KBVYNWGSGJDMBR-CQSZACIVSA-N 409.191 4.744 5 20 HJBD CN(Cc1ccccc1)Cc1cccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 552606521 BLWKMWIVSMHZEA-UHFFFAOYSA-N 417.509 4.542 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)c2)n1 555850895 VTNSXIAJZJQNMJ-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1 560725315 XUEBPORHDUGRCR-CQSZACIVSA-N 408.376 4.642 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCCc1cccc(Cl)c1 570420971 XYHHALQMDLWLQB-UHFFFAOYSA-N 404.897 4.557 5 20 HJBD C[C@@H](c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)N(C)CC(F)(F)F 581157837 PCJYWOFDDJGWEN-LBPRGKRZSA-N 422.363 4.992 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4c(Cl)cccc4Cl)no3)c2c1 582353247 WZWSDBZKTQMMCH-UHFFFAOYSA-N 417.208 4.796 5 20 HJBD CCn1c(SCc2ccc(C(F)(F)F)cn2)nnc1-c1ccc([N+](=O)[O-])cc1 582410971 KBQKKIXBPOJMNI-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccc(N3CCCCC3)nc2)s1 608835977 DNIDTASNMVOORX-UHFFFAOYSA-N 408.527 4.998 5 20 HJBD Cn1ccc2c(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cccc21 608867549 BCHNKYMSOZSEGN-UHFFFAOYSA-N 409.389 4.836 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(N[C@H](C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609044888 RPNNMPUGGJOYFC-OAHLLOKOSA-N 407.474 4.610 5 20 HJBD Cn1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c2ccccc21 612709895 DWFLKWSFPNXZAU-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](Cn2cnc3ccccc32)C1 619544416 JEFBCWUNUYYQKX-INIZCTEOSA-N 424.526 4.609 5 20 HJBD CN(Cc1cccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 728166447 GHUUVJSMFAGJNV-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)NCc2nc(C(C)(C)C)cs2)CC1 729441073 SGLGKPNRFJCZIH-UHFFFAOYSA-N 416.547 4.515 5 20 HJBD C[C@H](OC(=O)[C@@H](C)CSc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 732390111 CSCDKOZDWOQCEP-STQMWFEESA-N 422.890 4.547 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1CSC1=NCCS1 734883387 WDEKDQDDJIDYOR-UHFFFAOYSA-N 422.915 4.941 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 735354063 GRZJITVXABQGSE-SNVBAGLBSA-N 406.316 4.785 5 20 HJBD Cc1cc2c(cc1C)O[C@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)C2 744359423 HXTGVTCJUIIVIS-FQEVSTJZSA-N 400.862 4.612 5 20 HJBD COCCN(Cc1cc(F)ccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 746156523 IXNMFKNXRSPQJA-ZDUSSCGKSA-N 400.372 4.962 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(COc3ccccc3[N+](=O)[O-])n2)c1 747863754 OJJCOOBHENGSLZ-UHFFFAOYSA-N 412.402 4.571 5 20 HJBD CC(C)Nc1ccc(F)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755020299 FBVIGJIPYLPBGT-UHFFFAOYSA-N 414.206 4.708 5 20 HJBD O=C(Nc1ccccc1SC[C@@H]1CC1(Cl)Cl)c1ccc(O)c([N+](=O)[O-])c1 755089377 GVUKTJBVIVTMAK-NSHDSACASA-N 413.282 4.839 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(C(F)(F)F)cc1 756353289 ZTBNWNXXYLXGBR-GOSISDBHSA-N 420.343 4.789 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 757195371 KFIHVPQBEHSUTP-UHFFFAOYSA-N 404.854 4.555 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)o2)cc1C 758935728 JACJSKJJWSIEHM-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD O=C(NC1(Cc2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1Br 759139949 DCTNIQOPUBLLKC-UHFFFAOYSA-N 403.276 4.643 5 20 HJBD CSc1nc(C(C)(C)C)nc(C)c1C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 760547689 QOQZNTBARQABFN-UHFFFAOYSA-N 408.911 4.927 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 764080975 YLWTZJUNTWOWRV-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 767889088 IXNUZEDEDYJJNN-OAHLLOKOSA-N 408.479 4.729 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc(-c2ccccc2)cc1F 770169194 SVJBSRLELPSTFR-UHFFFAOYSA-N 405.389 4.599 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@H]1c1ncc[nH]1 771498873 JCAXEIIRKPQSFO-INIZCTEOSA-N 412.833 4.741 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(C)C 771676429 HDALQYXAHSPPJI-UHFFFAOYSA-N 408.458 4.589 5 20 HJBD COc1cc(Cl)ccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 773964694 ROFBDCLKGIJTAK-SECBINFHSA-N 417.771 4.715 5 20 HJBD C[C@@H](CCCc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775921866 KKSNDIMETLCVIP-JKSUJKDBSA-N 409.442 4.908 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cs1 781259645 OKSIJUOHZSMNED-ZDUSSCGKSA-N 408.479 4.592 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)c(Cl)c1 784646111 JTVPNRAJKSQMMG-UHFFFAOYSA-N 409.873 4.798 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccncc2)cc1)c1ccccc1[N+](=O)[O-] 796443322 DVAVWNSTVLFGEL-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 806342127 FZYQEJLVUFCUMQ-JOCHJYFZSA-N 419.481 4.979 5 20 HJBD O=C(CCc1nc2ccccc2o1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811219949 CBLXMVFNTCLDIL-UHFFFAOYSA-N 409.423 4.536 5 20 HJBD C[S@@](=O)Cc1ccc(Cl)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 812842792 TUOHTDBPUKTMCE-HSZRJFAPSA-N 417.712 4.501 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(NCc2cccc([N+](=O)[O-])c2C)c1 864005564 BLNSECINEXYRIS-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD O=C(NCCCN1CCCCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 10086967 ZJHGVYRFABOIIW-UHFFFAOYSA-N 417.893 4.646 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1cccs1)N(Cc1ccccc1[N+](=O)[O-])CC2 24787324 QSROMFSPBILCQJ-JOCHJYFZSA-N 410.495 4.821 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1cccc(OCc2ccccc2)c1 45810630 WFXOILZRCYSNRC-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@@H]3c3nc4ccccc4s3)n2)cc1 47406740 MKIFBGOYZUIDSY-MRXNPFEDSA-N 407.455 4.592 5 20 HJBD COc1cc(C(=O)Nc2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC(F)F 53887487 GPBRWYZYMOQEDB-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)s2)c(C)c1 65083696 IXRLCRAAPUQFKF-UHFFFAOYSA-N 409.471 4.557 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNC2CCN(C3CC3)CC2)cc1 237731662 GFVPZXFGCGTVHB-UHFFFAOYSA-N 401.894 4.757 5 20 HJBD Cc1cc(N2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)c2cc([N+](=O)[O-])ccc2n1 302071474 HAQBVNUWWIQSGN-CQSZACIVSA-N 405.380 4.508 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 303894138 KQLWBPKIDNYPES-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD C[C@@H](CCCC(F)(F)F)NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426283533 YDUWJEXLSTXAQQ-VIFPVBQESA-N 401.307 4.534 5 20 HJBD Cc1ccc(C(C)(C)CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436334201 SDJAZXMZFBEFMP-UHFFFAOYSA-N 402.469 4.836 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC[C@H](CSc2ccccc2)C1 437824104 SXTUZHVKWBWKDY-ZDUSSCGKSA-N 423.441 4.842 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1cc(F)c(Br)cc1Cl 439614773 CTRPVGHSHXYAFU-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD O=C(Nc1ccc(N2CCCC2)cn1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440477125 KIQOVDNSRGDTJM-UHFFFAOYSA-N 420.494 4.994 5 20 HJBD COc1cc([C@@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1OCCC(C)C 444689332 MVLXMOOSPYQIQS-OAHLLOKOSA-N 412.490 4.755 5 20 HJBD CCN(C(=O)Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1)c1ccccc1 445688802 HDBYARNKNFRFMR-UHFFFAOYSA-N 408.458 4.538 5 20 HJBD Cc1ccc([C@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])s1 462130473 JVBNUQQPPNDQOL-GFCCVEGCSA-N 421.327 4.797 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2sc(N3CCCC3)nc2s1 464715007 TUNWBDAJQIWLKH-UHFFFAOYSA-N 402.501 4.681 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCN(CC2CC2)c2ccccc21 465379150 SINAQCDYUFEWLT-UHFFFAOYSA-N 420.494 4.595 5 20 HJBD C[C@H]1CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCO1 466741488 AIIXERLECSYRQH-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1cc(F)ccc1F)C1CCCC1 470617202 GTVIOPMLLZKWSY-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)CC1 473778196 YZWSTTKGPPWCBH-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cc2cccc(F)c2o1 475792633 RCTHLBNPBVCWCU-GFCCVEGCSA-N 418.446 4.710 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H](c2ccccc2)C1 476183919 WFRUEPZGSMAKOM-OAQYLSRUSA-N 401.466 4.837 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3ccco3)n2Cc2ccco2)c([N+](=O)[O-])c1 480092238 LVTDOELQBCGNCL-UHFFFAOYSA-N 424.438 4.832 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccs2)cs1 481111824 TVSRPWIFUZPABP-UHFFFAOYSA-N 421.525 4.771 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccccc3)CCCC2)cc1SC 482121558 IVSARNNNMIFTBH-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD O=[N+]([O-])c1c(Oc2ccc(S(=O)(=O)c3ccccc3)cc2)ccc2ncccc12 484920354 XAHZCEBMNNZWHD-UHFFFAOYSA-N 406.419 4.768 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3ccccc3Cl)s2)c1[N+](=O)[O-] 486216755 ARZMLZWWMJDODD-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CCn1ncc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc21 486540198 ONRCIXYBKWOPRU-UHFFFAOYSA-N 416.437 4.796 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(C(F)(F)F)cs1 489952288 JEXSVLCATDXIBG-MRVPVSSYSA-N 405.423 4.673 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(C(=O)N3CCC(C)CC3)cc2)cc1[N+](=O)[O-] 493237245 MBWSBQQABMUTIN-KRWDZBQOSA-N 424.501 4.658 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(F)cc1)C1CCOCC1 494634603 IAJWQXKIVBPVOB-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 495126142 BVKGAYQYGYKRKY-CQSZACIVSA-N 400.504 4.650 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nnnn2-c2ccc(Cl)cc2C(F)(F)F)cc1 498108239 XABKFGOHODTBGA-UHFFFAOYSA-N 415.784 4.535 5 20 HJBD COc1ccc(CN[C@@H](c2ccc(Br)cc2)c2ccco2)cc1[N+](=O)[O-] 498438508 HZNAMVGLWPOSFK-IBGZPJMESA-N 417.259 4.838 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])c2ncccc12 499682609 UIEAOMMWAAFINP-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cccs2)c([N+](=O)[O-])cc1OCC(F)(F)F 506100394 ZWHHYZKZPJURMG-ZDUSSCGKSA-N 416.421 4.943 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccccc2OC)cc1 506673585 JPDBVXOVAYYIAK-QFIPXVFZSA-N 421.453 4.832 5 20 HJBD C[C@H](NCc1ccccc1Cn1cncn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 508738213 DYNJHTOWWZTOLC-INIZCTEOSA-N 419.510 4.814 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCO2)c1 511491411 BIKDCJINAXOGLN-CYBMUJFWSA-N 422.890 4.780 5 20 HJBD Cc1nn(-c2ccccc2)cc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 511821148 FRJWCGQFUYUFEJ-UHFFFAOYSA-N 410.499 4.519 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccccc1OCCc1ccccc1 512523350 HCIOBJFLMQVYHC-UHFFFAOYSA-N 405.454 4.657 5 20 HJBD CCC(CC)[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccs1 516083466 OZEUFKDIURDMDA-INIZCTEOSA-N 409.895 4.542 5 20 HJBD C[C@H](Cc1ccsc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516529816 KNIZNLDFSCSDJV-SNVBAGLBSA-N 404.435 4.515 5 20 HJBD CC(C)c1ccc(CN2CCCC[C@@H]2c2nnc([C@H]3CCCO3)o2)cc1[N+](=O)[O-] 517263091 UTUGWMWIYWTOJK-IEBWSBKVSA-N 400.479 4.680 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(Cl)cc3OC)o2)c([N+](=O)[O-])c1 517561408 FSWIYPRLLKJUFQ-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 518298891 UCAJVVPXMKQRRL-FQEVSTJZSA-N 414.465 4.680 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N(Cc2ccccc2Cl)C2CCCC2)cc1 519151918 MWKGHWOWYOUBOM-UHFFFAOYSA-N 408.907 4.523 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 520085715 BEAZLSFANDBPDB-ZDUSSCGKSA-N 418.291 4.793 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](C)c3nncn3C)cc2[N+](=O)[O-])c1 520959453 LBTFQBWLIRXJBT-MRXNPFEDSA-N 409.490 4.798 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1)c1ccccc1 522894816 DHOJQJMDYIFULF-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(C1CC1)[C@@H]1CCc2ccccc21 523508066 FWKNPLXDLMXONY-GOSISDBHSA-N 401.260 4.649 5 20 HJBD CC[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](c1ccc(C)cc1)n1cncn1 536642091 SRJXUYISCIQOMD-LPHOPBHVSA-N 417.416 4.576 5 20 HJBD CCc1noc(CC)c1CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541727091 PXIWUOTZEVUXSU-UHFFFAOYSA-N 417.531 4.920 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1OCc1nc(-c2cc(F)c(F)c(F)c2)no1 543144302 MAKXDELERLHKCW-UHFFFAOYSA-N 419.237 4.660 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)c1Br 543480570 BSPYVCSBANFECW-HOCLYGCPSA-N 424.270 4.556 5 20 HJBD C[C@@H](c1nc(Cc2ccccc2Br)no1)c1ccc([N+](=O)[O-])cc1F 546286638 ZMOYTVLKMWNKKA-SNVBAGLBSA-N 406.211 4.622 5 20 HJBD Cc1ccc(Nc2nnc(Sc3ncnc4sc([N+](=O)[O-])cc34)s2)cc1 552908150 JXRFUCJBFIMQIH-UHFFFAOYSA-N 402.486 4.654 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(-c3ccccc3)nc2)no1 554805567 CFJQKPWWWBCRCN-MRXNPFEDSA-N 401.426 4.838 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@@H](c1ccc(F)cc1)c1ccc(Br)o1 556104490 XNKBCFGTHVLDPU-SFHVURJKSA-N 405.223 4.969 5 20 HJBD Cc1c(CNC(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 573626640 YSCBHCOYIILVHR-MRXNPFEDSA-N 410.499 4.602 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc([C@H]4CSc5ccccc5O4)no3)cc12 581455324 FAXBDBWBLYZDJN-LJQANCHMSA-N 413.458 4.957 5 20 HJBD O=C(Nc1ccc2c(c1)OCCCO2)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 602093653 MWIWLNQSSFDMMD-UHFFFAOYSA-N 419.437 4.621 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 604243108 DYJAZNSUWFBHGN-GOSISDBHSA-N 406.486 4.674 5 20 HJBD CCNc1ccc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 609060193 XMQIPTLSUFQUFG-FQEVSTJZSA-N 419.485 4.710 5 20 HJBD COc1ccc(CSCc2csc(-c3ccc4c(c3)OCO4)n2)cc1[N+](=O)[O-] 609237878 BMLCGRFNLWMSFS-UHFFFAOYSA-N 416.480 4.889 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](Cc1ccccc1)c1cccs1 609787533 FKFGBQGQXJRXSS-SFHVURJKSA-N 400.887 4.952 5 20 HJBD O=C(COc1ccccc1OCc1ccccc1)N1CCCc2ccc([N+](=O)[O-])cc21 609859457 AZEMJGDLZUDAMX-UHFFFAOYSA-N 418.449 4.532 5 20 HJBD O=C(c1ccc(NCCCCc2ccc([N+](=O)[O-])cc2)nc1)N1CCc2ccccc21 610076578 VOYDPKDPCAQNOF-UHFFFAOYSA-N 416.481 4.628 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610962021 YXNRHPVMIJKJNU-UHFFFAOYSA-N 400.263 4.611 5 20 HJBD CC(C)Oc1ncc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1Cl 612963054 IOWZVBATXOIEHP-UHFFFAOYSA-N 413.821 4.553 5 20 HJBD C[C@@H]1CC[C@@H](C(F)(F)F)CN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618689814 LDZREFSUQSBAGZ-GDBMZVCRSA-N 422.403 4.977 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(N2CCOC2=O)cc1 726607524 YXQMMQZLJAXLCA-OAHLLOKOSA-N 407.426 4.662 5 20 HJBD O=C(Nc1ccc(CC[C@@H](O)c2ccccc2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 727762704 NZJOUEZYLZWYRR-JOCHJYFZSA-N 415.449 4.995 5 20 HJBD C[C@H](N[C@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729474123 FHIFUUQDYGOWAA-BUXKBTBVSA-N 405.857 4.845 5 20 HJBD CC(C)c1ccc(CCCNC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 735016321 VDEDRFGNKFXDLD-UHFFFAOYSA-N 405.292 4.843 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2c1C 735163001 QGYKDYVCRDBNDL-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD O=C(NC[C@@H](O)c1cc(Cl)cc(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2s1 735699738 DBGXIUXBTQGQKH-CQSZACIVSA-N 411.266 4.580 5 20 HJBD CSc1ccc(C(=O)Oc2ccc(N(C)C(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 742762931 AMLRGYOIZHCNDY-UHFFFAOYSA-N 418.471 4.907 5 20 HJBD C[C@H](Nc1ccc(C(=O)Oc2cccc3[nH]ccc23)cc1[N+](=O)[O-])c1ccccn1 744274648 SYXWSMWFXHROQW-AWEZNQCLSA-N 402.410 4.863 5 20 HJBD O=C(O[C@@H](c1ccccn1)C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 747338552 ODPNNTUVXKCLER-AWEZNQCLSA-N 409.345 4.574 5 20 HJBD Cc1ccc(C[C@@H]2CCCN(C(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)C2)cc1 748731945 FBTUUKLLXWECOQ-SFHVURJKSA-N 411.458 4.523 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1csc(-c2ccc(OC(C)C)cc2)n1 749114430 WDCOZHYKUOZVMW-UHFFFAOYSA-N 414.439 4.733 5 20 HJBD Nc1c(C(=O)Nc2ccccc2SC[C@@H]2CC2(Cl)Cl)cccc1[N+](=O)[O-] 749351854 GAQYPJUYYRBKKR-JTQLQIEISA-N 412.298 4.715 5 20 HJBD O=C(NCC[C@@H](O)c1ccccc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755422212 XPNZKXDDOJLYSO-HXUWFJFHSA-N 414.527 4.731 5 20 HJBD Cc1c([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)oc2ccccc12 758086502 GAZVNFDKTQDQOE-NSHDSACASA-N 403.232 4.903 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 759457101 FRIKRCAUVCKZOT-AUSIDOKSSA-N 421.497 4.514 5 20 HJBD COc1ccccc1CC(C)(C)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760522502 RCDOTXWBZXRBKR-UHFFFAOYSA-N 410.426 4.571 5 20 HJBD C[C@H](OC(=O)c1ccc2sccc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 761315445 RMLXIUOIGVNTOP-JTQLQIEISA-N 404.831 4.647 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2c(F)cccc2F)cs1)c1cccc([N+](=O)[O-])c1 763252313 VYAJQNHGKIKXKK-NSHDSACASA-N 404.394 4.843 5 20 HJBD CC1CCN(c2nnc(SCc3ccc(Cl)c([N+](=O)[O-])c3)n2C2CC2)CC1 768291493 RFVHZMYVLDUSOL-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD Cc1cc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)nc(-c2ccc(Cl)cc2)n1 773993445 QVRSFLSZXOCJJJ-UHFFFAOYSA-N 408.845 4.525 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cccc(-c4ncc5n4CCCC5)c3)CC2)cc1 774469481 WNOYYSBOWLJZRX-UHFFFAOYSA-N 417.513 4.876 5 20 HJBD CC(C)(Oc1ccc(F)cc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776216685 BXTGWGRSLPTFND-FQEVSTJZSA-N 410.401 4.619 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H](C(F)(F)F)CC[C@H]2C)CC1 780277383 QCDOISNIPUBAMA-CABCVRRESA-N 413.440 4.634 5 20 HJBD COc1ccc(Cl)c(NC(=S)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 782385770 AJCZUELCHNMQGA-UHFFFAOYSA-N 419.812 4.762 5 20 HJBD CC(C)(C)c1cnc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 790886217 HPKRCXSVZCCNSC-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nnc(-c3ccc(Cl)cc3F)s2)c1F 791049115 KKZDARUIZMOCLA-UHFFFAOYSA-N 410.789 4.606 5 20 HJBD O=C(Nc1cccc(-c2ccc(F)cc2Cl)n1)c1cc(F)c([N+](=O)[O-])cc1F 816802478 NCOBFPZHAGVIOD-UHFFFAOYSA-N 407.735 4.980 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2cccc(NC(=O)c3ccccc3)c2)c1 916423830 YBUGZINQSHOMQO-UHFFFAOYSA-N 408.435 4.788 5 20 HJBD Cc1c(OC(=O)Cc2csc(COc3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 917049689 JNAUMMSVMNUIKI-UHFFFAOYSA-N 418.858 4.740 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921136536 KDVFZQQJHQHNPY-UHFFFAOYSA-N 408.744 4.720 5 20 HJBD COc1ccc(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)cc1-c1ccccc1 1251734096 KAGNNVPQSGMTCX-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD Cc1cc(Cl)cc(C)c1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 1319467183 JLDLLNLYAYKMAX-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD Cc1noc(C)c1CSc1ncccc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326097095 WQWZYGNPYGTEQF-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(SC(F)(F)F)cc1 10965785 HGPYKYVLILTCJV-UHFFFAOYSA-N 414.361 4.620 5 20 HJBD O=C(Nc1ccc(Cc2nc3ccccc3s2)cc1)c1ccc([N+](=O)[O-])c(O)c1 11711706 FWCGPRXOTBOYNY-UHFFFAOYSA-N 405.435 4.753 5 20 HJBD CC(C)C[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 16967620 YDOOYDLTHUHILG-IFXJQAMLSA-N 412.515 4.855 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 58233362 LGUMAOARGCBVJY-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 64869804 FUWIHZWCVFYEET-UHFFFAOYSA-N 403.489 4.726 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@@H]1c1ccncc1 140925144 XTGCGBPAXPKUMH-WMLDXEAASA-N 403.866 4.554 5 20 HJBD COc1ccccc1[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccccc1 303306902 PUOUMNZPCNYSFZ-XMMPIXPASA-N 419.481 4.701 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1 303505362 CEDUNTDZAGBMSN-JOCHJYFZSA-N 407.495 4.912 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1c(C)c(C(C)(C)C)nn1-c1ccccc1 427463871 UJJFGWYBVAOTSM-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2cccc(F)c2)C1 428707000 FNSOZZGKAIPMRT-HNNXBMFYSA-N 404.416 4.589 5 20 HJBD C[C@H](OCCCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 435939985 PKDLQMSUJSYKFQ-INIZCTEOSA-N 418.468 4.718 5 20 HJBD COc1ccc(OCCSCc2cc(-c3ccc(F)cc3)no2)c([N+](=O)[O-])c1 437274703 IKTCXAOQSXVYDQ-UHFFFAOYSA-N 404.419 4.710 5 20 HJBD CCOc1cc(NC(=O)[C@H](CC)O[C@H]2CCC[C@H](C)C2)c([N+](=O)[O-])cc1OCC 443845604 VHTNBTQKUQTQLX-MPGHIAIKSA-N 408.495 4.705 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCOc1ccc(Br)cc1 443944677 HQLWRCLWEWFWRV-UHFFFAOYSA-N 422.279 4.517 5 20 HJBD CCS[C@@H]1CCC[C@@H](NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444100903 HYOBUBIPSBOCEK-ZIAGYGMSSA-N 416.493 4.754 5 20 HJBD CCS[C@@H]1CCC[C@H](NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444110181 PJLVTJVJSJYIEO-LSDHHAIUSA-N 416.493 4.754 5 20 HJBD O=C(Nc1ccc(Nc2ncccn2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 444421545 VNBZVSQYWRCEQT-UHFFFAOYSA-N 418.438 4.504 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccccc2)[C@@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 462588364 CAJHRUPWUBLZKR-SFHVURJKSA-N 406.486 4.588 5 20 HJBD Cc1cc2cc(C(=O)N[C@@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])oc2cc1C 463446853 XUPIHJLWUWRMIN-SFHVURJKSA-N 424.453 4.771 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)cn1 475512424 WHSLNESEZKCTGI-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD COc1cc(CN(C)C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c(SC)cc1OC 475547687 LBKGEXFVVNZRTH-UHFFFAOYSA-N 419.503 4.615 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 477048224 NFVDVLJWDVFYCV-XOBRGWDASA-N 417.893 4.672 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](c2cccc(F)c2)C1 478270680 RXZSIZUTJBEHCV-NVXWUHKLSA-N 418.490 4.539 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)[C@@H](C)C2CCN(C)CC2)cc1[N+](=O)[O-] 479585003 OWQVKYXNHAFPEH-INIZCTEOSA-N 407.580 4.535 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 482518374 HJQPKZXDOKFCFG-UHFFFAOYSA-N 404.488 4.637 5 20 HJBD C[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1cn(C)c2ccccc12 484172726 GPJKHWJMYNEMQZ-AWEZNQCLSA-N 420.494 4.977 5 20 HJBD COc1cc(OC)cc(-c2nnc(S[C@H](C)c3cc([N+](=O)[O-])ccc3C)o2)c1 484714808 NMPFWRXBJURKQB-GFCCVEGCSA-N 401.444 4.824 5 20 HJBD COc1ccc([C@H](Nc2c([N+](=O)[O-])ncn2C)c2ccc(C(F)(F)F)cc2)cc1 485289358 QIJSWORUTYHJQF-MRXNPFEDSA-N 406.364 4.557 5 20 HJBD C[C@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1c[nH]c2ccccc12 485735875 TWMPIVRPLFDYEP-MRXNPFEDSA-N 407.470 4.640 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cc(Cl)c3c(c2)OCCCO3)cc(C(F)(F)F)c1 487173563 VNIMLOJHWZRYNM-UHFFFAOYSA-N 416.783 4.718 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489104833 ROZRNPOQZPMTPI-AWEZNQCLSA-N 413.503 4.502 5 20 HJBD COc1ccc([C@H]2CCCN2c2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)c(OC)c1 489336520 VJBRDIRAFVGKOK-HXUWFJFHSA-N 420.469 4.719 5 20 HJBD C[C@H](OCCCNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 497594366 WZMKANQWSDTOEA-HNNXBMFYSA-N 411.483 4.616 5 20 HJBD COc1ccc(C2(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])CCCCC2)cc1 497668993 YXCFHPYIYVVDFQ-UHFFFAOYSA-N 402.878 4.889 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1OC(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498130721 BSYILBXKKSURDS-FCEWJHQRSA-N 420.437 4.574 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](OC)c1ccccc1F 504555985 QPHWHJKVDCWFCH-BFUOFWGJSA-N 406.479 4.742 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 504722166 TVJNWNMNNCEHST-LSDHHAIUSA-N 420.556 4.691 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(-c3ccc(OC(F)F)cc3)o2)c(F)c1 505432719 KYHPQJPPGLPDJJ-UHFFFAOYSA-N 415.324 4.817 5 20 HJBD CCCN1CC[C@@H](CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 509580334 WNIOPKOFFJFNRF-KRWDZBQOSA-N 403.910 4.862 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 512032567 SMDLLCXNRININX-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD COc1ccc([C@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccc(F)cc2)cc1 512165821 HXLWLVVAGXZFII-JOCHJYFZSA-N 419.412 4.743 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cn2)c1 515744998 VSVARSCJISXPFQ-QGZVFWFLSA-N 420.469 4.959 5 20 HJBD CC(C)COc1ccc(CCNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 517369015 ZXYRXZILEMOGQX-UHFFFAOYSA-N 411.502 4.520 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)SCCOc3ccccc3[N+](=O)[O-])n2)cc1F 520677557 BOEFEVQREUCIDL-CYBMUJFWSA-N 403.435 4.966 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C)c1 521356182 RJHUDUSFPCIQEU-GJZGRUSLSA-N 415.515 4.551 5 20 HJBD COc1ccc(Br)c(CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c1 521828738 WQFYJEURJITCFQ-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCCc1csc2ccccc12 522639649 VKACYXVIXBMKOE-UHFFFAOYSA-N 404.875 4.591 5 20 HJBD CN(CCOc1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522708621 BCRGFHZULPESJN-UHFFFAOYSA-N 407.426 4.930 5 20 HJBD Cc1ccc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1NC(=O)Nc1ccccc1 525472416 NIPBJGMIAYNETJ-UHFFFAOYSA-N 418.453 4.819 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1OC(F)(F)F 533221599 PRYNUOWQCJCOJT-LBPRGKRZSA-N 422.363 4.736 5 20 HJBD O=C(CNC1(c2c(Cl)cccc2Cl)CC1)Nc1ccc([N+](=O)[O-])cc1Cl 539333777 MESWZIFWMJGQRR-UHFFFAOYSA-N 414.676 4.772 5 20 HJBD COc1cc(OC)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 541269303 RWYURJOYHPDQND-UHFFFAOYSA-N 417.270 4.650 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542552062 UBVZNQWBKZHVRM-CYBMUJFWSA-N 401.438 4.769 5 20 HJBD Cc1c(Cc2noc(-c3cccc(NC(=O)c4cccs4)c3)n2)cccc1[N+](=O)[O-] 545786452 VYWAZUOYFVHZQJ-UHFFFAOYSA-N 420.450 4.858 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@H]2c2c(F)cccc2Cl)n1 547084961 KLEINWXDJZJKSW-NEPJUHHUSA-N 402.813 4.696 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@@H]3c3c(F)cccc3Cl)n2)ccc1[N+](=O)[O-] 547200011 ISGIKUSPKAGDQY-RYUDHWBXSA-N 403.797 4.641 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cnc(-c5ccccc5)s4)n3)c2c1 547200557 MNIIMIJOLOOFPL-UHFFFAOYSA-N 403.423 4.840 5 20 HJBD Cc1nc(Cc2nnc(Sc3cc(C)c(C(F)(F)F)cc3[N+](=O)[O-])o2)cs1 549107415 LJMHENTYRFLMOF-UHFFFAOYSA-N 416.406 4.812 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(OC(F)F)c(F)c1 550468124 BRTKBSMOHCHPNS-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD C[C@@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)c1ccc(C(F)(F)F)cc1 552284378 YADUUOZJTDIBTR-IFXJQAMLSA-N 418.419 4.830 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@@H](c2nc3ccccc3s2)C1 553935196 VLQGTOKMHAPAIG-MRXNPFEDSA-N 424.526 4.721 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCn2c(cc3ccccc32)C1 554145586 DSSPGKPDTYDSEC-UHFFFAOYSA-N 416.384 4.589 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccc(F)cc2)nc1 554166414 VUMKESQFVIWXOM-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD CC(C)(CO)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1cccnc1 556926596 HKPSLGKMJBMRDJ-FQEVSTJZSA-N 415.877 4.753 5 20 HJBD C[C@@H](C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 560709439 YVQSIANBRQQCCO-XCLFUZPHSA-N 420.868 4.775 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 562482864 XCBGVSXYRVRVLL-GFCCVEGCSA-N 417.824 4.857 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1ccc([N+](=O)[O-])s1 566997846 HJYQPYNAPSUZIL-UHFFFAOYSA-N 402.453 4.732 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1-c1ccncc1 568757867 HTRUOUTXBQLLHL-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1c(Cl)cccc1[N+](=O)[O-] 570748070 XWAHTBPSDZPBFD-QGZVFWFLSA-N 409.269 4.799 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 571311471 MDUYGEDMSORPJS-INIZCTEOSA-N 409.486 4.708 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(C(=O)c2ccccc2)s1)c1ccc([N+](=O)[O-])o1 600878663 FBSBLXBFFMQLLB-UHFFFAOYSA-N 419.418 4.795 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1cc(-c2ccccc2)cs1 603942151 AUFVTTZKFOTGOF-XNTDXEJSSA-N 401.447 4.978 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])cn3C)cc2Cl)n1 608874401 NHZUYSAFCBCEMX-UHFFFAOYSA-N 408.892 4.755 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cc(F)ccc1N1CCCCC1 608880720 KDXHFNJXUGPXMS-UHFFFAOYSA-N 400.454 4.555 5 20 HJBD Cc1ccc(NC(=O)N(CCc2ccccn2)Cc2ccc(F)cc2)cc1[N+](=O)[O-] 608892235 RKYJQUMLFCMWQQ-UHFFFAOYSA-N 408.433 4.714 5 20 HJBD C[C@H](NC(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1cccc([N+](=O)[O-])c1 608983949 NLJWFXRSOPCQAV-ZDUSSCGKSA-N 407.392 4.706 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)o1 609018864 AODAAHNDPZRHJS-INIZCTEOSA-N 423.454 4.862 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cccc(Cl)c3)s2)c1 609021781 MNPBUGMTFVJGJG-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2O[C@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 609652336 OTMIGIVDMPMUNM-QGZVFWFLSA-N 408.458 4.577 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NC1CC=CC1 610748031 BPDVTOQDSYGGGO-SSZFMOIBSA-N 405.479 4.970 5 20 HJBD C[C@H](Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-])c1ccsc1 619745842 DMDQVMQUQUTSDC-ZDUSSCGKSA-N 403.485 4.630 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1cc([N+](=O)[O-])ccc1Br 725986301 VSGDYVOOAQLIBI-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)c3c2CCC3)c(F)c1 730493725 IKRMJBJHHGNANM-UHFFFAOYSA-N 414.824 4.622 5 20 HJBD O=C(Nc1ccc2nc(-c3ccc(F)cc3)oc2c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 732017382 ULYPBNRNMNRXKK-UHFFFAOYSA-N 422.328 4.703 5 20 HJBD CN(c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1)S(C)(=O)=O 732232627 NGODBTGLPRQDFH-UHFFFAOYSA-N 404.535 4.679 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 735022221 QQAOJVDJVSFYSW-LJAQVGFWSA-N 422.453 4.707 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3cc(Cl)cc(Cl)c3)o2)cc1 735676770 FODNAXSIASDORG-GOSISDBHSA-N 407.253 4.985 5 20 HJBD Cc1ccc([C@@H](O)CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 741260099 DOKNAWGVROJVGC-SFHVURJKSA-N 402.834 4.765 5 20 HJBD Cc1ccc2c(c1)OCCN2C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 741634548 RWQNWTUNCLXTGX-MRXNPFEDSA-N 418.453 4.511 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cc1F 741933506 MUYJWKDUYKHMNG-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1F 747297255 IBOYSCGHCYDDTI-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)c(F)cc1[N+](=O)[O-] 750604237 YLJPISRNAHBLLV-KRWDZBQOSA-N 421.403 4.916 5 20 HJBD CCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@H](C)c1cccc(O)c1 755024929 RISOSTPCNUJECG-LLVKDONJSA-N 408.252 4.678 5 20 HJBD C[C@H](C(=O)Nc1cccc(CNC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755308748 UYWPIJCKBJRNFU-ZDUSSCGKSA-N 417.437 4.501 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)c1[N+](=O)[O-] 758484215 BTSHIOJSGONGGN-UHFFFAOYSA-N 402.769 4.530 5 20 HJBD COc1ccccc1[C@@H](OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccn1 759611451 YMLMQZGMSNZJPI-YCRPNKLZSA-N 424.478 4.812 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2c(Cl)cc(F)cc2Cl)cccc1[N+](=O)[O-] 763536802 GMOVMOQDEADAPB-SECBINFHSA-N 415.204 4.533 5 20 HJBD CCNc1ccc(C(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767192527 ABANPOVGSGUYPN-OAHLLOKOSA-N 407.392 4.675 5 20 HJBD Cc1cc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1NC(=O)OC(C)(C)C 772164684 SOPNKGWKQIIAPT-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD CCC(=O)c1cccc(S(=O)(=O)Oc2ccc([N+](=O)[O-])cc2-c2cccs2)c1 772919995 XVVKCYXRVJEWGD-UHFFFAOYSA-N 417.464 4.684 5 20 HJBD Cc1ccc2c(c1)CCN2C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 773383608 QEHHWWVHRHVHGT-INIZCTEOSA-N 402.454 4.674 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCSCC2)cc1 775487561 IECPLXASVMTIPQ-UHFFFAOYSA-N 417.556 4.901 5 20 HJBD O=C(Nc1c(Cl)ccc2nsnc12)c1csc(-c2cccc([N+](=O)[O-])c2)n1 776960242 CXPWUGSNDHZUJP-UHFFFAOYSA-N 417.859 4.629 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1C 783270838 JOGPOXSJJOFIFM-NSHDSACASA-N 411.241 4.702 5 20 HJBD CN1CCC2(CCCN(C(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)C2)CC1 799031665 HBIGARHFOLYWKR-UHFFFAOYSA-N 417.575 4.578 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799322926 RMUVTTNHXWGRIR-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD CC(=O)c1cc(N2CCC[C@@H]2c2c(C)nn(CC(C)C)c2Cl)ccc1[N+](=O)[O-] 804552000 YPPBBGCMGVULFB-GOSISDBHSA-N 404.898 4.953 5 20 HJBD C[C@@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Oc2cccnc2)cc1 808764253 WSINDAVOAKWAFG-OAHLLOKOSA-N 424.432 4.601 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 809912733 JCZSVBOQNIMEFH-GFCCVEGCSA-N 404.854 4.555 5 20 HJBD COc1cccc(SCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 813306062 RRWIWPHKDKFYKH-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD Cc1cccc2sc(C(=O)OCC(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c(Cl)c12 875312394 VJVKNRMHSLQWID-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCCc2cc(OC(F)(F)F)ccc21 915158481 IVJLVOJOGHWHDW-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD O=C(NCc1cccc(COCc2ccco2)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 915307534 HPEQOLYGUUFMQK-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2cc(F)ccc2[N+](=O)[O-])c2ccccc2)ccc1O 919170698 SPNWIMVYVZCJIV-ODLFYWEKSA-N 423.396 4.732 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccc(F)cc2)cc1 920016673 AVAPMSXDFXKSEO-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD C[C@H](Nc1cccc(N2CCCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 920061834 JUDIEVGNTHONSU-AWEZNQCLSA-N 402.882 4.678 5 20 HJBD Cc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c2cc(Br)ccc2n1 1118128767 IHEXVYBOHTVVDV-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1=O 1257652278 KKWIDYWWAMAEIQ-GDBMZVCRSA-N 406.442 4.679 5 20 HJBD C[C@@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)cc1F 1261215929 VXNBMPQEDGESNJ-LLVKDONJSA-N 404.394 4.843 5 20 HJBD CCOc1ccc(OC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 1318446557 OUKCXMRQFWQKKR-UHFFFAOYSA-N 401.362 4.710 5 20 HJBD Cc1c(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cccc1[N+](=O)[O-] 1318536481 RBYUAVMWDOEESU-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 1318886708 JPDKRKYSKQPZJT-GOSISDBHSA-N 405.376 4.566 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319149011 XXZVLAVMTPTFEQ-UHFFFAOYSA-N 420.381 4.843 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2ncoc2C(C)C)cc1 1319983192 GGPKRLBOJNVMMO-UHFFFAOYSA-N 412.398 4.864 5 20 HJBD CSCC[C@H](NC(=O)OC(C)(C)C)c1nc(-c2cc(C)c([N+](=O)[O-])cc2C)no1 1320325802 BDTGIVPJFLVWMO-AWEZNQCLSA-N 422.507 4.581 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)N1Cc2ccc([N+](=O)[O-])cc2C1 1323595843 GLPCJCRRQGCCSX-UHFFFAOYSA-N 413.886 4.821 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC([C@H](O)C(F)(F)F)CC2)o1 1337042688 RHBNUXFJTKCGGE-KRWDZBQOSA-N 418.799 4.643 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)c(C)c1 1341996827 NIKUIKWIUIEUKP-UHFFFAOYSA-N 413.499 4.784 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 22495710 OVXGCXVJNOTVKK-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@@H]1c1ccccc1 44576006 QFVZXRPZCAXOCU-IERDGZPVSA-N 407.495 4.509 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 64420985 KVUQUOIGGNABKD-ZIAGYGMSSA-N 406.866 4.680 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 71978471 CUVBJMPNPHJAAM-UHFFFAOYSA-N 412.229 4.861 5 20 HJBD CC(C)(CNC(=O)CSc1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 110510028 QGZYLVFWUMZTLN-UHFFFAOYSA-N 412.433 4.800 5 20 HJBD Cc1cc(N2CCC(C(F)(F)F)(C(F)(F)F)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301283720 LQRHYQDRXSQIJC-UHFFFAOYSA-N 420.313 4.681 5 20 HJBD O=[N+]([O-])c1c(Nc2cccc(C[S@](=O)C3CCCCC3)c2)nc2sccn12 301308066 CMZFMXJVNDXEHZ-MHZLTWQESA-N 404.517 4.629 5 20 HJBD Cc1cc(N[C@@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)ncc1[N+](=O)[O-] 301454165 VTYWNWLHPGKQLI-HNNXBMFYSA-N 408.433 4.792 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3ccccc3[N+](=O)[O-])c(C)c2)n1 303195328 WDUWIVIUNXVBEO-UHFFFAOYSA-N 415.496 4.837 5 20 HJBD CC[C@@](C)(NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C)cs1 303958070 VQWBGDNHQUWHTQ-GOSISDBHSA-N 402.501 4.538 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1 410401947 QUNXFPFCEBMMFW-JTQLQIEISA-N 418.368 4.794 5 20 HJBD CCn1c2ccccc2c2cc(CN3CCC(n4ccc([N+](=O)[O-])n4)CC3)ccc21 425983258 UDDVNHKHCDSRGG-UHFFFAOYSA-N 403.486 4.756 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426272823 AKUJENVKZKWBQD-UHFFFAOYSA-N 415.799 4.999 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cc(-c2ccccc2)cs1 426736069 HWYOFFUIZYTGHG-NRFANRHFSA-N 421.478 4.590 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 430410633 CUNHWIAEBJHALG-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD Cc1c(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cccc1OCC(F)(F)F 432853709 VKHGGYNQSQIPPI-UHFFFAOYSA-N 411.380 4.901 5 20 HJBD O=C(Nc1cccc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1)c1ccccc1 436305058 HJUQLKRUPNLTRE-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](CC(F)(F)F)c1ccccc1C 444790276 IBMSGRVAOWTVCB-SFHVURJKSA-N 409.408 4.824 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1 445472289 JYVHYWPSXDENLX-UHFFFAOYSA-N 418.519 4.819 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)Nc2ccccc2)cc1 445532316 LUQWDJDGKRHFFS-UHFFFAOYSA-N 404.426 4.810 5 20 HJBD CC[C@@H](NC(=O)Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)c1ccccc1 445722475 IZQUTFNASGUENR-MRXNPFEDSA-N 422.466 4.670 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460402095 NWZFQHPGFCIYIE-UHFFFAOYSA-N 422.281 4.893 5 20 HJBD COC[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1cccc(Cl)c1 461736925 VFPXETWBHDTVPH-IBGZPJMESA-N 405.882 4.556 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)C2CCCC2)c1 463953761 WWRDHZDEKGSAKM-UHFFFAOYSA-N 410.474 4.662 5 20 HJBD CCN(CC)C[C@H]1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C1 464652812 XWTHYFBFSOCTAX-QGZVFWFLSA-N 407.580 4.537 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc(-c2csc(C)n2)cc1 470795734 GMCNTXIGMFDTGO-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 471556725 UQRDKADTYNXBIF-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1Oc1ccc(C)nn1 474157746 CFZSURUZSXFUFX-UHFFFAOYSA-N 422.441 4.870 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@@H](CO)c2ccccc2OC(F)(F)F)o1 475578099 LZOKMPPJBUXKHE-INIZCTEOSA-N 422.359 4.577 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 475634750 NWHWQLWDSWEHQR-HNNXBMFYSA-N 402.426 4.586 5 20 HJBD CN1Cc2cc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)ccc2NC1=O 478969687 BNVTZXRDSHUUMS-UHFFFAOYSA-N 417.425 4.568 5 20 HJBD COc1ccc(CN[C@@H](c2ccc(Br)cc2F)C2CC2)cc1[N+](=O)[O-] 479071361 SRPPFZYFUGOOAV-GOSISDBHSA-N 409.255 4.746 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 482472233 BNWDESWMBXPQAM-LJQANCHMSA-N 404.850 4.538 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(NC(=O)c3ccc(F)cc3)c2)cc1[N+](=O)[O-] 488063912 KRXOGQBNYAMXEK-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD COc1cc(C(=O)N2CC[C@](C)(F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 500576565 AILFEOBAHBYOPZ-IBGZPJMESA-N 408.813 4.623 5 20 HJBD C[C@@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccccc1[N+](=O)[O-] 504416692 SNNVTLPVNPVMFI-FOIQADDNSA-N 424.888 4.544 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)cccc1[N+](=O)[O-] 513121276 BFDPEKHWXNPSDZ-IBGZPJMESA-N 420.391 4.606 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cccc(-c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 513707543 FGBRYOLOYGJYTC-DEOSSOPVSA-N 412.493 4.917 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccccc2Cl)cs1 515598156 AEDPOJKIDVIKCU-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)Cc1ccccc1 516715295 BBLNIBKWDMEQNT-QGZVFWFLSA-N 407.495 4.699 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc(Cl)cc2[N+](=O)[O-])nnc1[C@@H]1CCCNC1 518555313 UDPXKADZIVDJRK-SNVBAGLBSA-N 416.334 4.692 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C\c4cccc([N+](=O)[O-])c4)n3)c2)n1 520296185 PRVGJVRWOSSNLE-KTKRTIGZSA-N 401.426 4.677 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1 520555102 PPCOSFZSWROZRP-GOSISDBHSA-N 413.518 4.587 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2ccccc2n1 522854452 HRCGLPAEFIVQRG-UHFFFAOYSA-N 421.400 4.917 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H]1CCOc2c(-c3ccccc3)cccc21 524336569 JHCOUKZZBSOMLO-NRFANRHFSA-N 403.438 4.585 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])cc1Br 524603183 DCZUUADKSUIPCA-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD Cn1cc(CCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c2ccccc21 531266204 LBCQQOOEIZTOKA-UHFFFAOYSA-N 419.403 4.610 5 20 HJBD CC[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(C)noc1C 533874235 SYBXVWMUKMOQBE-LBPRGKRZSA-N 417.409 4.578 5 20 HJBD COc1ccc([C@H](OC)[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537151027 GRQBGESCEMUPQQ-JLTOFOAXSA-N 418.515 4.610 5 20 HJBD O=[N+]([O-])c1ccc(CSc2n[nH]c(COc3ccc(Cl)cc3)n2)c(Cl)c1 541076024 WWMGKZRLNMCLIP-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD O=C(CN[C@@H](Cc1ccccc1)c1cccs1)Nc1ccc([N+](=O)[O-])cc1Cl 543450656 PVZDLEQVVBQZGC-SFHVURJKSA-N 415.902 4.822 5 20 HJBD CCc1ccc([C@@H](NCCc2ccc(NC(C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 544355388 PLRAMWACARASJJ-QHCPKHFHSA-N 407.470 4.630 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H]1CCSc2ccc(Br)cc21 544384917 GPXJZCYMJFLSLX-OAHLLOKOSA-N 409.305 4.693 5 20 HJBD COc1ccc(-c2nc(-c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)cs2)cc1OC 544954195 KAMWFRYVUZIPAU-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)CC2)c(C)c1 545211033 VPRQASXGHPSKMX-UHFFFAOYSA-N 409.486 4.955 5 20 HJBD CCN(Cc1ccc(C)cc1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548688685 GHIRCVZZYPVZEM-UHFFFAOYSA-N 406.432 4.930 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CCCOc2ccc(F)cc2)no1 555094734 OWHYXOAILMKPMU-ZDUSSCGKSA-N 403.435 4.982 5 20 HJBD Cc1nc(Cc2nnc(SCc3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)cs1 558807060 FHOCUZLXHJAWPK-UHFFFAOYSA-N 416.406 4.645 5 20 HJBD Cc1cc(Cc2noc(-c3ccccc3OCc3c(C)noc3C)n2)ccc1[N+](=O)[O-] 563479753 QMOFCOOAGZKJNI-UHFFFAOYSA-N 420.425 4.728 5 20 HJBD CCCOc1cc(OC)ccc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 563651631 VELFUDOHUPZSAC-UHFFFAOYSA-N 408.414 4.514 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 565087824 XVBXDNJWGUSXPS-UHFFFAOYSA-N 415.515 4.740 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2cccnc2)cc1 567196680 RRCHUDXWPDFSOX-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 577797491 BWZYPZHODRDRNP-IBGZPJMESA-N 418.515 4.565 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 582643207 SYTJCVRAGIGBTA-JKSUJKDBSA-N 412.486 4.906 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 609151556 ARUGEWIUGNZMQG-NRFANRHFSA-N 411.408 4.828 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1nc(Cc2cccc(Br)c2)no1 609450597 LZJMBEBKRKJFKT-UHFFFAOYSA-N 417.263 4.560 5 20 HJBD NC(=O)c1ccc(CN[C@H](c2ccccc2)c2ccc3ccccc3c2)c([N+](=O)[O-])c1 609464192 IZJVWWVXAARJQR-XMMPIXPASA-N 411.461 4.726 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785089 DBYOMUXEHXAJAU-UHFFFAOYSA-N 414.849 4.911 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 609976250 BXNZWYKNNADDIH-OWCLPIDISA-N 402.878 4.729 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610043452 XMCQKPUTACVUFX-UHFFFAOYSA-N 408.483 4.761 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 610769538 BMUINODIAJYBNT-CYBMUJFWSA-N 401.264 4.618 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(-n3ccnc3)cc2)cc1[N+](=O)[O-] 725847844 IURXKTNUIJMHFW-UHFFFAOYSA-N 413.481 4.838 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 729241446 ISSVMKZYODDMJN-QGZVFWFLSA-N 412.833 4.666 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Oc3ccc(C)cc3[N+](=O)[O-])c2C)cc1 730161508 HPNLFGYNXREPDF-UHFFFAOYSA-N 407.470 4.611 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1CSC1=NCCS1 735523679 AISQLEIXGJOGOI-UHFFFAOYSA-N 422.915 4.941 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)CC[C@H]1c1ccccc1 741974175 MHYWZXZRLZHXID-XJKSGUPXSA-N 403.276 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2ccccc2Sc2ccccc2)c1 745386816 ZRSBUPXJJYAILF-UHFFFAOYSA-N 422.462 4.850 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1 758379885 FNYUNBDBOCEXEO-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)c1[N+](=O)[O-] 758394170 XNXPLICTEIBACT-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD CSc1ccc(C(=O)Nc2cnc(Cl)c(Br)c2C)cc1[N+](=O)[O-] 759285186 QQZUBCAIMDZUJS-UHFFFAOYSA-N 416.684 4.688 5 20 HJBD C/C(=C/c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc([N+](=O)[O-])cc1 762306979 BCVUJYUXFWSCKG-WQLSENKSSA-N 405.370 4.519 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1)CCC2 764302078 CRIBQHDQGVBRBC-CYBMUJFWSA-N 424.320 4.526 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1cccc(F)c1F 767135976 SXOFCLIAFILNAA-ZETCQYMHSA-N 419.609 4.780 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)O[C@@H](C)c1nc(O)c2c(C)c(C)sc2n1 769887206 JUNCYCHWGIJZTH-LBPRGKRZSA-N 415.471 4.765 5 20 HJBD O=C(CC1CCCC1)NC1CCC(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)CC1 778087381 JSMUELQNBNSEGG-UHFFFAOYSA-N 422.909 4.547 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCCC[C@H]2C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 784440475 QLSTTYJZZZRABM-FXAWDEMLSA-N 400.475 4.820 5 20 HJBD CC(=O)OCCCS[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 788508072 AVWPTEGZQVJDRX-GOSISDBHSA-N 422.890 4.614 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)c1cccc([N+](=O)[O-])c1Br 791061698 PJFFWCVBNVICPE-UHFFFAOYSA-N 419.206 4.686 5 20 HJBD C[C@H](c1ccccc1)N(Cc1ccccc1)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 794398988 FLCIBXPLOSQXOF-GOSISDBHSA-N 418.449 4.576 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1cccc([N+](=O)[O-])c1 806454780 NUKJSNCIQNCQBM-WZONZLPQSA-N 419.481 4.601 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 808427055 JGANKVZGBMKTEP-UHFFFAOYSA-N 400.818 4.995 5 20 HJBD CCCS(=O)(=O)Nc1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811471008 ANYQEMAIYQMBCX-UHFFFAOYSA-N 418.302 4.665 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(C)n1CC(F)(F)F 871921611 PUOFULIMFDUOCS-UHFFFAOYSA-N 403.788 4.501 5 20 HJBD COc1cc(-c2noc(-c3ccc([N+](=O)[O-])c4cccnc34)n2)cc(Cl)c1OC 904407980 OVHZOQOAOCGQHL-UHFFFAOYSA-N 412.789 4.531 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(Cl)c1 919212772 XECRLEHOGJFQGK-GFCCVEGCSA-N 416.817 4.795 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=S)Nc1ccc([N+](=O)[O-])cc1 920080406 VRCYTRJASLCXHL-UHFFFAOYSA-N 408.321 4.965 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccc(F)cc3)o2)c(Br)c1 1116671781 HZCFBIMNVXYJHI-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NC1CCC(O)(C(F)(F)F)CC1 1322668868 DCRNWIPXBBSISB-UHFFFAOYSA-N 406.710 4.915 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3cc4ccccc4o3)no2)ccc1[N+](=O)[O-] 1323866900 OSHCRTMHZMZOFL-KRWDZBQOSA-N 418.409 4.677 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)c(Cl)c1 15130879 BPVYLQNVDCEKBZ-LBPRGKRZSA-N 422.919 4.898 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(Cl)cc2F)cc1)c1cccc([N+](=O)[O-])c1 15603585 JNAFKPBGWUDICK-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054160 UAISTNCPWMWNLK-NSHDSACASA-N 403.485 4.641 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 18202895 FLTWZJCYHYSHER-UHFFFAOYSA-N 406.467 4.542 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nnc(-c2cccs2)o1 19298246 JXFKNJLNJRZCHW-NSHDSACASA-N 401.444 4.922 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(C3CC3)cs2)cc1 30643108 YZCNCFJIVOQUTR-UHFFFAOYSA-N 411.439 4.982 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 58273788 YHYYADHJVMNEIN-UHFFFAOYSA-N 413.283 4.531 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 58328507 GFQZOQLPDXRBTK-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD CCOc1cc(NC(=O)CSc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC 61124431 INQDOHIAXHHRRE-UHFFFAOYSA-N 410.879 4.776 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc(C2CC2)no1 110691861 DODKEJWNTLBRCP-IBGZPJMESA-N 421.457 4.726 5 20 HJBD Cc1c(NC(=O)c2cc(Br)ccc2Br)cccc1[N+](=O)[O-] 160238692 JPLHSYAOSYBJAJ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2)c1 301472519 QFLMDHVTTDYGAD-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD Cc1ccc(C(=O)NC2CCN(c3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CC2)s1 301894224 SSRJEUYANANDHQ-UHFFFAOYSA-N 417.531 4.541 5 20 HJBD C[C@H](c1cccs1)N(C)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 302854624 FYMCYOTUZJHXJW-LLVKDONJSA-N 401.410 4.697 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@H](Cc2ccccc2)C1 436422582 VISKQNAXEDCVND-GOSISDBHSA-N 414.480 4.915 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)c2C)c1 437086104 JJQZYILZFZTDHE-MRXNPFEDSA-N 410.499 4.825 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(S(C)(=O)=O)cc1 442778459 GEPCGJFIFRZMEA-HNNXBMFYSA-N 412.467 4.964 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@@H](c2ccccc2)c2ccccc21 444510539 NKVCGMQZPHLCPI-FQEVSTJZSA-N 411.417 4.641 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CSc3ccccc3O2)no1 446014929 BNNYLAUWCAPQSQ-BMIGLBTASA-N 419.846 4.997 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446108434 MSPCDKSWMDSVHA-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1CC(F)(F)F 460400784 LUYVYWHBNGAHHS-SNVBAGLBSA-N 402.756 4.759 5 20 HJBD C[C@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(Br)c1 462261689 WEDNKALJSFGCIB-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD COc1cc(CSc2nnc(C[C@H](C)c3ccccc3)o2)c([N+](=O)[O-])cc1F 463415116 TUKPGHYLJLTBLX-LBPRGKRZSA-N 403.435 4.764 5 20 HJBD Cc1cccc(Br)c1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 463421091 FOBBNXQHYKAUNJ-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)c2ccccc2)c1 463953351 UUYSBFJXPLKHLO-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD C[C@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H]1c1ccccc1 464517467 FJMPPHZDGWNLIC-ORAYPTAESA-N 407.392 4.635 5 20 HJBD CC(C)CO[C@@H](C)c1noc(COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)n1 466645770 ZLNQQBVRPZMUSY-INIZCTEOSA-N 411.458 4.881 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc(Cc2nnc3n2CCCCC3)cc1 467646745 MQZJHJUNMUGEKV-SFHVURJKSA-N 419.529 4.937 5 20 HJBD COCCCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccccc1 471335587 INYZVXDAMLMYHR-GOSISDBHSA-N 421.291 4.645 5 20 HJBD O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]12)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 472898130 XFDGKBDYILWOMM-YQVWRLOYSA-N 416.861 4.728 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3ccc([N+](=O)[O-])c(C)n3)c3c(C)noc3n2)c(C)s1 475309916 ZTUHVIUIINFUSZ-UHFFFAOYSA-N 423.454 4.740 5 20 HJBD C[C@H](C(=O)Nc1ccc(OC(F)F)c2cccnc12)c1ccc([N+](=O)[O-])cc1F 475876939 QKLGFEDURUWHSQ-JTQLQIEISA-N 405.332 4.626 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nc(O)c2c(-c3ccc(F)cc3)csc2n1 477824797 MUOCLZJKXDHGED-UHFFFAOYSA-N 410.430 4.766 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 478720707 HKVDQDBVCLUBGH-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(C(F)(F)F)c1 482715178 QXEPDFHNMYMJJJ-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCOC2(CCCC2)C1 483311260 PHOQHTCZOQELCD-UHFFFAOYSA-N 416.861 4.826 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)cc1 483657185 RUCBSKISJXAGSP-GFCCVEGCSA-N 418.400 4.659 5 20 HJBD O=C(CCSc1nnc(-c2cc3ccccc3o2)o1)Nc1ccc([N+](=O)[O-])cc1 484752651 NTNKUTLJXJUIKA-UHFFFAOYSA-N 410.411 4.512 5 20 HJBD CCc1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 486396713 DMDIWMGGNFYUBT-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486736251 XKMKOBAXRMGOHV-UHFFFAOYSA-N 407.323 4.539 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1nc2ccsc2s1 488535040 KWDCDYZVEOPGGK-UHFFFAOYSA-N 402.379 4.607 5 20 HJBD CC(C)(NCc1ccc(Br)c2cccnc12)c1ccccc1[N+](=O)[O-] 492309330 DNVDZDPDHVOACH-UHFFFAOYSA-N 400.276 4.930 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H]3CCO[C@H]3c3ccc(Cl)cc3)o2)c1 494493118 HUKLWHDBYGSBGI-NQIIRXRSSA-N 413.861 4.566 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCc2cc(Cl)cc(Cl)c2C1 498384605 URNUCCNYMNGVFV-UHFFFAOYSA-N 402.237 4.648 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(OCC(F)(F)F)c(F)c2)cc1[N+](=O)[O-] 504917373 DHUJVNYXFXKUSR-NSHDSACASA-N 415.343 4.866 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(COc2ccc([N+](=O)[O-])cc2)n1 508665090 LBIZGGXCCQBPRD-GOSISDBHSA-N 417.849 4.769 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)c(F)c1 508989710 FAGADERTZOSLQO-FQEVSTJZSA-N 403.816 4.995 5 20 HJBD CN(C(=O)c1ccc(Br)c(OC(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 509267234 AFQQVVABKAVEME-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nncn1CCc1cccs1 511048921 ZJYLZHABBHEGEK-UHFFFAOYSA-N 404.517 4.570 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2C2CC2)cc1Cl 514902179 PEHHMYYBIPQUCK-UHFFFAOYSA-N 424.888 4.892 5 20 HJBD O=C(N[C@H]1c2ccccc2CC12CCOCC2)c1cc2cc([N+](=O)[O-])ccc2s1 517789666 MNQFNIUWLCSFJM-FQEVSTJZSA-N 408.479 4.634 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1)c1cccs1 521096429 JVPIAPMLIOBHQL-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(Nc1cccc(-c2ccc3c(c2)CCO3)n1)c1cc2cc([N+](=O)[O-])ccc2o1 521730937 YAJQKDWKIVQSEX-UHFFFAOYSA-N 401.378 4.590 5 20 HJBD CC(C)c1nc2cc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])ccc2o1 523978551 HCGZPVBLOWPGAV-UHFFFAOYSA-N 403.822 4.920 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)c1 525661023 ISDOYDQZIKNYDH-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCC[C@H]4OCC[C@H]34)o2)c(Br)c1 532120116 MRLMHVGAYAVCBW-KBAYOESNSA-N 421.291 4.665 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cc2)cs1 535699080 KSJVHVSLWWUKSA-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539469651 UCDRSBQDMDKOCC-GOSISDBHSA-N 423.856 4.954 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](CN2CCCC[C@H]2C)C1 540452326 YJWCEACOYNWLOD-MSOLQXFVSA-N 419.591 4.822 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1c(Cl)cccc1[N+](=O)[O-] 540639055 GUFVACNDKGYOEC-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](C)C[S@@](C)=O)cc2[N+](=O)[O-])c1 541368590 GLVDLYIGIXWVIT-WVDZOPJMSA-N 404.532 4.676 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ncc(-c2ccccc2)o1 541641951 GRRDUZVIRQMFMD-OAHLLOKOSA-N 404.426 4.798 5 20 HJBD C[C@H](SCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc2ccccc2[nH]1 542930506 ZNDISBOKDSMSBG-JTQLQIEISA-N 424.404 4.923 5 20 HJBD C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1nccs1 543512981 PEYPCHHHYVQEDL-CYBMUJFWSA-N 405.545 4.867 5 20 HJBD C[C@H](Nc1ccc(-c2nc([C@H](C)c3ccncc3)no2)cc1[N+](=O)[O-])c1ccccn1 547211444 QUPHQJONUHDFNX-CABCVRRESA-N 416.441 4.760 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 547855018 FRNJWVCHBIKFCE-AWEZNQCLSA-N 421.297 4.716 5 20 HJBD COc1ccc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2C)cc1 554966828 DCCQNGNSSLQMJI-UHFFFAOYSA-N 424.404 4.710 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1F 568572377 DUVZABGMNTWMRU-LLVKDONJSA-N 422.338 4.681 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnc(Oc3ccc(F)cc3F)c2)c1 570651446 NZWRYTZQWQDMRX-UHFFFAOYSA-N 415.352 4.711 5 20 HJBD CN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 576787574 IICBCAYYGMQHFR-KLPPZKSPSA-N 409.202 4.550 5 20 HJBD COC(=O)C(C)(C)[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1 590172778 CDLJYPMIDDHONY-KRWDZBQOSA-N 410.392 4.644 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC[C@@H]3Cc3ccccc3)cc2[N+](=O)[O-])CC1 603839648 SJWJMOLWFRMMCR-OAQYLSRUSA-N 407.514 4.678 5 20 HJBD COc1ccc(CSc2nnc(Cc3c(F)cccc3Cl)o2)cc1[N+](=O)[O-] 608929660 HEJQVXLHJHGYNI-UHFFFAOYSA-N 409.826 4.662 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 609133555 HOXYYPGHUKFEGA-UHFFFAOYSA-N 422.481 4.823 5 20 HJBD Cn1cnc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2)n1 612391550 NODOSYIZRHJMGC-UHFFFAOYSA-N 423.498 4.677 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CCCN(c3nc4ccccc4s3)C2)n1 612864473 HIQZYTLORWPKOR-OAHLLOKOSA-N 421.482 4.562 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1nc(Cc2cccs2)oc1=S 727418766 MNHKSCGBJQQKIH-UHFFFAOYSA-N 410.908 4.509 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c(F)c2)c(F)c1 730451926 FLDDJDLYLMMKAK-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 730643385 AWCRQVMRZDSRTE-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1cccc(NC(=O)c2cccs2)c1 734117712 XZOLZLBEQDSXEO-UHFFFAOYSA-N 414.464 4.606 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)CN2CCCCCCC2)cc1)c1ccccc1[N+](=O)[O-] 743474542 KWQKBTRTXYOBMB-GOSISDBHSA-N 410.518 4.973 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](O)c1c(F)cccc1Cl 744446573 BHWCIHSXXMXLLP-VOJFVSQTSA-N 421.881 4.723 5 20 HJBD COc1cc(/C=C\C(=O)OCc2nc3ccccc3c3ccccc23)cc(OC)c1O 745510870 IGWIBNYBXKMMHT-QXMHVHEDSA-N 415.445 4.867 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)OCCc3ccc([N+](=O)[O-])cc3)cs2)cc1 749312700 LHVWTMWTFLPHOG-UHFFFAOYSA-N 412.467 4.905 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(F)c(F)cc1Br 749842360 ZUVQJYYBABKJJR-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CC(C)c1ccc(CCC(=O)OCC2CCN(c3ccc([N+](=O)[O-])cn3)CC2)cc1 750427095 LKLWSVPISQPIBE-UHFFFAOYSA-N 411.502 4.506 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(CCc1ccccc1)C1CCCC1 751079550 HAEIRGGMYVECFE-UHFFFAOYSA-N 417.303 4.985 5 20 HJBD CCOc1c(OC)cc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3o2)cc1OC 751518525 VKBSVOGFGNWGAF-QPEQYQDCSA-N 404.806 4.889 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 752359451 FLLSKRVJLIJZRV-CQSZACIVSA-N 403.360 4.725 5 20 HJBD CCSc1ccc(Cl)cc1C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 752572110 ATZPCABNBMAZSK-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC(Cc2ccccc2)CC1 754642270 SOMBYHFGEFHIGU-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)NCc1cc([N+](=O)[O-])ccc1Cl 756995657 HGMCCDJXAXSXBI-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756995699 DUHSGGMAGHNLQQ-UHFFFAOYSA-N 424.215 4.934 5 20 HJBD C[C@@H](OC(=O)[C@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 764589329 ZAMGETXVBISQRR-CRAIPNDOSA-N 414.458 4.508 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(c3nc4ccccc4[nH]3)CC2)ccc1Br 768223254 FQHSBHOZKGVZJN-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC[C@@H]1NC(=O)OC(C)(C)C 769424762 OJZQMULLWBTSED-QAPCUYQASA-N 415.490 4.590 5 20 HJBD Cc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)o1 770012051 WCGMFGNJPCMJRD-MRXNPFEDSA-N 406.316 4.856 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3cccc(Cl)c3[N+](=O)[O-])co2)cc1 773175499 URIXHCYIYQQQKT-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1cc(F)ccc1[N+](=O)[O-] 778199327 VTRNHGYMFWTZEM-CQSZACIVSA-N 408.813 4.588 5 20 HJBD CC(NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)(c1ccccc1)c1ccccc1 782530410 ZGHZALXOYYNQOI-UHFFFAOYSA-N 407.445 4.616 5 20 HJBD CNC(=O)c1ccc(Oc2ccc(NC(=O)OC(C)(C)C)cc2Cl)c([N+](=O)[O-])c1 790812734 FUBYCEIILVAQRI-UHFFFAOYSA-N 421.837 4.747 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(O)(c2cccc(OC(F)(F)F)c2)CC1 801543383 AQIOWUTVYSQHMT-CQSZACIVSA-N 410.392 4.538 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 804413553 UADVKFJAQZUCNL-OAQYLSRUSA-N 410.857 4.624 5 20 HJBD CC(C)(C)OC(=O)NCCC[C@@H](Nc1ccc([N+](=O)[O-])c(N)c1F)c1ccccc1 811869668 VAGOKUMXAUYRQY-OAHLLOKOSA-N 418.469 4.774 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2coc(-c3c(F)cccc3F)n2)cc1[N+](=O)[O-] 815404233 BCHGEQGTKIDICP-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)CCC2 817020258 JBIAUKFPOPACTG-OAHLLOKOSA-N 407.239 4.612 5 20 HJBD O=C(CCCc1ccc(Br)s1)Nc1cc([N+](=O)[O-])c(F)cc1F 897574557 DEDUKSYUUVJKKZ-UHFFFAOYSA-N 405.220 4.658 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4ccc(N5CCCCC5)cc4)no3)c2c1 904613601 DDEJGDSNZNJRMW-UHFFFAOYSA-N 403.442 4.707 5 20 HJBD CCOC(=O)c1cc(-c2nc(-c3ccsc3C(F)(F)F)no2)cc([N+](=O)[O-])c1 904926530 IXRGJZMJQQSGOA-UHFFFAOYSA-N 413.333 4.569 5 20 HJBD Cc1c(C(=O)N(CCc2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 921149003 UVJHOQPJOAQMJL-UHFFFAOYSA-N 405.410 4.701 5 20 HJBD O=C(Nc1ccnn1Cc1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])ccc1F 921161261 FRNWFBWCRFWLMA-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD COCC[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)c(Cl)c1 1323071204 AJXMKVBKWAOXKO-SFHVURJKSA-N 424.284 4.705 5 20 HJBD O=C1Cc2cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)c(Cl)cc2N1 1338212312 NJGHBGSXOHEALQ-UHFFFAOYSA-N 421.840 4.590 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 16141811 LMZFOJBYHJZPQN-NRFANRHFSA-N 423.513 4.574 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 31514702 CECXBGJVPXBBOD-GJZGRUSLSA-N 418.515 4.749 5 20 HJBD COc1c(Cl)cccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 65855543 RPUUQTORDLPARG-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N(Cc1cccs1)c1ccc(F)cc1F 71978536 RFZPQPLQGINNHG-UHFFFAOYSA-N 404.394 4.547 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](c1ccccc1)c1ccc(Cl)cc1 109263001 BBRCDILFJVPVKA-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H]3CCCO[C@H]3c3ccccc3)cs2)c1 238007863 UDCXJGMSFLEYQC-NQIIRXRSSA-N 409.511 4.976 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C3CCCCCC3)[nH]n2)cc1SC 303775860 NPRVIDLAMPRCJF-UHFFFAOYSA-N 404.492 4.739 5 20 HJBD CC[C@@H](Nc1cccc(Oc2ccnc(C(=O)NC)c2)c1)c1cccc([N+](=O)[O-])c1 426288147 ADVWWUSHCDGEDL-HXUWFJFHSA-N 406.442 4.705 5 20 HJBD Cc1sc(NC(=O)C(C)(C)n2cc([N+](=O)[O-])cn2)nc1-c1cccc2ccccc12 426398397 YJFSNRRGDFNNJL-UHFFFAOYSA-N 421.482 4.750 5 20 HJBD Cc1cc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ns1 436055549 XETQCBOVPKBHIB-UHFFFAOYSA-N 402.501 4.786 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)cc1[N+](=O)[O-] 436167228 FSUCOISVZLZCSY-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD COc1ccc(Oc2cc(CNc3cc(C(F)(F)F)ncc3[N+](=O)[O-])ccn2)cc1 436317110 KQNYRCMKMRROCU-UHFFFAOYSA-N 420.347 4.817 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1NC(=O)NC(C)(C)C 437463484 TUDAFJSBWUMFNK-UHFFFAOYSA-N 424.501 4.628 5 20 HJBD Cn1nc([C@@H]2CCCO2)cc1NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440898343 XSHQRJDAXPTKQQ-KRWDZBQOSA-N 424.482 4.583 5 20 HJBD COc1cc(C(=O)NCc2sc3ccc(Cl)cc3c2C)c([N+](=O)[O-])cc1OC 441133691 HKDRWQDSGKFOBT-UHFFFAOYSA-N 420.874 4.719 5 20 HJBD CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442633056 BHQDBAAHHPTATQ-LJQANCHMSA-N 402.516 4.519 5 20 HJBD CCCOc1cc(NC(=O)[C@H]2CCCC(F)(F)C2)c([N+](=O)[O-])cc1OCCC 443466250 PFVUMWKRCSDULF-ZDUSSCGKSA-N 400.422 4.936 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(CCc1ccc(F)cc1)C1CC1 444020991 GRQAYGDPUUDRFI-UHFFFAOYSA-N 400.453 4.668 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(Cl)cc(Cl)c1 445375715 HRNHLFCVTFDVOF-ZZXKWVIFSA-N 419.224 4.636 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H]3CCC[C@H]32)cc1[N+](=O)[O-] 460411247 YDYCMJOZFJMCKE-DNVCBOLYSA-N 424.522 4.952 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCC[C@H]1c1ccc(F)cc1 462457663 VJSKOFKWYIRAPX-AWEZNQCLSA-N 419.261 4.567 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1CC(=O)c1ccc(F)cc1 462772859 JOVMPVMQMGQZLY-DYESRHJHSA-N 412.461 4.567 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@](CO)(Cc4ccccc4)C3)cs2)c1 463008500 IWBSLLDKWQMKCG-QHCPKHFHSA-N 423.538 4.536 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)c1cccc(Br)c1 463538861 CEBKUBNGYPFRIV-CQSZACIVSA-N 405.292 4.898 5 20 HJBD COc1ccc(CNC(=O)NC2(c3cccc(C(F)(F)F)c3)CCC2)cc1[N+](=O)[O-] 465700605 SOAQDUUZKFZQKT-UHFFFAOYSA-N 423.391 4.501 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](CCO)c2ccco2)ccc1Oc1ccccc1Cl 469553400 CCHQSNLSFVMTDA-INIZCTEOSA-N 402.834 4.847 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cc(F)cc(NCC(F)(F)F)c1 473243421 OXAXIUCLSMLBAO-UHFFFAOYSA-N 414.359 4.539 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 475634152 KUTWDEFJSQDGJI-LLCTXKFZSA-N 400.784 4.603 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@@H]1c1cc[nH]n1 476184730 VLDWBKFUSPXFMM-HXUWFJFHSA-N 407.430 4.869 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(Br)cn1 479534743 XPWDCPRLYXFTRL-SUNYJGFJSA-N 404.308 4.814 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2sc(Br)cc2[N+](=O)[O-])s1 485901833 AJMHJPXSUJYFOO-QMMMGPOBSA-N 418.338 4.579 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(C(=O)N(C)CC(C)(C)C)c2)cc1[N+](=O)[O-] 487987832 LMHMNBNGBDUTDJ-MRXNPFEDSA-N 411.502 4.512 5 20 HJBD Cc1ccc(C[C@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 489587594 QEZFLWNHYPHPRR-AVRDEDQJSA-N 418.497 4.951 5 20 HJBD CC(C)(C)[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 490842038 KKYNWYBGSGJAAE-DYESRHJHSA-N 411.502 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 491379540 JNKPINGHTDYOIS-CQSZACIVSA-N 419.306 4.930 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(Br)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492868903 DIUSYMWBOYXAGQ-RBUKOAKNSA-N 415.287 4.652 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496492397 JXBGYKCEGDILEO-FQEVSTJZSA-N 415.371 4.659 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 505772203 RJHTWEQCYRDXHI-UHFFFAOYSA-N 420.494 4.994 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)N1CCOc2ccc([N+](=O)[O-])cc2C1 518015132 NWUBZBVPSNUHFG-UHFFFAOYSA-N 409.364 4.516 5 20 HJBD CC(C)c1ccc(CNCc2ccc(CN3C[C@H](C)O[C@@H](C)C3)cc2)cc1[N+](=O)[O-] 520207891 PNIUCCPCBVEWRT-OALUTQOASA-N 411.546 4.617 5 20 HJBD CCCC(=O)Nc1ccc(OCc2cc([N+](=O)[O-])ccc2OC(C)C)c(C(C)=O)c1 521530628 NUBYRXDVVJPGLB-UHFFFAOYSA-N 414.458 4.902 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 524554904 KEYNOLJYXUKSIV-BLVKFPJESA-N 400.866 4.571 5 20 HJBD CC(C)OC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 525084369 QSEGOTFMZNZNRT-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD Cc1ccc2c(C3=CCN(CC(=O)Nc4ccc([N+](=O)[O-])cc4Cl)CC3)c[nH]c2c1 533350546 BUNIAMZNELZWNZ-UHFFFAOYSA-N 424.888 4.766 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1cc(Br)ccc1F 534848444 LJTCZGJMPCYYRI-UHFFFAOYSA-N 420.238 4.783 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc([S@](C)=O)cc1 535951778 KOKZNEMQMIDNAW-FOGNVHKLSA-N 424.478 4.616 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@@H](C3CCOCC3)C2)ccc1Oc1ccc(F)cc1 537620463 XNFCYPHIBLMEPW-GOSISDBHSA-N 400.450 4.775 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN(Cc2ccc(O)cc2)Cc2ccco2)c1 537636660 BSBRLTGKAWAKMT-UHFFFAOYSA-N 404.369 4.697 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](CCc1ccccc1)c1ccccc1 540376524 QWGUDQNLRSZGEX-OAQYLSRUSA-N 419.481 4.506 5 20 HJBD CCc1ccc([C@H](NCc2ccccc2Cn2cccn2)c2ccc([N+](=O)[O-])cc2)o1 541549040 OXLVROPRXIWAEF-XMMPIXPASA-N 416.481 4.874 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cc1[N+](=O)[O-] 545575780 GQORWKURSSSJEU-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CN(Cc1cccc2ccccc12)c1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl 549552222 NYRYRKRJNHIRPJ-UHFFFAOYSA-N 420.856 4.584 5 20 HJBD C[C@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 551825380 GAYZYHPLKJPUNC-SGTLLEGYSA-N 408.376 4.589 5 20 HJBD C[C@H](Nc1ccc(-c2nc(CCC(F)(F)F)no2)cc1[N+](=O)[O-])c1ccccn1 555086977 JZXKUXLPVOLHTN-NSHDSACASA-N 407.352 4.708 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(F)c(C(C)=O)c2)cc1 557459986 RQEAQDMIBKKNRH-UHFFFAOYSA-N 424.384 4.990 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)[C@@H]1C[C@@H]1C 557974778 UXZNJYLVBUTGJV-BBATYDOGSA-N 421.375 4.627 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1C[C@@H]2CCCCN2c2ccccc21 561629914 CQXZLXTVJKTLDC-HNNXBMFYSA-N 405.376 4.633 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1csc(-c2cccc(Cl)c2)n1 568543528 IDFMNADILKDAMT-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3cccc(OC)c23)no1 568680046 DDFTZCPKZJDXNU-OAHLLOKOSA-N 406.442 4.795 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)cc1SC 576739342 BTILTPRWGWGRGT-PHIMTYICSA-N 406.426 4.518 5 20 HJBD Cn1ccc2c(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cccc21 582821703 VZNGYWPIXRPVLM-UHFFFAOYSA-N 401.422 4.918 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H](C3CC3)C2)cc1[N+](=O)[O-] 589526628 QWTVQQIRUDCHJN-QGZVFWFLSA-N 424.522 4.810 5 20 HJBD Cc1cc(NC(=O)Cc2c(Cl)cccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 590771308 RPVVCBLMIHFAHZ-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccc(Cl)c(Cl)c1)C1CC1 603999873 YSJHAKBSIIEVCJ-UHFFFAOYSA-N 409.269 4.862 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2N2CCCCC2)cc1SC 609127194 ZXUVDVAVDHTQGR-UHFFFAOYSA-N 419.478 4.707 5 20 HJBD Cc1cc(F)ccc1-c1ccc(/C=C2\CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)o1 609642912 WTTLMJNENHJNLJ-SDNWHVSQSA-N 417.396 4.957 5 20 HJBD C[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 609723387 MIDVHBWHEZBVCQ-NSHDSACASA-N 416.783 4.913 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 609771711 XWLUPIHARNWHFQ-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD CSCc1c(C(=O)NCCCNc2ccc(C)cc2[N+](=O)[O-])oc2ccccc12 610052983 SSQJFKGJAFEEFZ-UHFFFAOYSA-N 413.499 4.744 5 20 HJBD CC(C)COC(=O)N1CCC(C(=O)OCc2nc3ccccc3c3ccccc23)CC1 726210405 SRVVIPNFKCQWMT-UHFFFAOYSA-N 420.509 4.936 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCc1nnc(-c2c(Cl)cccc2Cl)o1 726632784 GYOILEZCCUYYAO-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)c1ccc([N+](=O)[O-])c(F)c1 728155460 SQSXGZZCSINONY-UHFFFAOYSA-N 402.422 4.776 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2csc(-c3ccsc3)n2)cc1SC 728649449 JWZIVRXPNUVFLW-UHFFFAOYSA-N 422.509 4.867 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)c2)n1 735271706 VCZQJPFEWYOJPP-UHFFFAOYSA-N 421.438 4.609 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCCc1nc(-c2cccs2)no1 735802681 CQVGLGKHXXVJNQ-UHFFFAOYSA-N 406.851 4.630 5 20 HJBD CN(C[C@@H](O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCSc2ccc(Br)cc21 750068944 WAWNXDAECVMRGG-IAGOWNOFSA-N 423.332 4.560 5 20 HJBD C[C@H](Cc1ccccc1Cl)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 754305096 KFWCCQMYGRYGKC-CHWSQXEVSA-N 415.833 4.781 5 20 HJBD CCc1cc(C)nc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 766043192 CGPVNSZBWBSPAE-UHFFFAOYSA-N 403.394 4.821 5 20 HJBD O=C(NCc1cccc(F)n1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767099186 HHOVLBNLKMQIOS-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 773353378 KYLUOOZDYXJARG-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C/c3cn(-c4ccc(F)c(Cl)c4)nn3)nc2c1 782889541 HYGCHAAZYQEHAN-ACAGNQJTSA-N 420.187 4.846 5 20 HJBD O=[N+]([O-])c1ccc(CNC2(c3ccc(Br)cc3)CCCC2)c([N+](=O)[O-])c1 792871931 OJLYPKQUCBJAMN-UHFFFAOYSA-N 420.263 4.825 5 20 HJBD Cc1cc(C(=O)COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1cccs1 798129107 GLTUXQYGRMRJGE-YFHOEESVSA-N 424.478 4.562 5 20 HJBD C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 807737813 NHSPFNNZJVFVMX-CQSZACIVSA-N 413.380 4.751 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 808703038 RKPHNJMZSLDJAT-QGZVFWFLSA-N 418.236 4.946 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809914210 BFZKXWTVHCEAGC-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1cccc(F)c1)C[C@H]1CCCO1 809919956 KFUBPMABEUESBA-OAHLLOKOSA-N 407.829 4.600 5 20 HJBD Cc1cccc(C(=O)N2CCCN(Cc3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)c1 809934619 AKHBSHSZXUWMCC-UHFFFAOYSA-N 422.312 4.558 5 20 HJBD O=C(CC[C@@H]1Cc2ccccc2NC1=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811047663 VBICRNBQQMIBSH-GFCCVEGCSA-N 423.252 4.536 5 20 HJBD Cc1ccc(-c2nnc(NCc3ccc(Br)c([N+](=O)[O-])c3)o2)c(C)c1 811839265 VLNGSYPHLADBGE-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C\c1ccc(-c2ccccc2[N+](=O)[O-])s1 812447840 VOBCHFHZMFHBPS-WJDWOHSUSA-N 421.390 4.576 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nn1-c1cccc(F)c1 813145142 SZCJNFUYUWLKTP-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CNCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc1 825111564 KEPOXPNKWHUKRG-QGZVFWFLSA-N 423.416 4.560 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1ccc(CSC(F)F)o1 912757344 BKFLPGOOCUOUIS-SDQBBNPISA-N 414.773 4.642 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1nc(-c2ccccc2)n2c1CCCCC2 914915594 GQDLJNYIANOKMM-UHFFFAOYSA-N 421.453 4.550 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1)c1ccccc1 915809181 GXIONOODTJYTQM-UHFFFAOYSA-N 402.362 4.813 5 20 HJBD O=[N+]([O-])c1ccc2c(cnn2CN2CCC[C@H]2c2ccc(Br)cc2)c1 917485612 CGPHJFZACXNTIV-KRWDZBQOSA-N 401.264 4.502 5 20 HJBD CCN(Cc1cccc(NC(=O)c2csc([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 918103527 SGCRTFOSPCWBDI-UHFFFAOYSA-N 405.476 4.666 5 20 HJBD COc1ccc2cc(COC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2c1 918503879 CRKSSSWZDWONRS-UHFFFAOYSA-N 420.465 4.716 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 919972470 RFDBMQVONOFARN-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Nn1c(COc2ccccc2)nnc1SCc1nc2ccccc2c2ccccc12 927289836 IEDXMPUYXAXFFN-UHFFFAOYSA-N 413.506 4.565 5 20 HJBD Cc1nc(COc2ccccc2-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cs1 1323965757 YVGCNXXPCBHIGD-UHFFFAOYSA-N 424.438 4.568 5 20 HJBD COc1cccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1Br 1325690782 BTMSBXHWBQVRCL-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2ccccc2Sc2ccccc2)c([N+](=O)[O-])c1 7397207 GYOHIMIDRJOJJI-UHFFFAOYSA-N 408.435 4.576 5 20 HJBD CC(C)c1ccc(SCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)cc1 27684676 NLLQMWIHSBZRRS-UHFFFAOYSA-N 402.472 4.610 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 37368040 IUDAOVLSCXUYMU-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2cccc(OC(C)C)c2)cc1[N+](=O)[O-] 46726313 HSNDQRVLOIDAOD-HNNXBMFYSA-N 418.515 4.501 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 55593042 IXAQLJPWIOGVEP-HUUCEWRRSA-N 409.486 4.582 5 20 HJBD Cc1ccc(NC(=O)CSc2nnc(-c3ccc4ccccc4c3)o2)cc1[N+](=O)[O-] 60868911 NXKDBYWVHGKXKZ-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1cc(Br)ccc1Cl 247293270 BQPFFNVVBONCBL-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD CCN(C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@H](C)Cc1ccsc1 426253682 AZIOJSGDTHXKDU-GFCCVEGCSA-N 415.437 4.838 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(c4ccncc4)CC3)c([N+](=O)[O-])c2)cc1 428665380 ODGRMOMYUHFXJK-UHFFFAOYSA-N 416.481 4.935 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1nnc(-c2ccccc2Cl)o1 429215154 JTVPPTJFKHSPJT-UHFFFAOYSA-N 405.819 4.544 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432866489 LSCCNECICKZYFR-UHFFFAOYSA-N 423.498 4.901 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC2(CCN(Cc3ccccc3)C2)CC1 436386954 ISRGNROSHQEYQZ-UHFFFAOYSA-N 420.435 4.501 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(c2ccccc2)C2CCCC2)c(C(F)(F)F)c1 439136815 BRFAQULZLPSLDB-UHFFFAOYSA-N 414.405 4.752 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)Cc2ccccc2Cl)cc1 439232063 FMIVMPDNUJYGMD-UHFFFAOYSA-N 416.886 4.785 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccc(C)cc1)c1ccccn1 439881559 WJOSWQXTGNCHOP-OAQYLSRUSA-N 406.442 4.608 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)ccc1[N+](=O)[O-] 440036237 AKLLGYYBMVUCMA-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccccc2)nc1)Nc1ccc([N+](=O)[O-])cc1Cl 440703563 JEOCEKQREIKPTK-UHFFFAOYSA-N 411.805 4.540 5 20 HJBD COc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC)cc1Cl 443799665 IMVIHJYANWPQQQ-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD Cc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c2cnn(Cc3cccs3)c2n1 444732122 LKOIPTUJNZWJTH-UHFFFAOYSA-N 421.482 4.627 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 445477670 OPIJZOSTOZJOPX-UHFFFAOYSA-N 418.431 4.856 5 20 HJBD O=C(CCCc1ccc(Cl)c(Cl)c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 461407158 MMVJHHSGZCKOEL-UHFFFAOYSA-N 409.269 4.646 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463618863 BHJWQEIOUMIETM-UHFFFAOYSA-N 423.391 4.560 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc2nc(-c3ccccc3)cn12 464718674 FOHQKTOYFJIHGB-UHFFFAOYSA-N 406.467 4.715 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)CC2)c1 466549643 DLRIBRPMOHBHMJ-UHFFFAOYSA-N 400.450 4.630 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Cl)c(NC(=O)c2cccs2)c1 470702611 CGOAJTOJKDTPIS-UHFFFAOYSA-N 419.821 4.953 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)cc1[N+](=O)[O-] 471348773 QUTSXMXZMTVBAK-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 473921018 UEBAENILALUQJH-GFCCVEGCSA-N 408.389 4.618 5 20 HJBD COCCc1nc2cc(NC(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)ccc2o1 475196002 JEWBSORBMXXRHV-UHFFFAOYSA-N 424.335 4.588 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N[C@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])c1 480487602 GELJOWRKCDFDBR-FQEVSTJZSA-N 408.433 4.652 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 482713256 MZLCJDPSEHUJIG-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3cnn(C(C)C)c3c2)cc1SC 483610266 CMTJDJVIEVXZEG-UHFFFAOYSA-N 400.460 4.508 5 20 HJBD CC[C@@H](NCCC(=O)Nc1cc(C)c(Cl)cc1OC)c1cccc([N+](=O)[O-])c1 484495063 PRNGXCJKYKZRKZ-QGZVFWFLSA-N 405.882 4.635 5 20 HJBD CC(C)N(CCC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc([N+](=O)[O-])cc1 485047596 VDWKSCZJDUYONE-UHFFFAOYSA-N 409.408 4.853 5 20 HJBD CS[C@@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])C(C)(C)C 486141867 DTSDUXCPHCXXDX-IBGZPJMESA-N 402.516 4.681 5 20 HJBD C[C@@H](Sc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(Br)c1 488755841 YIQBFFKUMUHCSX-MRVPVSSYSA-N 422.347 4.676 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1c(Cl)cccc1[N+](=O)[O-] 488768854 MWUAZHWXRPPXKD-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2nc(CC)no2)ccc1C 489937727 ZGSOKRCMGDRUSC-UHFFFAOYSA-N 412.471 4.880 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 491371931 CXVPJRPXDSBASG-OFNKIYASSA-N 423.513 4.745 5 20 HJBD CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497854057 UAZTVHXOUIDTOX-KRWDZBQOSA-N 408.376 4.606 5 20 HJBD COc1cccc(C2CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)c1 498844453 OTHLDGVTTHQOPP-UHFFFAOYSA-N 421.400 4.616 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC=C(c4ccccc4F)C3)cc2[N+](=O)[O-])CC1 501584313 KZNOWDOGKCACLL-UHFFFAOYSA-N 409.461 4.510 5 20 HJBD COCCN(C(=O)C[C@H]1CCC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 504375358 UZIRZSVFHGBLOQ-CVEARBPZSA-N 417.531 4.914 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)N1CCCc2ccc([N+](=O)[O-])cc21 509970322 JJVRULLJSNOCKY-UHFFFAOYSA-N 411.845 4.815 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 509991287 QYGRZXFIOMIOJO-OAHLLOKOSA-N 422.441 4.982 5 20 HJBD C[C@H](NC(=O)N(C)CCCc1cc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 510510206 LXXZDPLNVFOBQH-INIZCTEOSA-N 408.458 4.585 5 20 HJBD COc1ccc(-c2ccc([C@H]3Nc4ccc(Cl)cc4C(=O)N3C)o2)c([N+](=O)[O-])c1 510759011 UQYBUUVPHOSPAP-IBGZPJMESA-N 413.817 4.713 5 20 HJBD C[C@@H](NC(=O)c1cccc(CN(C)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 512172623 MQKGBZOMMAVLRG-GOSISDBHSA-N 403.482 4.718 5 20 HJBD CSc1ccc(C(=O)Nc2n[nH]c(-c3cccc4ccccc34)n2)cc1[N+](=O)[O-] 513730109 VNQNSNHOFYBXRO-UHFFFAOYSA-N 405.439 4.507 5 20 HJBD Cc1ccc(N[C@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)c([N+](=O)[O-])c1 514188943 CUYCRGJWQHEMEJ-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCc2ccc(O)cc2)CC1 514520382 XKXWYNZFVAKTFB-INIZCTEOSA-N 414.527 4.652 5 20 HJBD CC(C)c1ccc(CN(C(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)cc1 515968621 QAJHCTXRRXFFHC-UHFFFAOYSA-N 415.877 4.501 5 20 HJBD O=C(Nc1c(F)cc(F)cc1-c1ccccc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 517906952 PHUDXUNULLVJRQ-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD COc1cc(Cl)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 521507291 ARBWOMRKTSAVGK-UHFFFAOYSA-N 406.869 4.764 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ccccc1C(F)(F)F 522715577 RHYKJVJIOFHQFI-UHFFFAOYSA-N 412.389 4.845 5 20 HJBD O=C(Nc1ccccc1Cl)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522884234 SRFLCPHGDSROQS-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD COc1cc(C(=O)NCCC(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 525003515 ZWQOODFDDWOMPK-UHFFFAOYSA-N 420.465 4.564 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc2ncccc12 536331315 NLVFOJQSCBVJHQ-UHFFFAOYSA-N 421.400 4.917 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537265140 WNZHLFWCURXYJD-UHFFFAOYSA-N 416.462 4.956 5 20 HJBD C[C@H](N[C@@H](Cc1ccccc1)C(=O)N(C)c1ccccc1)c1ccc([N+](=O)[O-])cc1 539089590 UOVHUJQLRRHOJA-MBSDFSHPSA-N 403.482 4.520 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 543011009 HKXPKCCUXCALQM-UHFFFAOYSA-N 419.437 4.539 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)Nc3cc(Cl)cc([N+](=O)[O-])c3O)c(C)c2c1 543681062 ZKAFUULESBWDLA-UHFFFAOYSA-N 416.817 4.782 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC)s2)cc1 544383635 CTDKXZDKQCBSRO-CYBMUJFWSA-N 413.499 4.895 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)s1 544448098 MUXPCNGIFQZQLA-WFASDCNBSA-N 414.462 4.870 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(Cc4csc(-c5ccccc5)n4)no3)c2c1 545533258 QATZHPMMKXWSLJ-UHFFFAOYSA-N 417.450 4.764 5 20 HJBD Cc1c([C@H](C)NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)oc2ccccc12 551421485 FWQMBUSMXDHWMO-AWEZNQCLSA-N 423.469 4.763 5 20 HJBD O=C(NCCCO[C@@H]1CCCc2ccccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559824539 OBKFGXLYAHCZNQ-LJQANCHMSA-N 422.403 4.828 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 560788836 JPBWYJYVGMYNBD-UHFFFAOYSA-N 415.381 4.580 5 20 HJBD Cc1nc(-c2ccsc2)ccc1-c1nc(CCNc2ccccc2[N+](=O)[O-])no1 577152468 LIPDPJSHSHIGPL-UHFFFAOYSA-N 407.455 4.731 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(F)(F)F 581631415 BGAPSWNFTXDDKE-GFCCVEGCSA-N 409.364 4.515 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(N3CCc4sccc4C3)nc2)c2ccncc12 603467792 BNOWULLSEBNTFX-UHFFFAOYSA-N 403.467 4.906 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OCC 603651606 UXGFKIMZHNJAIN-QGZVFWFLSA-N 413.474 4.631 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 608903915 ANVMDYQGKNMRDC-UHFFFAOYSA-N 405.439 4.578 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 608918482 ZGXKZKIDKVLUOZ-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD Cn1c(C(=O)Nc2ccc(Oc3ccc(F)cc3)c3ccncc23)ccc1[N+](=O)[O-] 609032687 BDARNLCUPSTAOR-UHFFFAOYSA-N 406.373 4.665 5 20 HJBD Cc1cc(N2CCN(C)CC2)ccc1NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 609633872 YMOCENDUBVZJPK-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609768866 MFTAWOUUCIFACA-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c12 609973799 KRRYJAPJONZMAH-UHFFFAOYSA-N 420.469 4.800 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OC 610221170 HGBADHBWMAMQRE-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD O=[N+]([O-])c1cccc(CN[C@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)c1 610258977 AURNCLBSWABGDK-JOCHJYFZSA-N 410.857 4.899 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cn2cccn2)c1 611466637 IWZYIJVHKLHTES-UHFFFAOYSA-N 410.499 4.984 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])CC3 612276097 RHGLHXLNLAVKPJ-UHFFFAOYSA-N 415.902 4.715 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](CCNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 730108612 JWSBIKJAHLWQMS-MRXNPFEDSA-N 415.490 4.592 5 20 HJBD Cc1cc(C)c(C(=O)[C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1C 740421565 GXYJYGPLFZLSSM-ZDUSSCGKSA-N 420.259 4.711 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 741641556 YVAFBSYUPSHSGF-OAHLLOKOSA-N 424.428 4.666 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 746332132 BPYSKGQMXFYPNR-BJMVGYQFSA-N 404.264 4.830 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(CSCc2cc(-c3cccs3)on2)o1 753849858 BSADYNFRIUXPTM-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1cc(F)cc([N+](=O)[O-])c1Br 754630953 LQEIXGNQSVZJGL-UHFFFAOYSA-N 420.194 4.767 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1c(F)cccc1Cl 755016345 IIFWWBZTVOYWFC-SSDOTTSWSA-N 419.609 4.780 5 20 HJBD C[C@@H](C(=O)OCc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])cc1F 755066121 GEZPOWHPMYFURA-LLVKDONJSA-N 404.781 4.889 5 20 HJBD O=C(Nc1ccc(F)cc1)[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 756886154 XHJCAIQHUOIVIM-HXUWFJFHSA-N 413.836 4.857 5 20 HJBD C[C@@](NC(=O)c1cccc([N+](=O)[O-])c1Br)(c1ccccc1)C(F)(F)F 758921210 MJKHFWCJCXHHDQ-OAHLLOKOSA-N 417.181 4.565 5 20 HJBD O=C(O)/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 761666789 QVVRNEWMPHMKGF-KPKJPENVSA-N 412.467 4.980 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@@H]2CCCN2C(=O)OC(C)(C)C)no1 763339223 HYFGMWOPGORNGI-WFASDCNBSA-N 420.491 4.903 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766222225 GJHQKBZICPRIQZ-CABCVRRESA-N 421.453 4.841 5 20 HJBD Cc1nc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])sc1Cc1ccc(F)cc1F 773551820 GHMUAJBDJYYYEW-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777951181 IEIVNAFTKIUDBP-UHFFFAOYSA-N 412.396 4.525 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 779160843 JZCAYHZPCQTGMA-JKSUJKDBSA-N 415.490 4.590 5 20 HJBD COc1ccc([C@@H](NCc2ccc(Br)c([N+](=O)[O-])c2)C(F)(F)F)cc1 788022346 CZFXJAAKKUPARJ-OAHLLOKOSA-N 419.197 4.759 5 20 HJBD COc1cc(Br)c(C=O)cc1Oc1nc2ccccc2cc1[N+](=O)[O-] 788828779 KNAZEKVGODHIBC-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])o2)cc1 790745647 OMFODEGPXJKDEC-UHFFFAOYSA-N 404.781 4.637 5 20 HJBD Cc1ccc(Nc2nn(CN(C)CCc3ccccc3[N+](=O)[O-])c(=S)s2)cc1 795643713 HFLOVRMKEQHBRC-UHFFFAOYSA-N 415.544 4.766 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1ccc(Cl)cc1[N+](=O)[O-] 803266700 ZOWMJKWXMSFVIL-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD O=C(OCc1cc(Cl)ccc1Br)c1c(F)ccc([N+](=O)[O-])c1F 812588495 LVDNCUPYXIXELX-UHFFFAOYSA-N 406.566 4.646 5 20 HJBD Cc1ccc2nc(C(=O)OCc3ccc(Br)c([N+](=O)[O-])c3)ccc2c1 815397378 SKMTUGUULJPJPQ-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD C[C@H](c1nnc(S/C=C\c2ccc([N+](=O)[O-])o2)n1-c1ccc(Cl)cc1)N(C)C 825139416 QYVXZRCEKHWZKE-NLYDNYMLSA-N 419.894 4.808 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nc3cc(Cl)ccc3n2C)cccc1[N+](=O)[O-] 915994548 NKSBZZSJUXXANI-LLVKDONJSA-N 404.879 4.563 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1)c1ccccc1 916959415 WPKUSXNODNLALD-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD Cc1cc(C(=O)Cn2nccc2[N+](=O)[O-])c(C)n1C(c1ccccc1)c1ccccc1 918161120 XCCPKQKUBIGEIN-UHFFFAOYSA-N 414.465 4.730 5 20 HJBD COc1ccc(-c2ccc(CNc3ccccc3CN3CCCC3=O)o2)c([N+](=O)[O-])c1 1319477644 GTTMTBJEAXTNHM-UHFFFAOYSA-N 421.453 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1320171949 NVDRIPCZVGJDMX-UHFFFAOYSA-N 417.805 4.715 5 20 HJBD CCN(CC)C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 1321636612 LVEGEECQUCJIBS-UHFFFAOYSA-N 413.543 4.692 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@@H](C(F)(F)F)[C@H](CO)C2)o1 1324425959 XUCCOEHWXHZKCL-XHDPSFHLSA-N 418.799 4.501 5 20 HJBD Cc1ccc2nc(COc3ccc(C(=O)Nc4cccc([N+](=O)[O-])c4C)cc3)cn2c1 10368835 UUSIYAYWBNYOJH-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)c1cccs1 20751472 FJGUXKKRBQXXEB-KRWDZBQOSA-N 416.842 4.847 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(C(F)(F)F)c3)cc2)c(C)c1[N+](=O)[O-] 25868669 YAPJUPSSABBVOE-UHFFFAOYSA-N 418.375 4.728 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)cc2[nH]1 53191928 IUIBWLALHGTARZ-ZDUSSCGKSA-N 416.441 4.600 5 20 HJBD Cc1cccc(C(=O)Nc2ccc3nc(Cc4ccccc4F)[nH]c3c2)c1[N+](=O)[O-] 54245520 IATVCAISDGOTHJ-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1cc(Br)ccc1OC(F)F 63560524 ZEEFTKHEJZWUQR-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(-c3cccs3)n2C2CC2)cc1 71971187 MRBGHNXZGSHUHT-UHFFFAOYSA-N 402.501 4.811 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)CCc2cccc3ccccc23)cc1SC 97548046 IKOUAURVXKBRAO-UHFFFAOYSA-N 410.495 4.793 5 20 HJBD Cc1nccn1-c1ccc(CNc2ccc(Br)cc2[N+](=O)[O-])cc1F 109066179 MWISZHIFAMJRCY-UHFFFAOYSA-N 405.227 4.603 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1ccc(Br)c([N+](=O)[O-])c1 135399567 VIBXOFCRMHJXPW-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1ccc(CNCc2cccc(N(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 237241428 DBGZIUNXTBFAIJ-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD O=C(CCSCc1cc(-c2ccc(F)cc2)no1)Nc1ccccc1[N+](=O)[O-] 409841520 GPQUSYBPKPYCHA-UHFFFAOYSA-N 401.419 4.651 5 20 HJBD O=C(O)[C@H](Cc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2cccc(Cl)c2)s1 426803375 MIJBYPFGFZLLET-SFHVURJKSA-N 416.886 4.762 5 20 HJBD CC(=O)Nc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 432688725 DEGCGOSIUUSDER-UHFFFAOYSA-N 424.379 4.629 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])s2)cc1Cl 433837231 SEKNUJLAFKGOLG-UHFFFAOYSA-N 419.894 4.703 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1C[C@H]1c1c(F)cccc1Cl 437330285 YAKBUTCWWXJHSE-VQIMIIECSA-N 420.871 4.620 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 440613386 DJDIAKJWWPHPSF-UHFFFAOYSA-N 422.422 4.611 5 20 HJBD Cc1cn2c(n1)[C@@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])CCC2 442629165 MYPFFOKXMLGRDJ-MRXNPFEDSA-N 422.510 4.558 5 20 HJBD C[C@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccc(OCc2ccccc2)c1 444705630 NSSTZEPSUSIUKX-INIZCTEOSA-N 402.454 4.901 5 20 HJBD CN(C(=O)c1cc(Cl)cc2c1OCC2)c1nc(-c2ccccc2[N+](=O)[O-])cs1 462628611 FBAQHYSSBSUOSG-UHFFFAOYSA-N 415.858 4.583 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-])c1ccccc1 463728480 QYYRWUMRBVIADI-QGZVFWFLSA-N 405.454 4.810 5 20 HJBD COc1ccc2c(c1)N(C(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCCC2 465215523 GMOYKJQBDWJPCZ-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1Cl 465397216 CGBKNRMSPFMNNN-CQSZACIVSA-N 403.866 4.562 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)cc(-c3ccco3)nc21 470711658 ZKYVDMSKKFVVIF-UHFFFAOYSA-N 409.377 4.572 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 474726709 HWAKJDOAAWHTOB-LSDHHAIUSA-N 412.877 4.698 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 475631453 BOKYYUQNTZQOPG-UHFFFAOYSA-N 407.474 4.698 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1c(Cl)cccc1[N+](=O)[O-] 475803520 KEKQGXUNXAFWLP-LLVKDONJSA-N 414.676 4.564 5 20 HJBD CC[C@@](C)(OC)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 480059220 LSSSTWGPVUTMJX-LJQANCHMSA-N 410.829 4.611 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 480228166 IHUHCWBKGZELQI-LEWJYISDSA-N 418.449 4.925 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 480700021 HLLPXMYRDWGOPE-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)cc1[N+](=O)[O-] 482565393 JVBGSUSZLFQNJC-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cc(CSCCO)ccn3)s2)cc1 482626089 YAXIAYUEUZEOML-UHFFFAOYSA-N 401.513 4.556 5 20 HJBD CCOc1ccc(-c2noc(CSCc3ccc(OC)c([N+](=O)[O-])c3)n2)cc1F 485964704 KTLUNSQUAYMQSR-UHFFFAOYSA-N 419.434 4.625 5 20 HJBD CCC[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 486211545 UAQIULXWITVWHQ-LLVKDONJSA-N 419.247 4.968 5 20 HJBD CCN(Cc1ccccn1)[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 489424385 RVYAISGVOZHMFL-JOCHJYFZSA-N 404.470 4.500 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 489636762 SRDCEHIHDZAQPI-CVEARBPZSA-N 422.403 4.992 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC[C@H]2CCCO2)c1 493235645 IEHNZXHELHODIK-SJLPKXTDSA-N 413.474 4.652 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)s2)cc1 494090801 UPCRXZMTSVMMGP-UHFFFAOYSA-N 423.498 4.542 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@]2(CCCc3ccccc32)C1 498390588 PASOMJGQVFDOAR-XMMPIXPASA-N 401.466 4.653 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H]1CCSc2ccc(Br)cc21 504651539 WNWANKVHWSUBQW-LLVKDONJSA-N 413.318 4.728 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 512725334 MEHDMPVSHPMMBS-UHFFFAOYSA-N 406.417 4.931 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 513472301 BZUXAIVIYAJNQY-QZTJIDSGSA-N 405.838 4.575 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc2c(s1)-c1ccccc1OC2 515339113 LJPZFRKSOOGKER-UHFFFAOYSA-N 424.434 4.629 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCSc3ccc(Cl)cc32)cc1OC 519085371 CEEMZHAANTUMLI-CQSZACIVSA-N 422.890 4.622 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(OCCC(C)C)c2)cc1OC 523536059 BOOYAAJVPHSPFU-UHFFFAOYSA-N 416.474 4.988 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1scnc1-c1ccccc1 524376466 ZEJDSTRWDUVRKU-LLVKDONJSA-N 403.847 4.778 5 20 HJBD C[C@@H](N[C@@H]1CCN(c2ncccc2F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531992138 HROFSKKSRDPDHC-HUUCEWRRSA-N 412.490 4.787 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCc3nc(-c4ccncc4)cs3)o2)c1 532092529 XTLZUCGHJSRCEG-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1ccc(-n2ccc(C(F)(F)F)n2)cc1 532463322 TZWQPBOHFKZQHI-CQSZACIVSA-N 404.392 4.959 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)sc2C)cc1 534255335 AHJIKMRJAKHOFO-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 536407546 CCDZNWBOMOPVEQ-CYBMUJFWSA-N 410.392 4.573 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 536725729 DQSRIQBYYMFKRN-UHFFFAOYSA-N 402.454 4.899 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(OC(F)F)cc(OC(F)F)c1 537164882 OCBFGMUDRGEIDU-UHFFFAOYSA-N 402.300 4.667 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2cc(Cl)sc2Cl)cc1SC 537803086 RLDZQMRYIXGPDL-UHFFFAOYSA-N 407.300 4.624 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc([S@@](C)=O)cc1 539530030 MLRFPPXCMQSOTG-PGGUUEOZSA-N 400.525 4.912 5 20 HJBD CCN(C)C[C@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 539849400 BMZKQBFFNGFKQX-QGZVFWFLSA-N 403.910 4.814 5 20 HJBD CCCc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(F)c1 541485558 RUCKHDHZWJEVOQ-UHFFFAOYSA-N 414.462 4.603 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1F 541604693 PCPPEUCIFYLWCT-HNNXBMFYSA-N 418.428 4.874 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 542526922 GYNSWBJJAMZEBQ-SECBINFHSA-N 406.826 4.648 5 20 HJBD C[C@@H](C(=O)NCc1ccccc1CN1CCCC[C@H]1C)c1ccc([N+](=O)[O-])cc1F 544427343 NQAOPSHMFKVSLW-IAGOWNOFSA-N 413.493 4.528 5 20 HJBD CC(C)(C(=O)N1CC[C@@H](CSc2ccccc2)C1)c1ccc([N+](=O)[O-])cc1F 546530119 XJGGVPDNOVHEPW-OAHLLOKOSA-N 402.491 4.652 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 547170034 VAGGPMGSAONCOD-UHFFFAOYSA-N 410.213 4.644 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1 549177808 XHUAAYFUPUXBTH-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD CCc1nc([C@@H](C)N=c2c([N+](=O)[O-])c[nH]c3ccc(Br)cc23)cs1 561894009 NLUZFYRPINFQON-SECBINFHSA-N 407.293 4.519 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C3(c4cc(C(F)(F)F)ccc4Cl)CC3)n2)nc1 563568515 KEPGCPNYDMMAFO-UHFFFAOYSA-N 424.766 4.716 5 20 HJBD CC(C)(NC(=O)c1nc2ccccc2cc1Br)c1ccc([N+](=O)[O-])cc1 565680166 IXLIAJYISHJHBX-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD CC[C@H](C)[C@H](NC(C)=O)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 569505530 SQUBHLNZTWNKEH-DJJJIMSYSA-N 410.430 4.660 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@@H](CO)Cc2ccccc21 590405477 GTFQYEPJUPLYCH-INIZCTEOSA-N 420.490 4.557 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)sc1Cc1cccc(F)c1 603974403 SUMOWCVBQVYRII-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 604198974 VBDLRAUYCVJRCY-UHFFFAOYSA-N 412.829 4.730 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)NC(=O)Cc3ccccc3[N+](=O)[O-])cc2)c1 609032972 IKRKRPBGTXKXJB-GOSISDBHSA-N 404.466 4.902 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 609228500 WJIYDCZHGUBRFV-OAQYLSRUSA-N 421.428 4.542 5 20 HJBD COc1ccccc1[C@H](CO)NCc1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 609708894 YAYYRDRGRWPOTC-FQEVSTJZSA-N 424.522 4.886 5 20 HJBD O=C1C[C@@H](C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2ccc(F)cc2N1 609761730 GCRAFNVVVXEZLU-LJQANCHMSA-N 421.384 4.591 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609854618 SVNFEXZNHVJIPK-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609861458 MIWOYTLSLDBDRC-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=[N+]([O-])c1cnc(Sc2ncc(-c3ccccc3)n2C[C@H]2CCCO2)c(Cl)c1 609890937 IEWYBXZBUASKFZ-OAHLLOKOSA-N 416.890 4.837 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc2c(c1)OCO2)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 612444785 RELNUICCGUPXCR-CQSZACIVSA-N 414.433 4.538 5 20 HJBD C[C@H](NCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1)c1cc2c(ccc3ccccc32)o1 612576088 CIYHZHPQOYPASN-HNNXBMFYSA-N 424.478 4.619 5 20 HJBD Cc1nc2ccccc2c(C)c1Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 612963023 BOGWGDJPJBVPRR-UHFFFAOYSA-N 413.437 4.806 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccc(OCc2ccc(F)cc2)cc1 728489160 ZLMAIAOWKOFIQB-AWEZNQCLSA-N 412.392 4.943 5 20 HJBD Cc1nc(-c2ccc(OCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)cc2)cs1 730260204 MCFCAKRCDCNDOG-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3nnc(COc4ccc(Cl)cc4)o3)sc2c1 731208727 IMXHKLQUXQHVQI-UHFFFAOYSA-N 420.859 4.971 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735643470 NMZDBFBNFJNZCT-LLVKDONJSA-N 408.863 4.601 5 20 HJBD C[C@H](OC(=O)c1cn(-c2ccccc2)nc1-c1cccnc1)c1ccccc1[N+](=O)[O-] 742701159 CXGLQUDTFNCYTB-INIZCTEOSA-N 414.421 4.761 5 20 HJBD Cc1cc[n+](/C(C(=S)Nc2cc(C)ccc2F)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 744117400 VWPCKNNGWQWHGI-UHFFFAOYSA-O 424.477 4.962 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)c2ccc(C(C)C)cc2)cc1SC 744952603 MMDUVGXQXQMVFD-ZDUSSCGKSA-N 417.483 4.877 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 745565632 UYKWTBOGBPMKFF-UHFFFAOYSA-N 422.142 4.960 5 20 HJBD C[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])[C@H](NC(=O)OC(C)(C)C)c1ccccc1 745665439 NSQRHWOWWLNOOT-BEFAXECRSA-N 414.458 4.540 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3ccc(Cl)c([N+](=O)[O-])c3)CC2)c(C)c1 747314422 ONCSCEYQXGBVID-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067200 MOFRFDLNGWXKOQ-CQSZACIVSA-N 416.547 4.555 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1csc(Br)c1)C1CC1 752865307 KPQGTODQIBVPFX-UHFFFAOYSA-N 415.696 4.877 5 20 HJBD Cc1ccc(OCc2nc(CNCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 756900644 UKPZGBLQJBYQED-UHFFFAOYSA-N 403.891 4.882 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(-c2ccccc2)ns1 760538750 VCWHNQOIODEYOJ-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1 761198674 SMQLVHILRHGCKZ-SNVBAGLBSA-N 419.846 4.938 5 20 HJBD CC(C)n1cc2c(n1)[C@H](Nc1ccc([N+](=O)[O-])c(OCc3ccccc3)n1)CCC2 765059996 HXXXYYJSHWLRTL-GOSISDBHSA-N 407.474 4.836 5 20 HJBD CN(Cc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)Cc1nnc2ccccn12 769203215 MEULOUMQZXHLHN-UHFFFAOYSA-N 423.860 4.715 5 20 HJBD C[C@H](OC(=O)C1CCC(C(F)(F)F)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 769443517 XXPCPUNTFSROQK-PKSQDBQZSA-N 413.352 4.618 5 20 HJBD COc1cc(/C=C(/C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)ccc1O 772263156 JKRWEYMSZGDYFM-REIAIGDLSA-N 418.449 4.727 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C[C@H](O)c1cc(Cl)cc(Cl)c1 772620849 WGTNKYZDQNRMPP-SFHVURJKSA-N 411.285 4.762 5 20 HJBD O=C(COc1ccc2c(c1)CCCC2)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776216812 DVRMBBXCJGIDCA-XMMPIXPASA-N 418.449 4.580 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)OCc3ccc([N+](=O)[O-])c(C)c3)cs2)cc1 781169839 AJANQOONVAHPLF-UHFFFAOYSA-N 412.467 4.533 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cc3occc3[nH]2)c2ccccc2)c([N+](=O)[O-])c1 786033099 HXPNRRRTBPFRIH-HXUWFJFHSA-N 419.393 4.514 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)N(Cc1ccco1)c1ccccc1 795263777 HXGGOVWGXOTCDY-UHFFFAOYSA-N 404.781 4.593 5 20 HJBD CC(C)(C)OC(=O)NC[C@@]1(C)CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 801032101 WRORNAZWFCLHHN-RUZDIDTESA-N 419.525 4.765 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@@H]1CCCO1 804859445 CLHVUWWSUOOWEE-QIPRVMPFSA-N 424.478 4.857 5 20 HJBD O=C(NC[C@@H](O)c1ccc(C(F)(F)F)cc1)c1cc2ccccc2c2cccnc12 807793638 GJFMAIHMLLCSDC-HXUWFJFHSA-N 410.395 4.870 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ncsc1C(F)(F)F 811085390 LDQLWYVOVWBMOE-UHFFFAOYSA-N 401.149 4.734 5 20 HJBD Cc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)nn1-c1ccc(Cl)c(Cl)c1 812813557 KWURPIKULAORJV-UHFFFAOYSA-N 410.261 4.525 5 20 HJBD CC(C)(C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccccc1[N+](=O)[O-] 815168642 UYXYQGHDHBWJSS-UHFFFAOYSA-N 419.934 4.718 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccc(-c3cncnc3)cn2)o1 1321632395 XOTZZFNEIOWINC-UHFFFAOYSA-N 407.817 4.972 5 20 HJBD O=C(CCOc1cc(Cl)ccc1Cl)Nc1cc([N+](=O)[O-])ccc1OC(F)F 1321705028 LUQILBQHQQHGNU-UHFFFAOYSA-N 421.183 4.911 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 8176375 NMZFRPRPNCUBGL-INIZCTEOSA-N 417.534 4.823 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2cccs2)CC1 14515388 ISHWCSVHYORTEX-KRWDZBQOSA-N 407.923 4.513 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cccc(C)c2[N+](=O)[O-])cc1OC 16010988 SIDSOWKEAOFXJY-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)N1c2ccccc2Sc2ccccc21 22443323 SRJYGQHDJKPCHW-CQSZACIVSA-N 420.446 4.970 5 20 HJBD O=C(Nc1ccccc1CN1CCc2ccccc21)c1c[nH]c2cc([N+](=O)[O-])ccc12 58550762 WBQVUOFUZQKGAG-UHFFFAOYSA-N 412.449 4.891 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cc(C)cc([N+](=O)[O-])c3)c2)c1 195692525 GVTFIWQGPXJIJY-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD O=C(c1cc(N[C@H]2CCCOc3cc(Cl)ccc32)ccc1[N+](=O)[O-])N1CCCC1 302018935 MUXJBDIYTMFWHI-SFHVURJKSA-N 415.877 4.810 5 20 HJBD O=C(CCSCc1nc(-c2cccc(Cl)c2)no1)Nc1ccccc1[N+](=O)[O-] 409837325 RCRKFDXHGCVESI-UHFFFAOYSA-N 418.862 4.560 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@H](Sc4cccc(Cl)c4)C3)n2)c1 429142255 NOIHRVIDVDEAEF-KRWDZBQOSA-N 416.890 4.665 5 20 HJBD CCN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(OC)c1 431781074 ISJCRJHJBDSXMM-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1nc2cc([N+](=O)[O-])ccc2o1 432946524 XHQXWCMPGNNAMX-UHFFFAOYSA-N 423.256 4.503 5 20 HJBD COc1cccc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1[N+](=O)[O-] 436037381 ZRRRPMUNDMODOZ-CQSZACIVSA-N 422.281 4.656 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)n1 436298986 DUTNFLJCEVLYCJ-DKIMLUQUSA-N 408.424 4.830 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436320153 SJEHVXSXTGTXBU-OAQYLSRUSA-N 411.486 4.657 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H](C(=O)Nc1ccccc1)c1ccccc1 439111134 WXEVIASNMWYDAP-FQEVSTJZSA-N 423.856 4.666 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC(OCC3CC3)CC2)cc1[N+](=O)[O-] 440613903 OKBWYCAJUSZDOO-UHFFFAOYSA-N 406.548 4.764 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(Cc1ccsc1)c1cccnc1 440731429 YZHFGHOQRGJFMA-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD CCOC(=O)c1cc(CC)sc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443811902 GQKGSEOCQIIKSX-UHFFFAOYSA-N 416.377 4.667 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)[C@H](C)c1ccccc1 444097957 ORTAWUAAJBOOIA-KBPBESRZSA-N 406.432 4.882 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3sc(Cc4ccccc4)nc3C)n2)c1 445576446 XJIQETPVTWRDSI-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD O=C(N[C@H]1CCSc2ccc(Cl)cc21)N1CCc2ccc([N+](=O)[O-])cc2C1 447240748 ZWYQOTWMEHNEOD-KRWDZBQOSA-N 403.891 4.553 5 20 HJBD Cn1c(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc2cc(C(F)(F)F)ccc21 463670046 PCPCTBOTEFQFGG-UHFFFAOYSA-N 403.360 4.698 5 20 HJBD C[C@H]1C[C@H](c2ccccc2F)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 464299342 STWKIWNNGIAQQR-KSFYIVLOSA-N 411.477 4.560 5 20 HJBD O=C(NC1CC(c2ccc(F)c(Cl)c2)C1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 467858759 LYUKJJAJCYTYIG-UHFFFAOYSA-N 414.767 4.665 5 20 HJBD CCC[C@](C)(CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468349243 ADMBSXLKHWNWAL-LJQANCHMSA-N 408.907 4.680 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 475587149 VFSYJPRKBSWVDJ-UHFFFAOYSA-N 402.398 4.744 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 475956082 OQZFBUHOADPHII-GOSISDBHSA-N 416.543 4.735 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1F)NC(=O)CC2 477072537 FBXKDEDXPJEMAZ-UHFFFAOYSA-N 417.462 4.763 5 20 HJBD CN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)C1c2ccccc2-c2ccccc21 477556384 YHAIVROXAFLSSQ-UHFFFAOYSA-N 410.433 4.623 5 20 HJBD COc1cc(C(=O)Nc2cc(NC(=O)c3ccccc3)ccc2C)cc([N+](=O)[O-])c1C 478689716 VHMYDIKWYXFFMX-UHFFFAOYSA-N 419.437 4.725 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNc1c([N+](=O)[O-])c(C)nn1CC 479369497 ZHUDTQLEEYECGW-UHFFFAOYSA-N 411.462 4.708 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])cc2[nH]1 483417989 SGSIIQBRWBVLJW-UHFFFAOYSA-N 414.487 4.797 5 20 HJBD Cc1c([C@@H](C)N[C@@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cccc1[N+](=O)[O-] 483462499 MJRWEVOAZRZWDG-HXOBKFHXSA-N 424.522 4.747 5 20 HJBD O=C(NC1CCC2(CCOCC2)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485726162 QGVHMLNIJDWVFJ-UHFFFAOYSA-N 424.497 4.643 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1Cl)C(C)C 487671159 DXHIMDWXBGIMJB-UHFFFAOYSA-N 407.829 4.510 5 20 HJBD O=c1c2ccccc2nc(SCc2c(Cl)cccc2[N+](=O)[O-])n1-c1cccnc1 489248336 JZWZXLGLPRBNTK-UHFFFAOYSA-N 424.869 4.635 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 489877998 AKQWWPUFYFKWLY-QWHCGFSZSA-N 417.416 4.769 5 20 HJBD O=C(Nc1cc(-c2ccc(Cl)s2)[nH]n1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 490544813 NRFQXAOOUZRCKV-UHFFFAOYSA-N 416.768 4.971 5 20 HJBD COc1cc(C(=O)N[C@@H](C)[C@H](C)C2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 490858693 MZMKIHXOWIQOKF-RYUDHWBXSA-N 400.422 4.540 5 20 HJBD COc1cc(C(=O)Nc2ccccc2OC2CCCC2)c([N+](=O)[O-])cc1OC(F)F 502620702 VLBHFZIGMUOBLM-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccc(F)cc1F)C1CC1 503270413 SDVRRAOMLHDGDG-UHFFFAOYSA-N 413.327 4.671 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c(OC(F)F)c2)cc1SC 504179943 BLJKNJYNJYTUSO-UHFFFAOYSA-N 418.805 4.832 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@@H]1Cc1ccccc1Cl 508264707 LDXASJRPXQMPSZ-GOSISDBHSA-N 413.905 4.696 5 20 HJBD CCN(CC)[C@@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 510483376 SWTBLKMNOTWJNK-AUUYWEPGSA-N 423.435 4.874 5 20 HJBD Cc1ccc2c(c1)CN(C(=O)Cn1c3ccccc3c(=O)c3ccccc31)C(C)(C)C2 513683719 BJZPBQLMNSPHLN-UHFFFAOYSA-N 410.517 4.827 5 20 HJBD CC[C@@H](c1ccccc1)N(CC)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 514487341 YYMRBKPPGKMISN-SFHVURJKSA-N 402.516 4.836 5 20 HJBD Cc1ccccc1[C@H](C)c1noc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 514756944 XABIFCPQXUQXSI-OXJNMPFZSA-N 420.469 4.724 5 20 HJBD Cc1cc(NS(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1Oc1cccnc1 517914075 DWLRWVCJVMRHQN-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=[N+]([O-])c1ccc(-c2nccn2C[C@@H](O)Cn2c3ccccc3c3ccccc32)cc1 518995357 ZMJYMNCSNLSCSC-LJQANCHMSA-N 412.449 4.627 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(C2CCCCCC2)[nH]n1 522860077 BXSRVZRUQMXTIM-UHFFFAOYSA-N 414.509 4.954 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCSc2ccc(F)cc21 523882170 DKFPRAVQQZTZAK-CQSZACIVSA-N 410.854 4.510 5 20 HJBD CCOc1cc(C(=O)Nc2cccnc2-c2csc(C)c2)c([N+](=O)[O-])cc1OC 527341530 ZGTZWLQHIXMXGQ-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1cc(CN[C@H](c2ccccc2)c2nccs2)c([N+](=O)[O-])cc1OC(F)F 533037551 FDULOQUPLZSUKR-QGZVFWFLSA-N 421.425 4.541 5 20 HJBD C[C@H](c1cccc(Cl)c1)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534061161 UBKPWDPVWDKARZ-GFCCVEGCSA-N 406.847 4.579 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(OCc3ccccc3OC)c2C)cc1[N+](=O)[O-] 535469767 VQAOLPHTRVWVLK-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]2CCCC[C@H]21 535509216 RLVCCMPXGWSATH-DNVCBOLYSA-N 415.515 4.664 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CCO1 540456391 STZRDSHKMMFMPF-IBGZPJMESA-N 400.500 4.617 5 20 HJBD CCc1cnccc1[C@@H](C)N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 541193198 CVUCNRJWYAJXSW-HXOBKFHXSA-N 418.497 4.891 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1cncc(Br)c1 541290807 MZQVEKCEPDTIET-UHFFFAOYSA-N 404.083 4.807 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 541701607 UNDQJLNWZXJQBI-UGKGYDQZSA-N 402.466 4.873 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1NCC(F)(F)F 543255403 RLBQNCLSOAOCJT-UHFFFAOYSA-N 419.812 4.953 5 20 HJBD CCc1ccc([C@@H](NCc2ccn(-c3ccccc3)n2)c2ccc([N+](=O)[O-])cc2)o1 544388643 VRTFBENOLNTLPH-QHCPKHFHSA-N 402.454 4.815 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N3CCC(c4nc5cc(Cl)ccc5o4)CC3)c12 544410236 JGKSJOFBQSPBKM-UHFFFAOYSA-N 409.833 4.717 5 20 HJBD Cc1nc([C@H](N[C@H](C)CCc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)no1 545241704 XLPCQZQLOVWNBY-BFUOFWGJSA-N 400.866 4.640 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Oc3ncccn3)cc2)no1 545623537 AFCVTKIPEQBXQG-UHFFFAOYSA-N 403.398 4.553 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cccc(Cn4ccnc4)c3)no2)c1 550967692 BHXXWKFLQYKSRO-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)c1 554881681 LHTXVDFFYCUOSK-IBGZPJMESA-N 400.431 4.714 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 557759204 MGCHQBSBFMMIGC-GUYCJALGSA-N 408.376 4.524 5 20 HJBD CN(C(=O)CCOc1ccccc1[N+](=O)[O-])c1ccc(Cl)c(C(F)(F)F)c1 558293187 KYQFYCSOLICZDQ-UHFFFAOYSA-N 402.756 4.699 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2c(Cl)cccc2Cl)C2CC2)s1 561411449 NTPCAENKBPOQOZ-CQSZACIVSA-N 421.327 4.930 5 20 HJBD CC(C)(c1nc(-c2cnc(-c3ccccc3)nc2)no1)c1ccc([N+](=O)[O-])cc1F 567516502 XRVNZEQLBBLZOM-UHFFFAOYSA-N 405.389 4.567 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 574792408 RUASZZPWTSKIAJ-KRWDZBQOSA-N 407.348 4.508 5 20 HJBD COc1cc(CN[C@@H]2c3ccccc3O[C@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 584802654 GJQXFBQBCURYSH-FYSMJZIKSA-N 420.465 4.794 5 20 HJBD CCn1c(Sc2ncnc3sc([N+](=O)[O-])cc23)nnc1-c1ccc(Cl)cc1 587068418 PRILSWTXISVUBK-UHFFFAOYSA-N 418.891 4.683 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NC[C@@H](Cc2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 589614491 WYTGNQUVIDWCJL-WMLDXEAASA-N 409.408 4.685 5 20 HJBD CCN(C[C@H]1CCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 604440223 CDPLESAYLAVYJA-CQSZACIVSA-N 420.918 4.898 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 609703577 XFCGSTWYLPNYHT-UHFFFAOYSA-N 411.483 4.741 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)Nc1ccc2c(c1)OCCO2 609771143 GRJDVJAXBRVUFX-UHFFFAOYSA-N 407.382 4.802 5 20 HJBD Cc1ccc(C)c(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609852747 LUTACTCNLINCHV-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1nc([C@@H]2CCCCN2C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)no1 610885439 OCMFNEONYVGQMX-IBGZPJMESA-N 424.482 4.805 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCc2sccc2[C@@H]1c1cccs1 610923687 TYENSYUDKFBLLI-LJQANCHMSA-N 409.492 4.987 5 20 HJBD Cc1ccc(NC(=O)CC[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 729295766 GTNSBWUVGXWNLM-SFHVURJKSA-N 413.474 4.888 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)COC(=O)c2ccc([N+](=O)[O-])c(F)c2)C(C)C)cc1 731518768 NIRKEBABOVLMOM-OAQYLSRUSA-N 416.449 4.528 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Sc1cccc(Br)c1 732036361 XJODYJMFZVUZKY-UHFFFAOYSA-N 424.244 4.505 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cc1NC(=O)Nc1ccccc1 741176336 OYUGSQKXOIQNDS-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD C[C@@H](OC(=O)C1(c2cccc(F)c2)CCCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 744855627 HYDFGSISYTZJHV-OAHLLOKOSA-N 414.433 4.506 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1cccc(Cl)c1[N+](=O)[O-] 749984845 CKXKQCLIHKXTKC-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD C[C@H](OC(=O)CC[C@@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750105077 JGIDRPQUKFJKQB-KGLIPLIRSA-N 404.850 4.702 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4cccc(F)c4)no3)C2)c(Cl)c1 750600579 WVEPVOSNMMSCGG-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD Cc1ccc(Nc2nc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)cs2)cc1 752557985 DRQQTVIGAHZMRL-UHFFFAOYSA-N 405.382 4.739 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 753322769 WSEXPYLIOYTQTI-CQSZACIVSA-N 410.257 4.567 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccccc2n1C(F)F 754027713 MKTFROHCLYCDSO-QWRGUYRKSA-N 407.348 4.887 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)s1 756990666 JGHVXDQEUFLIPP-UHFFFAOYSA-N 407.904 4.601 5 20 HJBD C[C@](NC(=O)c1cccc([N+](=O)[O-])c1Br)(c1ccccc1)C(F)(F)F 758921207 MJKHFWCJCXHHDQ-HNNXBMFYSA-N 417.181 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@@H](O)c1ccc(Cl)c(Cl)c1 760107169 OFNHHILZKARLGS-MRXNPFEDSA-N 412.273 4.764 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)nc1)c1cc(F)c([N+](=O)[O-])cc1F 769495296 PLCNJACEABNDDE-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c1F 790934668 WDEHZJNYLYKVKM-AWEZNQCLSA-N 422.281 4.677 5 20 HJBD C[C@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H]1OCCc2sccc21 796493599 LIBXONIULDRDKE-FVMDXXJSSA-N 416.282 4.956 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 806368148 UZJGKKHBRGAAPJ-HNNXBMFYSA-N 422.279 4.981 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1C[C@@H]1c1ccccc1OC(F)(F)F 813247450 YLASIRFVWIGRST-MNOVXSKESA-N 400.740 4.889 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccc(F)cc2)c1Cl 813292408 RCEINYHUAAIKOW-UHFFFAOYSA-N 416.840 4.755 5 20 HJBD CCOC(=O)c1ccc(C(=O)OCc2nc3ccccc3c3ccccc23)nc1C 915042639 VYANNYRHQCZNLA-UHFFFAOYSA-N 400.434 4.625 5 20 HJBD CCOc1cc(NC(=O)N[C@H](CC)c2ccccc2OC)c([N+](=O)[O-])cc1OCC 915333050 PNYDCGGYZDHQMN-OAHLLOKOSA-N 417.462 4.674 5 20 HJBD O=C(Nc1ccccc1)c1cccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 919213448 KDLNRLXZOJWEMZ-UHFFFAOYSA-N 416.389 4.950 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)n1Cc1ccco1 1116847436 VEUKUDZBYCTQAM-UHFFFAOYSA-N 421.375 4.603 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)OCCN(C)c1ccc([N+](=O)[O-])cc1 1122707599 XOUXXECKIODBBH-UHFFFAOYSA-N 409.442 4.666 5 20 HJBD CC(C)n1ncc2c1[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 1321169258 BSTULHNREVQTKG-GFCCVEGCSA-N 413.356 4.789 5 20 HJBD O=C(Nc1nc2c(s1)C[C@@H](c1ccccc1)CC2)N1Cc2ccc([N+](=O)[O-])cc2C1 1321210684 RSNABNWSCXFMAW-HNNXBMFYSA-N 420.494 4.872 5 20 HJBD O=C(Nc1ccccc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccsc1 1323961906 FELISBISURHZCJ-UHFFFAOYSA-N 422.422 4.538 5 20 HJBD O=C(Nc1ccccc1C(=O)NC1CCCCCC1)c1ccc([N+](=O)[O-])cc1Cl 7264512 WCVDXUDQZGAPTN-UHFFFAOYSA-N 415.877 4.953 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)ccc1OC(F)F 14376408 YIQAQRXYJMMVPK-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 23119352 RBNNSBRYYMNWDM-NWDGAFQWSA-N 409.305 4.715 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2cccc(C)c2[N+](=O)[O-])cc1 105332281 AIOBGDHOICAMSA-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD COc1cc([C@@H](C)Nc2ccc(Br)cc2[N+](=O)[O-])cc(OC)c1OC 107820122 IWIWBDGLVBVRPB-SNVBAGLBSA-N 411.252 4.556 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 139589487 QANSNPSFQFMEBI-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c(OC)c1OC 237246636 ZNJKROVTNGWBMX-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nc(-c2ccco2)nc2ccccc12 247981808 HIKKLMXLXRPPJO-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD CN(C)Cc1nc(Oc2cccnc2[N+](=O)[O-])c2c(-c3ccccc3)csc2n1 301110450 MWKJLYBOSVRQNC-UHFFFAOYSA-N 407.455 4.515 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)c(OC(F)F)c1 302066237 WFHCPWUVVSCBAV-GOSISDBHSA-N 412.820 4.800 5 20 HJBD CC(C)(C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccccc1[N+](=O)[O-] 429813523 WMYZEANNYXKWOG-KRWDZBQOSA-N 404.413 4.668 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])c1 433758925 UVIXLWQPOORQRJ-UHFFFAOYSA-N 402.398 4.656 5 20 HJBD C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 435770193 YXPWCPSQIKJJGL-MRXNPFEDSA-N 403.442 4.629 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)n1 436298886 IGTIJUAAPDFCOP-DDBAPUKQSA-N 408.424 4.830 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Oc1ccc(S(=O)(=O)c2ccccc2)cc1 436321445 KAEKYCKDJBOJCU-UHFFFAOYSA-N 424.356 4.634 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 437117099 PZYCNZFQLBJXKT-QWHCGFSZSA-N 422.494 4.838 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 443878002 PTCMNHWABZONAY-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC(C)(C)[C@H](CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 444759427 IDZAHRVYLILWQS-INIZCTEOSA-N 408.907 4.536 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC4(CCC4)[C@H]3C3CC3)cc2[N+](=O)[O-])n1 460974139 RJXZVBYJEOEAGL-QGZVFWFLSA-N 415.540 4.916 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccco1)c1ccccc1OC 462616717 MYHCGNQSBNUIQU-JTSKRJEESA-N 408.454 4.671 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(-n2ccc(C(F)(F)F)n2)c1 464706404 FCXFMWAUIUBDJZ-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CN(CC[C@@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 469510044 GPAXUOGYYQLVGO-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(F)c(C)c2)cs1 471028497 CCQBSFKHWBOSSF-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@H](c3cccc(Cl)c3)c3ccccn3)cc2N1 471721809 YTWBKSOJIHAZGF-OAQYLSRUSA-N 408.845 4.729 5 20 HJBD COc1cc(CSCc2csc([C@H](C)OC)n2)c([N+](=O)[O-])cc1OC(F)F 476939312 JTLYRNPKOXDCCQ-VIFPVBQESA-N 420.459 4.802 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 477385938 ZICXREZQKRICQY-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 478005785 UPZGHZDDLYVTEF-UHFFFAOYSA-N 412.424 4.771 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 479198832 XUGTVLBILCGBPE-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)NCc1cccc([N+](=O)[O-])c1C 480056943 KFYWAAPNOFJYOZ-UHFFFAOYSA-N 404.470 4.519 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCCC2=O)c1 481108756 PKDCVIZLDJFDTG-UHFFFAOYSA-N 413.499 4.722 5 20 HJBD Cc1c(CNC(=O)c2cc(NC(=O)CC(C)(C)C)ccc2Cl)cccc1[N+](=O)[O-] 481478984 JYDUJYNPPJRRTN-UHFFFAOYSA-N 417.893 4.861 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1NC(C)=O 481829341 JWGILFGSAKJIJA-UHFFFAOYSA-N 417.487 4.562 5 20 HJBD CCC[C@@H](N[C@@H](C)c1cc(F)ccc1N1CCN(C)CC1)c1cccc([N+](=O)[O-])c1 482529755 BLPZOVJMHHMLQG-HTAPYJJXSA-N 414.525 4.678 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c(C)c1 488376636 FJNJBKDFHWVMKY-OAHLLOKOSA-N 417.535 4.859 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncc(Cl)cc1[N+](=O)[O-] 488485978 ZFNWOYLTSOANGP-MEBBXXQBSA-N 401.772 4.628 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(C(=O)C2CCC2)cc1 489070740 LDLHLNGXKMIWRB-UHFFFAOYSA-N 421.375 4.918 5 20 HJBD CC(C)OCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 489538601 OWPMZFSOHVUKEO-UHFFFAOYSA-N 419.909 4.747 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCO[C@H](c2ccco2)C1 490192362 GFXFTICABUIHAG-IBGZPJMESA-N 410.451 4.553 5 20 HJBD O=C(N[C@@H]1CC[C@H]2CCCC[C@H]2C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 493155522 KSZPBJZOJHCUTC-HZSPNIEDSA-N 400.397 4.625 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 499315540 FXRFRTAWDLXQAV-JOCHJYFZSA-N 420.444 4.593 5 20 HJBD Cc1cc(C(C)(C)C)cc(C)c1C[S@@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 501212023 QGWZVTQIIZLQON-LJAQVGFWSA-N 417.527 4.825 5 20 HJBD COc1cc(COc2ccc(Cl)cc2C(C)=O)c([N+](=O)[O-])cc1OCC(F)F 502872525 POHQVEBKAKPRKI-UHFFFAOYSA-N 415.776 4.682 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)c1cc2cc([N+](=O)[O-])ccc2s1 503480736 YHTCUMKMZVGRID-UHFFFAOYSA-N 405.435 4.927 5 20 HJBD COc1cc(CN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C2CC2)ccc1OC(F)F 503512418 UZKKZXGPPLXICN-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3ccc([N+](=O)[O-])c(C)c3)s2)cc1 505135886 PJQPJIFVBSTQHY-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C 505592839 VHOFNSVUZKLVGG-QRWLVFNGSA-N 401.532 4.518 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 505883692 CKKKTGKBZFBKIT-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD CCCCNC(=O)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 507011592 BXQICYBHUPYPMP-UHFFFAOYSA-N 419.909 4.637 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3cccc([N+](=O)[O-])c3)ccn2)cc1 511256546 AAGYTBJCQJVWHP-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N2CCCC[C@H]2C)c(Cl)c1 520684060 ROHLIAHLNTXYRQ-CQSZACIVSA-N 415.877 4.824 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@@H]2c2ccco2)cc1[N+](=O)[O-] 522946163 QLQZNZXVDUFBCS-MRXNPFEDSA-N 404.488 4.574 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 525538371 BGMFXDLNLJVCGC-AWEZNQCLSA-N 412.442 4.972 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccn1 534107581 GOLQYXASYKKVDK-NRFANRHFSA-N 419.462 4.733 5 20 HJBD C[C@@H](Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 539726748 GBIUKYFEWQWWIB-QGZVFWFLSA-N 410.495 4.883 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 540169103 KCDSMVBMAOOKKI-JTQLQIEISA-N 418.343 4.657 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1NC(=O)C(C)(C)C 541624421 SJYQNTXHGCGYJO-CYBMUJFWSA-N 401.438 4.769 5 20 HJBD COc1ccc(NC(=O)N(C)[C@H](C)c2ccccc2Br)cc1[N+](=O)[O-] 542959113 ODEDOXHJERFDKZ-LLVKDONJSA-N 408.252 4.591 5 20 HJBD CCc1ccc([C@@H](N[C@H](C)c2ccc(NC(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 543403265 UACZONINXPESOO-SZNDQCEHSA-N 408.458 4.681 5 20 HJBD CC(=O)N(CCc1noc(-c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1)C(C)C 545777638 SGPLJJFUXVWPSM-UHFFFAOYSA-N 420.535 4.582 5 20 HJBD C[C@H](Nc1ccc(-c2nc(CCc3ccco3)no2)cc1[N+](=O)[O-])c1ccccn1 547195990 LUNRITNVBFBAHS-AWEZNQCLSA-N 405.414 4.591 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccccc2C[S@@](C)=O)cc1[N+](=O)[O-] 547267998 IHYYFDNJAICSLD-GDLZYMKVSA-N 409.511 4.853 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)c1 555090686 MFTLENUHLZERKX-CTYIDZIISA-N 408.376 4.688 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 560155368 YPVZZYNJINIPGA-UHFFFAOYSA-N 413.905 4.660 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1)c1ccc([N+](=O)[O-])cc1F 571013181 JNTXAHVALOZCAJ-UHFFFAOYSA-N 410.405 4.578 5 20 HJBD CS(=O)(=O)c1ccc(CNc2cc([N+](=O)[O-])ccc2Sc2cccs2)cc1 577120421 PCCNBXLYGFPVIB-UHFFFAOYSA-N 420.537 4.823 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(C4(c5ccccc5)CCOCC4)no3)cc12 579406735 OWUVIXDUTSXDHX-UHFFFAOYSA-N 423.472 4.828 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cnn1-c1ccccn1 584251631 BHRSQFLNOZQLED-UHFFFAOYSA-N 419.363 4.570 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1N1CCCCC1 603648795 ROUMFTOPGPYLOB-UHFFFAOYSA-N 402.882 4.902 5 20 HJBD C[C@@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 604484861 BHNMJGSIGIEKAJ-CQSZACIVSA-N 414.893 4.696 5 20 HJBD CC(C)(CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccc(C(F)(F)F)c1 608905015 FPBSJWIDSDKMKY-UHFFFAOYSA-N 405.376 4.803 5 20 HJBD COc1ccc2ccccc2c1CN(C(=O)c1cc([N+](=O)[O-])cn1C)C1CCCC1 609064713 LYUQJXJDFDZVRH-UHFFFAOYSA-N 407.470 4.680 5 20 HJBD CCN(Cc1ccccc1)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852609 QICPRWHUDLGJCB-UHFFFAOYSA-N 419.268 4.750 5 20 HJBD Cc1c(NC(=O)c2cc(NC(=O)NC(C)(C)C)ccc2Cl)cccc1[N+](=O)[O-] 610194970 AWQAFVINPSWVSC-UHFFFAOYSA-N 404.854 4.729 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCO[C@H](c2ccccc2)C1 611199344 CABZAYSTMBTPTD-FQEVSTJZSA-N 412.511 4.843 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(OC)c(F)c2)c([N+](=O)[O-])cc1OCCC 619206752 VKVMYKAQWRCQTI-UHFFFAOYSA-N 406.410 4.572 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)c12 727710014 DHOYFOWLQSHNQD-UHFFFAOYSA-N 400.822 4.867 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 729832100 JAJKELXMSJQHGM-UHFFFAOYSA-N 403.307 4.567 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3cccnc3Cl)cc2o1 733191822 DHAHYNXNTSCSRY-UHFFFAOYSA-N 408.797 4.996 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734910841 WFQPNODLYIPVHV-ZIAGYGMSSA-N 404.850 4.621 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)CCCC1 735607110 GNODGFFSAFBTBD-UHFFFAOYSA-N 422.869 4.516 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ncc(-c2ccccc2F)o1 744498800 XUSKNYGPMOFVJJ-LBPRGKRZSA-N 402.403 4.613 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1C(F)(F)F 745309519 SNCRMWHMPIISBY-LLVKDONJSA-N 421.331 4.511 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cccc(OCc2cscn2)c1 757219492 GRGVNCDGEFYEGF-UHFFFAOYSA-N 418.862 4.605 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccnc2N2CCCCC2)nc1OCc1ccccc1 765010587 ADADHGKYVBDCMP-UHFFFAOYSA-N 419.485 4.566 5 20 HJBD CC(C)C[C@@H](NC(=O)OCc1ccccc1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 767955248 IOYAIUVAYUTGIK-GOSISDBHSA-N 410.430 4.658 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775526134 GMFSIIPQOWEQGZ-GJZGRUSLSA-N 424.888 4.988 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccc(S(=O)(=O)C2CCCC2)cc1 778791128 VGJAUFOREPEXLK-OAHLLOKOSA-N 417.483 4.538 5 20 HJBD C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1nc(C2CC2)cs1 781189074 IVEACSANTRZWLY-VIFPVBQESA-N 411.277 4.538 5 20 HJBD COc1ccc(-c2nc(COc3cc([N+](=O)[O-])ccc3Br)co2)cc1 786744513 SRDTZTZCVCISRH-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cn(C)nc1-c1ccc(Cl)s1 789643288 JOMANLKNFVDUSU-UHFFFAOYSA-N 411.270 4.924 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)cc2cccnc12 790920488 KTBUFLJTHULEKF-OAQYLSRUSA-N 403.369 4.624 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1ccc(Br)c([N+](=O)[O-])c1 791119195 MNTAAAFVTFSZER-JSGCOSHPSA-N 416.275 4.598 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@@H](c2nc3ccccc3o2)C1 809917613 KULQCORNNXFNEC-GFCCVEGCSA-N 400.822 4.801 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc2c(c1)CCCCC2=O 813091727 PQKHUPSTCUGIOA-UHFFFAOYSA-N 403.232 4.519 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 817463248 UKURTBDTXXXUFI-UHFFFAOYSA-N 417.181 4.538 5 20 HJBD Cc1ccc(CNc2ccc(Oc3cccc(S(C)(=O)=O)c3)cc2)cc1[N+](=O)[O-] 864006458 LORWYFCECYPEEK-UHFFFAOYSA-N 412.467 4.711 5 20 HJBD Cc1cccc(C(=O)OCc2csc(COc3ccc(Cl)cc3)n2)c1[N+](=O)[O-] 912347489 AWUJWHJBYIOEOF-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD CCC(CC)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)N[C@@H](C)c1ccccc1 1318835665 ZNELUFMVEYGBSE-KRWDZBQOSA-N 410.518 4.530 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc3c(s2)-c2ccccc2OC3)o1 1328230368 AIWYFINCJJKZOK-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 8531627 SKOZNSMORYWELN-MNOVXSKESA-N 412.273 4.588 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)cc2)cs1)c1ccc([N+](=O)[O-])s1 9729831 ZZTAGYHUUABJLH-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD COc1cc(COC(=O)Cc2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 12485524 VEDYOOSCOFRAOR-UHFFFAOYSA-N 417.364 4.644 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2ccccc2F)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 18025847 KJHSAAWPIYPCDA-LBPRGKRZSA-N 423.356 4.962 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2cccc(Cl)c2)n1 26681934 LKONTDUMKJDZTP-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCn1c(SCc2cccc(C(=O)N(C)C)c2)nc2cc3ccccc3cc2c1=O 60427617 FTKHRBJNENSZEZ-UHFFFAOYSA-N 417.534 4.564 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(OCc2ccc(F)cc2)c1 65443304 IMRXVWRHXWTUBC-UHFFFAOYSA-N 409.417 4.677 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1csc([N+](=O)[O-])c1 117699923 MGBMOWMQPLOYQT-UHFFFAOYSA-N 416.478 4.671 5 20 HJBD CN(C)Cc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 237067554 KLDXHKSZOKBADO-UHFFFAOYSA-N 409.461 4.878 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](OC1CCOCC1)c1ccccc1 301768861 IWXGWWFGRNDIBS-WAIKUNEKSA-N 407.470 4.880 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cccc(Cl)c1 426705950 QUTKOIGSPSLYJK-INIZCTEOSA-N 414.845 4.541 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCc1ccccc1[N+](=O)[O-] 430390841 DXCVPEBLQIBVNO-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD Cc1ccc(C)c(N(C(C)C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 432348457 RTSXENKWQIAGLC-UHFFFAOYSA-N 416.421 4.834 5 20 HJBD C[C@@H](c1cccc(O)c1)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 433935647 DBLDLTREQYEQER-INIZCTEOSA-N 405.454 4.746 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435352598 GNHGWZJVUQHQBO-NSHDSACASA-N 424.404 4.571 5 20 HJBD CC(C)[C@@H]1C[C@@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441301155 JAVCZYOHEOZKRY-YJBOKZPZSA-N 400.500 4.679 5 20 HJBD O=C(NCC1(O)CCCCCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442724154 QVOSOFUPRLRHPA-UHFFFAOYSA-N 400.500 4.561 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2c(Cl)cccc2C1 444084948 GJNQDBCOWDQRCS-UHFFFAOYSA-N 406.844 4.672 5 20 HJBD O=C(Nc1nnc(/C=C/c2ccco2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444290532 JTHSEEPCXAMQRZ-CSKARUKUSA-N 414.446 4.549 5 20 HJBD CCOc1ccc(NC(=O)Cc2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cc1 445338188 AWNFWHFUHOMWII-UHFFFAOYSA-N 424.457 4.522 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 460419176 LAMJYYHETYHTTR-OAHLLOKOSA-N 422.428 4.607 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)CC1 462630679 IQXSQWJHNJAXFV-IBGZPJMESA-N 408.498 4.878 5 20 HJBD CC1(COc2ccc(Cl)cc2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)COC1 462662526 OCUNIDCSBDOPOP-UHFFFAOYSA-N 411.241 4.569 5 20 HJBD Cc1cccc(-c2nc(CC(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)cs2)c1 463132825 PXSINWSGMNYASD-UHFFFAOYSA-N 404.451 4.756 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463527801 MTULFEJIJQQXFA-SNVBAGLBSA-N 409.389 4.584 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463604505 HXEPSYUKJLJNRN-TZMCWYRMSA-N 418.293 4.918 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(Cc3cccc(Cl)c3)s2)c1 464353361 GLOCEPDSHMMBSG-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(-n2nccc2C(F)(F)F)c1 464706357 DMSYZBZSNDLUTE-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CCCOc1ccccc1[C@@H](CC(C)C)NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 467781587 BSFOCORXWOUGII-HXUWFJFHSA-N 420.531 4.590 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ncon1 468146387 NZLCNKAYQGLSCG-KRWDZBQOSA-N 416.821 4.551 5 20 HJBD COCCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 468183604 PJJSEIDORVYIIQ-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c(-c2nc(C3CC3)no2)c1 472849311 DIJSAKMYPCCDTG-ZDUSSCGKSA-N 407.430 4.713 5 20 HJBD Cc1cc(N[C@H](c2ccc(F)cc2)c2nccn2C)c(Br)cc1[N+](=O)[O-] 473525559 QGZDIJNCSJUOSO-QGZVFWFLSA-N 419.254 4.740 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 478180005 JBVNIEDKISQZOU-AZUAARDMSA-N 420.415 4.569 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2[C@H]1C 479787323 YOFOVVKVUHXNLN-LLVKDONJSA-N 420.263 4.517 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 482094151 LMLICKPJOVFHSC-ZWKOTPCHSA-N 410.518 4.571 5 20 HJBD CC(C)n1ccc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 482726849 FCXGXTQOTXVCIO-UHFFFAOYSA-N 413.865 4.890 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)nn1C1CCCCC1 486687401 VVZCJNFXYUASDG-UHFFFAOYSA-N 410.396 4.554 5 20 HJBD Cc1cc(NC(=O)c2cnc(-c3ccsc3)s2)n(-c2ccc([N+](=O)[O-])cc2)n1 486905072 DDRUVTQVJRIAQE-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD O=C(Nc1cnn(CC(F)(F)F)c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487737770 LCTLXJSXPTVGCW-UHFFFAOYSA-N 422.388 4.757 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCc3[nH]c4ccc(Cl)cc4c3C2)cc1[N+](=O)[O-] 493286181 ZQFRSJAJMVWWCX-ZDUSSCGKSA-N 412.877 4.867 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)N(C)Cc3cccc4[nH]ccc34)cc2[N+](=O)[O-])C1 495116471 BIKBRQWSSMOQEP-INIZCTEOSA-N 406.486 4.585 5 20 HJBD CC(C)N(C)CCCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 500273914 AJMNVWWCOQJMRE-UHFFFAOYSA-N 405.882 4.501 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(Br)cc2)cc1C(F)(F)F 502788357 DGVMBPKZOHUVAP-ZDUSSCGKSA-N 405.170 4.522 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@](C)=O)c1C 503135870 ZOWDXRIXGVMPQC-MHZLTWQESA-N 406.529 4.536 5 20 HJBD CCOc1ccc(-c2nc(Cc3nc(-c4cccc([N+](=O)[O-])c4)no3)cs2)cc1 504996103 KISBGDZSLZOPAL-UHFFFAOYSA-N 408.439 4.758 5 20 HJBD CC1(c2cccc(Br)c2)CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 508501098 XERSFLQJIHGLTN-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD CC(C)[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2[nH]1 510818463 VNYOOUFDTWWSOG-INIZCTEOSA-N 419.388 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C[C@@H](c1ccccc1)CC2 520644752 IPDIDAYHUNJVLN-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)c(C)c2c1 520727059 GNOYDVSPYXDYLD-LBPRGKRZSA-N 400.456 4.871 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])c(O)c1 520735439 FDYKDBAROWEJQJ-SNVBAGLBSA-N 417.874 4.877 5 20 HJBD Cc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)cc1NC(=O)Nc1ccccc1 533994810 KUQWQLUCHBJJQN-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)s2)cs1 534983070 KNGXJUNSDAJBOO-UHFFFAOYSA-N 412.496 4.542 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)Nc3n[nH]c4ccc([N+](=O)[O-])cc34)cc2)cc1 536352804 DTSZAKVSKAAFNR-UHFFFAOYSA-N 416.393 4.718 5 20 HJBD CC[C@@H]1CC[C@@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 537890595 IOWKZOJDOUBDRB-CZUORRHYSA-N 413.440 4.620 5 20 HJBD Cc1c([C@H](C)N[C@@H](C)c2cc3c(cc2Br)OCCO3)cccc1[N+](=O)[O-] 537991954 RZPRHDDSNSCWFP-STQMWFEESA-N 421.291 4.849 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 538426597 OABCVDCCIRCRBE-LTUDEVEQSA-N 410.923 4.678 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 538695517 PPGLUTLZIPORGI-UHFFFAOYSA-N 413.861 4.503 5 20 HJBD CCC(C)(C)OCc1ccccc1N[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 543449809 RTIYESVIQDFILO-HNNXBMFYSA-N 415.490 4.748 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NCC(=O)Nc1c(C)cccc1[N+](=O)[O-] 543454622 GJHDIESNSLDTCD-GOSISDBHSA-N 409.511 4.785 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(CC(F)(F)F)[C@@H](C)C(C)C 551131520 RFLRLVLCPWKYKE-JTQLQIEISA-N 411.218 4.715 5 20 HJBD C[C@@H]1CCN(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)[C@@H]1c1ccc(C(F)(F)F)cc1 557644551 QXWOPFDFGYGPJF-DIFFPNOSSA-N 418.375 4.850 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cccc(C(F)(F)F)n3)no2)c1 569873447 VBQKRYRNVSNGTC-UHFFFAOYSA-N 404.226 4.744 5 20 HJBD COc1cccc(C(=O)N2CCC(c3c(Cl)cccc3Cl)CC2)c1[N+](=O)[O-] 582691338 YTBANOOJQOQMBR-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD CCOc1cc(C(=O)N(CCc2ccccc2)C2CCCC2)c([N+](=O)[O-])cc1OC 603531055 UQIGDLXQZPTICL-UHFFFAOYSA-N 412.486 4.630 5 20 HJBD O=C(NCc1cn(-c2ccccc2)nc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 603933806 YIPUICMPNZOSGD-UHFFFAOYSA-N 416.412 4.517 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c12 603966974 SBMPDRQHJPLNCV-LBPRGKRZSA-N 420.400 4.737 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1ccc([N+](=O)[O-])s1 604194233 GNJFZIFLAZFXIT-UHFFFAOYSA-N 416.478 4.671 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3[nH]c4c(Br)cccc4c3C2)c2ccncc12 604379488 NVHJYTPIKKOAFW-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD CCN(CCC(F)(F)F)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 604524007 IPHHEKVBWYKQHJ-ZDUSSCGKSA-N 410.396 4.577 5 20 HJBD CC(C)(C)c1nc(CC(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)cs1 608846984 RFTJFMUBWFLZNW-UHFFFAOYSA-N 423.494 4.761 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cc(Br)ccc3Cl)n2)c1 608975346 RDGDYCSTGLQAPC-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cc1[N+](=O)[O-] 609111234 BZDLFRSSGIHSKL-UHFFFAOYSA-N 421.891 4.545 5 20 HJBD O=C(O)C/C(=C/c1ccc([N+](=O)[O-])c(O)c1)c1nc2cc(C(F)(F)F)ccc2s1 609322822 MPALJQSNWIGGCD-YHYXMXQVSA-N 424.356 4.944 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1c1cccc(Br)c1 609792582 BULMPEQOQWJNHZ-QGZVFWFLSA-N 423.694 4.917 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@@H]1c1c(F)cccc1Cl 610107066 QRXJRLRKVLFXDL-VHSXEESVSA-N 400.740 4.731 5 20 HJBD CCOc1cc(C(=O)NCCc2ccc(-c3ccccc3)cc2)c([N+](=O)[O-])cc1OC 610809395 VLCNOXVDCYBOFR-UHFFFAOYSA-N 420.465 4.642 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(OCc2ccc(F)cc2)CC1 611261857 MVLLJPSIHGYXJB-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD CCCC(=O)Nc1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(C(C)=O)c1 727967342 GXLXBDLDBOXPTF-UHFFFAOYSA-N 410.382 4.501 5 20 HJBD O=C(O[C@H](c1ccncc1)c1ccc(F)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 728415673 NEVCKNWRGAIAOM-QFIPXVFZSA-N 421.428 4.676 5 20 HJBD CCCCC(=O)N1CSC[C@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730330295 RFMWHIXBWOZZHS-NRFANRHFSA-N 408.523 4.523 5 20 HJBD Cn1c(Sc2nc3ccc([N+](=O)[O-])cc3s2)nnc1-c1ccccc1Cl 731208917 PFQVMUIOQKJTHD-UHFFFAOYSA-N 403.876 4.805 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccc([N+](=O)[O-])c(F)c1 731519498 SOYKLAUMSPXDNO-QFIPXVFZSA-N 418.380 4.996 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(-c4ccc(-n5cnc6ccccc65)nc4)no3)c[nH]c2c1 731803876 FFCGQJVRGJEOKV-UHFFFAOYSA-N 423.392 4.527 5 20 HJBD O=C(CCC(F)(F)F)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 742496606 LBPPOZWQKJJGCY-UHFFFAOYSA-N 416.739 4.748 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 747318564 LQVIIBYUGZZFNY-AAEUAGOBSA-N 405.838 4.729 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cc(C)ns2)cc1[N+](=O)[O-] 748412717 YRPXNZTXKXFBSD-UHFFFAOYSA-N 413.480 4.565 5 20 HJBD Cc1sc(NC(=O)c2csc(-c3ccccn3)n2)nc1-c1cccc([N+](=O)[O-])c1 749124938 RWPJQAHEEJUXLE-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cccc(Cl)c1[N+](=O)[O-] 750178851 WLJLCOISHZCBFV-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CCn1c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)nc2ccccc21 750844327 XQXIFMACPSESAY-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)c2ccccc2S1 751073272 FXJGQURBNMPFPW-NSHDSACASA-N 407.289 4.888 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)c12 751646344 HHLRGKCMANMYCG-UHFFFAOYSA-N 422.397 4.630 5 20 HJBD C[C@@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc([N+](=O)[O-])cc1F 754186957 XTIGVAIUFLFWRK-LLVKDONJSA-N 421.812 4.842 5 20 HJBD CC(=O)c1ccc(Cl)c(S(=O)(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 755107236 LRTVFTNXCIMZQX-UHFFFAOYSA-N 422.890 4.589 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964197 RWZUKMZHYGJYPR-OXQOHEQNSA-N 417.893 4.558 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccc(NC(=O)c2ccco2)cc1 764566961 IRLUSYDGPSDVQL-UHFFFAOYSA-N 412.423 4.771 5 20 HJBD O=C(CCCc1cccc(C(F)(F)F)c1)OCc1nc2cc([N+](=O)[O-])ccc2o1 770427743 OXBUOIVMANXLKS-UHFFFAOYSA-N 408.332 4.821 5 20 HJBD O=C(NCC1(c2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1Br 782121605 PFLNKJBTDQFYRF-UHFFFAOYSA-N 403.276 4.599 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 785381219 LGXLKTVRCDRCND-WAYWQWQTSA-N 407.373 4.553 5 20 HJBD CCCN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])[C@H](C)CC(=O)Nc1ccccc1 800239570 RZOHKMRSPDCWSE-CQSZACIVSA-N 403.866 4.518 5 20 HJBD CCN(C(=O)[C@H](C)OC(=O)c1c(C)cccc1[N+](=O)[O-])c1cccc2ccccc12 805792863 IBBALDSYEPGSGR-INIZCTEOSA-N 406.438 4.655 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 809302904 DOGHVHNQIJKBQQ-QGZVFWFLSA-N 407.264 4.589 5 20 HJBD O=C(Nc1ncc(C2(O)CCCCC2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 809775262 IMNOMBMOMCUPBL-UHFFFAOYSA-N 419.506 4.542 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 809916590 OXQRSENCFYDYJN-VQTJNVASSA-N 411.845 4.845 5 20 HJBD Cn1c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2sccc2c1=O 809949540 QSWKWCFWXVCIGM-UHFFFAOYSA-N 402.284 4.502 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 810319204 BBWHGLNUBSNCGC-HXUWFJFHSA-N 417.893 4.788 5 20 HJBD Cc1c(C(=O)Nc2ccccc2SC(F)(F)F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916062138 UQMBSIPQKYWOHW-UHFFFAOYSA-N 401.322 4.676 5 20 HJBD C[C@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 917940654 KLGKREBTRFIPKX-KRWDZBQOSA-N 404.470 4.670 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@H]1CCOC1 919012390 DKYLPSYZAZFQHF-KTZOAPACSA-N 424.478 4.715 5 20 HJBD O=C(COC(=O)c1c(Cl)cc(C(F)(F)F)cc1Cl)c1ccc([N+](=O)[O-])cc1 919294926 HFIBZOXTQLBHSX-UHFFFAOYSA-N 422.142 4.960 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1cc([N+](=O)[O-])ccc1Cl 920229394 RRBBJIPNNAMLFM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD COc1ccc(-c2csc(CNC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2)cc1 1323495131 BGOBXYCRWVZELT-UHFFFAOYSA-N 409.467 4.557 5 20 HJBD COc1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1Br 1331688822 FBTFQKUXCVNFCR-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1340377250 TYYQYWBBPSGVGP-CYBMUJFWSA-N 415.291 4.613 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)Nc1ccccc1)c1ccccc1 12490643 LZEBLARGSPDKQN-ANCZKMTESA-N 402.406 4.531 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 14266656 AJRZZJKPPJWDDA-UHFFFAOYSA-N 410.361 4.708 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 14851944 ZFSZOBLZKHIDCV-IBGZPJMESA-N 410.813 4.785 5 20 HJBD CCCc1nnc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)s1 18840206 JTPCZDDIKCYHTE-UHFFFAOYSA-N 421.529 4.567 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3CCCc4c3cnn4C)cc2[N+](=O)[O-])cc1 24986869 VZLQRGHURQSZDR-GOSISDBHSA-N 422.510 4.595 5 20 HJBD CC(C)CC(=O)Nc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 25495037 OXJXZJIGIGVKOU-ZDUSSCGKSA-N 418.881 4.672 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)ccc1OC(C)C 31516561 FTPNJIJCBCBBFH-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD C[C@@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1cccc([N+](=O)[O-])c1 36772844 MHJZKIHURUPQJF-LLVKDONJSA-N 418.525 4.691 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 56364333 NVIIQZSGXBQOBK-CABCVRRESA-N 401.463 4.514 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(c2ccc(Br)cc2)CCCC1 64778116 HCILTIGIBNMGIQ-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD Cc1ccc(C)c(Sc2ccc(NC(=O)CCn3cc([N+](=O)[O-])nc3C)cc2)c1 65736830 PIZVWFFKLUMYFM-UHFFFAOYSA-N 410.499 4.897 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])c2)cc1 108538295 XPBAFMKQVFQBML-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1csc(-c2cccc(C(F)(F)F)c2)n1 110123445 BETSDXNBUOVNEL-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Br)o1)Nc1cccc([N+](=O)[O-])c1 195603266 MXDVZILIMHRJNR-UHFFFAOYSA-N 416.231 4.584 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1)N1CCCCC1 301087639 XXCYUQATDOKLEE-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD Cc1cc(N2CCC[C@H]2C[C@@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301833367 SBLGBJRMPRQGDQ-ZWKOTPCHSA-N 410.499 4.514 5 20 HJBD Cc1cc(C)c(NC(=O)CNc2cc(C)c([N+](=O)[O-])cc2Br)c(C)c1 302668746 JZTSHUOEKHCSGL-UHFFFAOYSA-N 406.280 4.642 5 20 HJBD CCCCOc1ccc(-c2nnc(CNC(C)(C)c3ccccc3[N+](=O)[O-])o2)cc1 429267822 OFTNGOAXBOUJFE-UHFFFAOYSA-N 410.474 4.849 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 432609603 HMGLTGINDDVSFY-INIZCTEOSA-N 419.466 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435665753 RHRLWIKDYRGLLR-NSHDSACASA-N 414.409 4.790 5 20 HJBD O=C(NCc1ccc(C(F)(F)F)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435937230 RAVHOALHQFUREO-UHFFFAOYSA-N 414.358 4.769 5 20 HJBD O=C(Nc1ccc2c(c1)CCCO2)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436363062 FVAFXFWTFVMHJO-UHFFFAOYSA-N 406.388 4.537 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc([C@@H](C)Sc2ccccc2)cc1 437962141 LLRQPZUHMCMLSI-OAHLLOKOSA-N 410.499 4.982 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438894556 GQEREXCZRCYOOT-IYBDPMFKSA-N 423.513 4.511 5 20 HJBD Cc1cc(C(=O)CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(C)n1CC(F)(F)F 444252374 NKUPPFTZENIBBC-UHFFFAOYSA-N 411.424 4.669 5 20 HJBD COc1cc(OC)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1F 446207478 UTVHJERWVPIPRD-UHFFFAOYSA-N 411.389 4.747 5 20 HJBD COc1cccc(C[C@]2(C(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)C[C@H]3CC[C@H]2C3)c1 446440452 HLVUOVQJLURWOB-HMHJJOSWSA-N 420.509 4.537 5 20 HJBD O=C(CCC(=O)c1ccc(-c2ccccc2)cc1)NCCc1ccccc1[N+](=O)[O-] 461258564 BRXMRBNMZBYRKJ-UHFFFAOYSA-N 402.450 4.584 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1nnc(-c2cc3c(s2)CCCC3)o1 461390346 RBKIWLNAPVWPFF-UHFFFAOYSA-N 412.515 4.996 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463303614 TXCKUPODUVPUFJ-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD COc1cccc(C2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 463621843 GYTILHFBDLAFTL-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CC[C@@H](C)C[C@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463903405 FSYXAMDZLFTHGP-KGLIPLIRSA-N 415.456 4.771 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(Cl)cn2)cc1 464155586 YFCIFKZELAADKM-UHFFFAOYSA-N 412.833 4.876 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1cccnc1)c1cc(C)ccc1Cl 466623540 SBFAAGHIOSKYSD-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD CC(C)[C@@](C)(O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467759683 PWMGEPMOGPRPBX-IBGZPJMESA-N 408.907 4.536 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H](OCc2ccccc2F)C1 467943466 OWQVHGAKVZSQNB-KRWDZBQOSA-N 401.438 4.564 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc(OCCF)c2)cc1[N+](=O)[O-])c1ccccn1 470111522 KFEZCGNCTPLTOV-OAHLLOKOSA-N 424.432 4.764 5 20 HJBD C[C@H](CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(F)(F)F 478442286 IIAWXYDUKZLCLP-BDJLRTHQSA-N 415.799 4.716 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cccc(Cl)c2n1C 478461404 QSQICIARTFNJQA-UHFFFAOYSA-N 418.906 4.567 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccc(Br)cc1F)C1CC1 479060892 MSIUGRZQFBFEPH-GOSISDBHSA-N 409.255 4.746 5 20 HJBD CCCOc1ccc(C(C)(C)NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 484798122 NZSATNNSDWJTCD-UHFFFAOYSA-N 418.515 4.779 5 20 HJBD CSc1cccc(C(=O)N2CCC(Oc3ccccc3Cl)CC2)c1[N+](=O)[O-] 485511677 DJXSAQFXPRXLQL-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD COc1cc(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OC1CCCC1 485757913 VFXMAASPCRSZQA-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD Cc1ccc(S[C@H](C)C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 486132602 IBHYQRVHWDMPLO-CQSZACIVSA-N 412.433 4.975 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CNc1cccc(CS(=O)(=O)c2ccccc2)c1 488115855 WEQTXVIXFYUYHP-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497875521 UHVNAOJLQHFILT-JOCHJYFZSA-N 423.444 4.698 5 20 HJBD COc1ccc(CNC(=O)c2sc(-c3ccc(Cl)s3)nc2C)cc1[N+](=O)[O-] 501702468 NUFLFWMJIKUIKU-UHFFFAOYSA-N 423.903 4.680 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2 502168444 NDYSNSCXRIMQJG-QHCPKHFHSA-N 417.465 4.509 5 20 HJBD CCC(CC)(CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 503704710 VUQBNFBCBQOETN-SFHVURJKSA-N 412.534 4.646 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 505081803 MTQAYUSMEKQXGK-LSDHHAIUSA-N 420.556 4.629 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3cc(F)cc(Br)c3)no2)cc1 508624128 DGCNWFPQPIXVFE-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 511444403 MXGZXSOWYKACJA-UHFFFAOYSA-N 400.438 4.596 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 511829907 MTKKBLFTRQKLLH-IRXDYDNUSA-N 421.419 4.786 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1OCC(C)C 512008748 MQNWJLLNHXRTHJ-CQSZACIVSA-N 411.458 4.611 5 20 HJBD CC[C@@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc2c(c1)OCCO2 518267581 MBMFZZUKRRDVPL-LJQANCHMSA-N 424.453 4.876 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(COCc3ccc(OC)cc3)c2)cc1[N+](=O)[O-] 520693288 HDYNKAIZOWFGMF-UHFFFAOYSA-N 421.453 4.614 5 20 HJBD CCC[C@H](C)N(C(=O)c1ccc([N+](=O)[O-])c(C)c1)c1nc(C)c(C(=O)OCC)s1 522956767 HUWOHQKIKHFQGS-ZDUSSCGKSA-N 419.503 4.680 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(Br)cc1Cl 523159402 BCZVXWAOIDQWLT-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1OC 525540643 DNMNUKHSYHWLCL-UHFFFAOYSA-N 413.257 4.584 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc(Cl)cc1[N+](=O)[O-] 533225462 WEASCYISDMPCEJ-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD O=C(c1ccccc1OCc1ccc([N+](=O)[O-])cc1C(F)(F)F)N1CCCCC1 533447268 ZYHOSBFBZNLHSQ-UHFFFAOYSA-N 408.376 4.819 5 20 HJBD CCCn1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc2c1=O 534791217 OIUDYCYMOUBTRX-UHFFFAOYSA-N 422.466 4.662 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Oc3cccnc3)c(C)c2)c1 538415494 BMBFGUIODILQGQ-UHFFFAOYSA-N 407.426 4.807 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc([S@](C)=O)cc1 539530031 MLRFPPXCMQSOTG-PWFNWSNSSA-N 400.525 4.912 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(OCC3CC3)nc2)c2ccc([N+](=O)[O-])cc2)o1 543401410 MSYVORCAIWAWKW-QHCPKHFHSA-N 407.470 4.813 5 20 HJBD C[C@@H](C(=O)Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc([N+](=O)[O-])cc1F 543437880 AHZGSMGKSUIDSQ-GFCCVEGCSA-N 414.462 4.686 5 20 HJBD C[C@@H]1CC(CCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C[C@@H](C)O1 549336417 YYDGTPLULUAGKW-IAGOWNOFSA-N 411.502 4.530 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](c1ccccc1)c1ccc(F)cc1 558866841 RQTFZCLJHIYGQD-QFIPXVFZSA-N 407.445 4.707 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc3ocnc23)cc1 560116175 DMQNLMQDRKUWFF-UHFFFAOYSA-N 405.366 4.789 5 20 HJBD CCOC(=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cn1 562522892 KICVCHUEIHWVFU-UHFFFAOYSA-N 423.450 4.570 5 20 HJBD CSc1cccc(-c2nc(-c3cccc(NC(=O)NC(C)C)c3)no2)c1[N+](=O)[O-] 571869946 VJXQLRSWFZQGME-UHFFFAOYSA-N 413.459 4.564 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](c2ccccc2Cl)C1 576425393 GJUPFCWRRJABBR-HDJSIYSDSA-N 420.918 4.663 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 603784435 IUTNHMPVAKCBNO-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD CCc1cc(N2CCCC[C@H]2c2noc(C3CC3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604303688 QBFWBBFKJICEET-SFHVURJKSA-N 420.473 4.606 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609046990 OCSKDRKBPMCETI-HNNXBMFYSA-N 407.373 4.574 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C 609305331 QOYCJHVEFOMNGE-SNVBAGLBSA-N 404.879 4.510 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 610046903 NINGATNCFJOFPZ-MSOLQXFVSA-N 406.404 4.856 5 20 HJBD CN(Cc1nc2ccccc2[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611199536 IBUQIEBCDJECMO-UHFFFAOYSA-N 410.499 4.778 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC(OCc3ccc(F)cc3)CC2)c1 611530977 POPIVPSITUPZFD-UHFFFAOYSA-N 410.392 4.517 5 20 HJBD COc1cc(Cc2noc([C@H](C)c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 612967895 BAUSTYCWECPGPW-LLVKDONJSA-N 407.348 4.748 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)ccc1F 730643421 BOYUILFEZQOKRJ-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=C(OCc1ccc(OCc2ccncc2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 733450291 PWUILQQRDMLEEB-UHFFFAOYSA-N 404.378 4.672 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1cccc(F)c1 735991100 MMALOHVBIVEHRD-UHFFFAOYSA-N 422.412 4.869 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)NCc1ccccc1[N+](=O)[O-] 736003785 WDCNWLIZFYBGLS-UHFFFAOYSA-N 409.305 4.544 5 20 HJBD O=[N+]([O-])c1cn(CN(Cc2ccc(F)cc2F)C2CCCC2)nc1-c1ccncc1 742146640 KAXNLKNOZMIXLH-UHFFFAOYSA-N 413.428 4.534 5 20 HJBD CCCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)[C@@H](C)c1cc2ccccc2o1 745773546 WUJUELYXIQQACX-AWEZNQCLSA-N 400.406 4.859 5 20 HJBD CCc1ccc(C(=O)Nc2sc(C)c(-c3ccccc3)c2C(N)=O)cc1[N+](=O)[O-] 746513024 VQMYXSMDMSEXHW-UHFFFAOYSA-N 409.467 4.545 5 20 HJBD Cc1ccc(C[C@H]2CCCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)C2)cc1 747768426 CSXMKWHTKINSOM-HXUWFJFHSA-N 407.514 4.598 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1Cl)c1ccco1 749921102 PHWCGNXBVMRVCK-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(C[C@H]1CCCO1)c1nc2ccccc2s1 750154285 LNQJXIGNPKUUQC-GFCCVEGCSA-N 417.874 4.684 5 20 HJBD Cc1cc(C(=O)COc2cc(F)c(Cl)cc2[N+](=O)[O-])c(C)n1CC(F)(F)F 752911619 QRBZSKKAIZWTKI-UHFFFAOYSA-N 408.735 4.630 5 20 HJBD CCc1ccc([C@H](NCc2cccc(N3CCOC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753626963 TYULDFOGLNUQJE-JOCHJYFZSA-N 421.453 4.586 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1 753637036 SPVKWKZPRCZTMD-UHFFFAOYSA-N 408.414 4.742 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1Cl 757772686 JQBOHRFLIJJLDE-UHFFFAOYSA-N 403.866 4.524 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 759913515 FAGCCXKWNIREKI-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761016517 VKYRMTKGMKLILC-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD O=C(O[C@@H](c1ncccn1)C1CCCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 761409444 XWTKCCSDCUNPGA-QGZVFWFLSA-N 410.455 4.597 5 20 HJBD COc1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 761525724 RLDDQVNVQPWKSB-CYBMUJFWSA-N 415.446 4.693 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1ccc(Cl)cc1Cl 762902710 BSUXHQRCKYVZJY-SECBINFHSA-N 422.224 4.567 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763963351 KAVLAQYRRPPYOD-UHFFFAOYSA-N 410.451 4.988 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C[C@@H](O)c2ccc([N+](=O)[O-])cc2)cc1 776589863 WNHHMVQAEZUQQM-GAJHUEQPSA-N 406.482 4.997 5 20 HJBD CCCOc1cc(NC(=O)C(F)(F)c2ncccc2C)c([N+](=O)[O-])cc1OCCC 782074542 OJWKKJNPXFJVNF-UHFFFAOYSA-N 423.416 4.606 5 20 HJBD C[C@H](CC(C)(C)c1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 782165829 DVXAYRQSZPFIDY-CYBMUJFWSA-N 405.292 4.844 5 20 HJBD Cc1cccnc1C(F)(F)C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 784988570 KKDSTKIOGJMAHX-UHFFFAOYSA-N 413.380 4.608 5 20 HJBD CCn1cc([C@@H](O)CN(Cc2ccccc2)Cc2ccc(C(C)C)c([N+](=O)[O-])c2)cn1 792189440 NZUZPBAQECUKKU-DEOSSOPVSA-N 422.529 4.670 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 792694465 GWFKKLXGAJCTTN-MRXNPFEDSA-N 420.252 4.565 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1-c1ccncc1 794717852 CEYRMXGAVBTSIA-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COC(=O)[C@H]2CCC(F)(F)C2)cc1OC 796370468 IFNCHFMENQPLAE-STQMWFEESA-N 401.406 4.507 5 20 HJBD Cc1cnc(COC(=O)c2c(-c3cccc(Cl)c3)noc2C)c(C)c1[N+](=O)[O-] 796885953 FSDSCAPVGXXGSH-UHFFFAOYSA-N 401.806 4.580 5 20 HJBD CS[C@@H](C)[C@@H](C)OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 797194768 PXTZVRMDDVZNEO-NEPJUHHUSA-N 420.453 4.513 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCCOc1cccc(Br)c1 800147125 OAOIHJKOUVAGHY-UHFFFAOYSA-N 411.227 4.603 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2N2CCCOC2=O)no1 809492289 BKIYBAHLWSJYFD-MRXNPFEDSA-N 422.441 4.728 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(N2CCCNC2=O)cc1 812800795 FVTYWNADKDGXRI-MRXNPFEDSA-N 420.469 4.625 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@H](c1nc2ccccc2o1)C(F)(F)F 816064917 TUIUSWPRTWQZKK-QGZVFWFLSA-N 423.347 4.775 5 20 HJBD CON(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H](C)c1ccccc1C 820040520 YEFQZECESDTCLG-GOSISDBHSA-N 400.478 4.614 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(CN3CCCCC3)n2-c2ccccc2)o1 825157955 FHKTYEVECXUKGS-KAMYIIQDSA-N 411.487 4.517 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(C(N)=O)cc3C)cc2[N+](=O)[O-])cc1 915179585 KBQFQDOOFQOIOL-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD Cc1cc(C)c(/C=C\C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1C 915490041 NGVDNDVHTDNPFP-BJIFSAINSA-N 407.426 4.888 5 20 HJBD C[C@H](OC(=O)CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)c1ccc2ccccc2c1 917963740 AINUJSYOIGGTGO-HNNXBMFYSA-N 420.421 4.741 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccc(SC(C)C)cc2)cccc1[N+](=O)[O-] 919501155 CPDUUCZDUTULGY-AWEZNQCLSA-N 402.472 4.588 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ccc(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 920548216 RHULNIXPQNZTAU-ZDUSSCGKSA-N 413.380 4.798 5 20 HJBD O=C(CSCc1cccs1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1116476149 UJVFHEDKVAFEIK-IBGZPJMESA-N 400.481 4.617 5 20 HJBD Cc1nc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)no1 1254991027 NENWMONRXGIVMJ-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccccc2)c(-c2nnc(Cn3cnc4ccccc43)o2)c1 1322179455 QXQKJFMZIIXEHX-UHFFFAOYSA-N 413.393 4.835 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CSc3nnc(-c4ccc(Cl)cc4)o3)o2)c1 1322824587 QRXLFBUMEZFJOE-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)o1 1322878388 VYFHDRBMFYLARD-GJZGRUSLSA-N 408.458 4.803 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 1324098764 CBDRPHPIYBYLSP-UHFFFAOYSA-N 421.251 4.509 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 10390071 FDVWRQAABAOJBV-IBGZPJMESA-N 410.813 4.785 5 20 HJBD C[C@@H](OC(=O)c1cc2cc(F)ccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17981888 ZUSLJQDAZBIIDQ-SNVBAGLBSA-N 413.386 4.917 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3cccs3)cc2[N+](=O)[O-])n1 31345149 RFIKVAYAKULMCH-UHFFFAOYSA-N 405.526 4.845 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 42918235 ZTJIIOFXSIZTQV-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD COc1ccc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)cc1Br 43346333 GXQIQVDJWCWAFX-NSHDSACASA-N 408.252 4.557 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OC(F)F 43347085 KUYMKPGKQBHLQJ-UHFFFAOYSA-N 409.389 4.567 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(Cl)ccc2O)cc1[N+](=O)[O-])c1ccccn1 54141299 COHPKKGWWVWRMH-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1F 63613835 VLUXTAPAHOCHRN-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccco1 106109705 FXLGFYODJIFZDP-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 109262998 BBRCDILFJVPVKA-NRFANRHFSA-N 414.820 4.672 5 20 HJBD Cc1cc(C(=O)NCc2ccccc2-c2ccc(CN(C)C)cc2)cc([N+](=O)[O-])c1 195693877 PYFWOVQFEAJLGX-UHFFFAOYSA-N 403.482 4.562 5 20 HJBD CC[C@@H](NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1)c1ccncc1 237027452 HJUKXQOKJFZZJC-OAQYLSRUSA-N 407.470 4.818 5 20 HJBD Cc1cc(Br)ccc1CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 301266522 PVJNQUVOWKFQOL-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)cc2)cc1 303281346 KCXIUAMCJWHOCY-UHFFFAOYSA-N 406.438 4.757 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 409876028 NVJHEKHOXGCQQN-OAQYLSRUSA-N 412.392 4.652 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 426884462 YIKHJKSRDRYRNY-DLBZAZTESA-N 422.909 4.548 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 437009443 ICTXGRAJQDRNBC-JOCHJYFZSA-N 416.496 4.677 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2noc(-c3ccc(F)cc3)c2c1 437292178 XHMYYAJDPRADAT-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2CN2C[C@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] 439112214 BKICQXAPXCWEAW-IRXDYDNUSA-N 411.502 4.580 5 20 HJBD CC(=O)N(c1nc(/C=C/c2ccc([N+](=O)[O-])cn2)cs1)c1ccc(F)cc1F 439513676 SYEJBHWTUNGHKU-ONEGZZNKSA-N 402.382 4.580 5 20 HJBD Cc1c(CC(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)cccc1[N+](=O)[O-] 439850085 MNDGOERCPGRQGM-UHFFFAOYSA-N 404.451 4.756 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3c(F)cc(F)cc3F)cc2[N+](=O)[O-])C1 443922641 WKPUNAKPBDWENH-VXGBXAGGSA-N 407.392 4.747 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444013586 YSOOUMQFUDYJLI-CQSZACIVSA-N 416.493 4.707 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCCc2nc(C3CCCCC3)cs2)n1 447587082 OJLLNCQJGDPSFP-SJLPKXTDSA-N 403.552 4.612 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccc(NC(=O)C2CCCC2)c1 462441235 ORDKYAJTHZGDGI-LJQANCHMSA-N 423.513 4.609 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@@H](CC)Cc1ccc([N+](=O)[O-])cc1)CCC2 462603182 HTMVLKBEQGPEOR-MAUKXSAKSA-N 401.532 4.718 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(Br)s2)c1[N+](=O)[O-] 468177452 JAJNPBPXZGNLJE-MRVPVSSYSA-N 401.307 4.632 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)cc(F)c2Br)c1 468280067 FKGQRBVBEFGTRU-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)c12 470705587 AKYNQRYUGVMCEI-UHFFFAOYSA-N 410.336 4.637 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472949902 KYKSFZSDKGLSAM-UHFFFAOYSA-N 409.269 4.723 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3ccc(F)cc3)n2CC)c([N+](=O)[O-])c1 480093360 YOFVWCWFASVBSH-UHFFFAOYSA-N 400.435 4.756 5 20 HJBD CC(C)c1c(NC(=O)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1Cl 482692626 JOUSOHZIGQBJKS-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1 483076002 WAPLRLGUPXTUKN-UHFFFAOYSA-N 415.515 4.735 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncc([N+](=O)[O-])cc1Cl 488476722 KEQUKQOYIXXWJO-ZUZCIYMTSA-N 401.772 4.628 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@H](c2ccccc2)C1 489629181 BFZQLANSOXGBEV-ZWKOTPCHSA-N 414.527 4.790 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)nc2ccccc21 489828066 VPELRJHRKVJYAG-LBPRGKRZSA-N 418.400 4.618 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(Cn2ccnc2)c1 498268019 RQMIRLOSILMQBL-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@H]1c1cccc(Br)c1 502019263 PZOTWUVBDUZNBJ-RBUKOAKNSA-N 417.303 4.898 5 20 HJBD O=C(NCCCc1cccc(C(F)(F)F)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 506989025 KEZVHKYBHLKZOS-UHFFFAOYSA-N 421.419 4.576 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)N(Cc1ccccc1[N+](=O)[O-])C1CC1 508629876 PKWIBJAZEDRWML-UHFFFAOYSA-N 410.449 4.522 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cn1 511619213 XHRSJHQIECBULL-UHFFFAOYSA-N 418.409 4.833 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c1 511693820 YGPFQKKVOLRUET-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N(Cc2ccco2)c2ccccc2)cc1[N+](=O)[O-] 515199020 ODJOORYIZKHTPE-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCCC3)nc2)no1 517629861 RYCVGWQMSNDHIS-AWEZNQCLSA-N 411.487 4.883 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 523888596 OSCHDHCLQYEJTJ-SFHVURJKSA-N 420.918 4.642 5 20 HJBD COc1ccc(CC(=O)N2CC[C@@H](C)Sc3ccc(Cl)cc32)cc1[N+](=O)[O-] 524245444 XUCROXUVBSVBFY-GFCCVEGCSA-N 406.891 4.717 5 20 HJBD CCOC(=O)[C@@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c2ccccc21 526091593 LWFMMVZFHBMWEC-MRXNPFEDSA-N 410.451 4.507 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1nnc(-c2cccc(Cl)c2)o1 531850097 YEVAKBZTJCLTOX-UHFFFAOYSA-N 424.638 4.948 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc2)cs1 538153115 MNSPTWDNPDQBKR-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD COc1ccc(C(C)(C)C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 538245904 KQOQUCFEBGQZTF-UHFFFAOYSA-N 411.483 4.668 5 20 HJBD Cn1cc(CN[C@@H]2CC(C)(C)Oc3cc(F)ccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 538425081 ZVPDIRXWIIBFEO-LJQANCHMSA-N 410.449 4.526 5 20 HJBD COc1ccc(CC(=O)N2CCc3cc(Cl)c(Cl)cc3[C@@H]2C)cc1[N+](=O)[O-] 539033767 JGBZIHVVPQBFFR-NSHDSACASA-N 409.269 4.599 5 20 HJBD Cc1csc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C2CC2)n1 541585476 IWEVZLOCZDHLFA-CYBMUJFWSA-N 400.382 4.651 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cc1[N+](=O)[O-] 544955164 VXFMNRNCOZLGQR-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(C(F)(F)F)c(F)c3)no2)cc1 545698694 ZEOYWCGVORSWNV-UHFFFAOYSA-N 403.238 4.943 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccnc(C(F)(F)F)c2)no1 554845450 ROZUOIVWTCWUNW-QMMMGPOBSA-N 414.727 4.852 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 558749178 KJDOVXVVDFHQBW-UHFFFAOYSA-N 401.511 4.550 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559031954 CPOHAOYQGUJSAJ-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD Cc1cc(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c2[nH]c(C)c(C)c2c1 560526014 CHNCQUVMCUUZQU-QFIPXVFZSA-N 403.486 4.614 5 20 HJBD COc1ccc(CSCc2nc(C3(c4cccc(C)c4)CC3)no2)cc1[N+](=O)[O-] 564455106 SNRNHXKUODAIDI-UHFFFAOYSA-N 411.483 4.808 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCc1cccc(OCc2ccccc2)c1 571192442 YOYQDAQZSYHWMM-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H]3CCCc4occc43)cc2[N+](=O)[O-])n1 603492840 LUBGOFZVPYZBJE-CQSZACIVSA-N 415.496 4.911 5 20 HJBD O=C(Nc1cccc(CCCc2ccccc2)c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 608849782 IEWWGWZRWRZZTA-UHFFFAOYSA-N 418.449 4.794 5 20 HJBD O=C(NCC1(c2cccc(C(F)(F)F)c2)CC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 608967826 MLTINVCIRUNIQD-UHFFFAOYSA-N 403.360 4.557 5 20 HJBD CCC[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 609179427 MLRNYFYOOXDRHG-AWEZNQCLSA-N 413.421 4.758 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 609226172 SZUPIAAZTBBFHQ-LBPRGKRZSA-N 421.906 4.565 5 20 HJBD COc1cc(-c2noc(-c3ccc(SC)c([N+](=O)[O-])c3)n2)cc(Cl)c1OC 609475042 AZTFXYSWMXFINH-UHFFFAOYSA-N 407.835 4.704 5 20 HJBD CC(C)(NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cccc(C(F)(F)F)c1 609762482 VYXUCOBLZDAGHO-UHFFFAOYSA-N 419.359 4.533 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1OCC1CCOCC1 610155786 ZXHZJHSRAOFLAP-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1cccc([N+](=O)[O-])c1 610705498 FIDVQWHQQCUGCJ-AWEZNQCLSA-N 409.408 4.853 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccc2nc(O)oc2c1 610935147 FFJARHLHTSNIDO-UHFFFAOYSA-N 413.436 4.907 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2ccccc2Br)cccc1[N+](=O)[O-] 610971959 ISYRTWQQICQIJG-GOSISDBHSA-N 403.276 4.572 5 20 HJBD CN(C(=O)c1cccc(NC(=O)CCCc2cccs2)c1)c1cccc([N+](=O)[O-])c1 611090679 HREFYFRZHKCSIG-UHFFFAOYSA-N 423.494 4.894 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)c(F)cc1Cl)c1cccc([N+](=O)[O-])c1 617844473 SACGCTNUYXTRMP-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)Cc1csc(-c2ccccc2)n1 729116174 HEBYKTBWIXATQF-UHFFFAOYSA-N 412.467 4.791 5 20 HJBD Cc1ccccc1COc1cccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 732644092 SIWCHXWYIUPVOF-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1csc(Cc2ccccc2F)n1 735427961 GIJQTTJESICJNF-UHFFFAOYSA-N 419.865 4.707 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)nnn1-c1cccc(C(C)C)c1 741525285 JEQKJRGWAAFBED-UHFFFAOYSA-N 411.437 4.643 5 20 HJBD C[C@H](Nc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1)c1ccccc1 746236333 YLDZHMNXUQPZGK-HNNXBMFYSA-N 409.417 4.925 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCCC[C@H]3C[C@@H](O)c3ccccc3)n2)c1 751559168 QAQSGFKTQQQGAY-PZJWPPBQSA-N 422.485 4.513 5 20 HJBD CC(C)C(=O)Nc1ccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 753726867 GQZPWLKOOWVTDA-UHFFFAOYSA-N 411.439 4.532 5 20 HJBD CC(C)(C)n1ncc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1-c1ccccc1 754531019 YSQFCKDMDKLXCS-UHFFFAOYSA-N 400.385 4.744 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3ccc(Br)cc3[N+](=O)[O-])nc2c1 755910418 GAKMHOUDRMKHFU-UHFFFAOYSA-N 410.205 4.699 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757081187 HXFOGGQEPGFODK-LJQANCHMSA-N 416.886 4.686 5 20 HJBD Cc1c(CNC(=O)Nc2cc3ccccc3nc2Br)cccc1[N+](=O)[O-] 760154455 YHZKCGDDMMPCEK-UHFFFAOYSA-N 415.247 4.536 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 760872183 ZISDKMZYQUJUAY-VFNWGFHPSA-N 403.910 4.583 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1 764201654 NSVZEYWNRVXMIU-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1cc(/C=C(/Cl)c2nc(O)c3c4c(sc3n2)CCCC4)ccc1[N+](=O)[O-] 770568107 ZTWYCNQZSAKWRJ-XYOKQWHBSA-N 417.874 4.929 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1N[C@@H]1CCC(F)(F)C1 771174853 NOQSYMOIHOVJGH-NXEZZACHSA-N 406.336 4.702 5 20 HJBD C[C@@H](OC(=O)c1ncsc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 782387873 IMVHZNMVTSSECP-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 805720677 FYCFVBPIJKNQNZ-SECBINFHSA-N 423.212 4.573 5 20 HJBD O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H](c1ccc(F)cc1)c1cccs1 808057132 RPUPKAIYHAVDOY-LJQANCHMSA-N 420.849 4.733 5 20 HJBD C[C@@H](c1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)N1CCOCC1 812976329 ABOYSMQOLVVGAN-LBPRGKRZSA-N 424.284 4.547 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CCC1CCCCC1 864244536 BFXJVAHPSIYHIK-UHFFFAOYSA-N 400.479 4.742 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H]2CCC[C@@H](OC)C2)cc1 915128277 COWMHVGQBSKFTO-QZTJIDSGSA-N 401.415 4.510 5 20 HJBD CCCc1ccc([C@H](NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C(C)C)cc1 915740977 CBZKZIYCYJAEHK-LJQANCHMSA-N 417.893 4.653 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1-c1cccc([N+](=O)[O-])c1 917791360 RCCNCBBUERXMCK-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(C)C)c1C 919210239 HAUQNYZKASZEED-FQEVSTJZSA-N 410.426 4.778 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 920215983 AMBYDURUOSYMMW-UHFFFAOYSA-N 410.361 4.735 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccc(COC3CCCCC3)c2)cc1[N+](=O)[O-] 921152513 ZCOLMRJTDWDQSZ-UHFFFAOYSA-N 413.430 4.913 5 20 HJBD CCCCCn1nc(-c2nnc(-c3cccc(C)c3[N+](=O)[O-])o2)c2ccccc2c1=O 1328073546 ZLCIYVKYBXVLNW-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD COc1ccccc1SCc1nnc(CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 1330061479 GDZYYMSZZMDXSP-UHFFFAOYSA-N 421.862 4.554 5 20 HJBD COc1cc(CSc2nnc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OC(F)F 12721539 GOKMGMVTFUKFKI-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])s2)c1 23490714 BMCQOHALDOCHCY-UHFFFAOYSA-N 415.496 4.625 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 45713107 BGZZTJKJRDDBCJ-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD Cc1ccc(C(=O)CCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)s1 107798579 VCHAYVZQIIPHPI-UHFFFAOYSA-N 414.405 4.519 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN(Cc3ccccc3C(F)(F)F)C3CC3)n2)cc1 108128007 JZOOQROEAZWAMH-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cnn(-c3ncc(Cl)cc3Cl)c2)c2ccncc12 301712360 VPFUQCGLBWWOOS-UHFFFAOYSA-N 401.213 4.774 5 20 HJBD Cc1cc(NC(=O)c2cc(C)c(OC(F)F)c(C)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 303252907 CNDFEEWWNICPKJ-UHFFFAOYSA-N 416.384 4.559 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cccc(Br)c1F 327462537 BMHOXESIQIKVKM-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](COc1ccccc1F)c1ccccc1 409676773 AEJNXNDRWJJDOD-FQEVSTJZSA-N 409.417 4.984 5 20 HJBD CO[C@@](C)(C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1)c1ccccc1F 428239968 AQACUMNBDLBSPP-HXUWFJFHSA-N 415.446 4.666 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 436095272 AUZBAIYFZCLALU-INIZCTEOSA-N 400.478 4.960 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C(C)(C)C)cs1 444007854 GJPYYSRTUTWACF-ZDUSSCGKSA-N 405.523 4.926 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 446107080 FQTPAIKWCXKYKR-SECBINFHSA-N 423.210 4.842 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N[C@H](c1ccccc1)c1cccc(Cl)c1 448103617 VFNOFOUWROOZDC-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nn1C1CCCCC1 461185807 FDQAGYDSOSFSTC-UHFFFAOYSA-N 402.520 5.358 5 20 HJBD CSc1cc(C)ccc1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 465092632 GICCURQRWASZFX-UHFFFAOYSA-N 402.519 4.501 5 20 HJBD CC[C@H](C)[C@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467921910 YPGVPQXZMGNMNT-BLLLJJGKSA-N 408.907 4.536 5 20 HJBD O=C(c1cc(-c2ccccc2)ns1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 468774793 AZQIRFWIEQDKLN-UHFFFAOYSA-N 421.400 4.923 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F 471094707 HNBYFEGIKBBHIA-STQMWFEESA-N 410.392 4.934 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@H](C)c2cccc(O)c2)cc1[N+](=O)[O-])c1ccccn1 473931604 ITDIGZSIHMAROQ-JKSUJKDBSA-N 420.469 4.702 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCNC1(c2cccc(C(F)(F)F)c2)CC1 477454948 AMRMTKOIYLTREH-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD COc1cc(C(=O)N2CC[C@H](C)[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480156900 CPBHRZOWUJJKNA-QWHCGFSZSA-N 404.850 4.920 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](NCC1(c2cccc(Cl)c2)CCOCC1)C1CC1 481039712 NZMAGSBCKLFONL-NRFANRHFSA-N 414.933 4.907 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)CCOCC1 481577545 LATVJUZQTUKZIF-HNNXBMFYSA-N 404.894 4.656 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(N4CCCC4)cc3)no2)c(Br)c1 482563058 RVEALOTWRDZUNI-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1cnc2ccccc2n1 484872291 HBPKJOXIECLDIQ-UHFFFAOYSA-N 416.462 4.966 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cnn(-c3ccccc3)c2C(F)(F)F)cc1[N+](=O)[O-] 488006372 FPAYTRDUPSFITR-ZDUSSCGKSA-N 418.375 4.599 5 20 HJBD CC(C)(C)[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(F)c1 488759204 BESSYELWTYZIIH-NRFANRHFSA-N 413.493 4.854 5 20 HJBD CCOc1cc2c(cc1CN[C@H](C)c1ccc(OCC)c([N+](=O)[O-])c1)O[C@H](C)C2 504900296 VIBKMYDXDGRAFX-HUUCEWRRSA-N 400.475 4.566 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)nc2ccccc21 506158763 XGVCPSUFDUXMSL-KRWDZBQOSA-N 410.499 4.664 5 20 HJBD CN(C[C@@H]1OCCc2ccccc21)C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 506320010 DJNROJQXUSNHMG-QHCPKHFHSA-N 417.465 4.724 5 20 HJBD Cn1ccnc1Sc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 509119437 CBBSYDMFCYWZQI-UHFFFAOYSA-N 410.480 4.947 5 20 HJBD Cc1ccc([C@H](C)NCc2cnn(-c3ccc(C(F)(F)F)cc3)c2)cc1[N+](=O)[O-] 512044168 CVWYMPWRQWLLBX-AWEZNQCLSA-N 404.392 4.959 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C(=O)NCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 530966087 GANDOXVPTZQRPZ-MBSDFSHPSA-N 403.482 4.612 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cc(Br)cs1 532475400 NDENTHNUNMGMAO-UHFFFAOYSA-N 422.304 4.748 5 20 HJBD COCc1ccccc1NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 533208823 PTGOESFKYZIBMK-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@H](C)c1nc(-c2ccccc2)cs1 536868594 IQNMWYYVECURIB-HUUCEWRRSA-N 410.499 4.786 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 538695965 OTBTUUVYDUFTCM-LSDHHAIUSA-N 412.795 4.729 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 541658970 SZDUOMQCDNOYHY-QMIHWGKISA-N 418.490 4.593 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 543142832 KBTPEMAUXLODKE-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(Br)c(F)c1)c1ccc([N+](=O)[O-])cc1F 543454106 HRDURSRKHIEPMW-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3ccccc3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 549465397 KCTBMZLCGPHTAV-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2cccs2)CCCCC1)c1ccc([N+](=O)[O-])cc1 552246089 IGJFHPHJGIZRMB-HXUWFJFHSA-N 410.543 4.971 5 20 HJBD Cc1cc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1-c1ccncc1 552875437 ROHPDPAJGNZZFO-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD O=C(Nc1ccc(OCCCO)cc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 553679283 CITKGUOOADSDEJ-UHFFFAOYSA-N 424.478 4.760 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 553981667 ZBIHKPPHZLFJNC-ZDUSSCGKSA-N 417.387 4.657 5 20 HJBD C[C@H](C(=O)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 574894496 DWUHZTIFLCZXCG-ZUZCIYMTSA-N 418.318 4.720 5 20 HJBD O=C(NC1[C@H]2CC[C@H]1Cc1ccccc1C2)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 577479301 YHOOTBLKPRTAQD-PMACEKPBSA-N 413.477 4.580 5 20 HJBD Cn1c(CCCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)nc2ccccc21 580497265 UVXDQYISVVSEOO-UHFFFAOYSA-N 406.511 4.932 5 20 HJBD O=C(c1cccc(Cn2ccnc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 581275270 PPIOSQQJNWHHBS-UHFFFAOYSA-N 412.449 4.687 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](CO)c1ccc(Cl)cc1 588788595 NNWZIQKETRLJFM-HNNXBMFYSA-N 422.934 4.646 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(CNc2ccc(C(F)(F)C(F)(F)F)cc2)c1 589510799 WQOJWYQTSUJACL-UHFFFAOYSA-N 404.291 4.648 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(F)cc2F)C1)c1cc2ccccc2c2cccnc12 592684389 UUFBJTMOTCHTMZ-QGZVFWFLSA-N 403.432 4.675 5 20 HJBD Cc1ccccc1-n1c(SCCc2ccc([N+](=O)[O-])cc2)nnc1-c1ccncc1 603722598 KJKSXEIUEDUORF-UHFFFAOYSA-N 417.494 4.881 5 20 HJBD Cc1cnn(-c2ccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)cc2)c1 603752072 HAGPBZVTUYOUKV-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC)cc2C)cc1 608898654 VLXVKGPZLKBCKU-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD CCCOc1cc(NC(=O)[C@H]2CCOc3ccccc32)c([N+](=O)[O-])cc1OCCC 609101756 APFWWDWGRSLRIY-INIZCTEOSA-N 414.458 4.677 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1Cl 609784184 UXGGREVKNBQSJN-UHFFFAOYSA-N 424.284 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)nn2-c2cccc(C(F)(F)F)c2)c1 610222028 DGYGXLLOVJHPFE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1cc(CNc2ccc3c(cnn3C(C)C)c2)c([N+](=O)[O-])cc1OCC(F)F 611521080 QIRNRJGKCBUENL-UHFFFAOYSA-N 420.416 4.790 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)sc2c1 618266366 ZZQLUJNGJVXSRO-UHFFFAOYSA-N 400.847 4.578 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 672902916 TVRFMINEHOBMIF-UHFFFAOYSA-N 404.376 4.678 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1C(F)(F)F 728032858 GSMFYEQQWHLTBS-MRXNPFEDSA-N 408.376 4.746 5 20 HJBD CC(C)c1nc(C(=O)Oc2cccc([N+](=O)[O-])c2)nn1-c1c(Cl)cccc1Cl 728799333 USQQPMIWAHTHLR-UHFFFAOYSA-N 421.240 4.825 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)COC(=O)c2ccc([N+](=O)[O-])c(F)c2)C(C)C)cc1 731518766 NIRKEBABOVLMOM-NRFANRHFSA-N 416.449 4.528 5 20 HJBD CC[C@@H](NC(=S)NNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 734368019 GIIPNLYDOQZTKD-SNVBAGLBSA-N 404.439 4.617 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735441962 BVIDRXNTFJJACC-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 744372002 NZGZXOVPRBIFNJ-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749409094 LEECSDUTLDAUSG-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD C[C@@H](C(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 754432434 ZAMYZUDRZYYNRE-TZMCWYRMSA-N 410.367 4.872 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 762100128 ZLIHVMUCQIOZQX-YADARESESA-N 418.493 4.769 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 764212806 ZSBIFWHYJPFGAZ-ZDUSSCGKSA-N 411.241 4.712 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3Cc4ccc(Br)cc4C3)co2)cc1 765044653 SIGRIAWWUIGGFQ-UHFFFAOYSA-N 400.232 4.528 5 20 HJBD CC(C)n1nccc1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 769617536 BSNTUFMXOAHGEY-UHFFFAOYSA-N 414.849 4.857 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 769757404 XGLWEIPOZCXYAI-UHFFFAOYSA-N 422.529 4.742 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN1CCC(O)(c2ccccc2C(F)(F)F)CC1 769833140 JMCRNZKANUNCQQ-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)c2)[nH]c1C 770880304 MPAWSCNDTHQGTP-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD Cc1nnc(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 773452427 PEOMULBNIOTIQY-UHFFFAOYSA-N 405.819 4.557 5 20 HJBD Cc1nc(C2CCCCC2)sc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 775121199 CMVNDGXNBOPUPJ-ZDUSSCGKSA-N 417.487 4.591 5 20 HJBD C[C@H](CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(=O)c1cccc(F)c1 776136531 VTVYOENJZAMRQX-IQMFZBJNSA-N 422.412 4.671 5 20 HJBD CCN(CC)Cc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776221876 WKBQCSUVJAFMHG-HSZRJFAPSA-N 419.481 4.778 5 20 HJBD C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)cs1 777988259 BIXPSEXFHWZDEX-GFCCVEGCSA-N 403.410 4.688 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Sc2nncs2)cc1 790644553 BINDCQGMSDOQGL-UHFFFAOYSA-N 424.866 4.571 5 20 HJBD C[C@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809918953 CAJCALXEXKCNCI-AWEZNQCLSA-N 414.893 4.696 5 20 HJBD Cc1ccsc1[C@@H]1C[C@H]1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220864 RYZGLTRJQKQROP-HZPDHXFCSA-N 400.481 4.935 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccc(N2CCCCCC2)nc1 811475162 XBLYIECANIRMRM-UHFFFAOYSA-N 409.317 4.967 5 20 HJBD C[C@H](Nc1cnn(-c2ccc(Cl)cc2)c(=O)c1Cl)c1ccc([N+](=O)[O-])cc1 864018942 FSABNTJWPBHTIY-NSHDSACASA-N 405.241 4.621 5 20 HJBD CC(=O)N(c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@@H]1C[C@H]1C 864038376 NEUCMLVIFAEWMG-KZULUSFZSA-N 407.392 4.987 5 20 HJBD Cc1cc(C)c2c3c(sc2n1)C(=O)N[C@@H](c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N3 877843772 BWLSRYOGYHCYLY-HNNXBMFYSA-N 423.281 4.982 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)OCc2cc(Cl)cc3cccnc23)cc1OC 914886595 KXZPRMPOXMZKHA-UHFFFAOYSA-N 416.817 4.561 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(N2CCCCC2)c1 920766214 UCUDAGVHWGTILM-CQSZACIVSA-N 403.866 4.645 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 5746032 BLWDCONTKZXSSI-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD CC(=O)c1ccccc1NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054247 PVJZIUOCHRQZKC-LLVKDONJSA-N 401.469 4.526 5 20 HJBD CSc1ccc(Cl)c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 17528239 NRPWEHPUUVFCEE-JTQLQIEISA-N 419.846 4.938 5 20 HJBD CCC[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 50731785 MLVYYKMDFJBEBR-IEBWSBKVSA-N 403.526 4.545 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC(c3nc4ccccc4s3)CC2)c(Br)c1 301527166 JOWCZSPWUMWEAC-UHFFFAOYSA-N 419.304 4.746 5 20 HJBD Cc1nc(N[C@@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)ccc1[N+](=O)[O-] 301653165 QXPUJXONKNVEBH-AWEZNQCLSA-N 408.433 4.792 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@H](c2ccccc2F)C1 410304472 GRURYFFDYJHCBF-SFHVURJKSA-N 419.456 4.976 5 20 HJBD COc1cccc(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(C)cc2)c1[N+](=O)[O-] 435862739 PWOATXCZBMJJIT-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD CCCn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2ccccc21 436334964 MRQPPFRNZAAYBS-UHFFFAOYSA-N 414.440 4.832 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437130977 YFJQNGAAYKSFKS-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437471565 ITZPDTLPXRUVJP-UHFFFAOYSA-N 405.454 4.764 5 20 HJBD C[C@@H](Nc1ncc([N+](=O)[O-])s1)c1cccc(NC(=O)Cc2ccccc2F)c1 443511043 RNWRITPXRPVVOB-GFCCVEGCSA-N 400.435 4.545 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2c(F)ccc(F)c21 462769912 SIMOBVUUKFFWJI-OAHLLOKOSA-N 408.426 4.627 5 20 HJBD O=C([C@H]1C[C@H]1c1c(F)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634755 SRBZRTAKRKOZHV-SJORKVTESA-N 418.852 4.561 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 470031817 JCCKSPZZAYKXJA-QAPCUYQASA-N 421.400 4.546 5 20 HJBD C[C@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 471447615 VCALRUMFQHZVIY-YJBOKZPZSA-N 407.495 4.812 5 20 HJBD Cc1cc(NC(=O)N(CCCO)Cc2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 473783647 FBXACTHKBZBMKG-UHFFFAOYSA-N 412.273 4.627 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)ccc1F 473821954 MRGRZIHTWAJTAU-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD CCOc1cccc(CNC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c1OC(F)F 475555151 KYUMVWIGZZTXAD-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD O=C(N[C@H]1CCCc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 477500695 GCYAJKYDNRXTHS-FQEVSTJZSA-N 404.416 4.767 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 477547820 VUPOTWSWGCZHPS-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(F)(F)F 479241625 JBWFOOXYOYBXJC-GFCCVEGCSA-N 416.318 4.633 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)C(=O)Nc3ccccc3)c([N+](=O)[O-])c2)cc1 480476119 DCXLLRWSTFDDEP-MRXNPFEDSA-N 418.453 4.595 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc3c(c2)CCC(=O)N3CC2CC2)cc1[N+](=O)[O-] 480671001 ZXKBYYRYDKOIAR-OAHLLOKOSA-N 409.486 4.856 5 20 HJBD C[C@@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])Oc1ccccc1F 485716943 HLSOPCFUZMOLKP-INIZCTEOSA-N 424.428 4.510 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC[C@H]1c1ncc[nH]1 487309914 CWNPNNUSJVFDLA-IBGZPJMESA-N 408.483 4.837 5 20 HJBD O=C(Nc1ccn(-c2ccncc2)n1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487742892 UEIAMMBCSQSVNV-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(C(=O)C2CCC2)cc1 489696737 ONJPSQVNHUQHQE-UHFFFAOYSA-N 410.473 4.776 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N(CCc1cccc(F)c1)C1CCCC1 489955080 HMOBFPQUBYUAAT-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCSc2ccc(Cl)cc21 501681401 JFPSYOHKTMNZDU-UHFFFAOYSA-N 417.918 4.604 5 20 HJBD Cc1nn(Cc2nnc(-c3ccc(Cl)cc3Cl)o2)c2ccc([N+](=O)[O-])cc12 502891172 ZAXRWEPTDSNIMF-UHFFFAOYSA-N 404.213 4.658 5 20 HJBD Cc1cc(C(=O)COc2c(Cl)cncc2[N+](=O)[O-])c(C)n1CCc1ccccc1 506556976 VZRUPNBULFHTEY-UHFFFAOYSA-N 413.861 4.566 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@@H]1CCCCN1Cc1ccccc1[N+](=O)[O-] 507409009 QDYXMWCWTXTCKH-SFHVURJKSA-N 408.285 4.895 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCCc3nccs3)cc2[N+](=O)[O-])cc1 508311187 GAWJNOVYSBSSOP-UHFFFAOYSA-N 413.524 4.874 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 512476058 ZRGHWPOYQMRBMR-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)CC2CCC2)c([N+](=O)[O-])cc1OC(F)F 512935432 FZXVFYGMGGRRNO-UHFFFAOYSA-N 420.412 4.647 5 20 HJBD COc1cccc(C2(c3noc(-c4ccc5[nH]c6ccccc6c(=O)c5c4)n3)CC2)c1 516996336 ODDMVVOKKNXJBU-UHFFFAOYSA-N 409.445 4.820 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(C(C)(C)C)cs1 517379876 DMWKSHYLDLLWEU-SECBINFHSA-N 414.434 4.833 5 20 HJBD COc1ccc(CNCc2ccc(F)c(F)c2)cc1OCc1ccc([N+](=O)[O-])cc1 520961395 PEXBANOOFHLZAU-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522875947 IBACLFIRGZHJAW-BZNIZROVSA-N 411.289 4.738 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NCc1ccc(F)cc1C(F)(F)F 524827792 WRGDGCNRMHDNAM-UHFFFAOYSA-N 413.371 4.575 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 525187982 MTTVTZQVVBPQAS-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD Cc1ccc([C@@H](C)NCc2nc(-c3cccc(C(F)(F)F)c3)no2)cc1[N+](=O)[O-] 537175310 CKCNLDGIWCJGGZ-GFCCVEGCSA-N 406.364 4.823 5 20 HJBD CN(C(=O)c1ccccc1Br)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251671 MCCUOTVHYPTDDP-UHFFFAOYSA-N 418.272 4.757 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@H](CC)c2cccc([N+](=O)[O-])c2)c1 538627577 KOKSHGPTVIRWLK-HRAATJIYSA-N 419.547 4.766 5 20 HJBD Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c(OCCN(C)C)c1 538832092 RMECSHHJEVKMEU-UHFFFAOYSA-N 412.515 4.579 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C2CC2)cc1 541586345 VZWVOWFOFZLHSR-GJZGRUSLSA-N 400.450 4.673 5 20 HJBD CSc1ccc(-c2nc(Cc3nc4ccccc4n3C(F)F)no2)cc1[N+](=O)[O-] 546326066 YXWDWWGLOYQINW-UHFFFAOYSA-N 417.397 4.702 5 20 HJBD COCCOc1ccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1F 547157713 HIGCJBNKBNITPS-GFCCVEGCSA-N 419.434 4.662 5 20 HJBD CN(C)c1nc2cc(-c3noc(-c4cc([N+](=O)[O-])ccc4C(F)(F)F)n3)ccc2o1 551031109 JZOWIHBVOQZQGC-UHFFFAOYSA-N 419.319 4.538 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1OCCc2sccc21 552264418 IOCVUPZCQLSELI-XIKOKIGWSA-N 406.529 4.589 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3ccccc3OC[C@@H]3CCCCO3)co2)cc1 556277534 BEXLHEDJUGLGRU-IBGZPJMESA-N 409.442 4.810 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@H]3CC(=O)N(C(C)C)C3)no2)cc1[N+](=O)[O-] 576177996 SQFQAMNYCPYMFZ-HNNXBMFYSA-N 418.519 4.507 5 20 HJBD CCOC(=O)c1ccnc(NCc2ccc(Oc3ccccc3OC)cc2)c1[N+](=O)[O-] 579527312 NNYXBRHVMWPLBV-UHFFFAOYSA-N 423.425 4.580 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1cc([N+](=O)[O-])ccc1CC)CC2 583054125 GHLAHWRYNUGOPY-UHFFFAOYSA-N 413.474 4.545 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(COCc4cccnc4)n3)cc2)c1 589136589 BENHGXBFRFAOTC-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1cnc2ccccc2n1 589807417 DFRYQOCVJSIPDL-UHFFFAOYSA-N 408.483 4.849 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1)c1ccccc1 603989392 FRJGLPPPHQAPIX-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 604521436 KERYASISHUSIHR-BSIFCXSSSA-N 422.485 4.806 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608945433 MZACSCQRYKSRAF-MRXNPFEDSA-N 408.376 4.746 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncc(Cc2cc(F)cc(F)c2)s1 609783708 ZWLVQOXXGZXPNP-UHFFFAOYSA-N 423.828 4.755 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC(c4cn[nH]c4)CC3)cc2[N+](=O)[O-])cc1 609884219 FTDCKXNZOQUVDR-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nccs1 610049507 WUNRYLXHINDXMQ-ZSDSOXJFSA-N 416.547 4.589 5 20 HJBD CCOc1cc(C(=O)Oc2cccc(-c3nc(C)cs3)c2)c([N+](=O)[O-])cc1OC 726368824 VGJIGXAHRSYPMY-UHFFFAOYSA-N 414.439 4.653 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710784 GMRTWSOURBHCOQ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 736510130 YYBZCUBLUSKHOP-AOMKIAJQSA-N 419.485 4.685 5 20 HJBD C[C@H](Oc1ccccc1Cl)C(=O)OCc1ccc([N+](=O)[O-])cc1Br 739578113 JHRQARRNQRLZBF-JTQLQIEISA-N 414.639 4.521 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)N[C@@H](c1ccc(F)cc1)c1cccs1 747811268 AYYDISADSVFOGG-IBGZPJMESA-N 420.849 4.733 5 20 HJBD COc1cc(COC(=O)[C@H](C)c2ccc(Cl)s2)c([N+](=O)[O-])cc1OC(F)F 750550537 ZIKCFDLBUWZDGY-MRVPVSSYSA-N 421.805 4.767 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)o1 750841546 HXCVCLZCZUSHLW-GZMMTYOYSA-N 413.655 4.657 5 20 HJBD C[C@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccc1C(F)(F)F 751666388 JQMISHPQCZDXLE-LLVKDONJSA-N 408.332 4.992 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 752110406 FBBLPNCHOUIJFM-ICSRJNTNSA-N 402.878 4.757 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 754032296 SFALRSDFKZPORK-LLVKDONJSA-N 423.487 4.930 5 20 HJBD CCC[C@H](Cc1ccccc1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754997340 RFZXPRSUUJIAOY-CQSZACIVSA-N 409.255 4.638 5 20 HJBD Cc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnc(Cl)c1Br 760060435 OSTQPAQSZMZRMN-VIFPVBQESA-N 413.659 4.597 5 20 HJBD CC(C)N(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(N2CCOCC2)cc1 760857323 NSDMWFWWROWLEX-UHFFFAOYSA-N 422.485 4.501 5 20 HJBD CC(C)N(CCS[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 762198930 INRKLYLMCTUVOJ-ZDUSSCGKSA-N 421.485 4.793 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c(Cl)c1 763720517 WGNVDSJRMWRIGM-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 770007716 RQYJWZCFBUABAF-UHFFFAOYSA-N 416.909 4.624 5 20 HJBD COc1ccc2c(c1)CCCN2C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 780298531 PPLKQGHPPWGPDR-UHFFFAOYSA-N 420.415 4.561 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@H](Cc1ccccc1)c1ccccc1 781571955 OUBJMJLSCYXKMX-OAQYLSRUSA-N 419.437 4.536 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1cc(F)c(F)cc1[N+](=O)[O-] 785737358 RJYQZVWTUAQSCX-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD Cc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(Br)c1 790537236 AWJBNWFULUVTDX-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1ccc(NC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 790653910 UNUNQOTYHQHBFF-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cn1nc(Nc2ccccc2F)sc1=S 800866257 WHPZCXMAXAEYBU-UHFFFAOYSA-N 419.507 4.597 5 20 HJBD Cc1cc(CN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)C2CCCC2)c2ccccc2n1 801889911 WWFGDKGDHYTTHK-UHFFFAOYSA-N 422.460 4.758 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)ccc1F 804802161 FUTVXGNDQWRIKY-KFWWJZLASA-N 415.465 4.522 5 20 HJBD O=C(NCc1ccc(COCC(F)(F)F)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809914013 FBGLCSMDFBHPSI-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD O=C1CC[C@@H](C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc21 811216177 FQDTYDKVPXRZSL-GOSISDBHSA-N 408.435 4.522 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NC/C=C\c2ccccc2[N+](=O)[O-])CC12CCC2 813785735 HPTXLGFBNUXAQD-OTIVFRFGSA-N 401.507 4.520 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)c1cccc(NC(=O)c2ccccc2)c1 816870432 ZQPMKZTYOJVSNH-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccc(Cl)s2)on1 915289651 RAHLYTBUPLGZCT-UHFFFAOYSA-N 412.254 4.890 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1 916055545 XIOLSXHUZNURKU-MDWZMJQESA-N 411.433 4.905 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H](C)c2ccc(C)s2)c([N+](=O)[O-])c1Br 919722468 AEXCPCLAAFRGGD-MRVPVSSYSA-N 416.253 4.817 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] 920269426 VGLIJNVNYNIWBO-GFCCVEGCSA-N 400.412 4.728 5 20 HJBD CN(CC(F)F)C1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1254019611 VIWDAHQEJILRAD-UHFFFAOYSA-N 413.852 4.670 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H](C)c2c(C)nn(CC(F)(F)F)c2C)n1 1320208082 SJBBTNIBPFJCOX-LLVKDONJSA-N 423.395 4.789 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(COc3cc(C)ccc3C)o2)cc1SC 1326082011 VSGGVHHQZQIYTL-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD CCCCCn1nc(-c2nnc(-c3cc(C)ccc3[N+](=O)[O-])o2)c2ccccc2c1=O 1326105290 YFFFKJQPSMQNAE-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD Cc1ccc(-c2nnc(SCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)cc1C 4887636 CBGDKHVYJCPIHF-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cc1 6027843 HHXPLQFXDSBPHK-NSHDSACASA-N 401.469 4.526 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 11025921 DGNDZUDEVKNLGO-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1coc(-c2ccccc2)n1 14846585 YEXLDMXECVGDHR-UHFFFAOYSA-N 410.429 4.553 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(Br)s1 15521722 JQLSWYKNWXJYMH-JTQLQIEISA-N 423.292 4.662 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])s2)ccc1OCc1ccccc1 18134682 JVQDVLQLFQAELQ-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(-c3cccnc3)n2Cc2ccccc2)c1 28608971 XIBJGZOMEGQGDR-UHFFFAOYSA-N 403.467 4.589 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)n2)cc1 29239447 GTQWFFHFRCEVKX-UHFFFAOYSA-N 412.427 4.750 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 31128195 JDWUDTZBAJZJNS-CABCVRRESA-N 411.483 4.623 5 20 HJBD CC(C)c1nc2n(n1)CCC[C@@H]2NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 236669140 BUYOLZXLAJLEMP-KRWDZBQOSA-N 415.881 4.848 5 20 HJBD COc1ccccc1-c1nnc(Sc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1C 301116226 ZFVARNWNYDVUMT-UHFFFAOYSA-N 410.377 4.569 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(C(F)(F)F)ccc1Br 326192414 AXZQVMHFSQVXJE-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(Cc2ccc(Cl)cc2)s1 426288419 JGNUXWPJBZYRPW-UHFFFAOYSA-N 423.281 4.525 5 20 HJBD CC(C)n1ncc2c(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc(C3CC3)nc21 427589107 VZTGZHWPBSAERR-UHFFFAOYSA-N 419.485 4.603 5 20 HJBD O=C(N[C@H]1CCCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444282225 AZZDCZGWCRWRNL-FQEVSTJZSA-N 422.406 4.906 5 20 HJBD COc1cc(Cc2noc(-c3cccc(-c4ccoc4)c3)n2)c([N+](=O)[O-])c(OC)c1 445823646 HPWJPORYMGWMPO-UHFFFAOYSA-N 407.382 4.513 5 20 HJBD COc1cc(C(=O)Nc2cccnc2-c2ccc(Cl)s2)c([N+](=O)[O-])cc1OC 446591570 HRGQORGJOAYHCA-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](NCCCOC1CCN(Cc3ccccc3)CC1)CCC2 447589144 WSPKGVVTCLCEHY-RUZDIDTESA-N 423.557 4.633 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](Nc1ccc(Cc3nnc4n3CCCCC4)cc1)CCC2 447592633 MURWWGNVNHXDQM-QFIPXVFZSA-N 417.513 4.993 5 20 HJBD Cc1cc(CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C2CCCC2)c2ccccc2n1 461079801 GSLNWLVWEGSXFG-UHFFFAOYSA-N 403.482 4.965 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n(C)n1 462072629 ACFMGKLLAXDTSL-UHFFFAOYSA-N 407.474 4.746 5 20 HJBD CC[C@@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 464787546 CFQFFNHFFILLER-APWZRJJASA-N 419.934 4.755 5 20 HJBD CC(C)OCCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466435299 XODSQKRZCQATJS-UHFFFAOYSA-N 408.907 4.944 5 20 HJBD COc1cc(C(=O)Nc2cc(F)c(Br)cc2Cl)cc([N+](=O)[O-])c1C 466445575 HYLCVCNHQDHBKH-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(Br)c(F)cc3F)co2)cc1 466858803 SDDMFQKDKJBQPL-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD COc1ccc(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 469314062 FCQDXJBZLLZGIX-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 469989661 CVKIOWANUOATEN-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 470370502 YZMFQRIOFYXAIF-UHFFFAOYSA-N 406.379 4.858 5 20 HJBD Cn1cnc([N+](=O)[O-])c1COc1ccc(-c2nc3ccccc3s2)cc1Cl 470835091 XTFIUBKBAZPGJF-UHFFFAOYSA-N 400.847 4.837 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3cccc(Cl)c3)c3ccccn3)n2)cc1 475325165 RYLRHSJOIXUUQZ-FQEVSTJZSA-N 421.844 4.572 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCO[C@@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 477081763 CJNLYBFVIDCWJH-ORAYPTAESA-N 421.856 4.540 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 478604927 BDAFXYUXSMOOFY-MSOLQXFVSA-N 419.591 4.579 5 20 HJBD COc1ccc(CN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])[C@H](C)C2CC2)cc1 484259830 DOZWHPCZCIEBEB-CQSZACIVSA-N 400.500 4.766 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(-c3nc4ccccc4[nH]3)cc2)c1[N+](=O)[O-] 486199168 VPFWVQLYHCBGTH-UHFFFAOYSA-N 418.478 4.790 5 20 HJBD COc1cc(CN(Cc2cccs2)C(C)C)c([N+](=O)[O-])cc1OCC(F)F 491218146 VMWWVGSPGQVDQG-UHFFFAOYSA-N 400.447 4.719 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(C(=O)N3CCCCCC3)cc2)cc1[N+](=O)[O-] 493082982 VSSCYLHJGPUYKK-KRWDZBQOSA-N 424.501 4.802 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc3c(cc2Cl)OCCCO3)cc1[N+](=O)[O-] 493181119 NLZXNOVBMPYNLM-GFCCVEGCSA-N 405.838 4.601 5 20 HJBD O=C(c1cc(-c2ccccc2Cl)on1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498140240 LMMLTYFGUUJPFM-IBGZPJMESA-N 415.858 4.791 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccc(CSc2ccccn2)c1 499046509 TWZQVMVWDYYRRB-UHFFFAOYSA-N 408.483 4.723 5 20 HJBD COc1ccc(CNC(=O)NC2(c3ccc(Cl)cc3)CCCC2)cc1[N+](=O)[O-] 503340656 IZVDRPXXXSFBNK-UHFFFAOYSA-N 403.866 4.526 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 515775710 TWQMYFMFZGYVHH-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)c1 516982921 VVVWFXAMGNEYJA-UHFFFAOYSA-N 402.397 4.591 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1ccc([N+](=O)[O-])cc1)C2 518313382 IWXDALHQJAQBTC-UHFFFAOYSA-N 402.454 4.797 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N(Cc1ccc2ccccc2c1)C1CC1 518541622 QNJLKVLXAVFZTC-UHFFFAOYSA-N 423.856 4.531 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)s1 518564707 MDHCXFYHXWWEFE-INIZCTEOSA-N 414.512 4.719 5 20 HJBD Cc1nccn1C[C@H](C)CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520988171 WFVAORZEUNUHHL-OAHLLOKOSA-N 414.893 4.971 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(OCc3ccccn3)c(Cl)c2)cc1[N+](=O)[O-] 520988553 NTUCWANYKSNSCR-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=C([C@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 521676196 DGSGFDBANHHJQI-OAQYLSRUSA-N 410.376 4.582 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)s1 522717755 OZLOAVBBCHWTRJ-OAHLLOKOSA-N 416.890 4.823 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1F 524146386 SSSUGQARRDZITQ-UHFFFAOYSA-N 416.430 4.904 5 20 HJBD O=[N+]([O-])c1cccnc1N1CCN([C@H](c2ccccc2)c2ccccc2Cl)CC1 524161219 KMKWQTYNOUFBCY-OAQYLSRUSA-N 408.889 4.555 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 532899475 WLNDDKCRPWIYJP-INIZCTEOSA-N 406.486 4.591 5 20 HJBD COc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1Oc1ccc(C)nn1 538813692 AMZWLKPCWMFYHQ-UHFFFAOYSA-N 422.441 4.672 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1c(Cl)cccc1[N+](=O)[O-] 543088223 GDCHYIOZRUHNRL-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c(C)[nH]c4ccccc34)CC2)cc1[N+](=O)[O-] 543886367 GXBDZCMDLBDUCP-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])s1 544047147 XDEGPCKENLWEFU-NSHDSACASA-N 413.952 4.887 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1=O 546243118 PSNZIGUPMPJMDB-WBVHZDCISA-N 420.469 4.603 5 20 HJBD COc1cc(OC)c(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cc1OC 546250233 UFMPPSJYICIINA-UHFFFAOYSA-N 413.411 4.552 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 552725659 ZCHKBUTYNFPNBW-UHFFFAOYSA-N 415.381 4.580 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(NC(=O)CC(F)(F)F)cc2)cc1[N+](=O)[O-] 555043124 QLHJLWOBDGRVRB-LBPRGKRZSA-N 424.379 4.677 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)Cc3ccc([N+](=O)[O-])cc3Cl)CC2)n1 570966842 XSKSDZPPJVZZBE-UHFFFAOYSA-N 421.950 4.951 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 572444812 GNQUSRIYCXCXAX-AWEZNQCLSA-N 424.530 4.544 5 20 HJBD CC(C)(C)[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])C(F)(F)F 574892853 NBLMQWWVVSYTOW-GOSISDBHSA-N 410.392 4.881 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 576783717 OXSVNJQMVFATGL-QFIPXVFZSA-N 424.478 4.669 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(F)c2ccccc12 584743136 ACEOHYVQKLIUMQ-AWEZNQCLSA-N 411.433 4.575 5 20 HJBD CCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)[C@H](C)c1cccc(C(F)(F)F)c1 603529560 JOMFXJXSYUEHHV-LLVKDONJSA-N 410.348 4.566 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604270595 ANBVJJNKKZEBHH-OCAPTIKFSA-N 421.135 4.924 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 604434782 HTUSFGUGDVKCIK-MRXNPFEDSA-N 408.285 4.986 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])c1OC 610169931 GZMFCCMSKTWGJT-JTQLQIEISA-N 413.257 4.752 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])C(C)C)cc1OCC 610222436 VAFDSZNQFMIXKY-NRFANRHFSA-N 400.475 4.828 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1cccc(OCC(F)(F)F)c1 727053306 UOZOUYWNEIJVSD-JTQLQIEISA-N 419.739 4.562 5 20 HJBD Cc1c(COC(=O)COc2ccc(C3SCCCS3)cc2)cccc1[N+](=O)[O-] 728724139 XIPKNVMEQHYCDX-UHFFFAOYSA-N 419.524 4.894 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(-c2noc(C(F)(F)F)n2)cc1 730695721 WYXZBJFVDDKZKH-UHFFFAOYSA-N 413.695 4.536 5 20 HJBD CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@H](c1ccc(C(C)C)cc1)c1cccnc1 732963381 MHJBRZHGNCNVEJ-HSZRJFAPSA-N 418.497 4.947 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1ccc([N+](=O)[O-])cc1Br 740411060 VVCNOGJGKVYBAH-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)Nc1cnn(Cc2ccc(Cl)cc2)c1 743072209 UIAMDAXUCLWESM-UHFFFAOYSA-N 406.229 4.790 5 20 HJBD O=C(Nc1cccc(OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)c1ccco1 744149959 UUJKSADDZTURAH-UHFFFAOYSA-N 420.299 4.678 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](O)c1c(F)cccc1Cl 744446575 BHWCIHSXXMXLLP-ZMZPIMSZSA-N 421.881 4.723 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ncc(-c2cccc(Br)c2)o1 744872100 AMSRDZZIJTXXNX-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CC(C)CCc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Br)cs1 744914313 AXDHOWKBWWAPJO-UHFFFAOYSA-N 413.293 4.759 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(-c2ccccc2)ns1 746897969 YNZRCUUCKPLDRP-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD O=[N+]([O-])c1ccc(OCc2ccnc(-c3ccc(Br)cc3)n2)cc1F 749839888 GCKKIBDFWDMSCK-UHFFFAOYSA-N 404.195 4.532 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])s1 749983517 QHKUKLUGNPCXJD-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD CCCN(CCC)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 751281645 CRFYIRCPRSZMHM-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD CSc1ccc(C(=O)O[C@@H](CCc2ccccc2)c2nccn2C)cc1[N+](=O)[O-] 754734120 LNPRTEHTEGOAKV-SFHVURJKSA-N 411.483 4.581 5 20 HJBD CC(C)(C(=O)OCc1cc(-c2ccc(F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 762998008 IWADIQDUKCHTQB-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@H]1C[C@H](O)c1ccccc1 764389446 CETPTQPSQQSXNO-PXNSSMCTSA-N 402.878 4.757 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 764390001 DSDSWCDRTGEJPI-OXJNMPFZSA-N 402.878 4.757 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 776137086 MWODTXGCYQHUMD-GOSISDBHSA-N 400.337 4.538 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@@H]1c1cccc(F)c1F 776139972 XDOUKMSKECGNKE-CBGDNZLLSA-N 410.376 4.704 5 20 HJBD CC(C)(C)[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(F)cc1F 781725015 NMTPZERZAJUPDJ-LJQANCHMSA-N 421.400 4.617 5 20 HJBD COC(=O)COc1ccc(CN[C@@H](c2ccccc2)C2CCCCC2)cc1[N+](=O)[O-] 788960160 CNAJBSUOYJVRBQ-QHCPKHFHSA-N 412.486 4.558 5 20 HJBD Cc1cc[n+](/C(C(=S)Nc2ccsc2)=C(/O)c2ccc(C)c([N+](=O)[O-])c2)cc1 790116866 SOWKWGLGHWTIEA-UHFFFAOYSA-O 412.516 4.884 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(Cl)ccc1Br 790955472 WZQVKTYCPKZKMW-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3nc(-c4ccccc4F)cs3)CC2)cc1 811497336 WQFQOTSBVDQTTB-UHFFFAOYSA-N 412.490 4.616 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)o2)c1 815406134 VTUPGLBTQIVUIV-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1cccc([N+](=O)[O-])c1Cl 816911766 XTTAGIMSEFZJLW-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 915068189 RRCMUSNJOUYBKE-UHFFFAOYSA-N 415.902 4.876 5 20 HJBD O=C(OCc1nc(-c2ccccc2)no1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917257429 NYACKOFUTNGSRE-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1 1116228580 NZQOTULHXKQSAO-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc([C@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)o1 1322682699 HTWQVVDNRBPDPR-PIGZYNQJSA-N 414.805 4.637 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@H](c2ccc(Cl)s2)C1 1323335026 WQVFFCJRVCZINY-LBPRGKRZSA-N 402.259 4.569 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CCCCNC(=O)OCc2ccccc2)n1 1323914594 JZZXTUZVOIVDBT-UHFFFAOYSA-N 424.457 4.511 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc(OCC)c1OCC 5592978 YNHIELWMJZFYKS-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD CCN(C(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C)c1ccccc1 7169273 RKCBIDRXGGJPKL-INIZCTEOSA-N 417.534 4.620 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1ccc(Br)c([N+](=O)[O-])c1 7609293 YRHLWQITBIFHRB-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2Cc2ccccc2)c([N+](=O)[O-])cc1OC 7920803 JDFQOWHWSPDFLI-UHFFFAOYSA-N 406.438 4.845 5 20 HJBD CC(C)C[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 16967618 YDOOYDLTHUHILG-AUUYWEPGSA-N 412.515 4.855 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cccc1[N+](=O)[O-] 23213925 GYUPLBHUAYKUTL-JTQLQIEISA-N 418.456 4.540 5 20 HJBD CNC(=O)c1ccc(N[C@H](C)c2ccc(OCCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 64914615 XVKPQRUPYXAALH-OAHLLOKOSA-N 415.490 4.561 5 20 HJBD CCC(CC)(Cc1nc2ccccc2s1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 65819296 ZQQCPKRTMKORQI-UHFFFAOYSA-N 411.527 4.912 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c(C)c1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 65865022 XPSHROVZESVFKG-UHFFFAOYSA-N 424.476 4.516 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3s2)cn1 218090326 FSPHRMLPZWJYAP-UHFFFAOYSA-N 419.466 4.707 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(Br)sc1Br 227271111 ZNEJEEJEZNOKPB-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 301055685 GJCDORIJMLXFHP-LBPRGKRZSA-N 424.284 4.547 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ncc([N+](=O)[O-])cc2C)C3)cc1 301167415 IGNZVKWMPLOGLG-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD O=C1CCCN1C[C@@H](Nc1ccc([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 301413039 LAKMCASGVPRXMI-QGZVFWFLSA-N 411.355 4.528 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](OC1CCOCC1)c1ccccc1 301768859 IWXGWWFGRNDIBS-MWTRTKDXSA-N 407.470 4.880 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])s2)cc1F 303402244 RXHBIFSMAJVMJQ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 303654205 LEMXUBCZADBAOX-GOSISDBHSA-N 418.478 4.556 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](C(=O)O)C2(C)CCCCC2)cc1[N+](=O)[O-] 426725788 DXVKANGRUXZYLR-OAQYLSRUSA-N 411.502 4.876 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1C 427444677 SOKGULDCLGACIC-OAHLLOKOSA-N 408.458 4.902 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@H](C)Cc2ccsc2)c([N+](=O)[O-])cc1OCC 429267576 NAXADSVJVQZIHX-CQSZACIVSA-N 421.519 4.939 5 20 HJBD Cc1nc2ccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)cc2s1 431822656 JGJVUPUQHWIXRW-UHFFFAOYSA-N 409.389 4.718 5 20 HJBD C[C@@H](Nc1ccc(Oc2ccc(S(C)(=O)=O)cc2)cc1)c1ccccc1[N+](=O)[O-] 439587528 OPILMODJEZFDCW-OAHLLOKOSA-N 412.467 4.964 5 20 HJBD O=C(CCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])Nc1ccccc1 440462584 NVPGGJXEPPJWLG-UHFFFAOYSA-N 421.478 4.505 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444307890 MHKVKFKZTWNDDX-VOIUYBSRSA-N 412.489 4.913 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 445167777 SMQMLDGBTWSHCZ-ZWKOTPCHSA-N 424.284 4.640 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1 445273116 GZVCWUBTUMKKKU-CYBMUJFWSA-N 419.441 4.821 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCc1ncc(-c2ccccc2Br)o1 462208711 HVMUPDIJJSOPBT-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD CNc1c(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)cccc1[N+](=O)[O-] 462775256 LVKGWFGBZWKZBM-UHFFFAOYSA-N 405.376 4.585 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463301338 PDBAMGQBPVBAND-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD CCN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])[C@H]1CCOC(C)(C)C1 464680079 LRELVJCJWXPZPZ-SFHVURJKSA-N 412.486 4.594 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1nc2ccccc2cc1Br 464720147 OFNCNXRFLHFEIY-UHFFFAOYSA-N 400.232 4.720 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1CC)O[C@H](C)C2 464721362 WJNAOBBZWCHMEX-FMEYXAORSA-N 410.470 4.622 5 20 HJBD COc1ccc(C2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 465382471 OJUCJNKHHCQLIL-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD C[C@H](O)C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccccc1 469141426 XPKLSQCCYRCEIJ-HKUYNNGSSA-N 420.465 4.672 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3nc(C)co3)ccc2C)cc1OC 470104540 KFXGBDJNCLTJSV-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cc(F)ccc3OC(F)F)cc2[N+](=O)[O-])CC1 470107163 XNDDJVHBDVUOPL-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])s2)cc1 475915656 DTEWMXVBSITUTK-UHFFFAOYSA-N 411.483 4.674 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 477159140 VNCMEHJECCFLQH-UHFFFAOYSA-N 405.769 4.612 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1C(C)C 482720972 ROEFMYAKNMSZCY-UHFFFAOYSA-N 406.508 4.698 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 485679817 SWRRFJGUBQTTOL-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@@H]2c2cccc(Cl)c2)c(C)c([N+](=O)[O-])c1 486888722 SVJMWJCRBKIWRC-QGZVFWFLSA-N 417.849 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 487036010 WBTPPHUQSDQTDD-MRXNPFEDSA-N 423.494 4.693 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])o2)cc1 488802376 QVAFSMFQEQFJMH-CYBMUJFWSA-N 412.471 4.888 5 20 HJBD C[C@H]1CCN(C)CCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 498732295 AAPIRSRZVMDTQK-AWEZNQCLSA-N 419.934 4.566 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 500786003 ICSOTEUPGLESFX-IBGZPJMESA-N 402.859 4.594 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 501088864 HSYGZYIHMWQYAG-UHFFFAOYSA-N 402.756 4.596 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 505388569 RCDWQIDWRONHTB-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(CN2CCCC2)c1 505781482 IVXXNCSXCLJSIU-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 511655962 AXCDEQDZWXDCOT-UHFFFAOYSA-N 417.252 4.707 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H](COc2ccccc2Cl)C1 514139260 YFHYCQYTLYRRQD-CQSZACIVSA-N 413.861 4.661 5 20 HJBD CC(C)(C)c1csc(CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 517839829 HMNSNDQGKSSOBL-UHFFFAOYSA-N 424.526 4.891 5 20 HJBD C[C@@H]1CCC[C@@H](OCc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)c2)C1 520141079 XVZIJUVEESFKEH-RHSMWYFYSA-N 412.442 4.671 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 523243096 NMCWXBQCGKAPIU-CYBMUJFWSA-N 412.471 4.888 5 20 HJBD CN(Cc1ccon1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 523536077 CHGPCGXQCGPOKD-UHFFFAOYSA-N 403.847 4.660 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCC[C@H]1Cc1ccccc1 524032103 XVJCKLYJWXQPOJ-INIZCTEOSA-N 422.403 4.773 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525406010 DWXZQYZOUZUWPC-UHFFFAOYSA-N 417.425 4.566 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 530493176 RZRFRXSOJGFRMF-LJQANCHMSA-N 409.421 4.883 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cn2)cc1 536505916 QXEYQQBETQOBJG-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 538812332 KJLRJCPAMJJEGB-CYBMUJFWSA-N 402.401 4.756 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 541071152 QJGMSSMWXBAHNP-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD O=C(N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 541628470 OYEGXCFGDQZRFB-NVXWUHKLSA-N 400.431 4.599 5 20 HJBD CC(C)c1nnc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 544416777 GLELNUGBMDVVIF-UHFFFAOYSA-N 420.560 4.782 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](c2ccc(Cl)cc2)c2ccn(C)n2)cc1[N+](=O)[O-] 546574544 JBXKPZOJIGKDGV-SZNDQCEHSA-N 414.893 4.821 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1OC 547240750 KUBDNGVPDARXSU-AWEZNQCLSA-N 418.465 4.686 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4ccccc4n3C)cc2)c1 549299683 HSYXTIVGXRHHAC-UHFFFAOYSA-N 416.437 4.800 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)cc2)c1 551721282 NZBWXIULRFMNFR-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@H](C)C[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 553337663 RFCNSUXMCYLWCB-ZHRRBRCNSA-N 418.497 4.680 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 557058161 BJXZBPUSTTWARM-SFHVURJKSA-N 409.269 4.799 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1 564130778 HRCCWQOPMMXWRQ-UHFFFAOYSA-N 412.421 4.903 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1C(F)(F)F 564473947 BJFJBBTZQGRWKZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)n[nH]1 569993898 LNCUMBMRGXMQBL-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)c(NC(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 573185390 VETJDYHWNQLRIK-OAHLLOKOSA-N 421.428 4.657 5 20 HJBD NC(=O)c1ccnc(N[C@@H](CCCCc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 579658172 HRNOAKRPWZFGEK-FQEVSTJZSA-N 404.470 4.655 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ncoc3-c3cccc(C(F)(F)F)c3)no2)cc1 582633790 WXBBGCHQLJIGOP-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cs1 584502440 CHIJLGWYMCHDMC-QWRGUYRKSA-N 406.489 4.586 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1 590662570 RQIRZJWPGMXMPI-NRFANRHFSA-N 423.513 4.817 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)cc2OC(F)F)c1 603744657 XZEBDGFWPHSCQE-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 603966221 GMHPQZZLNSBLOD-LBPRGKRZSA-N 415.446 4.569 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2)C1=O)c1cc2ccccc2c2cccnc12 606795767 BCZLDYJJIXTZCR-NRFANRHFSA-N 415.880 4.577 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H](c3ccccc3)CC2)cc1[N+](=O)[O-] 608968203 OUQZVMGZUALIBL-LJQANCHMSA-N 414.527 4.633 5 20 HJBD COc1ccc(CC(=O)Nc2cccnc2Oc2cccc(C)c2C)cc1[N+](=O)[O-] 609010708 DJVSZYRZFYPCQZ-UHFFFAOYSA-N 407.426 4.589 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(N2CCCC2=O)c1 609755693 POHSYGYONVEQIT-UHFFFAOYSA-N 417.421 4.766 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1csc(-c2ccoc2)n1 609770013 VXIHSLFVTMSUNQ-UHFFFAOYSA-N 417.468 4.543 5 20 HJBD CC(C)SCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791509 GCUYMWCBGSWOKD-UHFFFAOYSA-N 412.870 4.937 5 20 HJBD Cc1cccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1C 609852732 KVFNBTGJHJSBOW-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(Nc1cccc(-c2ncc[nH]2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609876098 SSUKTIFMNWYJBU-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NCc1nc2cc(Cl)ccc2s1 610101059 PQNOEWRRDZWTEF-UHFFFAOYSA-N 418.906 4.680 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccsc1)c1cccnc1 726369553 XQRCWMQXNNLSND-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 727395157 HSISUOVMAPOIPS-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 736003965 JPPXLMUJLVKNLE-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1cccc(Cl)c1[N+](=O)[O-] 749922257 BYSHKFTUFPPOTG-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1ccc(C(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])c(Br)cc2F)cc1C 751693203 VETNPBUJPBCHRC-LLVKDONJSA-N 424.222 4.541 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)Nc1cccc([N+](=O)[O-])c1Cl 752986112 ZCZHKVIQOCMOCR-UHFFFAOYSA-N 407.760 4.753 5 20 HJBD O=C(c1scnc1Br)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 754326250 QPSXIWYUUNYHMK-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD C/C(=C\c1ccccc1)CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 757646293 PUPFLPLXLIBJPX-XYOKQWHBSA-N 407.239 4.672 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)cc1[N+](=O)[O-] 761570635 CISICSVEHPNOMF-SFHVURJKSA-N 408.414 4.660 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccc(F)cc3C(F)(F)F)no2)C1 763311778 FIXNZJSZISSVGD-HNNXBMFYSA-N 422.294 4.668 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 777954287 JHEKIHWVMRTKKA-NZQKXSOJSA-N 406.486 4.516 5 20 HJBD CC(C)(C)OC(=O)N1CC=C(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 778203080 JDFWZNBTVUQDBV-UHFFFAOYSA-N 413.474 4.512 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCc2cccc(OC(F)F)c21 790873935 HUGBHTAJSGWXDR-UHFFFAOYSA-N 414.767 4.511 5 20 HJBD Cc1cc(C)nc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c1 800798713 QMIQLAJYUMDFPY-UHFFFAOYSA-N 416.389 4.599 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1csc(-c2c(F)cccc2F)n1 803333660 RLDAFYRZSGEBLU-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD COc1ccccc1N(C(C)C)S(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 810112145 KDBQSJGOCVCERM-UHFFFAOYSA-N 419.286 4.514 5 20 HJBD Cc1oc(-c2ccccc2)nc1CC(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815178677 LPFIWBXWMAFHPZ-UHFFFAOYSA-N 420.343 4.863 5 20 HJBD O=C(OCc1coc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 823209502 HPZJIHUVCDBGKJ-UHFFFAOYSA-N 407.426 4.597 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 855691937 ZPHHNVVPUDIGQK-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD O=C(CSc1ccc2c3c(cccc13)CC2)Nc1cc([N+](=O)[O-])c(F)cc1F 897477871 XEFDFNZXXHGJLD-UHFFFAOYSA-N 400.406 4.856 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1)c1nccn1C 920070069 HYKACFZXSSCDQJ-QGOAFFKASA-N 423.494 4.892 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@@H]2C[C@H]2c2ccc(Br)cc2)o1 1117192014 SGDUMFFKXPRGEQ-UONOGXRCSA-N 416.231 4.590 5 20 HJBD O=C(C[C@H]1CCCN1c1ncnc2c(Cl)cc([N+](=O)[O-])cc12)c1ccc(F)cc1 1117546899 BJUKGGIVOFICEJ-CQSZACIVSA-N 414.824 4.572 5 20 HJBD O=C(c1csc(-c2ccco2)n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 1317866266 RIOFOZZADCSURJ-UHFFFAOYSA-N 411.361 4.516 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1)C1CC1 1325052721 XYSKDCNGEGENPM-UHFFFAOYSA-N 406.417 4.757 5 20 HJBD O=C(CSCc1cccs1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 1347460306 LASNHBGQZGGAFZ-UHFFFAOYSA-N 406.510 4.756 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 3471430 HCSJMWNVCYDPOZ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCOc1cc(C(=O)N2CCC(c3c[nH]c4ccccc34)CC2)c([N+](=O)[O-])cc1OC 10884312 JRHOTKFECKMRCE-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD C[C@@H](OC(=O)c1sccc1-c1ccccc1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 10986211 ZRUNFUWTVBLXAY-GFCCVEGCSA-N 414.414 4.646 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)c1)[C@@H](Oc1cccnc1[N+](=O)[O-])c1ccccc1 22027504 JNQQGIPVYKZNIL-KRWDZBQOSA-N 417.343 4.767 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc(Cl)cc2C(F)(F)F)c(Cl)c1 22453080 KVFNMKDAHNHGFJ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD O=C(Nc1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 27806593 XWMROWQKAUPNTP-UHFFFAOYSA-N 422.237 4.613 5 20 HJBD O=C(COC(=O)C(c1ccccc1)c1ccccc1)Nc1cc(Cl)ccc1[N+](=O)[O-] 28300650 XMPRXZLUXFERLF-UHFFFAOYSA-N 424.840 4.562 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OCC 36758734 UVOFZABIKSAYIS-LSDHHAIUSA-N 418.515 4.750 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)ccc1OC(F)F 43147788 GXTBCKCQDNQLIT-UHFFFAOYSA-N 409.389 4.567 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 55640246 PMKXAOANKMFNJL-KRWDZBQOSA-N 400.478 4.971 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)ccc21 65316564 VOCRYJSGAOCFRH-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD CSc1ccc(C(=O)N2CCC(Oc3cc(C)ccc3C)CC2)cc1[N+](=O)[O-] 195624487 PLICDBHFNPWJOD-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc4ccccc4o3)CC2)c(Br)c1 301330565 DLRRXUWEUZHDMS-UHFFFAOYSA-N 402.248 4.883 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1 301957321 MLIIBAMFLWNGCO-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 303640837 RYOQWVMDRPGBPI-HXUWFJFHSA-N 405.401 4.782 5 20 HJBD O=C(CCSCc1ccccc1OCC(F)(F)F)Nc1ccccc1[N+](=O)[O-] 409849380 NZFCYUGJQGIXBG-UHFFFAOYSA-N 414.405 4.798 5 20 HJBD C[C@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1csc([N+](=O)[O-])c1 430490161 PFYLIYYPITVORZ-HNNXBMFYSA-N 421.478 4.629 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1 431984552 YZWNKYZHETYFSO-UHFFFAOYSA-N 406.442 4.517 5 20 HJBD Cc1nc2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2s1 435815536 FOCRPUUJPPSCSP-UHFFFAOYSA-N 403.438 4.991 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436297668 NNEIUEXTNNZDCK-UHFFFAOYSA-N 424.485 4.875 5 20 HJBD O=C(NCc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc([N+](=O)[O-])cc1 437018039 QZTGDGYJCQKYFX-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 443878027 NDKDLOBRABTVHE-UHFFFAOYSA-N 413.299 4.588 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444334013 FARIBUFCPVLYBQ-MRXNPFEDSA-N 402.519 4.900 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444813659 QJVXMINFJXXEHK-INIZCTEOSA-N 419.762 4.527 5 20 HJBD C[C@H](Nc1ccc(-c2nc(-c3ccc(O)cc3F)no2)cc1[N+](=O)[O-])c1ccccn1 445454062 DYFCVGZNNSESLR-LBPRGKRZSA-N 421.388 4.725 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cc(F)cc(F)c2)nn1C 446978621 DEIPWLQQPJCWAP-UHFFFAOYSA-N 418.425 4.638 5 20 HJBD Cc1ccccc1C(=O)NC1CCN(c2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)CC1 461781484 SMFNJJHJQWXUQN-UHFFFAOYSA-N 421.419 4.629 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cscn2)c1 462489461 NRLSXKUVZXUIAX-MRXNPFEDSA-N 411.483 4.838 5 20 HJBD O=C(Nc1nccc(-c2ccco2)n1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 464458801 JLCBDPSERZXWEW-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 470374344 OMXUAZGQQMSTFA-VXGBXAGGSA-N 410.829 4.543 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ccc1Cl)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 471443765 ORYRWTGOEBCKGB-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccnc(OCc2ccccc2)c1 475551698 LGYSOJKLRSPODI-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD CC(C)c1cccc2c1CCN(C(=O)Cn1c3ccccc3c(=O)c3ccccc31)C2 476083150 AVPMWXGQKJOPLN-UHFFFAOYSA-N 410.517 4.863 5 20 HJBD O=C(N[C@H]1CCCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 477507328 XEJRPYQOFNJLEV-FQEVSTJZSA-N 422.406 4.906 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@@H](C)c2ccccn2)c([N+](=O)[O-])cc1OCCC 479998611 AWCDUITVRSVSLS-HNNXBMFYSA-N 416.478 4.792 5 20 HJBD COc1cc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)cc([N+](=O)[O-])c1C 480043559 OJKFSDUWKDGYJB-IBGZPJMESA-N 420.469 4.595 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCC[C@@H](C)C2)cs1 482035944 GBDVQUQOEMCXLU-CYBMUJFWSA-N 420.560 4.648 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483426826 GXIIZAMXQUZYKP-XOBRGWDASA-N 417.893 4.698 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488274870 WASJWFKQPPLBFF-UHFFFAOYSA-N 415.799 4.651 5 20 HJBD Cc1csc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c1Br 489871590 BYGJJCXQHMIHIX-HNNXBMFYSA-N 413.318 4.615 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccc([N+](=O)[O-])cc1 490282136 GGEDEECJOQKNHH-UHFFFAOYSA-N 420.347 4.580 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1[C@H]2CC[C@H]1CC(N(C)C(=O)c1ccccc1)C2 492786855 ZRVBMPBQDCLPIJ-HOJAQTOUSA-N 407.514 4.732 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498514772 PXHMHEHUZKHZKD-OAHLLOKOSA-N 401.894 4.684 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)c(F)c1 500001776 QQYLYSGVXPPEBZ-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD COc1ccc(C23C[C@H]4C[C@@H](CC(C(=O)NCc5ccccc5[N+](=O)[O-])(C4)C2)C3)cc1 500756426 CYNDRWKUFZLEIA-LYBIEXDWSA-N 420.509 4.758 5 20 HJBD CC[C@H]1C[C@@]1(NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1cccc(C)c1 501606239 JKTLXDGTGSSFSR-QKKBWIMNSA-N 400.500 4.689 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)[C@H]1CCCOC1 503230150 JHAOWCPIVVNLDZ-HIFRSBDPSA-N 404.850 4.585 5 20 HJBD O=C(NCC1CCC(F)(F)CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 506359133 NSSJTZMKYIJIDQ-UHFFFAOYSA-N 406.379 4.515 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)[S@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 507759078 GMKJBFQPIDXYCQ-KPHLJTLVSA-N 415.298 4.740 5 20 HJBD O=[N+]([O-])c1ccccc1CNc1nc(-c2cccnc2)nc2sc3c(c12)CCC3 515480975 QSKOZRPRVCOISH-UHFFFAOYSA-N 403.467 4.762 5 20 HJBD CCOc1cc(NC(=O)N(CC)CCc2cccs2)c([N+](=O)[O-])cc1OCC 520172546 NJDLSDYJUPPTCH-UHFFFAOYSA-N 407.492 4.550 5 20 HJBD CCc1nc([C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cs1 520318542 VNJZPDGYTJFUDU-VIFPVBQESA-N 419.450 4.602 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2nc(-c3cccc(Br)c3)no2)cc1 520662599 SFVZTUOUGPNHMW-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD O=C(NC1(c2nccs2)CCCC1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 522510027 CAJUCSISOUSOEP-UHFFFAOYSA-N 422.510 4.863 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2cccc(Br)c2)CC1 524858367 DCTWZTXUXYAUNE-LBPRGKRZSA-N 421.316 4.643 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525131189 QAUOHMDBSPDTDX-KEYYUXOJSA-N 422.403 4.943 5 20 HJBD Cc1sc(NC(=O)c2csc([C@H]3CCCO3)n2)nc1-c1cccc([N+](=O)[O-])c1 535958027 AQYKCKCJPTVFIJ-CQSZACIVSA-N 416.484 4.587 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1c(C)noc1C 536033361 YXLTYZCQYOXXLZ-DEYYWGMASA-N 414.506 4.563 5 20 HJBD CC(C)(C)c1ccc(CS(=O)(=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 536305384 QMUPEBVETAFELR-UHFFFAOYSA-N 414.483 4.662 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCC2CCCCC2)CC1 536540814 ZVPZCMODKWDFDR-UHFFFAOYSA-N 406.548 4.908 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)cc1 537135539 HKJKGVNPQLHRTH-WMZOPIPTSA-N 406.891 4.620 5 20 HJBD C[C@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])Oc1ccc(Cl)cc1 537211204 POYKRNBFIBTORF-CQSZACIVSA-N 411.845 4.508 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538050497 XKGCGXXLGPTEJJ-QFBILLFUSA-N 406.841 4.573 5 20 HJBD CCOc1cccc2cc([C@H](C)NCCC(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 541658326 JEZUMCBWJYAZJX-HNNXBMFYSA-N 411.458 4.728 5 20 HJBD O=[N+]([O-])c1cc(CNC2CCN(Cc3cc(Cl)cc(Cl)c3)CC2)cs1 544366944 DFQUICOVAFVBJC-UHFFFAOYSA-N 400.331 4.717 5 20 HJBD COc1ccc(OC)c(CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C(C)(C)C)c1 549274116 CMNNMFGEEITGRI-OAHLLOKOSA-N 400.475 4.543 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC(COc2ccccc2)C1 554757160 KYJNJKBEDHTUPJ-UHFFFAOYSA-N 419.437 4.930 5 20 HJBD CCc1nc2cc(CNC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)ccc2n1C1CC1 554880343 VYMJHQIDDFCSOE-UHFFFAOYSA-N 407.474 4.780 5 20 HJBD CC(C)N1C[C@@H](c2noc(-c3ccccc3Sc3ccccc3[N+](=O)[O-])n2)CC1=O 560046890 BEUNOHYOFBVYGV-AWEZNQCLSA-N 424.482 4.520 5 20 HJBD CCCc1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)o1 561793596 SFMHANXMNBDZCU-UHFFFAOYSA-N 411.483 4.925 5 20 HJBD CCc1cc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)c2c(C(C)C)noc2n1 572800939 WOBOMASGZMIKPS-UHFFFAOYSA-N 407.430 4.766 5 20 HJBD COc1cc(CNc2cccc3nc(O)oc23)ccc1OCc1ccc([N+](=O)[O-])cc1 577308084 DSWDGXMYTNPOLJ-UHFFFAOYSA-N 421.409 4.641 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 579990717 KSELRGAAWHLZOL-UHFFFAOYSA-N 419.485 4.722 5 20 HJBD CC(C)(NC(=O)NCc1cccc(COCc2ccco2)c1)c1ccccc1[N+](=O)[O-] 584505396 WZPACRIDVFNXNI-UHFFFAOYSA-N 423.469 4.639 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)CCc1ccco1 603461905 KEIWJPFLPMCGOD-UHFFFAOYSA-N 414.439 4.664 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C2CC2)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1 603520125 PMBKUCTWCXGJBX-ZDUSSCGKSA-N 416.861 4.791 5 20 HJBD COc1cc(CSc2ncc(-c3ccccc3)[nH]2)c([N+](=O)[O-])cc1OC(F)F 603549403 RZROCAFBRRBUSH-UHFFFAOYSA-N 407.398 4.887 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 603741152 IAPPABPOJUJYBG-GJZGRUSLSA-N 421.453 4.711 5 20 HJBD CC[C@H](C)CN(C)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 609505633 ATZNOKDJVRSHAL-AWEZNQCLSA-N 400.500 4.666 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 609709675 LEMSYKBJLNUXRQ-GDLZYMKVSA-N 409.467 4.688 5 20 HJBD COc1cc(C(=O)NCCCc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 609726329 GFLDSBAMNQVAQM-UHFFFAOYSA-N 422.428 4.691 5 20 HJBD CC1(C)CN(S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])[C@H]1c1ccccc1 610261655 HJVZOOFENBYPID-INIZCTEOSA-N 415.298 4.673 5 20 HJBD O=C(NCC1(CCO)CCCCC1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611215937 WJVXARQPDFGNIX-UHFFFAOYSA-N 406.548 4.692 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(CSc2ccc([N+](=O)[O-])cc2F)cs1 618963239 KVKSALNPRKARHV-UHFFFAOYSA-N 417.487 4.972 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(c2cccc(C(F)(F)F)c2)CC1 727367865 JNJQMGJKOGLIPY-UHFFFAOYSA-N 412.795 4.957 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732017709 USGSYHPOUMUYLY-SIFCLUCFSA-N 423.494 4.709 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ncc(C(C)C)o2)n1 733394477 FFCDDPHDYQZUST-UHFFFAOYSA-N 419.484 4.979 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735601290 OWPUIYFTTARYKM-UHFFFAOYSA-N 414.801 4.610 5 20 HJBD Cc1cc(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)c(N)c([N+](=O)[O-])c1 740926358 LCLUOJCEFJOPBB-UHFFFAOYSA-N 422.510 4.629 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc(OC2CCCC2)c(F)c1 743484854 SMNMKCPYHJJRTD-CYBMUJFWSA-N 417.437 4.503 5 20 HJBD O=C(Cc1ccc(Cl)cc1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 746932845 KBHOCMFDTNBJBT-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(OCc4ccccc4F)cc3)n2)cc1 747445382 OISAYAAFGRCKMC-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 749434999 DLAJLFFYVQRWPV-WDEREUQCSA-N 421.744 4.757 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1cccc(Cl)c1[N+](=O)[O-] 750003708 KSUANVOTRSROFX-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccn1 760725248 GLMSGVBUNNIZRH-IBGZPJMESA-N 412.833 4.563 5 20 HJBD Cc1cccc([C@@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)c1 773128323 FTBNLIOGMIFCLP-XMMPIXPASA-N 402.498 4.646 5 20 HJBD O=C(NCc1ccc(OC(F)F)cn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 773596003 ASERZUMVHUAJDC-UHFFFAOYSA-N 423.441 4.556 5 20 HJBD CC(C)(C)c1ccc(S(=O)(=O)Nc2cccc([N+](=O)[O-])c2Br)s1 775207260 GIEWIZSWNUIBIY-UHFFFAOYSA-N 419.322 4.517 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 776450247 CTZUKWZIGDMZAM-FQEVSTJZSA-N 408.408 4.754 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] 777219851 BNWJTKSGRPXCKQ-OTWHNJEPSA-N 409.442 4.610 5 20 HJBD CCC1(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)CCOCC1 780646738 PNARULFROGKGAV-INIZCTEOSA-N 413.474 4.527 5 20 HJBD Cc1nc2cc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)ccc2s1 781502178 BCXDIESJZHIORJ-UHFFFAOYSA-N 412.471 4.588 5 20 HJBD CC(C)C(=O)Nc1nc(CC(=O)OCc2nc3ccccc3c3ccccc23)cs1 782351831 AJIWIPULLNCLFO-UHFFFAOYSA-N 419.506 4.725 5 20 HJBD O=C(Nc1cnc(-c2cccc(C(F)(F)F)c2)cn1)c1cc(F)c([N+](=O)[O-])cc1F 788107550 GFQZNFKGJPESKM-UHFFFAOYSA-N 424.285 4.601 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cc([N+](=O)[O-])ccc1Br 791995109 IJSBVODUCIKDPL-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 800148774 BXJQYPCQWQFNEO-UHFFFAOYSA-N 410.361 4.946 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Oc2cc(C=O)cc(C(F)(F)F)c2)c1Br 811860170 IUPWRAIFYDVZIQ-UHFFFAOYSA-N 405.126 4.684 5 20 HJBD COc1ccc([C@@H](O)C2CCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 812774174 HHTNKNFOHNLFMO-XMMPIXPASA-N 422.481 4.809 5 20 HJBD Cc1ccc(-c2cc(COC(=O)c3ccc(Br)c([N+](=O)[O-])c3)on2)cc1 915233775 DPDHYDRAJLNTRJ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(Nc1nc(Cc2ccc(Br)cc2)cs1)c1ccc([N+](=O)[O-])s1 917715080 YNTKZQRTLCPDPF-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1COc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 918218622 YRLDCHHUZQMDSP-KBXCAEBGSA-N 403.866 4.562 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3cc(F)ccc3Br)o2)c(Cl)c1 1118132865 KPTPXNHQPPETFA-UHFFFAOYSA-N 412.602 4.791 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1-c1ncc(-c2ccc(F)cc2)o1 1317908774 JLWYBUZMSPWJLV-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC(Cc2ccccc2C(F)(F)F)C1 1322445775 AXYRFXNHATXJNO-UHFFFAOYSA-N 419.403 4.503 5 20 HJBD COc1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1NC(N)=O 1324792749 DHMNQKWRJJDKRS-UHFFFAOYSA-N 416.821 4.620 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1345625006 VPUXCXQTTXLMFJ-VIFPVBQESA-N 408.183 4.686 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 1926150 QRWIYJLPCWPQCO-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc2ccccc21 8807539 LINCRPSPSKAKPJ-NSHDSACASA-N 404.879 4.737 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Br)c(C(F)(F)F)c1 14662690 MPNJSZWBXOHHJH-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CC(C)c1ccccc1-n1c(Sc2ccc([N+](=O)[O-])cn2)nnc1-c1cccnc1 23615124 OQDUVBYUPIDTLC-UHFFFAOYSA-N 418.482 4.907 5 20 HJBD Cc1ccc(-n2cnn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2=S)c(C)c1 27533340 STMHIOZDDZJHDF-UHFFFAOYSA-N 417.922 4.671 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(=Cc2cccc(F)c2)CC1 42737235 OYQNVQDMYUPJAB-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(Br)cc1 45469586 JVBYSBXYYYWPPC-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD C[C@@H](Cc1ccsc1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 54140685 MEBUTAKHLBSGLF-GJZGRUSLSA-N 410.499 4.585 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCc2ccccc2F)cc1 61555195 NYNRWNVVDLRXAS-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 108819044 HYTPWAURFYJFAM-LLVKDONJSA-N 411.683 4.762 5 20 HJBD CCOc1cc(C(=O)OCc2ccccc2Oc2cccnc2)c([N+](=O)[O-])cc1OC 109867455 UVZPUXDFYMAHCB-UHFFFAOYSA-N 424.409 4.546 5 20 HJBD Cc1ccc(/C=C(/Cl)c2nnc(C(=O)Nc3ccccc3)s2)cc1[N+](=O)[O-] 210161085 HPKKBQZTNLZYBZ-NTEUORMPSA-N 400.847 4.744 5 20 HJBD Cc1nn(C)c(C)c1CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237711416 LAKNKLUGMHNRHE-UHFFFAOYSA-N 400.866 4.681 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccc([N+](=O)[O-])cc2)c2ccc(Cl)cc2)c1 301467514 MKELXQDXTFRJCD-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD C[C@H](C(=O)Nc1cccc(Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1)n1cccn1 301867020 VQQNOZWYIQSJAB-GFCCVEGCSA-N 419.363 4.753 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CC1)c1sc(Br)cc1[N+](=O)[O-] 409534433 JDWLKZRTUSYUJR-UHFFFAOYSA-N 415.696 4.534 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3ncc([N+](=O)[O-])s3)C2)n1 409655991 FIAAAGLGYPLAPQ-GFCCVEGCSA-N 402.501 4.567 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(-c3cncnc3)cn2)cc1[N+](=O)[O-] 410159974 XHBCFQPGQCSZCK-UHFFFAOYSA-N 423.498 4.837 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3occc3C(C)C)no2)ccc1[N+](=O)[O-] 426231709 JPMUHFGBTGVANO-QGZVFWFLSA-N 410.430 4.647 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccco1 426969670 XJPSDXKNNMTIHY-DEOSSOPVSA-N 424.456 4.802 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 427449353 NNGLNTFOFQAXAH-IBGZPJMESA-N 424.432 4.500 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 428634278 ZZVZGOTXZFWNSU-JOCHJYFZSA-N 420.896 4.794 5 20 HJBD CC[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 431686099 LYDLIAIEOZPFJI-LBPRGKRZSA-N 416.425 4.756 5 20 HJBD CC(C)c1ccc(NC(=O)c2ccc(N3C(=O)CCC3=O)c([N+](=O)[O-])c2)c(C(C)C)c1 435560036 KZLNYPBASHOVPW-UHFFFAOYSA-N 423.469 4.747 5 20 HJBD COCc1cccc2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc12 436432689 RVYXQZTZVJSOEE-UHFFFAOYSA-N 415.474 4.690 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)c(Br)c1 437200861 ISACOXZZTRUEOY-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1ccc(Br)cc1[N+](=O)[O-] 438456988 PQHKRUCGMHUJTA-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C2CCCCC2)C(F)(F)F)cc1SC 439838060 AZWMNMRTGRIVSL-OAHLLOKOSA-N 406.426 4.566 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444245563 XYQSQPZAOREKNN-KDOFPFPSSA-N 418.443 4.978 5 20 HJBD Cn1ccnc1[C@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 446849161 WHSWRPBOPNMTDO-KRWDZBQOSA-N 410.449 4.639 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](NC1CCN(Cc3ccc(F)c(F)c3)CC1)CCC2 447539252 GHOVZZHPHZQIJT-JOCHJYFZSA-N 401.457 4.505 5 20 HJBD Cc1nc(CCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)oc1-c1ccccc1 460352670 OTZCNTMWCCQQCU-JOCHJYFZSA-N 423.494 4.765 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2ccn(-c3ccc(F)cc3)n2)o1 462736770 HGHPKWXBWSZIDW-UHFFFAOYSA-N 406.417 4.512 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)c(Cl)c1 463169084 HGHWFOIOGAYCER-UHFFFAOYSA-N 424.829 4.965 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnn(CC(C)C)c2)cc1 464388565 XBJRFUQAUXWYTQ-UHFFFAOYSA-N 410.430 4.501 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CC[C@]2(CCOC2)C1 466526889 KJPLQFCWIJZSRM-FQEVSTJZSA-N 418.902 4.652 5 20 HJBD CCC(O)(CC)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467792698 JYWYWALWWBBYKK-UHFFFAOYSA-N 408.907 4.680 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1 470879353 HAJZEDOGHHJYGA-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3cc(F)c(C)cc3s2)cc1SC 478130944 GMDCCTCKGOERLJ-UHFFFAOYSA-N 407.448 4.635 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(OC(F)F)c2cccnc12)c1cccc([N+](=O)[O-])c1 482099985 WDSNCRIEWCTRLD-LLVKDONJSA-N 402.357 4.627 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2C)cc1SC 482363264 GOYPZFXNYCYLEF-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD COc1cc(OCC(=O)N[C@@H](c2oc3ccccc3c2C)C(C)C)ccc1[N+](=O)[O-] 482885754 YHKNQDKHQHKWLO-OAQYLSRUSA-N 412.442 4.550 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1C 485832105 JPQRCRXWVUUCAB-LBPRGKRZSA-N 416.840 4.932 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 486877412 FDIZUHFWISXHDF-GFCCVEGCSA-N 404.854 4.870 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cn1 488031697 DWNSEZFSPIYIPB-QGZVFWFLSA-N 405.454 4.677 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H](c3ccccc3)C2)cc1SC 489638198 KAJUPKQNIKKDST-JKSUJKDBSA-N 400.500 4.782 5 20 HJBD CC(C)(C(=O)NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 490807065 RSEOXOKEQZHNRU-OAHLLOKOSA-N 412.383 4.864 5 20 HJBD C/C(=C\c1ccccc1)CN(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 494896729 WOCCVBCATZOJIU-GXDHUFHOSA-N 408.376 4.711 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500620528 XSLJOOKOXOJCMB-QZTJIDSGSA-N 413.271 4.818 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCC[C@H]1CCCc1ccccc1 502403251 NQXYUZPVYGWLQM-SFHVURJKSA-N 419.481 4.866 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@@H]1CCCOC1 504861039 GZTALXDEYIHWHO-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD Cc1n[nH]cc1CCCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 509591897 LDQBCPTUTJFLIG-UHFFFAOYSA-N 400.866 4.794 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccccc1C(F)(F)F 509735409 NHDPCLNYFBSSMH-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD CCN(CC)[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 510329520 PRDIJEZPFKXTLK-IBGZPJMESA-N 423.435 4.655 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1C(C)C 513031242 KMKUQHUWEZVRCC-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD Cn1ccnc1[C@@H](NCC(c1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1 513665175 CDPVTNDTWFAKAV-DEOSSOPVSA-N 412.493 4.839 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc([C@@H]4CCOC4)cc3)cc2[N+](=O)[O-])CC1 521633653 BTVFYRPJYDFVBV-LJQANCHMSA-N 409.486 4.587 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1Br 523525699 BDXHYUYJZZERDE-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 524459738 SHVLHOUYIRJUKN-CYBMUJFWSA-N 424.906 4.783 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)c(Br)cc3F)co2)cc1 534058370 ABUFMDQZQSXLOC-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1ccccn1 536331856 CJEYAHSHYHIDPI-OAQYLSRUSA-N 406.442 4.526 5 20 HJBD Cc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c2ccccc2n1 538252553 WFUWPKNXEJJZTL-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)n(-c2cccc(F)c2)n1 539535122 DRZIDKQHWXRVTG-UHFFFAOYSA-N 400.435 4.592 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1 539757617 BJXKGEHXSBOHDH-UHFFFAOYSA-N 424.363 4.759 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CCO1 540456392 STZRDSHKMMFMPF-LJQANCHMSA-N 400.500 4.617 5 20 HJBD Cc1cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1Br 540520400 LHQICKVHKFPKQE-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 544118126 YWUVVFVTOUPLGG-IIBYNOLFSA-N 407.470 4.884 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3nnc4n3CCCCC4)c([N+](=O)[O-])c2)cc1 570347588 DAPMXKHHZJFIHS-UHFFFAOYSA-N 423.498 4.625 5 20 HJBD O=C(Nc1cccc(N[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1)C1CCCCC1 573193876 TYZKFHXYHHJOLA-VQTJNVASSA-N 411.506 4.906 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 583117915 PEZSOPPFJCFWAG-LLVKDONJSA-N 413.257 4.669 5 20 HJBD CCOC(=O)c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)o1 589196379 BPBJILYGZVIWNW-UHFFFAOYSA-N 404.806 4.568 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1cccs1)Cc1cccs1 591426863 IQPANSJEYXBZMS-UHFFFAOYSA-N 401.513 4.749 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2F)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 592943563 DKJCKTOWUHYELT-VIFPVBQESA-N 422.825 4.557 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 603620488 YFPJUQQSFYTZDZ-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4ccc(OC)c([N+](=O)[O-])c4)CC3)c[nH]c12 604193383 OIFSBGBPNMVSMG-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 604244274 PJYIUASALXGFKM-WBVHZDCISA-N 409.511 4.963 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 604455182 IBWPLKAIIUDQKN-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] 608778370 RIENNLHUIACTHA-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD COc1cc2c(cc1CNCc1ccc(-c3ccc([N+](=O)[O-])cc3)s1)O[C@H](C)C2 608835612 CTBCEBHMCPDJTP-CQSZACIVSA-N 410.495 4.945 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H](c2ccccc2)CC1 608968510 RXKPGSAKPQWMNG-RDJZCZTQSA-N 402.878 4.812 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C/c4ccc([N+](=O)[O-])cc4)n3)c2)n1 609475722 BEVKZMDJNBLWFR-DHZHZOJOSA-N 401.426 4.677 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Br 609497702 AMUODNXOVRNUKM-SECBINFHSA-N 413.655 4.510 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H](C)c2c(C)noc2C)c2ccccc2)c([N+](=O)[O-])c1 609615587 FVLQZFPNKAWANX-LHSJRXKWSA-N 408.458 4.539 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1cnc(-c2ccccc2)s1 730958197 QHIBQWFUGITYDL-NTEUORMPSA-N 411.826 4.716 5 20 HJBD COc1ccc(/C=C\C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1OC 743789737 SVZDCYQBHNYSBV-PTNGSMBKSA-N 418.449 4.859 5 20 HJBD Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1N1CCSCC1 749821258 HQLSQLYZTDJPPM-UHFFFAOYSA-N 413.524 4.923 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 749925808 RKPNYZKRGUZXTC-JTQLQIEISA-N 402.756 4.680 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(c2ccc(F)cc2)CC1 752458192 LYWRRSMQEZNKFB-UHFFFAOYSA-N 414.480 4.700 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 754482440 YUIBQCQDIFQAIX-ZDUSSCGKSA-N 424.482 4.843 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc3c(c2)CCN3C(=O)OC(C)(C)C)c1[N+](=O)[O-] 758467561 PCIZUXYDCIUYIL-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CC[C@@H](NC(=O)OC(C)(C)C)C(C)C 766244721 SIGBYJWAAAVQCU-MRXNPFEDSA-N 422.526 4.615 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ncc(C)s2)n1 771871570 FRTBTKAWKOZSOF-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)cc2c1 775491736 IKSPZLCEYFHYLL-UHFFFAOYSA-N 408.801 4.606 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(N3CCCC3=O)c(Cl)c2)cc1[N+](=O)[O-] 776806986 QMFBTBVICUBXNP-ZDUSSCGKSA-N 403.866 4.947 5 20 HJBD Cc1nc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)[nH]c1-c1ccccc1 777586640 AVEBGLWHLILJGL-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)c1cccc(Cl)c1 783314570 BNDKPBQFMBXLPF-NSHDSACASA-N 416.842 4.800 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCC(=O)c2csc3ccccc23)cc1SC 795492829 XQPBCDJGWKAYIC-UHFFFAOYSA-N 417.464 4.580 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1cccc(Cl)c1[N+](=O)[O-] 804871580 NHCIEYWCUQODKZ-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(-c3cc(O)nc4ccccc34)no2)c1 809499910 XMLWOIPSAOHPFN-UHFFFAOYSA-N 417.425 4.556 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C/c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC1=S 810206602 SWGYYBLGHFULIL-VBKFSLOCSA-N 421.503 4.894 5 20 HJBD CCc1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811372925 KZNOAGCIAFEQDA-JTQLQIEISA-N 411.241 4.648 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 812902493 KQCJFTQSKVZXED-UHFFFAOYSA-N 413.257 4.629 5 20 HJBD COc1ccc(C2(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CCCC2)cc1OC 813244939 BVFFFBFJPSOWCZ-UHFFFAOYSA-N 404.850 4.716 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 916086158 TZIOMDSKRQIPNY-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1sc2ccccc2c1Cl 918837058 HXPVECCEKCVZJR-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 921154522 VDWGGDMPMOHWHW-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2cccc(F)c2)nc1 1319619239 VEMMYLGNBVNGFI-UHFFFAOYSA-N 406.373 4.584 5 20 HJBD O=C(CCCSc1ccc(Cl)cc1)OCc1cc([N+](=O)[O-])cc2c1OCOC2 8928670 FIIKDSUIEGYABU-UHFFFAOYSA-N 423.874 4.730 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccc(Cl)cc1 16054115 KLBORXMFELCIMI-JTQLQIEISA-N 407.904 4.655 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2cccc(F)c2)nc2cc3ccccc3cc2c1=O 18467437 JQAOIKMPLDZICG-AWEZNQCLSA-N 421.497 4.828 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nc2ccccc2c(=O)n1C1CCCC1 26120355 RQKKOLLFAZDAIV-UHFFFAOYSA-N 411.483 4.721 5 20 HJBD C[C@@H](c1nnc(Sc2ccccc2[N+](=O)[O-])n1-c1ccccc1)N1CCCCC1 29004794 AJVVMAVXBQOWDK-INIZCTEOSA-N 409.515 4.874 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)CSc3ccc([N+](=O)[O-])cc3)cn2)c1 46794641 YUFONJIWWDSSNM-UHFFFAOYSA-N 423.494 4.807 5 20 HJBD Cc1ccc2nc(C)c(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)cc2c1 59253277 QSVFYJNLYKIWEM-UHFFFAOYSA-N 417.387 4.965 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(OC(F)(F)F)c1 64419741 FBHBGQFKCWMVNP-VIFPVBQESA-N 404.728 4.553 5 20 HJBD Cc1cc(N[C@H](CN2CCCC2=O)c2cccc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 301947968 DHHFOXXFBJMJAX-QGZVFWFLSA-N 407.392 4.698 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)Nc3cccc([N+](=O)[O-])c3)c2)c1 409680461 WDVKDJNUNMESJS-UHFFFAOYSA-N 419.441 4.869 5 20 HJBD CC1(C)CC[C@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc21 409968815 AIGCGEZWPUJTOU-FQEVSTJZSA-N 407.514 4.740 5 20 HJBD C[C@@H]1C[C@@]1(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 410376129 UERNXJYZGQEJFJ-QRQCRPRQSA-N 413.905 4.516 5 20 HJBD O=C(Nc1cccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1)c1ccoc1 426077961 MQJPAEIXZLBCLR-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD COc1cc(CNC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC1CCCC1 429885874 DZEVDHYGYJUFPC-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])n2)cc1 433765372 HOCFTZRRIRBSHH-UHFFFAOYSA-N 415.475 4.606 5 20 HJBD CC(C)COc1ccccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436139565 ZEOYLEGWXQTURZ-UHFFFAOYSA-N 418.468 4.785 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2Cc2nc(-c3ccoc3)no2)cc1 445456178 HZGGJIQPMQYSHS-UHFFFAOYSA-N 413.393 4.681 5 20 HJBD CC(C)N(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1cnc2ccccc2c1 448459038 LVUZBDZHMASHAF-UHFFFAOYSA-N 401.369 4.800 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](c1cc(F)ccc1F)c1ccccc1Cl 460554864 DHAZZKBJCHOZCV-NRFANRHFSA-N 416.811 4.975 5 20 HJBD C[C@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1ccc(C(F)(F)F)cc1 461397366 AURLIQOURJGINM-CYBMUJFWSA-N 408.376 4.528 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@H](COCc3ccccc3)C2)nc1OCc1ccccc1 462480458 MANKGGRCNITMQL-NRFANRHFSA-N 419.481 4.612 5 20 HJBD C[C@@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c2ccccc12 462673360 FDVCOQJKAKHHTL-CYBMUJFWSA-N 404.875 4.582 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC(F)(F)[C@H](CO)C3)cc2[N+](=O)[O-])cc1 462862654 XAUWIMCYKZFXRM-INIZCTEOSA-N 408.470 4.504 5 20 HJBD COc1cccc(Oc2ccc(CNC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cn2)c1 463974124 UXYVVUCBMPSNAQ-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(C)C 466578810 UPXYOYAHCOQPAP-ZDUSSCGKSA-N 421.881 4.604 5 20 HJBD C[C@H](N[C@@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 467840293 UFFOTCQEKBDJFC-LIRRHRJNSA-N 421.419 4.628 5 20 HJBD O=C(Nc1cc(-c2nc[nH]n2)ccc1F)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 468216927 VNMPBLIKTVLBAK-UHFFFAOYSA-N 418.388 4.515 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)c1 474752107 RYCXKFHWKXEDNP-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)Cc2ccc([N+](=O)[O-])cc2F)cc1 477212192 BOZDFKIHBUSUFO-XMMPIXPASA-N 421.472 4.872 5 20 HJBD Cn1cc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 478192908 DZUIAWDUPDXYCE-OAQYLSRUSA-N 406.461 4.860 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H]1C[C@H]1c1ccc(Cl)s1 486740513 GQFALXSWIIKUDO-VXGBXAGGSA-N 404.797 4.748 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCCCCc2ccc(F)cc2)cc1SC 490415366 PGCHCNCDABSRIE-UHFFFAOYSA-N 406.479 4.607 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2cccc3[nH]ccc23)c([N+](=O)[O-])cc1OCC 494538815 AEIFWUXUVXPMRY-UHFFFAOYSA-N 412.446 4.537 5 20 HJBD O=C(NC1CC(c2ccccc2)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 500933700 LVCMSJHMMQLQEQ-UHFFFAOYSA-N 404.416 4.636 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)N3CC=C(c4ccccc4F)C3)cc2[N+](=O)[O-])C1 501585543 ADKZGXHIOGRADU-MRXNPFEDSA-N 409.461 4.510 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H](c1ccccc1)c1cccnc1 503260604 PTSBDWHYOODTSU-SFHVURJKSA-N 414.368 4.502 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)nc(-c2ccc(Cl)cc2)n1 505535910 JTFLGRFDJOZQCQ-UHFFFAOYSA-N 422.872 4.818 5 20 HJBD COc1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1OCc1cccnc1 507176673 YSTNUKWIRYTJGS-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1C 508249245 PKFWBUVIPAFODT-UHFFFAOYSA-N 418.453 4.724 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1cccc([N+](=O)[O-])c1 508291535 YXFMWTIEEDFZQG-KBPBESRZSA-N 415.877 4.904 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C(C)=O)ccc2O)cc1 512559639 MJAOFMKAQUDHSS-UHFFFAOYSA-N 422.393 4.556 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1C[C@@H]1CCCO1 514898454 ISIOZGCJRKBONB-NYHFZMIOSA-N 419.437 4.600 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 522166729 KQMHOQUROUPDGX-SNVBAGLBSA-N 421.837 4.917 5 20 HJBD COc1cc(CN[C@H]2CCC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 522717203 OQQUTEJRYGBWTQ-RBUKOAKNSA-N 416.543 4.946 5 20 HJBD C[C@H](Oc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cn1)c1ccccc1 532870547 FVGQWNGJCLNYGY-KRWDZBQOSA-N 417.465 4.935 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)c1C 534748471 IQHPGLQZQJTEBQ-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 536944353 RBBWPGTUFPTUKC-KHDYIWLOSA-N 421.856 4.586 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149195 ZBJUBCUWUAAAKW-UHFFFAOYSA-N 416.528 4.958 5 20 HJBD CCOc1cc(N[C@H](C)[C@H](OC2CCOCC2)c2ccccc2)ccc1[N+](=O)[O-] 537835217 ULYYDZFLGIOWRS-ZHRRBRCNSA-N 400.475 4.731 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](CCc1ccccc1)c1ccccc1 539276073 KJQYMGPMRSXLTL-NRFANRHFSA-N 403.482 4.805 5 20 HJBD CN1CC[C@H](CNC/C=C/c2ccccc2[N+](=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 539564887 AXHWCBJGOYUUHJ-RKUWUGLRSA-N 403.885 4.683 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544461299 DUQCCBPCZFLYGE-MOPGFXCFSA-N 400.450 4.651 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@@H]2c2cccc(C(F)(F)F)c2)n1 544593410 MADOOXDUBVJBIZ-CABCVRRESA-N 418.375 4.922 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)c1)c1ccco1 545609666 DYRSTFDOBUWARW-CLFYSBASSA-N 420.356 4.800 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1cc([N+](=O)[O-])ccc1OC(F)F 549017417 GEZXHZCVJIQURW-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 552215626 BCMYWUIYVAXTGH-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCC[C@@H](CO)C3)o2)c(Br)c1 562893013 ADMCETMVETWMPP-UONOGXRCSA-N 423.307 4.506 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 576620143 OBIDPBDWYPCRLP-JICACKBISA-N 410.405 4.559 5 20 HJBD C[C@H](C(=O)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1cccc([N+](=O)[O-])c1 580610027 JQSKLSFNTIXKPL-YJBOKZPZSA-N 421.522 4.744 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 581220917 MLUJKJVXEPOMQI-YLJYHZDGSA-N 401.438 4.680 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c1 603905508 BHNIYDWYQTYNQO-INIZCTEOSA-N 409.269 4.953 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCc3ccccc3CC2)cc1 609696153 JBSYHXCPZPMHJT-UHFFFAOYSA-N 418.449 4.637 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3c(cnn3C(C)C)c2)cc1SC 609764146 SPBQKDSEEWCZAQ-UHFFFAOYSA-N 400.460 4.508 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(Cl)cc1Cl 609962085 ZPEGHXMDXWTEBL-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CC(C)(C)c1ccc(-c2cc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)on2)cc1 610011162 QEQXNUDZAJNEIH-UHFFFAOYSA-N 423.425 4.571 5 20 HJBD O=[N+]([O-])c1cccc(CN[C@@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)c1 610258978 AURNCLBSWABGDK-QFIPXVFZSA-N 410.857 4.899 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610289305 GXOCCFPIYLQXAC-UHFFFAOYSA-N 406.282 4.605 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@@H](c2cc[nH]n2)C1 612531930 MLWXRLZMHQOQSL-MRXNPFEDSA-N 414.531 4.620 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@H](CC(F)(F)F)c1ccc(Br)cc1 612593500 HMGLJHLKSCWNQZ-CQSZACIVSA-N 419.197 4.846 5 20 HJBD CCN(CC)C(=O)c1ccccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 729037932 DPLWCPBNPHPDAU-UHFFFAOYSA-N 412.490 4.519 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)[C@H](c1cccnc1)c1ccc(F)c(F)c1 733104403 DQLYIBRTURPPFW-FQEVSTJZSA-N 415.371 4.659 5 20 HJBD Cc1ccc(-c2nc(CC(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 734782020 KMKITTDOUFTUEQ-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD Cc1c(Cl)c(COC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)nc2ccccc12 735353548 KITHNGVTZNIIMA-UHFFFAOYSA-N 423.812 4.716 5 20 HJBD C[C@H](Sc1ccc(S(=O)(=O)N2CCCCC2)cc1[N+](=O)[O-])c1cccs1 737425709 ZUHZVGGKYZMQFM-ZDUSSCGKSA-N 412.558 4.684 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 737607896 BIANIKZGQPQQEJ-UONOGXRCSA-N 403.276 4.684 5 20 HJBD CN(C)C(=O)C[C@@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)c2ccc(Cl)cc21 742411812 GORKWWRKZFFKND-LLVKDONJSA-N 416.890 4.572 5 20 HJBD NC(=O)c1ccn(-c2ccc(NC(=O)c3c4ccccc4cc4ccccc34)cc2)n1 746521324 PZSZSNKPQKUZGA-UHFFFAOYSA-N 406.445 4.530 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)Nc1ccc(OC(F)(F)F)cc1)C1CC1 746534143 PVFXHLGRAPSNCE-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD O=C(CCc1ccc2ccccc2c1)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1 749358277 JWWBVYLKMPVDRK-UHFFFAOYSA-N 419.481 4.535 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 754232687 BDSCBMRBKSKUIU-GFCCVEGCSA-N 403.410 4.823 5 20 HJBD CN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc2ccccc2c1 754966439 NLSMFWOKLCVIJQ-UHFFFAOYSA-N 403.207 4.926 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)C(C)C)c1C 766231809 MQDDDJOZTIVQLR-NRFANRHFSA-N 421.453 4.580 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 767992441 UOCJIFZGVSAORV-CDDKBXLESA-N 407.857 4.757 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(C)C 771512605 DJAQTOPUQPQONH-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)OCc1ccc(Br)c([N+](=O)[O-])c1 773345279 FGHXQIKQGQUSRY-UHFFFAOYSA-N 403.232 4.515 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cc1ccc(OCc2c(C)noc2C)cc1 778740193 SJFXWFVHMDPMII-INIZCTEOSA-N 424.453 4.934 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccc(S(=O)(=O)C2CCCC2)cc1 778791127 VGJAUFOREPEXLK-HNNXBMFYSA-N 417.483 4.538 5 20 HJBD CC[C@@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 779742685 LQMYCKMGXXNEHA-HZPDHXFCSA-N 418.877 4.971 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780715087 FLKJDWAFYFEBQT-XMMPIXPASA-N 412.489 4.579 5 20 HJBD COC(=O)[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Cl)c1Cl 781592112 BAUMTUFYKVKMFC-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD C[C@H](CCOC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)OCc1ccccc1 789043359 RCRJBBKNPWNUGI-OAHLLOKOSA-N 412.467 4.871 5 20 HJBD COc1c(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 793629354 RFWJMMXWTPADNG-NRFANRHFSA-N 406.438 4.522 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] 800565839 YXENTXMCFDHNMK-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(C(F)(F)F)nc1 808950345 LOWFMTTWJUIUIJ-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@@H](O)c1cccc(C(F)(F)F)c1 811480310 TYKPETXURZZXHE-CQSZACIVSA-N 409.191 4.744 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(-c2ccccc2)nc1O 815193636 UFSCOAOHZNQESB-UHFFFAOYSA-N 418.327 4.738 5 20 HJBD C[C@@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 816996600 PXWKKYCZMJJPMR-WCVQDECDSA-N 420.387 4.615 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 911601121 IEHUXKJYOJOQKQ-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1cc2cc([N+](=O)[O-])ccc2o1 915808725 MFKCXMCZTGPXNK-UHFFFAOYSA-N 418.228 4.966 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(F)cc2)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916078730 AECPRSSMRSGMPL-IBGZPJMESA-N 401.394 4.612 5 20 HJBD COc1ccc(CNc2ccc3c(c2)OCCO3)cc1OCc1ccc([N+](=O)[O-])cc1 916839450 ACETVZNIGOOEBG-UHFFFAOYSA-N 422.437 4.566 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CC=C(c2c[nH]c3ccccc23)CC1 935487814 AKUHQSWBJYACNB-AWEZNQCLSA-N 424.888 4.846 5 20 HJBD CC(C)(C)[C@@H](Cn1ccnc1)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1251724313 JUCGRQJMVSFQEP-LJQANCHMSA-N 402.882 4.909 5 20 HJBD CCOc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3C)o2)cc(OCC)c1OCC 1257629129 AWJYIXPOCPXVJV-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD CC(C)CN(C(=O)/C=C/c1nccs1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1262730123 KDPAQDDQYJDRIP-BQYQJAHWSA-N 414.512 4.877 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(Cl)c2c1 1317858694 SNRXHTNEFPHUPG-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C12C[C@H]3C[C@@H](CC(O)(C3)C1)C2 1318566974 WQQBVCZWYPGLCP-SNTCSEMISA-N 408.454 4.657 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)c1 1322167294 PNNAZFREMMTQAJ-UHFFFAOYSA-N 409.405 4.846 5 20 HJBD CC(C)[C@@H](Oc1cccc(Cl)c1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1328232144 MVZAKRFZJVTWLU-GOSISDBHSA-N 403.822 4.986 5 20 HJBD C[C@@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 11443932 LQKSKVRDYHPZOM-SECBINFHSA-N 422.821 4.786 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)Cc2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 22643892 OMDIDJQUDHMDIU-QGZVFWFLSA-N 418.449 4.683 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])cc1F 60190583 QIRJLLYJAXUCOE-UHFFFAOYSA-N 421.837 4.984 5 20 HJBD O=[N+]([O-])c1c(NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)ccc2ncccc12 109168791 HLHWVYDDKDKUFR-UHFFFAOYSA-N 413.359 4.960 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC/C=C/c2ccccc2[N+](=O)[O-])cn1 236974407 VAFZUQLYEORCKS-VQHVLOKHSA-N 405.454 4.984 5 20 HJBD COc1cc(CNCc2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC(F)(F)F 237238295 JWHHIVIRHBFCFL-UHFFFAOYSA-N 410.348 4.581 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)c2ncccc12 301085728 PYFMFIWMXWRKKP-UHFFFAOYSA-N 416.365 4.946 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303087383 BXBLTKGEZRZEHS-FOIQADDNSA-N 424.526 4.809 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)[C@H](Oc1ccc(F)cc1Cl)c1ccccc1 409805041 OSACLXVEJKNTJW-HXUWFJFHSA-N 414.820 4.824 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccccc1[N+](=O)[O-] 431658555 HPGOZUWMBJSGFO-UHFFFAOYSA-N 406.467 4.887 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](C)Oc3ccc(Br)cc32)cc1[N+](=O)[O-] 436345445 PYCSFFWMPAWZLO-JTQLQIEISA-N 423.288 4.507 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)CCNc3ccccc3[N+](=O)[O-])ccn2)c1C 437137159 RSYSQMUBGKUSAW-UHFFFAOYSA-N 420.469 4.517 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1CCN(C)C 438554571 AJENQWYSQKICCY-UHFFFAOYSA-N 401.532 4.699 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444039380 MFAJFYVINZONGT-UHFFFAOYSA-N 402.469 4.915 5 20 HJBD O=C(N[C@H](Cc1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444085977 NSRHKZHJZQMBRY-JOCHJYFZSA-N 418.443 4.711 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNC3(c4cc(C(F)(F)F)ccc4Cl)CC3)[nH]c2c1 444712093 YKXRCOWNRYVINQ-UHFFFAOYSA-N 410.783 4.922 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(Br)cc3F)no2)cc1 445443231 BNXRGVCFUSTNDI-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD O=[N+]([O-])c1cnn(CCSCc2csc(-c3ccc(C(F)(F)F)cc3)n2)c1 448076263 FIEKICBUCKOTLQ-UHFFFAOYSA-N 414.434 4.867 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)no1 462486358 QRHHZDJDJWEUSN-IBGZPJMESA-N 421.497 4.910 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 462611230 GDURXSRALBXKSP-BPUDTRNYSA-N 423.557 4.675 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463161785 BSYNQFXJNDMUCZ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463647695 FLANKPAJVGKAEB-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 466289427 UXVBMSVBKFUVLQ-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD Cc1oc2ccccc2c1CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 466861795 GRQLDMMMEXTMPV-UHFFFAOYSA-N 407.451 4.978 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(c2ccccc2C(F)(F)F)CC1 474230752 JKSGZKPKKYJBHA-UHFFFAOYSA-N 421.419 4.740 5 20 HJBD COCCC[C@@H](NCc1cccc([N+](=O)[O-])c1C)c1ccc(Br)cc1 477738104 BBLPPVRCVPKEJS-GOSISDBHSA-N 407.308 4.923 5 20 HJBD CC[C@H](C)c1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 478299352 AKKJEQAZHUEVBF-HNNXBMFYSA-N 406.442 4.730 5 20 HJBD CC(=O)N(c1nc(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])cs1)C1CC1 481964184 COGXOFKNLAKOTN-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)c2C(C)C)cc1 484808844 HNISYJHCDQSFLT-UHFFFAOYSA-N 419.485 4.803 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 485727405 AHKPVCDTGNEIFI-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CSc1cccc(C(=O)NC2(c3ccc(Br)cc3)CCC2)c1[N+](=O)[O-] 485756275 KOMDOCFUIIBZER-UHFFFAOYSA-N 421.316 4.888 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@@H](c3nc4ccc(C)cc4[nH]3)C2)c1[N+](=O)[O-] 486462088 ACOIKINTOOVTBN-CQSZACIVSA-N 410.499 4.521 5 20 HJBD Cc1c(NC(=O)N[C@@H]2CSc3ccc(Br)cc32)cccc1[N+](=O)[O-] 486780911 LNFYYYQLRNLGID-CYBMUJFWSA-N 408.277 4.634 5 20 HJBD CCOc1ccc(C(=O)Nc2nc3c(C(F)(F)F)cccc3s2)cc1[N+](=O)[O-] 489327485 KMSPPJKLUHJYSO-UHFFFAOYSA-N 411.361 4.874 5 20 HJBD O=[N+]([O-])c1cccc([C@H](NCCc2nccn2Cc2ccccc2)c2ccccc2)c1 490156743 JRTBSODPXDYRAU-RUZDIDTESA-N 412.493 4.761 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(-c3ccccc3)cn2)cc1[N+](=O)[O-] 490723045 YLDXYFYUVBPHNY-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(C)cc1Br 503606804 GONTUJWTYMVBLB-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc([C@H](C)SCC)no1 503664441 AIKVWYQHPUDBTI-LBPRGKRZSA-N 424.548 4.566 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CCN(C)C2CC2)c1 504548031 PIEMXZTWISIYGO-UHFFFAOYSA-N 413.543 4.986 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC[C@@H](c4nnc5n4CCCCC5)C3)o2)c1 506673521 DOKSXYWFFHWMBA-GOSISDBHSA-N 421.501 4.552 5 20 HJBD CNC(=O)c1ccc(N[C@H]2CCOc3c(-c4ccccc4)cccc32)c([N+](=O)[O-])c1 507020677 FTRMMPNHFISMSQ-IBGZPJMESA-N 403.438 4.557 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)o1 509028666 FPGVAOZXZQFHMQ-UHFFFAOYSA-N 400.460 4.575 5 20 HJBD CC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(OC)c(OC)c1 512898993 MSUNYBMJWRWOMF-SFHVURJKSA-N 413.499 4.976 5 20 HJBD CN(Cc1ccc(-c2ccccc2[N+](=O)[O-])o1)[C@@H](c1cccc(F)c1)c1cnn(C)c1 513093696 CVFNWHQGVHOCMI-QHCPKHFHSA-N 420.444 4.949 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(Oc2ccccc2Cl)CC1 513874969 TXCLSEVTZKJCIS-UHFFFAOYSA-N 400.818 4.678 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H](COc2ccccc2Cl)C1 513882593 LVOJXBDOCVRDTF-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD CN(C)c1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 515196237 FCQJJAXOSWSVGO-UHFFFAOYSA-N 422.407 4.532 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(OC(F)F)c1 515405602 YFBKREPMSJYDMR-HNNXBMFYSA-N 422.431 4.634 5 20 HJBD CC(C)C[C@H](C)n1nccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 515649104 MDYDXCNTTNCOOX-KRWDZBQOSA-N 402.498 4.597 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)CCNc2ccccc2[N+](=O)[O-])cc1 517657577 DIXYEOWNSJDUEG-UHFFFAOYSA-N 421.453 4.514 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1C 518654309 SGYHVYLPZINWSZ-AWEZNQCLSA-N 401.488 4.761 5 20 HJBD O=C1Cc2cc(NC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc2N1 522709327 GUSWELYQNFYRTL-UHFFFAOYSA-N 404.382 4.526 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCc3ccccc3N2C(=O)c2csc([N+](=O)[O-])c2)cc1 524034448 GKSXUTSOQFJMAM-IBGZPJMESA-N 421.478 4.565 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OC(F)F 524365057 PCOAUNQMTDTSJW-HNNXBMFYSA-N 408.401 4.551 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCc1ccccc1COc1ccccc1Cl 525097675 BKRCUUNVYQCDBD-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1ccc([N+](=O)[O-])cc1Cl 530895763 YKIRIINJCHKRAA-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1cccc(NC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 533393208 IFPDIHHPIHXWPU-INIZCTEOSA-N 407.474 4.591 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3c(F)cc(Br)cc3F)co2)cc1 534057236 AHVPPQAEFFXMLD-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 534757125 AGYUDVHSEOHXTO-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1OCC(F)F 536970477 LTGIOEIJNSQTDL-UHFFFAOYSA-N 400.378 4.742 5 20 HJBD CCC[C@H](Nc1cccc(CS(=O)(=O)N[C@@H](C)CC)c1)c1cccc([N+](=O)[O-])c1 539727297 UGUPFVYDFHNMRZ-KKSFZXQISA-N 419.547 4.766 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)ccc1F 539880980 IWHNGPDHMKLNFJ-CQSZACIVSA-N 412.421 4.509 5 20 HJBD COc1ccc(CN[C@H](CSC)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 543775581 VUJRNUVPJITQDT-OAHLLOKOSA-N 400.422 4.816 5 20 HJBD CC(C)[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Cl)cc1F 543848066 XHOPEMAUJWDXGS-SFHVURJKSA-N 404.829 4.924 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)s1 544448097 MUXPCNGIFQZQLA-SWLSCSKDSA-N 414.462 4.870 5 20 HJBD Cc1ccc(F)cc1-c1noc(-c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)n1 545700758 UQSDGAWUBFICPC-UHFFFAOYSA-N 407.405 4.621 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@](F)(c2cccc(Cl)c2)C1 549186902 YWYKURIJHVGEGW-RBZFPXEDSA-N 416.840 4.930 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)ccc1OCc1ccccc1 553250865 QSATYPLEBJDWGA-UHFFFAOYSA-N 406.438 4.997 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2cccnc2)cc1OCc1ccc([N+](=O)[O-])cc1 564314917 LGDNUKFFZMCYOY-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD Cn1c(-c2cccc(NC(=O)Cc3c(F)cc([N+](=O)[O-])cc3F)c2)nc2ccccc21 565914877 UYDZHFVXLKHZQM-UHFFFAOYSA-N 422.391 4.608 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@@H]1Cc1ccccc1Br 583957446 ZFICOTOHYBSACT-CQSZACIVSA-N 409.305 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)c1 590644434 KTJLGBBGHRVLOX-SNVBAGLBSA-N 406.414 4.553 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 603643096 DUICPIKDKXHASM-SFHVURJKSA-N 402.520 4.559 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C\c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc2c1 603784804 RBKRBJDHOMVCHC-XFFZJAGNSA-N 424.434 4.960 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2c(Cl)cccc2Cl)cc1SC 609126176 FWHARGMGYNYLPU-UHFFFAOYSA-N 401.271 4.562 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 609289481 SQQVZRYZIFAOAR-INIZCTEOSA-N 414.220 4.646 5 20 HJBD Cc1cc(C)n2nc(C)c(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)c2n1 609756017 UETVLQACLWQGPS-UHFFFAOYSA-N 417.425 4.607 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCS[C@@H](C)[C@H]2c2ccccc2)cc1SC 609819450 LKUCLGOMBHJHGI-DJJJIMSYSA-N 418.540 4.644 5 20 HJBD COc1ccc(OC)c(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c1 610909799 NZCXWPLMUUUYQV-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3ccccc3COCc3ccccc3)c2c1 611702608 WLLCVLDLSRTLCE-UHFFFAOYSA-N 400.438 4.867 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1cnc(Cc2ccc(F)cc2)s1 734897741 FDSMTHWGZHPUPG-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1N1CCOC1=O 742398891 DOBWPHJYTMTZBO-UHFFFAOYSA-N 403.438 4.855 5 20 HJBD CSC[C@](C)(O)CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746287834 LLEZSYSWOXHXLA-RUZDIDTESA-N 419.546 4.777 5 20 HJBD COC(=O)c1cc(C(=O)N(c2ccc3[nH]ccc3c2)[C@H](C)CC(C)C)cc([N+](=O)[O-])c1 750827376 YPXOJMUMTKJXBU-OAHLLOKOSA-N 423.469 4.944 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)c(Cl)c1 754989442 RTYBGSUELVAKRS-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CCCOc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)c(OC(F)F)c1 761525410 JRMSWUJOIOURAU-UHFFFAOYSA-N 400.809 4.928 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(SC(F)F)c1 764105346 DNTDUAQVKXBISY-UHFFFAOYSA-N 414.455 4.900 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)Cc2nc3cc([N+](=O)[O-])ccc3o2)c1 767904520 KDNUEGWHONDXTG-QFIPXVFZSA-N 417.465 4.780 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c(Sc2ccccc2)n1 769657859 QUZCIMDCNZXGRZ-UHFFFAOYSA-N 401.394 4.980 5 20 HJBD O=C(Cc1ccc(NCc2cc(Cl)ccc2[N+](=O)[O-])cc1)N1CCc2ccccc21 770549007 CFVGEVMHDXNCLL-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Br)s1 776134060 LMXQGBLGXBMRHH-MRXNPFEDSA-N 419.256 4.760 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Br)cs1 776140477 YTJVEDOGBSUYFH-MRXNPFEDSA-N 419.256 4.760 5 20 HJBD CC(=O)c1ccc2nc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)sc2c1 788497321 CEJXVJXBANCBHF-LLVKDONJSA-N 401.469 4.526 5 20 HJBD C[C@@H](NC(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1 789666484 AYJJDTWGAJGLKU-OAHLLOKOSA-N 420.425 4.606 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc(-c2ccccn2)cs1 800343806 DIYHQARWTSRYER-UHFFFAOYSA-N 401.469 4.582 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1ccc(C(F)(F)F)nc1 803065524 YYXLKHQLHHGYCH-MNOVXSKESA-N 400.378 4.794 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1cc2cc([N+](=O)[O-])ccc2o1 803284170 GENVJQWUWCLQJJ-UHFFFAOYSA-N 417.171 4.602 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)CC2 809919773 GHSXGFALOBEOSP-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 809976264 AWWIPGRGPSZFEL-LLVKDONJSA-N 407.257 4.726 5 20 HJBD CCOc1ccccc1Oc1ccc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 815560757 PFFPLQBHDRBYNE-HNNXBMFYSA-N 408.458 4.614 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(COc4ccc(F)cc4)no3)sc2c1 827006885 WQEJMFJCBNNWGM-UHFFFAOYSA-N 418.431 4.598 5 20 HJBD O=Cc1ccc(OCc2c(Br)cccc2[N+](=O)[O-])c(Br)c1 915661900 XHYZQAVRJCVDDK-UHFFFAOYSA-N 415.037 4.511 5 20 HJBD COc1cc(OCC(=O)O[C@H](C)c2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-] 921114528 UVVFRLONTHSYPT-SNVBAGLBSA-N 400.214 4.593 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cn1nc(-c3ccco3)n(-c3ccccc3)c1=S)C2 1116151305 AWPKUVXQZCYLHD-UHFFFAOYSA-N 419.466 4.545 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H](NC(=O)OCc2ccccc2)C(C)C)n1 1117546777 BQAQGBHBFOZTRA-IBGZPJMESA-N 424.457 4.885 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc([C@H]2Cc3ccccc3CN2Cc2ccccc2)o1 1322972992 JBKYEIJKXPIEPI-JOCHJYFZSA-N 412.449 4.944 5 20 HJBD CCN(C(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C)c1ccccc1 7169269 RKCBIDRXGGJPKL-MRXNPFEDSA-N 417.534 4.620 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C/c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 20752077 LQDRZLYADJOYJV-XMZCSZCVSA-N 422.462 4.901 5 20 HJBD Cc1cccc(-n2ccnc2SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C 22133696 POBKABRIDYXPFD-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)Nc2ccccc2)cc1 23011798 QJDRTDWOZCUVLC-UHFFFAOYSA-N 419.441 4.557 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)c1 24039629 FOXNIPAJRFUQRX-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 29951146 DROCZKNQVOAANZ-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(C2CC2)nn1-c1ccccc1 42918398 ISTQIBYVGGZADW-UHFFFAOYSA-N 414.368 4.512 5 20 HJBD COc1cc(CN(C)c2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1SC 60862457 GWUNPRZNLWIXMJ-UHFFFAOYSA-N 410.499 4.727 5 20 HJBD Cc1sc2nc3n(c(=O)c2c1C)CC/C3=C\c1cc([N+](=O)[O-])ccc1OC(F)F 64925657 ZWQWXBNMNDWROA-YRNVUSSQSA-N 419.409 4.529 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC(C)(C)c3ccccc32)cc1SC 97547892 UPSMQYWHFBWXAW-MRXNPFEDSA-N 400.500 4.868 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@H]2NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 107888416 LRVCREACCUCFEG-HXUWFJFHSA-N 414.505 4.886 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(Oc2nc3sccn3c2[N+](=O)[O-])cc1 301202350 PXCGQJWHGWLDRE-UHFFFAOYSA-N 400.441 4.719 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 301941696 WHYFXMMMOZOICY-CQSZACIVSA-N 422.553 4.826 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)Cc3ccccc3[N+](=O)[O-])cc2)cc1 303312108 RGXBCSUBSUBWMX-UHFFFAOYSA-N 406.438 4.757 5 20 HJBD Cc1nn(CCC(=O)N[C@@H](CC(C)(C)C)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 303859683 LOPGJQJOIASJNZ-KRWDZBQOSA-N 406.914 4.745 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)NCCc1ccc([N+](=O)[O-])cc1 409901299 URBYQWQCDFTMSB-UHFFFAOYSA-N 423.416 4.515 5 20 HJBD COc1cc(CNC[C@H]2CCC(F)(F)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 430024394 ZTFGLCPPVDIQBW-KRWDZBQOSA-N 406.429 4.707 5 20 HJBD CC(C)(C)c1ccccc1NC(=O)COc1c(Br)cccc1[N+](=O)[O-] 431242466 NFTRXOMLCIGQNQ-UHFFFAOYSA-N 407.264 4.672 5 20 HJBD COCc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440754958 ORWUVGZHIWEDEY-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)[C@@H]1Oc2ccccc2[C@@H]1C 444220283 BMJGQSPFUFMUAG-POUGUFFASA-N 416.452 4.503 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Nc2ncccn2)cc1 444629425 KVDFRWPWSIYRIT-UHFFFAOYSA-N 415.862 4.513 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccc(NC(=O)C2CCCCC2)c1 446483035 ZIRXWHSVKJPWIZ-UHFFFAOYSA-N 410.474 4.744 5 20 HJBD CCCCOc1ccc(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)cc1OCC 460172595 LNNWLYSJYABQGR-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD CC[C@H](NC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 462637037 FEFNNQPYCSBZHY-OCCSQVGLSA-N 415.437 4.906 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCO[C@@H]1c1ccc(C)cc1 462641902 OIFLODLCGBPTMQ-KJXAQDMKSA-N 410.514 4.756 5 20 HJBD CCCCn1c(=O)c2ccccc2n2c(S[C@@H](C)c3ccccc3[N+](=O)[O-])nnc12 463049775 UXHAITAXHMQHDN-AWEZNQCLSA-N 423.498 4.606 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)CN(C)c3ccccc3[N+](=O)[O-])s2)cc1 463784819 UPSOYNLCWAYXFD-HNNXBMFYSA-N 424.526 4.649 5 20 HJBD COc1cc(CN2CC[C@@H](CSc3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 464765590 NTVLTRCUSKBJTE-CQSZACIVSA-N 424.469 4.819 5 20 HJBD O=C(Cc1nc(COc2ccc([N+](=O)[O-])c3ccccc23)cs1)Nc1ccccc1 464800935 HTDRIWYOOBVXMJ-UHFFFAOYSA-N 419.462 4.965 5 20 HJBD COC[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CC1 466426176 PTPHZRMXQBPNOU-INIZCTEOSA-N 406.891 4.554 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)CNc1ccccc1[N+](=O)[O-] 469358682 VFMZBAAKTGSELF-OAHLLOKOSA-N 410.499 4.658 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc([N+](=O)[O-])cc1F 470620898 HLVLBOBHGMXHGI-QMMMGPOBSA-N 424.816 4.787 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1cc3cc([N+](=O)[O-])ccc3s1)CCC2 478288491 WSGZTIOOFBDJHT-CQSZACIVSA-N 401.513 4.978 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccccc2C(F)(F)F)CC1 478813449 OLGXAXBTZSDBQI-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD CCC(=O)c1ccc(Oc2ccc(S(=O)(=O)c3ccccc3)cc2)c([N+](=O)[O-])c1 479368823 XOIPGBUDXVYFKJ-UHFFFAOYSA-N 411.435 4.813 5 20 HJBD O=c1c2ccccc2nc(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)n1C1CCCC1 484497260 JAQFFGYKDYQVKD-UHFFFAOYSA-N 421.482 4.588 5 20 HJBD COc1ccc(Cc2nnc(S[C@H](C)c3cc([N+](=O)[O-])ccc3C)o2)cc1OC 484690542 PIMNRXKVMHEOPI-CYBMUJFWSA-N 415.471 4.748 5 20 HJBD COC(=O)CSCc1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 485415970 ZRBMMPVMIACBBU-UHFFFAOYSA-N 416.499 4.551 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485577066 DBMUHQUVVPYYHY-NJDAHSKKSA-N 416.481 4.579 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc([S@](C)=O)cc1 489031069 NABSDKXMUTYUEU-POXGOYDTSA-N 420.556 4.571 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C 494673907 NBOILIYGLDNLLK-LLVKDONJSA-N 415.446 4.665 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1cc([N+](=O)[O-])ccc1OC(F)F 498185347 XOCLTNUPUPYIKG-UHFFFAOYSA-N 410.423 4.839 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)cc1[N+](=O)[O-] 498455151 UKNNILKLVSMJSP-UHFFFAOYSA-N 418.453 4.840 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccccn2)c1 499010714 AGRPACVTGGDXRV-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD Cc1cn(-c2ccccc2Cl)nc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 499340902 BYHIPOQBDFHMIF-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(-c2ncco2)cc1 500380202 JNMYYTGXOGQOHH-UHFFFAOYSA-N 420.347 4.709 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 501936290 XTXLNSZTXKYBMI-HXUWFJFHSA-N 405.454 4.582 5 20 HJBD COc1cc(CN[C@H](c2ccccc2)C2CCC2)c([N+](=O)[O-])cc1OCC(F)F 505586044 HFNCRYZCBNICLX-OAQYLSRUSA-N 406.429 4.878 5 20 HJBD CC(C)(C)c1ccc(CCNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 509469576 OJTLBWCNUFCUNP-UHFFFAOYSA-N 412.533 4.811 5 20 HJBD O=C(C[C@H]1CCCO1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 515286338 SGBJHCBECKOIOO-OAHLLOKOSA-N 409.364 4.865 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)CCOc4ccccc4[N+](=O)[O-])CC3)c[nH]c12 516353640 XCIOELPFCCGZBT-UHFFFAOYSA-N 421.497 4.814 5 20 HJBD Cc1ccnc2ccc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)cc12 516808006 HLTGARASTOACEN-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccc(COC(C)(C)C)c1 523185377 FMYFMTUWQKULCI-HNNXBMFYSA-N 402.516 4.707 5 20 HJBD Cc1cccc2nc(CNC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cn12 531840443 MMKJWFYLNFDBBG-UHFFFAOYSA-N 417.425 4.665 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2F)C2CCCCC2)cc([N+](=O)[O-])c1OC 532935350 UKVZRBLZHGTAQP-UHFFFAOYSA-N 416.449 4.726 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1 534679191 LKIWTVDFEHTWSJ-OAHLLOKOSA-N 418.453 4.552 5 20 HJBD C[C@@H](CC(=O)Nc1ccc(OC(F)(F)F)cc1)N[C@H](C)c1cccc([N+](=O)[O-])c1 536807410 VBDHLWYZQPVLCO-QWHCGFSZSA-N 411.380 4.561 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(S(=O)(=O)C2CCCC2)cc1 537991128 MAYXNFCYSVFKHP-HOTGVXAUSA-N 402.516 4.723 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1Cl 538211488 XMFBDPZEZOKFDS-SECBINFHSA-N 402.665 4.844 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@H]1c1ccccc1C(F)(F)F 538695963 OTBTUUVYDUFTCM-GJZGRUSLSA-N 412.795 4.729 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccc(Oc2cccc(F)c2)nc1 538741179 DNODEODPIKVVIN-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCO)[C@H]3CCCc4ccccc43)cs2)c1 539832751 YDBGBLDEELSDEW-NRFANRHFSA-N 409.511 4.590 5 20 HJBD CSc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)OCCO2 540391561 YPADZRUDMDFEBK-UHFFFAOYSA-N 420.512 4.841 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc2)n[nH]1 543333270 SFOJRAUWLDGKFE-UHFFFAOYSA-N 418.862 4.803 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H](c1cncc(Br)c1)C1CC1 551818123 UFRRKPQBQVSNDB-DJJJIMSYSA-N 404.308 4.814 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@H]1CCCOC1 557458130 VJNCXVJJVPAATR-HNNXBMFYSA-N 406.891 4.650 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 565691132 OPSJUMZXEJYVLU-CQSZACIVSA-N 405.292 4.898 5 20 HJBD CC(C)(C)c1nc(CC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cs1 578978087 HVCLVZRZJYEBMU-UHFFFAOYSA-N 402.501 4.654 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@H]4C[C@@H]4c4cc(F)c(F)c(F)c4)n3)c2c1 579525420 UOCAQAQYIWKQPL-OCCSQVGLSA-N 414.343 4.738 5 20 HJBD O=C(Nc1ccc([C@@H]2CCOC2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 580485598 OTTDJLYPDGYRHC-HXUWFJFHSA-N 417.465 4.963 5 20 HJBD C[C@H](N[C@H](C)c1nc(-c2ccc(Br)cc2)no1)c1ccccc1[N+](=O)[O-] 581911532 ZGMYLEKZAUIWBR-NWDGAFQWSA-N 417.263 4.819 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C2CC2)no1 584080010 IQIFJEJUOXTTLZ-FZKQIMNGSA-N 424.482 4.712 5 20 HJBD CSc1ccc(-c2csc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)n2)cc1 603514644 IXRQVBIZGMECQG-UHFFFAOYSA-N 411.468 4.569 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N(c1ccccc1)c1nc2ccccc2s1 603945406 NANGDLBWRXCVAC-UHFFFAOYSA-N 405.435 4.948 5 20 HJBD Cc1sc(NC(=O)c2cccc(NC(=O)C3CC3)c2)nc1-c1cccc([N+](=O)[O-])c1 603996814 GEWKYBSVUJQGQA-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1OCC(C)C 604097942 BROJZTOWAJXFOJ-CQSZACIVSA-N 411.458 4.611 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2cc([N+](=O)[O-])ccc2C)c1 609218614 XOIFHWTYODZNBD-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)n1 609854270 PRSNRWIHHPRXMX-UHFFFAOYSA-N 420.256 4.660 5 20 HJBD Cn1c([C@@H](NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 609855914 MUXMRXRZSQQOLD-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2C)c1 609866759 UPWKLVWYSRDUNB-UHFFFAOYSA-N 422.485 4.956 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609927741 KIFLVZSWGSSSLA-DGCLKSJQSA-N 409.364 4.745 5 20 HJBD CCCCN1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 611202461 YYSYZIJNVAZULC-UHFFFAOYSA-N 405.564 4.624 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@H](N2CCCC2)CC1 611206243 DCGBRHRGBWFSMH-KRWDZBQOSA-N 417.575 4.720 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)COC(c1ccccc1)c1ccccc1 619607360 PUHZUDNKHXBDGO-UHFFFAOYSA-N 406.438 4.738 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1csc2ccccc12 729959747 ICZBXMOIWQNZSV-HNNXBMFYSA-N 409.511 4.903 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H](c2ccccc2)c2ccc3c(c2)CCCO3)cc1 730438615 SONHVVCHWAGCEQ-QFIPXVFZSA-N 419.506 4.996 5 20 HJBD CN(Cc1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 731972366 LWVHZIZSGDUIQT-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 746166122 QTYSJIQEEJALJB-NSHDSACASA-N 419.846 4.695 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NS(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 747618183 ORNLNXJRTCHCAG-UHFFFAOYSA-N 412.895 4.673 5 20 HJBD Cc1c(C(=O)OCc2cc3ccccc3nc2Cl)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748945964 CIXSIBYDLUKROE-UHFFFAOYSA-N 415.789 4.678 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748949405 GTPJADXWPMAHBN-UHFFFAOYSA-N 417.399 4.502 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCc3sccc3C2)cc1 748995596 WMLQXTGEYHMYDA-UHFFFAOYSA-N 422.510 4.583 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750178155 AUMYLHQTMSNSRD-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1cccc(C2SCCS2)c1 751267479 JKXSRKOEKDKWFT-UHFFFAOYSA-N 416.933 4.528 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1cc(Cl)ccc1[N+](=O)[O-] 754278324 ATFGBZDOWBIYJS-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)[C@H](O)c1c(Cl)cccc1Cl 754454584 NLKLNXPUHQJIOG-GTNSWQLSSA-N 412.273 4.762 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754983830 ALODFXVLLKMUTD-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cncc(-c2ccc(N(C)C)cc2)c1 760323355 PUYSBKPSBQMMQT-UHFFFAOYSA-N 424.888 4.648 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(C(F)(F)F)c(F)c3)no2)cc1F 761617003 PJJNOYIZMSBDGO-UHFFFAOYSA-N 401.247 4.521 5 20 HJBD COCc1ccc([C@@H]2CCCN2c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])o1 767224374 WWJGLIXIRFWVRX-LBPRGKRZSA-N 415.324 4.603 5 20 HJBD Cc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)ccc1NC(=O)OC(C)(C)C 772117919 MCVQKGSLWUABOF-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CC(C)COC(=O)Nc1cccc(N[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1 774282969 IBQCGJDOBUYZTB-QZTJIDSGSA-N 401.467 4.592 5 20 HJBD Cc1cc(Oc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c(F)cc1[N+](=O)[O-] 774680757 QUBSKFCMJJTQJX-UHFFFAOYSA-N 411.214 4.792 5 20 HJBD O=C(Cn1ccc2ccc(Cl)cc21)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138717 RTJAXDIUDDWULA-QFIPXVFZSA-N 421.840 4.931 5 20 HJBD COc1ccc2oc(C)c(C(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2c1 785660337 YQRKLHVZAPHCDW-UHFFFAOYSA-N 408.332 4.607 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Oc1cccc(-c2nccs2)c1 790871950 HDLSKEORMJENCC-UHFFFAOYSA-N 416.480 4.676 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1cccc([N+](=O)[O-])c1Br 796086072 YCCQVTQPCDBBTC-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H]2CCC(F)(F)C2)c2ccccc2)c([N+](=O)[O-])c1 796373056 IEIUKJDCJRKHTM-YJBOKZPZSA-N 418.396 4.562 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 803408153 AXUPVEMJTPBIJY-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(Cc2ccccc2)no1 815511039 SLDBSLOFJVHSRL-LLVKDONJSA-N 421.331 4.505 5 20 HJBD COc1ccc(C(=O)c2ccccc2)c(OC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 899219306 SFBSRMYOABBVGX-UHFFFAOYSA-N 423.446 4.532 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1 921133538 JCCJUJUHCRTOCW-UHFFFAOYSA-N 406.822 4.743 5 20 HJBD COc1cc(-c2ccccc2)sc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1117189740 AMSCPADSGNELMN-UHFFFAOYSA-N 409.423 4.961 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(c([N+](=O)[O-])c2)OCO3)o1 1333077245 KXQSCUCBROTIMJ-GFCCVEGCSA-N 405.366 4.667 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 55620251 QQTCANMPPWNIEX-UHFFFAOYSA-N 410.373 4.554 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 59012084 GYRGISJXRXSFBD-NRFANRHFSA-N 414.820 4.672 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(Cc2ccccc2)CC1 64862448 PQOHLOMIDRSPNO-UHFFFAOYSA-N 407.495 4.813 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)CCn2cc([N+](=O)[O-])cn2)c2cccs2)cc1 72022800 ONXPSPMDFBIXCK-VFNWGFHPSA-N 412.515 4.662 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1 108209656 AWHVEUFSFKXBAX-UHFFFAOYSA-N 419.485 4.790 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](CN3CCCC3)c3ccccc3)cs2)c1 238009595 XSTNYGMQGSZJKW-NRFANRHFSA-N 408.527 4.645 5 20 HJBD Cc1ccc(Nc2nnc(SCCC(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1 409850036 RPYJZZNPWWCNSY-UHFFFAOYSA-N 415.500 4.619 5 20 HJBD Cc1ccc(CNC[C@@H](Cc2ccc(-c3ccccc3)cc2)C(=O)O)cc1[N+](=O)[O-] 426637516 FDJCXJSSMWNLMQ-JOCHJYFZSA-N 404.466 4.603 5 20 HJBD C[C@]1(C(=O)O)CCC[C@@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426727001 LXUIJJZOKXXMCT-ICSRJNTNSA-N 404.850 4.774 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 434257253 UBRMJPTWHJQRTF-CZUORRHYSA-N 408.376 4.554 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCc1ccccc1[N+](=O)[O-] 436121860 AZPIPIGXSZASNA-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 437271685 BWAIGAOIRDFLIX-AWEZNQCLSA-N 421.419 4.569 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)Cc1cccc([N+](=O)[O-])c1C 439074616 FNQYQAZNBUSPLA-NRFANRHFSA-N 404.470 4.515 5 20 HJBD CC(=O)c1ccc(OCc2csc(COc3ccc(F)cc3)n2)c([N+](=O)[O-])c1 440855084 MQBWONYPIOHOLP-UHFFFAOYSA-N 402.403 4.551 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H](c4nc(C(F)(F)F)cs4)C3)nc2c1 441082542 XLCSXTVXTDETCR-SNVBAGLBSA-N 412.393 4.591 5 20 HJBD CCCCCn1nc(C(=O)N(CCC)c2cccc([N+](=O)[O-])c2)c2ccccc2c1=O 441476200 JSUUGNPLVKJUDV-UHFFFAOYSA-N 422.485 4.552 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443852561 QTUALCGTWKHNJI-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](Cc2ccc(F)cc2)C1 444096898 RMJHEASZVYMPLY-QGZVFWFLSA-N 400.453 4.525 5 20 HJBD Cc1ccccc1[C@H]1CN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)[C@@H](C)CO1 444197784 SBDYQKRRGWPNTR-HTAPYJJXSA-N 407.470 4.563 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1cccc(Br)c1)C1CC1 446485505 OLIQHERKDIEOAB-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD COc1ccc2c(c1)[C@H](N(Cc1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1)CCO2 460255533 VVNNVMDCJHVRKF-JOCHJYFZSA-N 418.449 4.770 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@H]2CCN(Cc3ccccc3)C[C@H]2C1 461444420 IICOUGLJDMPORL-PKTZIBPZSA-N 421.544 4.982 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 464051821 HZRBEMQLHGBBNI-UWJYYQICSA-N 405.454 4.565 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(C(F)(F)F)c2)c1C 464711840 NHGYUSLWRWGGQG-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(C(F)(F)F)cc2C)cc1SC 467017421 SQYXMPGZGXZXTA-UHFFFAOYSA-N 414.405 4.583 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)c(F)c1 467942922 MDLWGPWYCGBMFU-SWLSCSKDSA-N 422.254 4.791 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 472252521 TUSSFVOGHFVRRX-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD Cc1nc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)oc1-c1ccc(Cl)cc1 473595149 WCTPLJZOUBREMC-UHFFFAOYSA-N 411.801 4.888 5 20 HJBD O=[N+]([O-])c1ccccc1COc1ccc(Oc2ncc(Br)cn2)cc1 473684106 VXEROGRGIHNWMV-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD COc1c(C(=O)Nc2cccc(COCCc3ccccc3)c2)cccc1[N+](=O)[O-] 475170964 BQXORXZIOXTVRM-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD Cc1cn(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)c2)c(O)n1 477812288 QLSHZIQOWOUGSS-UHFFFAOYSA-N 412.471 4.547 5 20 HJBD CC(C)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1cccc(C(F)(F)F)c1 479093505 WMMOMFXCVWBFKH-UHFFFAOYSA-N 418.375 4.855 5 20 HJBD Cc1c(CNC(=O)Nc2ccccc2CN(C)C2CCCCC2)cccc1[N+](=O)[O-] 480066569 LDNHCXXXXSJSFY-UHFFFAOYSA-N 410.518 4.989 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 481124332 YJWWYTSFXMIVSI-IBGZPJMESA-N 413.543 4.955 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3ccc(F)cc3)CC2)cc1OC 484337987 ISVQSDYHWUEHKS-HNNXBMFYSA-N 416.449 4.551 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1coc(-c2c(F)cccc2F)n1 485273446 OAIDSCGBKZNBLJ-GFCCVEGCSA-N 422.409 4.512 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C 486138090 OFQITYBSPZQFOA-OAHLLOKOSA-N 408.458 4.902 5 20 HJBD C[C@@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](c2cccc(C(F)(F)F)c2)O1 488688181 SDEOHHQGMDICIU-MEBBXXQBSA-N 401.772 4.628 5 20 HJBD CC[C@@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 489504086 ZCEJOXZTYPRYAZ-CMPLNLGQSA-N 416.425 4.890 5 20 HJBD Cc1ccc([C@H]2C[C@@H](C)N(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 489983943 MTHQCUOWGSZVDH-CTNGQTDRSA-N 410.517 4.868 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1OC(F)F 497810988 DEQSYKUEDJMCPP-VIFPVBQESA-N 404.291 4.706 5 20 HJBD CC1(C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@H](c2cccc(C(F)(F)F)c2)O1 497845396 IAZLTOHWOMNALA-GOSISDBHSA-N 422.403 4.535 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc([N+](=O)[O-])c(O)c2)N1c1ccc(F)cc1Cl 498575443 DTJCKCMPYYOGKQ-IBGZPJMESA-N 413.792 4.864 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)sc1Cc1ccc(F)cc1 498816555 SHYNUJVHOCHBMU-UHFFFAOYSA-N 422.441 4.890 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCCc2cc(OC(F)(F)F)ccc21 499611515 HBHKFSOWVXFRCX-UHFFFAOYSA-N 417.343 4.635 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(NC(C)=O)c1 502710706 DOJFXTKYDCDZPU-CYBMUJFWSA-N 401.488 4.546 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1coc(-c2ccc(C)cc2)n1 503394749 BYWMDSBQKYZDGF-UHFFFAOYSA-N 411.483 4.990 5 20 HJBD CCn1ncc2c(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)cc(-c3ccccc3)nc21 504981795 XXDYOTUUKFEANU-UHFFFAOYSA-N 412.409 4.743 5 20 HJBD COc1cc(C(=O)N2CCc3sccc3[C@@H]2c2cccs2)ccc1[N+](=O)[O-] 508794916 KLBRRBVADCSGEF-GOSISDBHSA-N 400.481 4.514 5 20 HJBD COc1cc(CNc2ccc3oc(O)nc3c2)c([N+](=O)[O-])cc1OCc1ccccc1 512085539 WDEWKHQAKLCFKK-UHFFFAOYSA-N 421.409 4.641 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1C(F)(F)F 513177566 HUBBGRUJZGGQJM-WDEREUQCSA-N 415.799 4.945 5 20 HJBD CCOc1cc(NC(=O)CCSc2ccccc2F)c([N+](=O)[O-])cc1OCC 514868203 VMPXNFIVZHQLAD-UHFFFAOYSA-N 408.451 4.652 5 20 HJBD CSc1cccc(C(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)c1[N+](=O)[O-] 516505640 AUNYPTFDFXYQGU-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 516761712 JOTQCYAYAHPFLD-LBPRGKRZSA-N 423.391 4.601 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)c1SCC(F)F 522953030 JXTBIECZHFMDJI-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cn2C)nc1-c1ccccc1 525207872 ZECRXJCSAZMTCA-QGZVFWFLSA-N 408.458 4.689 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(OCC3CC3)CC2)ccc1Oc1ccc(F)cc1 537649253 VOAOYTURGQJDHT-UHFFFAOYSA-N 400.450 4.917 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 539294890 MHMJJMXZHGSFDO-UHFFFAOYSA-N 417.462 4.614 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 539361648 ASPUMZPFIZYVNA-MRXNPFEDSA-N 405.454 4.560 5 20 HJBD NC(=O)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1N1CCCCC1 539623774 PALIQKCJHWFRPL-UHFFFAOYSA-N 424.504 4.730 5 20 HJBD Cc1cc([C@H](N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)ccc1F 540523340 OXQYNDOKJMLUOK-HXPMCKFVSA-N 405.857 4.764 5 20 HJBD C[C@H](C(=O)Nc1nnc(SCc2cccs2)s1)c1ccc([N+](=O)[O-])cc1F 544596402 XXXWUHBDYDHOLH-VIFPVBQESA-N 424.504 4.681 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)c1)C1CCC1 546274335 UAELBMPXYUGCHN-NTMALXAHSA-N 408.389 4.693 5 20 HJBD C[C@H](Oc1ccc(-c2ccccc2)cc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 547192084 OQAJKEAMQNUXGX-HNNXBMFYSA-N 402.410 4.771 5 20 HJBD CC[C@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1ccccc1Cl 552049305 SRRLPVSRLBKZBX-YLJYHZDGSA-N 414.893 4.518 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc2c(c1)nc(C(C)(C)C)n2C)c1ccc([N+](=O)[O-])cc1 552285273 GSHDQOXPFMSVEM-FQEVSTJZSA-N 418.501 4.714 5 20 HJBD O=[N+]([O-])c1cnc(N2CCc3nc(-c4ccccc4Cl)sc3C2)c(Cl)c1 571358451 VNGWBRUANRWGFL-UHFFFAOYSA-N 407.282 4.983 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 574281250 GLCWUULOHQFHNA-ZDUSSCGKSA-N 420.391 4.727 5 20 HJBD Cc1cc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)ccc1F 575075682 RHKVIRCXYGHPQK-NSHDSACASA-N 424.354 4.962 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N[C@H](c1cncc(Br)c1)C1CC1 575753029 MQVNIXIMQLMSNA-AWEZNQCLSA-N 417.185 4.729 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 583842533 YCROEOJQJFZRPJ-UHFFFAOYSA-N 411.380 4.762 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 586825575 DWYKKZQNTJFIOV-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD CC(C)Cn1ncc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C1CC1 588237239 QJFWGBBGQMWOHL-UHFFFAOYSA-N 409.393 4.570 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cc1[N+](=O)[O-] 601065710 GVOBKYFODFJZDH-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccccc1COCc1ccccc1 603964373 GUQABMHCMSVVOE-UHFFFAOYSA-N 406.438 4.719 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3ccc(F)c(F)c3)cc2[N+](=O)[O-])C1 604343437 UXBBXHAFRVRCEQ-KBPBESRZSA-N 403.429 4.632 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 608778393 WWNYKTOOPQQWGC-UHFFFAOYSA-N 422.416 4.575 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 608785862 YIYNKAWJXNXNRM-OAQYLSRUSA-N 403.438 4.585 5 20 HJBD C[C@H](NC(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 608892723 GEVMTCVTNPYEMS-JTQLQIEISA-N 421.335 4.546 5 20 HJBD CC(C)c1ccc(-c2nnc(SCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)o2)cc1 608929949 FEHRSXNEEXRAQE-UHFFFAOYSA-N 412.471 4.523 5 20 HJBD Cn1c(-c2ccc(NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)nc2ccccc21 608954655 ZWOHUJSUXGJEJK-UHFFFAOYSA-N 416.437 4.556 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H](c4ccccc4)C[C@@H]3C)cc2[N+](=O)[O-])CC1 609647722 YICZJUSSUSATKZ-GHTZIAJQSA-N 407.514 4.849 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)CNc3ccccc3[N+](=O)[O-])s2)cc1 610068534 NGIAPPOHYAIUIG-INIZCTEOSA-N 424.526 4.967 5 20 HJBD Cc1ccc(Sc2c(Cl)cccc2NC(=O)c2n[nH]c(C)c2[N+](=O)[O-])cc1 610200543 JPJYRJREKISUDB-UHFFFAOYSA-N 402.863 4.992 5 20 HJBD Cn1nc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2ccccc21 612750715 NTGGGJDFDZKBQA-UHFFFAOYSA-N 417.425 4.596 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Sc2ccc(C)cc2)c([N+](=O)[O-])cc1OCC 619213126 ROMZFOTYCDWZRY-AWEZNQCLSA-N 404.488 4.820 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Oc3cc([N+](=O)[O-])ccc3C)c2C)cc1 730172157 LGYRNSJZAWMIDF-UHFFFAOYSA-N 407.470 4.611 5 20 HJBD CN1CCC(N2CC[C@H](NCc3c4ccccc4c(Cl)c4ccccc34)C2)CC1 732821991 GQHJYQFTMCAVML-SFHVURJKSA-N 407.989 4.905 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1 738716173 VRCSNHHDFQZWNB-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)OCc2cccc([N+](=O)[O-])c2)c1 744077964 BVPWEEWLFDIVFO-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccc(N(C)Cc2ccccn2)c(F)c1 744203354 LYLVVDKPHUTXSR-OAHLLOKOSA-N 409.417 4.683 5 20 HJBD CCOc1ccc(C(C)=O)cc1COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745569637 YMRHAJQARNRHMU-UHFFFAOYSA-N 411.332 4.572 5 20 HJBD CC/C(=C/C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(Cl)c1 745757241 GSGYJSYUOMGHGQ-LCYFTJDESA-N 403.818 4.652 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 746263942 QIPJLZRFVOQDBG-SJLPKXTDSA-N 414.458 4.677 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748635005 SCPCNUQBZXWJNI-UHFFFAOYSA-N 407.426 4.935 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1csc(Br)c1)C1CC1 752378144 OVKUFRVRCWBQHQ-UHFFFAOYSA-N 417.231 4.502 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)CSc3ccc([N+](=O)[O-])cc3)o2)cc1C 758927581 NLWGBMSPJYVGKG-AWEZNQCLSA-N 413.455 4.658 5 20 HJBD Cc1ccc(Cl)c(O[C@H](C)C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760505868 VKYRUPBBXZEQFX-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)C1CC1)Nc1ccccc1SC(F)F 768544333 NCOHFVWPPXOKJF-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD C[C@@H](OC(=O)c1cc(Br)ncc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 769839877 QJCFNCWPFHOARI-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccccc1)c1nccs1 770193612 UYSWFHFPFPABNX-GUYCJALGSA-N 400.481 4.865 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 771766986 HJIGGCHLOFPJCW-UHFFFAOYSA-N 412.324 4.826 5 20 HJBD Cc1ccc(CN(C(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C(C)C)s1 793038185 BONATGHPZRLLPC-UHFFFAOYSA-N 417.531 4.638 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)c1 812761889 FVKSYNUFOKZDNI-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD CC[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 909935317 GREIKERWHVUFFY-NRFANRHFSA-N 404.422 4.946 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@H](c2ccc(F)cc2)C1 917051796 KRJDWVYMZGQHGO-INIZCTEOSA-N 404.747 4.984 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2C(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)cc1 917165189 NTGQBNIFPLAASC-FQEVSTJZSA-N 406.486 4.606 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)c2ccccc2)cc1 920903360 QXXGTPKXKNHCLC-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1 920904450 FXIWIAPGNCPWJR-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nnc(CCCOc2ccc(Cl)cc2Cl)o1 1257717402 IOQUEBSHSRNPKY-UHFFFAOYSA-N 423.256 4.908 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(-c3ccc(Cl)s3)on2)o1 1327293473 GTECLBALCRXCLW-UHFFFAOYSA-N 404.791 4.594 5 20 HJBD Cc1ccc(NC(=S)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 7368698 XYIAEIDCXIBMRM-UHFFFAOYSA-N 411.487 4.561 5 20 HJBD C[C@H]1c2ccsc2CCN1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 21536431 ZKJYULWFZFNSCE-JTQLQIEISA-N 416.446 4.913 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])c1 24456307 NJWBUXBSBCCORN-JTQLQIEISA-N 411.241 4.521 5 20 HJBD CNC(=O)c1ccc(N[C@@H](c2ccc(F)cc2)c2ccccc2Cl)c([N+](=O)[O-])c1 64914702 KGUXOHKMHQDQMP-FQEVSTJZSA-N 413.836 4.948 5 20 HJBD COCc1nc(C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)cs1 65049515 GCXBCTYCWMQYFO-UHFFFAOYSA-N 402.479 4.804 5 20 HJBD CCNc1ccc(C(=O)N(Cc2csc(C)n2)c2ccc(F)cc2)cc1[N+](=O)[O-] 117271118 QTUFVUFGTBDSIW-UHFFFAOYSA-N 414.462 4.778 5 20 HJBD Cc1cc(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)cc([N+](=O)[O-])c1 195691971 BKWLCMCVGOBHDY-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD O=C(Nc1ccccc1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 301873020 LBKAMYOUIQTZRG-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@H]2c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 303416151 SLMTWPOMTSBUNJ-NRFANRHFSA-N 415.449 4.824 5 20 HJBD Cc1cccnc1[C@@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C 303643358 RPCWUJOEKOCYBM-SFHVURJKSA-N 424.423 4.633 5 20 HJBD CCCc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 429330757 GHBMPNSDUWBFKX-UHFFFAOYSA-N 414.462 4.603 5 20 HJBD Cc1cc(OCC(=O)Nc2c(C)cc(Br)cc2Cl)ccc1[N+](=O)[O-] 430394976 PXCUQDFWKDLEJF-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 432758562 GDBLDZVXRZWNOZ-UHFFFAOYSA-N 415.490 4.593 5 20 HJBD C[C@@H](NC1(CN[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CCOCC1)c1ccccc1 433245683 LSDHXGXPXHOMBO-JPYJTQIMSA-N 423.557 4.807 5 20 HJBD CC1(C)CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C[C@H]1c1ccccc1 434236196 RWPROWNWFPXTQI-QFIPXVFZSA-N 410.517 4.807 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437359277 PLAYCATUGWLQNW-HNNXBMFYSA-N 407.373 4.590 5 20 HJBD CCN(Cc1ccccn1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 437949938 UGTJOIIZOJYPHS-UHFFFAOYSA-N 424.888 4.918 5 20 HJBD CCCN(C(=O)CCc1nc(-c2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 441431454 VLPCYTWZHJLZOH-UHFFFAOYSA-N 414.849 4.674 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccs1 444035824 RWPPKPGZUBGKAN-UHFFFAOYSA-N 412.461 4.729 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 444681910 XPMMKIOXIHZIMK-OAHLLOKOSA-N 402.454 4.998 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c2C)cc1 444721733 FYXXRDAZFCMITA-UHFFFAOYSA-N 420.513 4.953 5 20 HJBD Cc1ccc(NC(=O)NC2(c3cccc(C(F)(F)F)c3)CCOCC2)cc1[N+](=O)[O-] 444841228 WCBHUGNPQWGULO-UHFFFAOYSA-N 423.391 4.749 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 448039610 SCOOQFNXOQYAQQ-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 461241313 UEJYHLPOXCJAMX-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD CO[C@H](CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(C)(C)C 465400706 FLQFUENKACOQFY-MOPGFXCFSA-N 419.909 4.579 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@H](c2cccc(Cl)c2)C1 468849208 FCICRJIVWWXGDB-INIZCTEOSA-N 402.859 4.677 5 20 HJBD CC(C)(C(=O)N[C@H]1CSc2ccc(Br)cc21)c1ccc([N+](=O)[O-])cc1 470517622 BLAFLYPNCWNZGL-HNNXBMFYSA-N 421.316 4.598 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CSc1ccc(C)cc1 472252337 RHOCQZFMPQBUND-OAHLLOKOSA-N 420.556 4.552 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H](c1cccnc1)c1csc2ccccc12 480953599 GSPJNFOWPCVTNK-OAQYLSRUSA-N 420.494 4.795 5 20 HJBD CCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnn1-c1ccc(Cl)cc1 482743081 QBMJAEZWDGIFPH-UHFFFAOYSA-N 410.817 4.995 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483426825 GXIIZAMXQUZYKP-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD COC(=O)c1ccc(COc2ccccc2CNCc2cccc([N+](=O)[O-])c2C)cc1 483655704 YLMLKRXBEMIKKT-UHFFFAOYSA-N 420.465 4.559 5 20 HJBD COc1ccc(-c2nnc(S[C@H](C)c3cc([N+](=O)[O-])ccc3C)o2)cc1OC 484593772 AGUILIAYLVWDGG-GFCCVEGCSA-N 401.444 4.824 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC(C)(C)Oc2cc(F)ccc21 484880011 FXIRKXHLIBRMHP-PXAZEXFGSA-N 404.463 4.633 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1C 485832103 JPQRCRXWVUUCAB-GFCCVEGCSA-N 416.840 4.932 5 20 HJBD COc1ccccc1C1(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)CC1 501917315 ROJCKQHOMYGAMC-UHFFFAOYSA-N 409.467 4.706 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 502348311 JZFBAOVJCOEQQC-UHFFFAOYSA-N 420.238 4.640 5 20 HJBD Cc1csc(-c2ccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc2)n1 506097811 RYMGRWAABIRSOD-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C[C@@H]1CCOC1)c1ccccc1 511374171 WJJCMNKAGNAWJS-UGKGYDQZSA-N 411.502 4.683 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 513788716 WFLQRFZGLKKDBE-ZJBJCVSYSA-N 422.529 4.941 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 519977873 GNYLYGUCDPTHQZ-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD O=C(c1cc(NCCc2cc(Cl)cc(Cl)c2)ccc1[N+](=O)[O-])N1CCCC1 519980135 HMKMYSYUKPWBQD-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD COc1ccc(CNC(=O)N2CCC(c3cccc4ccccc34)CC2)cc1[N+](=O)[O-] 520176111 MOXARTCALZVFLI-UHFFFAOYSA-N 419.481 4.846 5 20 HJBD C[C@@H](NC(=O)N1CCSC[C@H]1c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 522613482 PXJVWZLBAVNVHG-ACJLOTCBSA-N 405.907 4.809 5 20 HJBD CSc1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)ccc1NC(C)=O 522873873 VHJSOQMOSOMLBJ-UHFFFAOYSA-N 413.499 4.865 5 20 HJBD CC(C)(C)Oc1ccccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 523731624 WVTOVYROGXZDNN-UHFFFAOYSA-N 412.364 4.966 5 20 HJBD C[C@@H]1CC(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C[C@@H](C)O1 534317504 WNAHDSBOOMNFET-ZIAGYGMSSA-N 417.893 4.546 5 20 HJBD CCc1nc([C@H](C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)n[nH]1 534972710 XOLKFKXMKBQZGO-LBPRGKRZSA-N 401.854 4.572 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc2c(c1)OC1(CCCCC1)O2 536165976 OJYIXBGMIXKQLO-UHFFFAOYSA-N 407.426 4.689 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149877 OMTFBMROLQJVED-LBPRGKRZSA-N 417.874 4.802 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 540252887 ITNWCAGUKQSBOC-UHFFFAOYSA-N 409.364 4.574 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc([S@](C)=O)cc1 540743373 QPJRECWQMGJIOD-POXGOYDTSA-N 420.556 4.715 5 20 HJBD COc1cc(-c2noc(COc3ccccc3[N+](=O)[O-])n2)cc(Cl)c1OC(C)C 545522570 VHUYXTMBZPTNPH-UHFFFAOYSA-N 419.821 4.673 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(Cl)c(Cl)c4)no3)c2c1 546949756 FNUIMELITBMILL-UHFFFAOYSA-N 403.181 4.872 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 553210207 QEJZLYKSRUKWSL-ZIAGYGMSSA-N 410.396 4.526 5 20 HJBD CC(C)CCOc1ccccc1CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 556871783 NHHUSLBESBYDOT-JOCHJYFZSA-N 408.502 4.632 5 20 HJBD CC[C@@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1cccc(Cl)c1 556884977 FWUDUNAWAIAMHQ-ICSRJNTNSA-N 414.893 4.518 5 20 HJBD CCOc1cc(NC(=O)c2ccc(C)cc2Br)c([N+](=O)[O-])cc1OCC 559179882 YVBWFHROUSCBTN-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1ccc(C2(CN[C@@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)CCC2)cc1C 560536783 MIXZYMGCJPKQRF-QFIPXVFZSA-N 404.514 4.746 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 568535567 XWCHXMUUSXHLLU-UHFFFAOYSA-N 411.458 4.733 5 20 HJBD O=C(N[C@H](c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)C1CC1)c1ccccc1 573856297 VGXHNPUHEYLGJY-SFHVURJKSA-N 420.450 4.741 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@H]2CCCCN2c2ccccc21 575086468 QITUTXJIFMKTGC-LJQANCHMSA-N 423.516 4.560 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3noc4ccccc34)c([N+](=O)[O-])c2)cc1 578258483 PQYRSCIOABIHBM-UHFFFAOYSA-N 402.410 4.909 5 20 HJBD Cc1ccccc1[C@@H](c1noc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1)C(C)C 589156416 OXRMASFVAVEFTK-BEFAXECRSA-N 408.458 4.565 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccccc2)[C@H](C)C2CC2)cc1[N+](=O)[O-] 603567775 NVKBRGJHIMPLMG-MRXNPFEDSA-N 414.527 4.664 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C[C@H]1c1cccc(Br)c1 608809770 QFNNHZULPBXOHJ-GOPGUHFVSA-N 421.316 4.510 5 20 HJBD COc1ccc(Br)c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c1 608837203 ZZSSTKCDWPENBW-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD COc1ccc(Cl)c2c1N(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 609065331 USWKRJGVXDZBND-GFCCVEGCSA-N 406.891 4.717 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 609857480 KGSGAFHRBXAACG-QGZVFWFLSA-N 412.833 4.666 5 20 HJBD CCOC(=O)c1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1Cl 611201335 QWBKNVSDROESIQ-UHFFFAOYSA-N 417.849 4.621 5 20 HJBD Cc1ccc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)nc1 616152082 LRRIAZSQKONIOM-UHFFFAOYSA-N 416.275 4.953 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)s2)cc1 733772433 NXALZNLYGYOAFL-YGLIYXGISA-N 423.494 4.926 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCSc2ccccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745430176 WWEHSQPZKCSZLY-BLLLJJGKSA-N 411.439 4.529 5 20 HJBD CC[C@H](SC)C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 746781545 OESWANQIZKFHAV-INIZCTEOSA-N 408.863 4.548 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 748997646 GPVNEODYRBMJLZ-CYBMUJFWSA-N 420.922 4.684 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1)c1ccccc1 749915699 KTNIZPMCJSHRLW-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])sc2C)cc1 753077812 ZSXPENJLLIGJTF-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCCc1ccccc1[N+](=O)[O-] 754474141 QHUZEYTYEKIQAP-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 755015855 ZHTYIZMYQOELQC-UHFFFAOYSA-N 421.144 4.854 5 20 HJBD CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 755406068 QREGERSQYPXIKK-YJBOKZPZSA-N 407.829 4.771 5 20 HJBD O=[N+]([O-])c1ccc(N2[C@H]3CC[C@H]2CC(O)(Cc2ccc(F)cc2F)C3)c(Cl)c1 759282734 NPBJERIZZZJTDK-HOTGVXAUSA-N 408.832 4.631 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)n2)cc1 763411092 DVNYTORFXWKFEN-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1cc(Cl)ccc1Cl 763716021 KCRHTPGJDWTJBT-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2cc(C(F)F)ccc2Cl)cc1[N+](=O)[O-] 767747591 YPKRKIJZBBBLSN-UHFFFAOYSA-N 411.213 4.948 5 20 HJBD O=C(OC[C@@H]1CCO[C@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 769340760 CHHVTYZHGVZQSP-LPHOPBHVSA-N 410.451 4.653 5 20 HJBD COc1cc(/C=C2/C(=O)N(c3ccccc3C)C(=O)c3ccccc32)ccc1[N+](=O)[O-] 770569697 WAPIZFWBWCCZMR-CPNJWEJPSA-N 414.417 4.639 5 20 HJBD C[C@H](C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cn(C)c2ccccc12 772857312 ZIFLBAJHANVJGS-AWEZNQCLSA-N 405.410 4.588 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OCC1CC1 776217791 LVVUEDHCTRMMDL-QFIPXVFZSA-N 404.422 4.725 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2cnn(Cc3ccc(C(F)(F)F)cc3)c2)c1 778175911 FBMUETSINGNDMB-UHFFFAOYSA-N 424.810 4.802 5 20 HJBD O=C(Nc1cccc(F)c1)OC1CN(C(=O)c2cc3ccccc3c3cccnc23)C1 781110325 LASYETPLAODYEA-UHFFFAOYSA-N 415.424 4.600 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3cccc(C(F)(F)F)c3)no2)C1 781609710 KLEDLPHPCZJJIH-HNNXBMFYSA-N 404.304 4.529 5 20 HJBD CO[C@H]1Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2C1 784222320 HNMFCUFVYLBNLI-SFHVURJKSA-N 412.511 4.995 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC([C@@H](C)NC(=O)OC(C)(C)C)CC1 787406581 QQADKVHPLWUDJF-VZVUQDNOSA-N 403.523 4.623 5 20 HJBD COCCOc1c(Cl)cc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1Cl 788734171 KHNAHZANPRCFAU-JTQLQIEISA-N 400.262 4.682 5 20 HJBD C[C@@H](Cc1nnc(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1)c1ccccc1 789586791 CRGOLHACFURLBV-LBPRGKRZSA-N 400.416 4.525 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(C2CC2)cnc1Cl 793460542 KERQTXRACGQBKM-UHFFFAOYSA-N 400.847 4.896 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H](c2ccccn2)C1 798717906 MKHGAJHNIPZUKM-KRWDZBQOSA-N 411.527 4.902 5 20 HJBD CCCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc([N+](=O)[O-])c1 799411497 OZQJBSRXGLMZIF-UHFFFAOYSA-N 412.427 4.683 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1Cl 805439204 OXYLLHHMASCBHN-UHFFFAOYSA-N 418.862 4.756 5 20 HJBD COc1ccc([C@@H](O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 812479958 AFBHYHBWIPWVAW-LJQANCHMSA-N 410.392 4.572 5 20 HJBD C[C@H](c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)N1CCOCC1 812980614 XWGIOTWQBWYXCR-GFCCVEGCSA-N 424.284 4.547 5 20 HJBD Cc1ccc(CNc2cc3c(cc2NC(=O)OC(C)(C)C)OCO3)cc1[N+](=O)[O-] 816603013 VTDVRXZGAIDTLR-UHFFFAOYSA-N 401.419 4.591 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817863179 WTJGQMXNCXHDNN-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 864029518 ATIOHJBUBDHZEM-UHFFFAOYSA-N 401.264 4.772 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2ccncc2)nc2ccccc12 897444711 PDDDCLAUYCHTDB-UHFFFAOYSA-N 406.348 4.736 5 20 HJBD COc1ccc(OC(=O)c2ccccc2SCc2c(C)noc2C)c([N+](=O)[O-])c1 899470362 ITLRUNBETSGRHS-UHFFFAOYSA-N 414.439 4.720 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccsc3C(F)(F)F)no2)C1 904922246 BFSRMQOQZDPOJK-LBPRGKRZSA-N 410.333 4.591 5 20 HJBD COc1ccc(CCc2nnc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)o2)cc1 1349934845 RFIPZMOPKCZGHG-UHFFFAOYSA-N 418.247 4.510 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2F)oc2c1ccc1ccccc12 28610408 TXKXIVPMSMPOKJ-UHFFFAOYSA-N 422.368 4.737 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] 55593187 OXOVRGZDYDMPEY-HUUCEWRRSA-N 409.486 4.582 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)c1ccc(Br)cc1F 65864082 NFPILAISMSUECD-SNVBAGLBSA-N 413.268 4.640 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1NCc1ccc(Oc2cccnc2)c(F)c1 301831324 IIBWHSBAUQMUHU-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD Cc1c(CNC(=O)c2ccc(Br)cc2C(F)(F)F)cccc1[N+](=O)[O-] 327898119 HJZIWCVLKBIOTF-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 427447680 ZPNLWTCJOAJKDO-JOCHJYFZSA-N 423.444 4.698 5 20 HJBD Cc1ccnc(-c2noc(-c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)n2)n1 432680293 JWFXDRWYQWVHPE-UHFFFAOYSA-N 409.789 4.856 5 20 HJBD CCOc1cc(CS(=O)(=O)Cc2nc(C3CCCCC3)cs2)ccc1[N+](=O)[O-] 436101072 PDTVXSTVWFNFMP-UHFFFAOYSA-N 424.544 4.613 5 20 HJBD CC(=O)c1ccc(OCc2coc(-c3ccc(Br)cc3)n2)c([N+](=O)[O-])c1 440819677 WSIJRXBNHWIEAT-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cn1 444036212 YBTXCIWGHNKJFL-UHFFFAOYSA-N 423.419 4.642 5 20 HJBD O=C(Nc1cc(Cl)ccc1O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444254968 ZMTQPGHJUTVYDC-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(F)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444286717 LAMXCASYRVYYIW-LJQANCHMSA-N 420.415 4.603 5 20 HJBD Cc1ccc(F)c(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444425513 SVVXKRLEVWTVJS-UHFFFAOYSA-N 410.395 4.679 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nc2ccsc2c1=O 448108898 MFNHKGGEMYFBED-UHFFFAOYSA-N 415.418 4.697 5 20 HJBD Cc1cnc(Sc2nnc(COc3ccccc3Cl)n2C2CC2)c([N+](=O)[O-])c1 463053621 IPTCGTMCYGPWOK-UHFFFAOYSA-N 417.878 4.608 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OC(C)C 467655970 KTCTXTSEIVJTNN-UHFFFAOYSA-N 401.463 4.833 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 475041201 YNHXTBCZQYWZDH-ZIAGYGMSSA-N 405.882 4.590 5 20 HJBD C[C@@H]1[C@H](C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCN1c1ccccc1 475803458 DEQDUTUPPCIYMZ-NVXWUHKLSA-N 422.510 4.596 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)o1 477889553 IZNGDRLZMXDMAR-UHFFFAOYSA-N 402.838 4.659 5 20 HJBD CCn1c(SCc2ncc(-c3ccccc3)o2)nnc1-c1ccc([N+](=O)[O-])cc1 481343309 QNYRMILQQWMIQE-UHFFFAOYSA-N 407.455 4.821 5 20 HJBD Cn1ccc2cccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])c21 485689157 RXNGXCDUBKYYCQ-UHFFFAOYSA-N 401.422 4.918 5 20 HJBD Cc1ccccc1Sc1ccc(C(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)cc1 486993103 CBAOKJHGWLYOHI-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2[nH]c3ccccc3c2Br)cc1[N+](=O)[O-] 488048580 YWXMCWCDIVSOGR-NSHDSACASA-N 402.248 4.638 5 20 HJBD CC[C@@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)[nH]1 493282117 SEHRJNRLPHVFEQ-MAUKXSAKSA-N 407.474 4.805 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)s1 499632398 CZXUQBSQWJYZSI-UHFFFAOYSA-N 411.468 4.592 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC 506639692 MIJJZYIZMGGIEG-INIZCTEOSA-N 408.401 4.551 5 20 HJBD CS(=O)(=O)c1cc(SCCCOc2ccc3ccccc3c2)ccc1[N+](=O)[O-] 507653213 XAMDTGYQFSFZAJ-UHFFFAOYSA-N 417.508 4.713 5 20 HJBD C[C@H](Nc1ccc2scnc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 507868122 WHPAAJGYWOZUIQ-VIFPVBQESA-N 410.377 4.662 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 509486509 YHAKLDGXSFRNEV-AWEZNQCLSA-N 401.316 4.558 5 20 HJBD COc1ccc([C@H](C)N(C)C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cc1 509649964 GSPXCSJDSRUOJS-LBPRGKRZSA-N 407.264 4.508 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4ccc(F)cc4)n3)n2)cc1[N+](=O)[O-] 512232957 FSYYDSJQNCPSKB-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1c(NC(=O)NCc2cccc(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 519056078 GNHWBDJHCKHTLW-UHFFFAOYSA-N 410.474 4.744 5 20 HJBD Cc1ccc(-c2cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c3c(C)noc3n2)cc1 523130357 UVZVIBZUADDZLB-UHFFFAOYSA-N 414.421 4.618 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1OCC(F)(F)F 523560486 UVMYNUGWVSVHEH-UHFFFAOYSA-N 411.380 4.680 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc(OC)c1OC 536390805 PYEPKNAHKWCTPQ-CQSZACIVSA-N 409.442 4.856 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](c1ccc(C(F)(F)F)cc1)C(C)C 537124152 CBPDRQQAVHQYBN-SFHVURJKSA-N 409.408 4.847 5 20 HJBD C[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(F)c(F)c1 539350619 MKKXWSOYWIVEAL-LLVKDONJSA-N 403.410 4.763 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCSc2c(F)cccc21 541294693 UPGHMQWYUMICNJ-ZDUSSCGKSA-N 412.895 4.833 5 20 HJBD C[C@@H](C(=O)NCc1ccccc1CN1CCCCCC1)c1ccc([N+](=O)[O-])cc1F 544559455 XCSMBESRWNRIGD-QGZVFWFLSA-N 413.493 4.530 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2cnc3ccsc3c2)no1 546423407 KTJILJCKGBZWGN-UHFFFAOYSA-N 402.819 4.529 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@H]1[C@H]1CCCO1 552412445 YOMXUEIEWHFLSB-PKOBYXMFSA-N 416.861 4.824 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2noc(C(C)C)n2)CC1 552608772 ARSPUQYZOLXUBL-UHFFFAOYSA-N 418.519 4.623 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 553150812 GXACKQWCVAIZCR-QGZVFWFLSA-N 402.332 4.591 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])n1 553486833 LNDLUSGGBXPZBA-JTQLQIEISA-N 403.266 4.655 5 20 HJBD COc1c(-c2nc(C3(OC)CCC(c4ccccc4)CC3)no2)cccc1[N+](=O)[O-] 574079684 KCBWIYSTRYDNEI-UHFFFAOYSA-N 409.442 4.853 5 20 HJBD C[C@H](C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 575855653 OGUDXIQQBCFDAB-VBKZILBWSA-N 402.878 4.636 5 20 HJBD CC[C@H](C)Oc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccn1 580969492 CDYOYWBVTAKNRK-ZDUSSCGKSA-N 412.471 4.567 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 581422113 SUGPIRXIYFKHRA-ZDUSSCGKSA-N 420.309 4.765 5 20 HJBD C[C@H](C1CC1)N(Cc1ccccc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603475469 CFMBMUATBXADGJ-GOSISDBHSA-N 407.514 4.639 5 20 HJBD O=C(Nc1nc(-c2ccc(OC(F)F)cc2)cs1)c1ccc([N+](=O)[O-])cc1F 603941271 LNUBGZLYQQGFAE-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD C[C@@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955334 RIDOSCXUAVQAFT-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccco2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 604119128 OWQXZALQLSVPQJ-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD CCN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)[C@@H](C)C(C)C 604470759 NFUSMLVLQMMUOJ-SFHVURJKSA-N 420.513 4.883 5 20 HJBD Cc1ccc(C(=O)N2c3ccccc3CC[C@@H]2C(=O)Nc2ccccc2)cc1[N+](=O)[O-] 608799470 JXFDYWBZEAWLHC-OAQYLSRUSA-N 415.449 4.504 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 609155576 QGODGNNBTPUEKM-HSZRJFAPSA-N 407.445 4.618 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1 609475657 RQFOCIPLQQNQOM-LFYBBSHMSA-N 400.394 4.789 5 20 HJBD CC(C)c1c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 610047195 PFZCAHWJYGNKGD-UHFFFAOYSA-N 406.486 4.657 5 20 HJBD CCc1nnc(-c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)o1 610911614 ZLEPRHDSOYDQAC-UHFFFAOYSA-N 407.455 4.943 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H](Nc2ccccc2)C1 611202746 FLZYCKHZBUFUEE-QGZVFWFLSA-N 411.527 4.956 5 20 HJBD Cc1cccc(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)c1[N+](=O)[O-] 726630573 BWLSEPDSPYWAIM-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@H]3c3ccccc3C(F)(F)F)c1)OCOC2 728056218 JZTLZQIXFSSMKX-SFHVURJKSA-N 408.376 4.817 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)Cc1coc(-c2ccc(Cl)cc2)n1 729307011 IOJCQJVOTZGMIH-UHFFFAOYSA-N 420.252 4.758 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)cc1F 729528420 WKAKQFYBQYLKKL-UHFFFAOYSA-N 411.389 4.678 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1Cc1ccccc1 731518387 KOPRSVHPYOTOET-OAHLLOKOSA-N 422.412 4.509 5 20 HJBD COc1cc(N2CCC(OC(=O)/C=C\c3ccc(Cl)s3)CC2)ccc1[N+](=O)[O-] 739379009 BVJYZTHHFHWMNF-DAXSKMNVSA-N 422.890 4.544 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 741645124 DBYLMDPCYMVWBN-UHFFFAOYSA-N 420.425 4.536 5 20 HJBD O=C(/C=C/c1ccccc1OC(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 742186370 IHIXUQLXRVTVDL-RUJXFNLJSA-N 424.400 4.781 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])o1 746069663 WGYWDKYZDMIEIG-UHFFFAOYSA-N 417.801 4.786 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 748793469 LKADYUWPINRIEL-UHFFFAOYSA-N 420.803 4.640 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 749382675 AZGIPXZOBJSEPJ-UHFFFAOYSA-N 419.485 4.981 5 20 HJBD CCS[C@@H]1CCCC[C@H]1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437058 PTMRWBDMRHMZGU-DGCLKSJQSA-N 421.744 4.805 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 749988337 WYEVOHFZXBSHCQ-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CC[C@H](OCCCc2ccccc2)C1 752619168 AJNQHAATMRVKBO-KRWDZBQOSA-N 403.866 4.504 5 20 HJBD COc1ccc(Oc2ccc(CCNC(=O)c3cc(F)ccc3[N+](=O)[O-])cc2)cc1 755153416 XLWCCWGPQWKCDR-UHFFFAOYSA-N 410.401 4.507 5 20 HJBD CC(C)[C@@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 762024802 IEAHDOFQDVKTEG-OAHLLOKOSA-N 404.467 4.526 5 20 HJBD C[C@@H](C[C@@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762693776 WSFUYWSXPSRZKP-HRAATJIYSA-N 422.506 4.988 5 20 HJBD C[C@H](C(=O)OCc1ccc(Br)c2cccnc12)c1cccc([N+](=O)[O-])c1 763396624 CCEFBMFKMMVZMU-LBPRGKRZSA-N 415.243 4.752 5 20 HJBD O=C(Nc1cccc(OCc2ccc(F)cc2)c1)c1cc(F)c([N+](=O)[O-])cc1F 769475821 UGPNKDWWUQBAST-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD C[C@@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cn(C)c2ccccc12 774290532 DDZXVYDLVBZBLW-WAIKUNEKSA-N 415.449 4.918 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(OC(F)F)c1 776132000 GQRMCUNCLVCQRL-GOSISDBHSA-N 400.337 4.538 5 20 HJBD CS[C@H](C)CCC(=O)O[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 779108883 PSKVEHKDPAFCFZ-FOIQADDNSA-N 416.499 4.658 5 20 HJBD CC(C)c1ccccc1NC(=O)COc1cc(F)c(Br)cc1[N+](=O)[O-] 790295150 FLQIFQBLNGBJFI-UHFFFAOYSA-N 411.227 4.637 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1ccc([N+](=O)[O-])cc1Br 795522900 VGEFBVQILQRQES-UHFFFAOYSA-N 420.240 4.612 5 20 HJBD COc1c(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 796673931 SRHURFXXWJYEBI-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN2CCC(F)(c3ccccc3C(F)(F)F)CC2)cc1 799176335 NXRZQAIVQOINJP-SFHVURJKSA-N 412.383 4.608 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)nc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799303956 PGMRGKROETWBFG-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 801464216 RJELLXKGOBKTBE-CQSZACIVSA-N 419.553 4.894 5 20 HJBD COCCC[C@@H](CNC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 804127561 MVMWOAMGUCUWPU-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD CC(C)(CCc1noc(COc2ccc3cc(Br)ccc3c2)n1)[N+](=O)[O-] 812811926 PAHFNCVHUQKDHY-UHFFFAOYSA-N 420.263 4.552 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCCN(c2nc3ccccc3s2)C1 813245814 KTYCIULIJNIPFZ-LBPRGKRZSA-N 416.890 4.713 5 20 HJBD C[C@H](N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 815560121 YMMMMTPUURHENJ-JKSUJKDBSA-N 404.470 4.841 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1NCCc1ccc([N+](=O)[O-])cc1 823812249 GTZLGQKPIMHIDN-QHAWAJNXSA-N 417.550 4.685 5 20 HJBD O=C(C=C1CCCC1)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 917986661 VMLQKZXPBSBHIF-HXUWFJFHSA-N 414.845 4.972 5 20 HJBD CC(C)(CO)[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1cccnc1 1117722298 RDSHTFLPUZBDCB-FQEVSTJZSA-N 415.877 4.753 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(COc3ccc(OCc4ccccc4)cc3)o2)c1 1322823588 HAFCDQYJIQVQBR-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD Cc1ccccc1C(=O)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2)CCC1 1785750148 VOPBLAMMEZAQEI-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD C[C@@H](OC(=O)CCC1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 7701773 GLWHATWPNCAZGB-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD C[C@H](Sc1ccccc1F)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 11435160 WNLWLSPWVYHJOR-NSHDSACASA-N 403.460 4.977 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1ccccc1 15652996 PLGJRERXOYOXRI-HNNXBMFYSA-N 422.462 4.930 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H]2CCSc3ccc(Cl)cc32)cccc1[N+](=O)[O-] 29579223 SQLYSLRIDBYEQV-BLLLJJGKSA-N 405.907 4.710 5 20 HJBD COCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)c1 30846633 JIOMRUSOEKEHHR-UHFFFAOYSA-N 408.410 4.794 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)CC3 50561707 FANYRJBEJUZOTQ-UHFFFAOYSA-N 418.478 4.707 5 20 HJBD CC(=O)c1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 52932776 OVSXUMJRGMZRHT-CQSZACIVSA-N 404.426 4.618 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 110838480 FNVHSAOIUULNKU-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(C)c(Cl)c3)s2)c1 146456709 NGFRUIFHQIIDJK-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCc3ccc(F)cc3)s2)c2ncccc12 301075829 KZDRPKVZTATSTA-UHFFFAOYSA-N 413.459 4.897 5 20 HJBD COc1ccc(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)cc1[N+](=O)[O-] 302224215 WPTRNAFZMYQWAP-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2oc3ccccc3c2C)cc1[N+](=O)[O-] 409471858 ZZAOFRLVQPDTHA-AWEZNQCLSA-N 414.483 4.769 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])cc1F 410047820 RXLUMGIOJJEXNK-JOCHJYFZSA-N 406.413 4.578 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 431395326 BQSWZAIGYUMDTO-INIZCTEOSA-N 424.501 4.666 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 435933365 DQJUXLFBLXDJGF-HXUWFJFHSA-N 404.466 4.787 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 436063825 HTSJUEXNTMLZDI-UHFFFAOYSA-N 422.431 4.678 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 436138856 WNIKQEQPMZBCPO-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438008045 RFGBLLSZZRXHLE-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccncc1Oc1ccccc1 440755821 UAZPRXMMIBXRLT-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(NCc1cccc(Oc2ncnc3cccc([N+](=O)[O-])c23)c1)Nc1ccccc1 443961917 KYPQPRKLERZZIQ-UHFFFAOYSA-N 415.409 4.652 5 20 HJBD CCO[C@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444080927 BVGIPTUNFQNTPY-GOTSBHOMSA-N 418.537 4.881 5 20 HJBD C[C@@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccccc1OCc1ccccc1 444670927 BQQRDFRKVWLLGR-MRXNPFEDSA-N 402.454 4.901 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCc2ccc([N+](=O)[O-])cc2C1 446436457 RGTWNOPOOLFAHB-UHFFFAOYSA-N 419.915 4.561 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccnc2-c2ccc(C)s2)cc1OC 446607709 ZOMKJNFDCMYLGQ-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 460419175 LAMJYYHETYHTTR-HNNXBMFYSA-N 422.428 4.607 5 20 HJBD CC(C)(C(=O)Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1 464346486 MGZNUIZJNQUXHZ-UHFFFAOYSA-N 416.890 4.607 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464658505 CKIRMOVABYVUKO-LJQANCHMSA-N 422.403 4.701 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466256187 SYOONUYZVBUKFX-KBPBESRZSA-N 420.918 4.944 5 20 HJBD CC[C@H]1CN(C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)CC[C@H]1c1ccccc1 470200176 KLOPEVICHHVMJJ-MAUKXSAKSA-N 405.454 4.505 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1nnc(-c2cc3ccccc3o2)s1 470379977 RXMQQRWNMCOQDM-UHFFFAOYSA-N 412.452 4.590 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 470956322 CEPSDRDVHSBAFU-NRFANRHFSA-N 419.485 4.541 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2nn([C@@H](C)c3ccccc3)cc2C)cc1[N+](=O)[O-] 471888256 SSUHUPOBTMORRY-SJORKVTESA-N 407.474 4.900 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nc3cc(F)cc(Cl)c3o2)cccc1[N+](=O)[O-] 479492505 WAPBZEBGOUFVRI-VIFPVBQESA-N 409.826 4.956 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cccc(Br)c3)cn2)c1 482508971 KNNHGQJEVJIQBI-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCc2cccnc2)c1 483158844 PJEHFNTXOSLQQX-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD CCOCc1ccc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 486331586 HXZMCOPBPMNPIM-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Oc3ccc(CO)cc3)cc2)c1[N+](=O)[O-] 486943457 NCQCXCFKGBAWOA-UHFFFAOYSA-N 410.451 4.854 5 20 HJBD CC(C)n1cc2cc(NC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)ccc2n1 488530793 KSBYVBURIGAVRL-UHFFFAOYSA-N 421.379 4.866 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2ccc(C)c(C)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489395252 QEMSOZNJMIWLNX-HNNXBMFYSA-N 410.499 4.854 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)C2CCC2)cc1 489699785 HQJJIKHTYPHGQR-UHFFFAOYSA-N 414.483 4.568 5 20 HJBD Cc1cc(N2CCCC2)ccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 493984433 SJRIJLPNVNNHIU-CQSZACIVSA-N 402.882 4.596 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ccco1 494448490 WMBRMAUCLODINS-QVKFZJNVSA-N 409.442 4.655 5 20 HJBD Cn1cccc1[C@H]1CCCCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 497222244 VFORELRFRMWPOB-LJQANCHMSA-N 410.499 4.814 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 498245618 PCJURHVTYDXSNJ-LJQANCHMSA-N 412.396 4.631 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OC(F)F)c(Cc2ccccc2)c1 499629675 DFTJTGZBNDLXJC-UHFFFAOYSA-N 413.380 4.622 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@@H]1CCN(c2ccccc2OC)C1 504912542 XHKPCJPDAFPYHJ-ZWKOTPCHSA-N 415.559 4.590 5 20 HJBD CN(Cc1c(Cl)cccc1Cl)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506206537 VHCANVFQLGSALW-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)Cc2ccc(F)cc2)cc([N+](=O)[O-])c1OC 509005218 ABXBFHPKNHCQEA-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC1(c2ccc(C(F)(F)F)cc2)CC1 511966122 XCNGMZUXJRRWQE-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 515672728 AOBNKLPRVFWBEW-UHFFFAOYSA-N 423.494 4.868 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@H](c2nc3ccccc3s2)C1 531882041 MDFSGYZPGHXTQM-NSHDSACASA-N 419.304 4.746 5 20 HJBD CN(C(=O)[C@H]1SCCc2sccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154029 NKKBIFMCMGBQSY-INIZCTEOSA-N 417.537 4.773 5 20 HJBD COc1cc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)ccc1[N+](=O)[O-] 538347615 YWYCNTOJZJEZKS-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)cc2F)C(C)C)c([N+](=O)[O-])cc1OC(F)F 539326473 YAYYYXALMGPHOC-SFHVURJKSA-N 416.371 4.970 5 20 HJBD CC(=O)c1sc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccccc1 541584375 WHPBDBYDANXDPH-LLVKDONJSA-N 413.430 4.802 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)c2ccccc2)c1 542224868 WUMDNFVAOFIQRC-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CCCN1Cc2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2C1 543290233 WTWIDZDVMCJHKS-UHFFFAOYSA-N 405.907 4.705 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CCc2ncc(-c3ccc(Cl)cc3)o2)n1 545526454 AYDKMVHPUDARMN-UHFFFAOYSA-N 410.817 4.662 5 20 HJBD CCOc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)ccc1OCc1cccnc1 545551046 RKEAWFXJGUGUQV-UHFFFAOYSA-N 418.409 4.685 5 20 HJBD C[C@H](c1ccncc1)c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 545799217 CSYKBIKJLXJSKI-OAHLLOKOSA-N 401.426 4.804 5 20 HJBD C[C@@H](COc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546449944 WETPPGNBGLELLQ-LBPRGKRZSA-N 418.247 4.514 5 20 HJBD CC[C@H](C)N[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccco1 561356577 RYJMTISNMIYBIK-JQWIXIFHSA-N 422.354 4.778 5 20 HJBD CC1(C)CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@@H]1[C@@H]1CCCO1 566037764 OTKRESKQGPQNML-VQTJNVASSA-N 411.458 4.808 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(Oc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 577048900 JGMNRACPWGGFGJ-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 577897886 IUZVQQLKSNYOHJ-LBPRGKRZSA-N 413.293 4.651 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCCC[C@H]3c3ncc(-c4ccccc4)[nH]3)c2c1 588037871 QPMDPHRUBXDGKA-FQEVSTJZSA-N 400.442 4.660 5 20 HJBD Cc1ccc(C2(c3noc(C(F)(F)c4ccc([N+](=O)[O-])cc4)n3)CCOCC2)cc1 589155711 ZXHTXGOMADMKRT-UHFFFAOYSA-N 415.396 4.523 5 20 HJBD CCOc1cc(NC(=O)N2C[C@@]3(C)C[C@H]2CC(C)(C)C3)c([N+](=O)[O-])cc1OCC 603652149 QOGXQWAGVUNZHH-SZNDQCEHSA-N 405.495 4.825 5 20 HJBD Cc1ccc(CN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)o1 603814063 MBEBSZQRVSQCGP-UHFFFAOYSA-N 414.405 4.798 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469884 YNCXRKLTDSSTKY-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD CCNC(=O)N1CCc2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc21 607714160 QCBLVBWXPXHSAY-UHFFFAOYSA-N 410.477 4.732 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](c3nc4ccc(C)cc4[nH]3)C2)cc1[N+](=O)[O-] 608986750 NDBQUTAYXNTMMV-HNNXBMFYSA-N 410.499 4.521 5 20 HJBD Cc1ncsc1CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609182258 DXDIOHWLBHCYEG-UHFFFAOYSA-N 403.847 4.735 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(N2CCCC2=O)cc1 609761795 JZNVTACPWFJNPU-UHFFFAOYSA-N 417.421 4.766 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cccc1C(=O)Nc1ccccc1 609785515 BFHOYEXUGZNMDP-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609856989 MHZPWCVVBRXIDV-IBGZPJMESA-N 410.861 4.931 5 20 HJBD C[C@@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 609970506 LPIFUQSXPKCBGA-ZDUSSCGKSA-N 418.375 4.932 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])s1 610177116 XSZYLQKESOFWBS-LBPRGKRZSA-N 411.911 4.564 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC(OCC2CCCCC2)CC1 610352576 GFGBFAPOVMXNCQ-UHFFFAOYSA-N 417.550 4.688 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc12 612646069 ITTVVENZZHEYGN-UHFFFAOYSA-N 422.441 4.646 5 20 HJBD Cc1c(CN[C@@H](c2ccc(Br)cc2)[C@H]2CCCO2)cccc1[N+](=O)[O-] 616357503 RIIYFIWLGHJWML-MOPGFXCFSA-N 405.292 4.676 5 20 HJBD CC(C)(C)OC(=O)N1CCC(CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 726605497 FEUHPUYRLHYYBB-UHFFFAOYSA-N 415.490 4.592 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1OC 727706377 QYMASTOKZDRVBB-UHFFFAOYSA-N 422.428 4.723 5 20 HJBD Cc1c(COC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)cccc1[N+](=O)[O-] 729778793 AZLZPEBTGVXMFU-UHFFFAOYSA-N 404.422 4.537 5 20 HJBD COc1cc(C(=O)O[C@@H](Cc2ccc(Cl)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 733948803 YNCUGHLQUFCOHZ-HNNXBMFYSA-N 403.740 4.587 5 20 HJBD Nc1c(Cl)cc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 735036343 AHCDDASLGYUSGV-UHFFFAOYSA-N 404.637 4.545 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1Cl)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 742399085 KCZWRCMKDANIGP-NSHDSACASA-N 410.257 4.901 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccc(Cl)cc2)no1 742939866 BKCXRPTWULUQEH-JTQLQIEISA-N 412.789 4.535 5 20 HJBD C[C@H](CCc1cccc(F)c1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745586063 UGKZUMXRAPFUAW-ZIAGYGMSSA-N 413.405 4.657 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 746537791 FWWCMMWQNIXCDI-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H](OC1CCOCC1)c1ccccc1 746717679 ZIRZUMLGVRXDEY-VBKZILBWSA-N 413.499 4.942 5 20 HJBD O=C(Nc1ccc(Cl)cc1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 750648124 SGQAXQAISFIKAS-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD C[C@@H](C[C@@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 752284156 KXOVELOKXASMJJ-KBXCAEBGSA-N 412.467 4.691 5 20 HJBD CN(C(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1)c1ccccc1 757937269 PGJMFGISTIAYSY-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD CC(C)N(C(=O)Cn1cc([N+](=O)[O-])c(C2CC2)n1)c1ccc(Nc2ccccc2)cc1 768433199 YQLUFHDIEYROEU-UHFFFAOYSA-N 419.485 4.854 5 20 HJBD Cc1cc2occ(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2cc1C 770492024 YZOUBHFGEHJFHG-CYBMUJFWSA-N 407.382 4.926 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)n2)c(O)n1 771141572 NASJTBBNXYHZAG-UHFFFAOYSA-N 406.423 4.872 5 20 HJBD C[C@H](CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1ccc(Cl)cc1 772146960 JFSYBCUPDKCAKT-ZDUSSCGKSA-N 415.833 4.610 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135216 RXTUGRMHDIGYHK-HSZRJFAPSA-N 401.422 4.737 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc(Cl)c([N+](=O)[O-])c1 778135556 CXKAMCXWVRISHK-NSHDSACASA-N 411.241 4.569 5 20 HJBD Cc1nc(CNC(=O)OC(C)(C)C)ccc1[C@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 779034486 XXQNWCAHKPNYPN-GJZGRUSLSA-N 414.506 4.735 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2nncc3ccccc23)cc1 779443819 KWLJHSLKRQRWHW-AUWJEWJLSA-N 412.405 4.982 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2n[nH]c(C3CC3)c2C)cc1 783651544 PDFDIKJIPHPYLS-UHFFFAOYSA-N 408.414 4.557 5 20 HJBD CSc1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 784257211 KKUXTURQVGOTOX-ZDUSSCGKSA-N 402.472 4.624 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@H]2c2cccs2)cc1[N+](=O)[O-] 784258066 SNQJCUBJEXVMRX-PBHICJAKSA-N 416.499 4.689 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 790986585 UGDLNEKSMQWVIX-UHFFFAOYSA-N 423.654 4.528 5 20 HJBD O=C(NC1(c2cc(C(F)(F)F)ccc2Cl)CC1)c1cc(F)ccc1[N+](=O)[O-] 791448020 GRZVWJKZPZTHJN-UHFFFAOYSA-N 402.731 4.825 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCc2cc(Br)ccc2C1 792295940 PMPWXJUKLWXPCQ-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1cc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1OC1CCCC1 792876055 KPBLAIWQXBVFRI-CQSZACIVSA-N 415.446 4.684 5 20 HJBD O=[N+]([O-])c1cnc(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])c(Br)c1 795251210 HKGOCKJAFZPZPK-UHFFFAOYSA-N 408.979 4.760 5 20 HJBD Cc1cc(OCC(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 797495487 FBJYMWFLXALTOL-UHFFFAOYSA-N 416.817 4.642 5 20 HJBD O=C(CSCc1ccc(Br)cc1)Nc1cc(Cl)ccc1[N+](=O)[O-] 798560515 QJYGKXAACHYISR-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 800223788 IVAZEYAVKLNBTQ-IAGOWNOFSA-N 413.271 4.818 5 20 HJBD COc1ccc(-n2cnnc2SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 809949806 BNEUBXRVGHWYTL-UHFFFAOYSA-N 411.270 4.783 5 20 HJBD CC1(C)CN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)[C@@H]1c1ccncc1 817176773 UHQKUUYYDACCNY-OAHLLOKOSA-N 424.682 4.629 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)c2nc(-c3ccc(C)c(F)c3)no2)cc1[N+](=O)[O-] 825139249 HVFDGSPLQFQXJM-LLVKDONJSA-N 417.418 4.732 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1Cl 914743957 PQLQWNHOOLWGKR-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])c2)cc1 917791483 RFOFRHJNZKFBQN-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CCOC(=O)c1ccccc1-c1ccc(C(F)(F)F)cc1 917943956 PKIXENXJJGVULW-UHFFFAOYSA-N 419.359 4.643 5 20 HJBD CC(C)[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(=O)Nc1ccccc1Cl 919526569 JSYQTEBNIVVXIQ-SFHVURJKSA-N 415.833 4.550 5 20 HJBD CCc1ccc(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc1[N+](=O)[O-] 1252518446 IVPXAKDBDUKMKV-GOSISDBHSA-N 424.457 4.821 5 20 HJBD COc1ccc(Br)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1261214023 HICIOXPCZLHEHQ-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CCN(Cc1ccccc1F)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 1317953472 XPFRTUASCFEZJG-MRXNPFEDSA-N 422.460 4.964 5 20 HJBD CCOc1cc(-c2nnc(-c3csc([N+](=O)[O-])c3)o2)cc(OCC)c1OCC 1341348270 PMXBUVCKBGEBPY-UHFFFAOYSA-N 405.432 4.569 5 20 HJBD C[C@@H](NC[C@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 1346108928 GMXPIMUYDHRVAD-ZHRRBRCNSA-N 420.469 4.623 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2Br)cccc1[N+](=O)[O-] 8567476 LVVXWTZNPWWKHS-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(Cl)cc(Br)c1OC 22813032 FXMGHIPSDGQBHX-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)cc1[N+](=O)[O-] 25878032 RFOJGHBWVNOEKQ-UHFFFAOYSA-N 401.426 4.594 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50289559 MYIHEGWFZUDCQH-UHFFFAOYSA-N 419.409 4.592 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC(N3CCCCC3)CC2)cc1[N+](=O)[O-] 58269082 WSVSEBMRZOMLHU-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 71942914 UYLVHAWPPRLBHW-UHFFFAOYSA-N 423.269 4.676 5 20 HJBD Cc1csc(CCCCNC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)n1 110518441 YITBYRIYTSCQPY-UHFFFAOYSA-N 410.499 4.856 5 20 HJBD Cn1ncc2c1CCC[C@@H]2NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237814040 QRZNLYNBOPMPCS-SFHVURJKSA-N 412.877 4.941 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@@H]2c2ccccc2Cl)c([N+](=O)[O-])c1 301224798 FOXYVQCCAHKKDY-QGZVFWFLSA-N 410.861 4.554 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303499473 RGNDQOUXDHMEJX-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 304013631 LIMNDFGICCIHDU-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD CCc1ccc(C(C)(C)CNC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 430559278 CQZIMRJIZATEOP-UHFFFAOYSA-N 402.516 4.595 5 20 HJBD CCc1ccccc1C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 432350266 YBNXRMPYOVXUNJ-UHFFFAOYSA-N 411.483 4.574 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)c1cccs1 437474663 ORVODEJNBBWXPC-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD Cc1sc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 445922551 YJUSULGOEPNTTG-UHFFFAOYSA-N 415.902 4.929 5 20 HJBD O=C(Nc1cccc(OCc2ccccn2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 462128350 WIJMFTXBJYNUPS-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 462703367 JYAATGVXPSITHE-NVXWUHKLSA-N 408.907 4.513 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccnc(OCc3ccccc3)c2)cc1OC(F)F 462783436 OMANMQWMYLLJKI-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1[C@@H]1Nc2ccc(Cl)cc2C(=O)N1c1ccncc1 463014414 VOBNLKSHIRGNSP-HXUWFJFHSA-N 424.844 4.813 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N[C@@H](C)c1ccc(SC(C)C)cc1 464039712 JEOLXGUFWGRZGM-HNNXBMFYSA-N 415.515 4.528 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(Cc3cccc(Cl)c3)s2)cc1[N+](=O)[O-] 464332617 ZSXWQJKNMLPUNE-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc2nc(-c3ccccc3)cn12 466519947 RHCCTXARWJOXTH-UHFFFAOYSA-N 422.466 4.551 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 466908226 PRJBYEGXOODFMH-GHTZIAJQSA-N 407.514 4.606 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2ccc(C)cc2)cc1 468219119 FBYPLPGAHLGDOH-IBGZPJMESA-N 423.473 4.567 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccs1)CC(F)(F)F 470788548 MQPTZGHPTZBOSP-NSHDSACASA-N 404.435 4.728 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 474880872 GOPWCRNBBJTHGY-STQMWFEESA-N 413.861 4.766 5 20 HJBD COc1c(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 475111585 DGAZTJPBUUKXHB-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3cccc(C(=O)N(C)C)c3)c3ccccc3n12 482334942 QVCMDLGQLPHFJM-UHFFFAOYSA-N 422.488 4.903 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2C)CC1 482561382 RKUNFQSFRNQQGN-MSOLQXFVSA-N 414.525 4.596 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccccc2F)C1)c1ccc([N+](=O)[O-])cc1Br 482903128 PJZTXGMZDKMALQ-NWDGAFQWSA-N 407.239 4.563 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(CSc3ccccn3)c2)cccc1[N+](=O)[O-] 483690457 GUXQKUHUXOBHHC-UHFFFAOYSA-N 408.483 4.912 5 20 HJBD Cc1c([C@@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)oc2ccccc12 484356477 ASJXNHQWRGOHEZ-CHWSQXEVSA-N 401.850 4.981 5 20 HJBD CC(C)c1cccc(-c2ccc(C(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)o2)c1 487023937 TXQRMJZWTBUOIM-UHFFFAOYSA-N 408.458 4.652 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2c(Cl)cccc2[N+](=O)[O-])n[nH]1 487692153 RQGJDDLDDCMIKI-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC2CCCC2)c1 488238748 ONXAVBOWUGPLMY-UHFFFAOYSA-N 415.515 4.940 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCc3[nH]c4ccc(Cl)cc4c3C2)cc1[N+](=O)[O-] 493286180 ZQFRSJAJMVWWCX-CYBMUJFWSA-N 412.877 4.867 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 502278698 IIYOXQKXWZEETP-FXAWDEMLSA-N 423.494 4.616 5 20 HJBD Cc1sc2ncnc(SCCc3nc(-c4cccc([N+](=O)[O-])c4)no3)c2c1C 505018613 MIPJAZXRGSENNL-UHFFFAOYSA-N 413.484 4.601 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C 505589835 BOBQYQKBJSKRIO-MGPUTAFESA-N 401.532 4.517 5 20 HJBD CCn1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc2cc(Br)ccc21 510178611 ZFHNLLMTUAUFMC-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=C(NCc1nc2ccccc2s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 515287370 QNPZCPDWECWGRC-UHFFFAOYSA-N 405.435 4.927 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)Cc1cccs1)c1cccc([N+](=O)[O-])c1 515747963 JNDFPUBCOKXWJG-CQSZACIVSA-N 409.467 4.580 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccc(CN3CCCCCC3)cc2)c1 519814714 NUNPFMQSUGZEJY-UHFFFAOYSA-N 419.472 4.862 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@@H]3C3CCC3)cc2[N+](=O)[O-])n1 524146031 CPOJYUSWHQUBEC-OAHLLOKOSA-N 403.529 4.916 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 535212795 REBYIWMVKLSJCK-LBPRGKRZSA-N 410.927 4.768 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)N1CCc2c(cccc2[N+](=O)[O-])C1 536078169 LXQLAJRHRYBLBC-UHFFFAOYSA-N 417.465 4.807 5 20 HJBD Cc1ccc(-c2ncco2)cc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 536198926 RSIKHONAOJKPSU-GFCCVEGCSA-N 400.822 4.651 5 20 HJBD CC(C)CN(C(=O)CC1CCOCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154447 MWOUIXORWUWXPT-UHFFFAOYSA-N 403.504 4.524 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC4(CCOCC4)C3)o2)c(Br)c1 537661212 NCUFMYZTTWTRPY-UHFFFAOYSA-N 421.291 4.620 5 20 HJBD C[C@H]1C[C@H](NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCN1C 539070427 JSWNJSMXLCXRST-DZGCQCFKSA-N 407.873 4.752 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCC(=O)[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452030 VTSROGCEPOHQLI-CABCVRRESA-N 415.515 4.713 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 541265629 VIJXEUGKBPKKFG-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD C[C@H](C(=O)Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1F 541605165 GMKPDOKECKTDOF-LBPRGKRZSA-N 401.419 4.512 5 20 HJBD Cc1nc(CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cs1 541610115 IFEXSUZGHRYVKW-GFCCVEGCSA-N 417.437 4.975 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1F 542980472 CBRVJUNWFSQVPP-UHFFFAOYSA-N 403.385 4.713 5 20 HJBD COc1cc(C)c([C@@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 543143726 UBOYVQTWSCEOJS-GFCCVEGCSA-N 424.906 4.543 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC(F)(F)F 544053275 WCBQRAWRDKOHLP-UHFFFAOYSA-N 406.769 4.878 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(Cc3c[nH]c4cc(Cl)ccc34)no2)cc1 546381745 FVNWLTMLYNRTFV-UHFFFAOYSA-N 404.760 4.843 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCCc2ccccc2[N+](=O)[O-])c1 547738476 KPHQWPZSWOZSTK-UHFFFAOYSA-N 408.502 4.554 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3ccc4c(c3)CCC(=O)N4)o2)c([N+](=O)[O-])c1 549177790 IAUYUTFDVXLGBL-CQSZACIVSA-N 421.453 4.599 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1 549500738 OZFQXLLAFLUMMT-NRFANRHFSA-N 424.888 4.617 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 552264692 SWRGBRDCMJBBOT-UHFFFAOYSA-N 413.861 4.537 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 558134245 MIRXKECHXOSWBP-ZDUSSCGKSA-N 420.391 4.727 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C(C)=O)[C@@H]2C[C@H]2C)cc1 570192986 GLRRNSIYPVTEQL-YBOAYWMSSA-N 409.486 4.564 5 20 HJBD Cc1nccn1-c1ccc([C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 573167636 RPQINVWRDCNYQG-ZDUSSCGKSA-N 404.392 4.959 5 20 HJBD CC(C)Cn1cc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)c(C(F)F)n1 582209070 ZPUYVBFSHVPCJQ-UHFFFAOYSA-N 406.311 4.656 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CCn1cnc2ccc([N+](=O)[O-])cc2c1=O 589907399 WJIIYRTUBLZYBZ-UHFFFAOYSA-N 422.485 4.580 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(Sc3nc(C)cc(C)n3)cc2)cc1[N+](=O)[O-] 590612842 XRVZRQIJQVBYFG-UHFFFAOYSA-N 424.482 4.804 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 604073804 HIPATJNOASMYPC-CQSZACIVSA-N 422.416 4.869 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 604105043 ACJCFAABFHORIN-UHFFFAOYSA-N 403.454 4.645 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cnn(-c2ccccc2)c1 604459157 BNCOFNIWFTXAPC-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc3ccccc3s2)C1 609873354 DXRZRWXIYYRZPW-LBPRGKRZSA-N 401.875 4.878 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 610046943 QDELLWWKHGVWPT-OALUTQOASA-N 406.404 4.856 5 20 HJBD COc1ccc(C2(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)CCOCC2)cc1 610271126 SCJNYSHEXMFRFZ-UHFFFAOYSA-N 408.454 4.659 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)ccc1Cl 611205461 VUDGKGLYDDLFSI-UHFFFAOYSA-N 408.863 4.789 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H](C)c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 738791609 OKWBVWJHGWUNDD-YWZLYKJASA-N 424.478 4.991 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C23C[C@H]4C[C@@H](CC(Br)(C4)C2)C3)cc1[N+](=O)[O-] 752416494 JHNYLJPJBVPSIC-BVZIGLSBSA-N 421.335 4.814 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 754452639 HEDHOAVRNAXKOR-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@H](O)c1cccs1 758361674 NRDNDPUMHHIMOQ-SFHVURJKSA-N 415.446 4.563 5 20 HJBD O=C(OCc1coc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])c2cccnc12 766224402 WUOSHGCLVWQQFK-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1ccc(C)c(NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 775085265 HJXMAHCEUNMRCR-UHFFFAOYSA-N 402.472 4.508 5 20 HJBD CC(C)c1ccc(C(=O)COC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 781676398 QXOIGBZVDQSTON-UHFFFAOYSA-N 406.232 4.520 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(F)cc1 790857326 RWERCVIOQJKPAE-UHFFFAOYSA-N 417.799 4.697 5 20 HJBD COC(=O)Nc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1C 798024951 BTSXJLSQPBEPAB-UHFFFAOYSA-N 400.435 4.524 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C[C@H](c2ccsc2)O1 813480003 GJYSJAQQJNALQE-YMTOWFKASA-N 401.271 4.565 5 20 HJBD CC(C)OC(=O)[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 813970867 CAMVVLVUKXUZIK-HSZRJFAPSA-N 415.445 4.531 5 20 HJBD O=C(NCCc1cccc(OCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1Cl 860500558 JNRGXZHIGYUWOH-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(CSc2nc(C)cs2)cc1 899504147 LRBSREDOPHNFHL-UHFFFAOYSA-N 416.480 4.880 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 914482499 UHMHZBQKQUERFK-NSHDSACASA-N 423.347 4.888 5 20 HJBD Cc1c(OC(=O)c2cc(-c3ccco3)nc3c2cnn3C(C)C)cccc1[N+](=O)[O-] 917049912 CTRCFSNAYDGQOR-UHFFFAOYSA-N 406.398 4.708 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H]3CCCCNC3=O)cc2[N+](=O)[O-])c1 917394580 GVQAJLPKXNDJDE-IBGZPJMESA-N 411.502 4.577 5 20 HJBD COc1ccc(CNC(=S)Nc2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 917598069 XJIUKGHFHQBXFL-UHFFFAOYSA-N 409.467 4.882 5 20 HJBD COCCN(C(=O)C=C1CCCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 919978299 MOQHNCFVKRCWNI-UHFFFAOYSA-N 401.488 4.588 5 20 HJBD COc1cc(-c2nnc(COc3ccccc3-c3ccccc3)o2)ccc1[N+](=O)[O-] 1117162200 CJPKOSVLWJXOHV-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Nc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 21007112 ZCKCDBGCGDTOAY-UHFFFAOYSA-N 407.426 4.998 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)CSc2ccc([N+](=O)[O-])cc2)c(Cl)c1 21740727 UUOVFHRBRMYVSV-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(Cl)c1 22468040 LFUQKSZPCBETEQ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1cccc([C@@H](CNc2ccc([N+](=O)[O-])cn2)c2c[nH]c3ccccc23)c1OC 25370481 WSNPGDHIBVGTGA-LJQANCHMSA-N 418.453 4.732 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC12CC3CC(CC(C3)C1)C2 36725207 FEECIKGABMIGBY-UHFFFAOYSA-N 414.449 4.791 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53509779 NZWWZUMUZNOABX-IIBYNOLFSA-N 402.454 4.750 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC(C)C 56398599 GJGGZGZSDQFMQP-CABCVRRESA-N 401.463 4.512 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64898483 MIALBQNPZBLNIM-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 104832717 LVBCULQOJXANMZ-UHFFFAOYSA-N 416.396 4.894 5 20 HJBD COc1ccc([C@H](CNC(=O)c2csc([N+](=O)[O-])c2)c2c[nH]c3ccccc23)cc1 117276923 CQEOFNLTUQPYDS-SFHVURJKSA-N 421.478 4.708 5 20 HJBD CC1(C)[C@H]2OCC[C@H]2[C@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 236707538 CWPYGRVICPKPHZ-QGTPRVQTSA-N 421.291 4.520 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)c1 301470838 OZOSIRXPWPTSSF-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD C[C@@H](c1nccs1)N1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 302212153 ZWCWMKBDOBXLKU-JTQLQIEISA-N 420.844 4.607 5 20 HJBD O=C(Nc1nnc(Cc2cccc(F)c2)s1)c1cc2cc([N+](=O)[O-])ccc2s1 410047609 PQHDIWMDVNERAA-UHFFFAOYSA-N 414.443 4.643 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 410299224 XVIQYTYFPAVVNC-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD CC(C)(NC(=O)NCc1nc(-c2ccccc2F)cs1)c1ccc([N+](=O)[O-])cc1 426898365 LVKVKQKNHLKTPU-UHFFFAOYSA-N 414.462 4.592 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ncccc2Cl)CC1 428420279 JJMAPTOCHDHYLV-ZDUSSCGKSA-N 405.907 4.530 5 20 HJBD COc1ccc(Br)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436140049 HCINZYSDZWOTGI-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD O=C(c1ccc(Oc2c(F)cc([N+](=O)[O-])cc2F)cc1)N1CCc2sccc2C1 440591453 QKFKFBCCYHLMAZ-UHFFFAOYSA-N 416.405 4.925 5 20 HJBD C[C@H](CCc1cccn1C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441067125 TXFIDYFVBWDXHS-MRXNPFEDSA-N 409.511 4.836 5 20 HJBD O=C(Nc1ccc(Cl)cc1O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444261874 SZHMDIVEJPYVLN-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444812621 LCAMRNHDLPMQFR-INIZCTEOSA-N 419.762 4.527 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1c(F)cc(F)cc1-c1ccccc1 445240480 NYCTZLGBEPPLNU-UHFFFAOYSA-N 423.379 4.738 5 20 HJBD C[C@@H](NC(=O)CCC(=O)c1cc(Cl)sc1Cl)c1cccc([N+](=O)[O-])c1 445921056 CBZNRXNGPHGCAP-SECBINFHSA-N 401.271 4.803 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)C1(c2c(Cl)cccc2Cl)CCC1 446352297 SAOYXGUPOLUTAM-UHFFFAOYSA-N 419.268 4.516 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@@H]1CCOC2(CCCCC2)C1 447691798 VUYQDBHZWQYBSO-LLVKDONJSA-N 409.355 4.938 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccc([N+](=O)[O-])cc1Cl 448324916 KIHHTKRNQOOTTM-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1 460141484 GBEYSCCDTJAUML-UHFFFAOYSA-N 422.281 4.736 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2ccccc2)nc1 462498992 GAORSUHGDCLQLD-IBGZPJMESA-N 405.454 4.667 5 20 HJBD CCc1nnc(CNc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)o1 477703743 QBMIEPLUSHSLLU-UHFFFAOYSA-N 407.352 4.915 5 20 HJBD CCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccccc1Cl 482726876 APJNQFAYKXPCFN-UHFFFAOYSA-N 423.860 4.659 5 20 HJBD COc1ccc(-c2noc([C@@H](C)SCc3cc([N+](=O)[O-])ccc3OC)n2)cc1 489608119 HQLUYDRMTDHYSC-GFCCVEGCSA-N 401.444 4.656 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1OCCc2sccc21 492109762 SNDRMJXOLLGWRG-XIKOKIGWSA-N 401.513 4.572 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1ccccn1 497899739 QBSNCRLZTPCKQX-GOSISDBHSA-N 401.875 4.956 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 499033063 ILXDAUVTRFPLDQ-UHFFFAOYSA-N 402.469 4.733 5 20 HJBD CC[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 500632267 LLNJZPRUCDOFJA-MLGOLLRUSA-N 410.442 4.944 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nc(-c3cccc(Cn4cnc5ccccc54)c3)no2)c1 504827527 DEBHUXYYWZEXFJ-UHFFFAOYSA-N 415.384 4.849 5 20 HJBD Cc1sc(NC(=O)CCc2cccc(F)c2F)nc1-c1cccc([N+](=O)[O-])c1 505084550 ZTALDNZHQRNXHL-UHFFFAOYSA-N 403.410 4.876 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)COc1ccc(Oc2ccccn2)cc1 509702234 YVTGNKDWWGDOBF-UHFFFAOYSA-N 421.453 4.598 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 513716664 GFKWMZJEHGHKQI-UHFFFAOYSA-N 407.470 4.579 5 20 HJBD CCCOc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1Cl 515677654 PGGUMQQCQJMZKI-UHFFFAOYSA-N 417.893 4.892 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 516990292 DCSGOQHAVQXPLJ-MRXNPFEDSA-N 412.412 4.718 5 20 HJBD COc1ccc(Cl)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1 518853606 UENZTPLOWXMRIC-KBPBESRZSA-N 417.893 4.991 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cnn(CC(C)C)c1C1CC1 521301102 JMSNDXVRIYURDS-UHFFFAOYSA-N 421.295 4.648 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1c(Cl)cccc1[N+](=O)[O-] 524692027 KFDAMOGSGRJKQO-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525131186 QAUOHMDBSPDTDX-JKIFEVAISA-N 422.403 4.943 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cccc1[N+](=O)[O-] 533355665 CUHFWVIDUDHALC-HNNXBMFYSA-N 422.460 4.640 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 534772193 SZIQHGGHXNXONY-ZWKOTPCHSA-N 407.495 4.613 5 20 HJBD COc1ccc([C@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 537027423 WAPYVPMDSVLYJT-SBUREZEXSA-N 419.481 4.942 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537135389 WMSCOEFGFJQHMC-UHFFFAOYSA-N 402.516 4.950 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2ccc(COc3ccccc3)cc2)cccc1[N+](=O)[O-] 539095733 VVNMROWRXXJZCT-QGZVFWFLSA-N 405.454 4.921 5 20 HJBD CC1CCN(c2cccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)CC1 539933807 VMWQNHPTUKOEQA-UHFFFAOYSA-N 405.502 4.844 5 20 HJBD CS[C@H](CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(C)(C)C 541279696 ZGNZGDGNVDVGJK-IFXJQAMLSA-N 416.547 4.670 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Oc2ccccc2OCC(F)(F)F)c1Br 543437280 HSWSOUZKEBJNNI-UHFFFAOYSA-N 407.142 4.794 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1Br 543482036 QEZUHUDCPHAOGM-UKRRQHHQSA-N 410.243 4.532 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H](c1cccc(Cl)c1)c1ccccn1 544626692 RTWOLPWZUYDJQC-GOSISDBHSA-N 400.797 4.693 5 20 HJBD Cc1c(Br)cc(-c2nc([C@@H]3CCOc4ccccc43)no2)cc1[N+](=O)[O-] 545688430 FPTHGKFDCLKKHY-CYBMUJFWSA-N 416.231 4.630 5 20 HJBD C/C(=C\c1nc(CCNc2ccccc2[N+](=O)[O-])no1)c1ccccc1OC(F)F 547084431 UAKMSDMZRMIWEC-OUKQBFOZSA-N 416.384 4.794 5 20 HJBD Cc1nc(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)c(C)o1 550909896 TWLRKVCUQIJMDL-UHFFFAOYSA-N 419.796 4.714 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)N(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)C1 553336124 IANCNUINSAVMHF-UGSOOPFHSA-N 403.276 4.510 5 20 HJBD O=C1CCCN1Cc1ccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cc1 555113832 DAVRXNKHJDDOGR-UHFFFAOYSA-N 420.450 4.649 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(Cc3cccc(C(F)F)c3)n2)c(Br)c1 557515094 YOHRNLNUNHWAAW-UHFFFAOYSA-N 424.201 4.860 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCCCC[C@H]1c1ccncc1 559516543 CZNUZJLVFBKQDG-SFHVURJKSA-N 418.291 4.818 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 571480974 FXSSECDPLQZHBC-NSHDSACASA-N 413.293 4.651 5 20 HJBD COc1ccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c(C)c1OC 573012082 YSVRAJSQJABMLR-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4cccc(OC(F)(F)F)c4)C3)ccc2c1 588857247 QLVWVADZYQZIOR-GOSISDBHSA-N 419.359 4.620 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ncccc4Oc4ccccc4)n3)c2c1 589136678 IADQXOFFIUPPOY-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] 603620328 SWPLMFRAICWBFQ-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3n[nH]c4c3CCCC4)c2)c1 604240739 VBHVMTVIEAUHAW-UHFFFAOYSA-N 408.483 4.838 5 20 HJBD CN(Cc1cc(Br)ccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 604497468 ZJJATPIKGLVRQH-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccccc2OC(C)C)cc1SC 609126366 QBNVGASDVPITKP-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 609443468 KQNRUBDJMNVXBL-KRWDZBQOSA-N 413.440 4.621 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 610170384 HQFWKDNKUBDBFC-UHFFFAOYSA-N 403.866 4.505 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 610862239 YIMZKTVUHKRNHI-OAQYLSRUSA-N 422.510 4.765 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1ccc(F)cc1Cl)c1ccc([N+](=O)[O-])cc1 611560711 YVMWVKAZMPYAPI-LJQANCHMSA-N 416.884 4.778 5 20 HJBD Cc1csc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n1 612494058 OPRJIYYXRKAJMV-LBPRGKRZSA-N 405.545 4.928 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 613229236 OCPLPZCTPNRWRL-NWSQWKLXSA-N 410.514 4.790 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc([N+](=O)[O-])ccc1Br 726506703 KDWWOIGGZNMZNU-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD C[C@H]1CC[C@H](NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 731019241 KOGUIBJYISRNDE-HDJSIYSDSA-N 415.877 4.809 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(C)cn3)cc2)cc1OC 733238306 WJXGGVCNVPUYAF-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CSc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 735631005 VCTRGDPFWGWTNH-LJQANCHMSA-N 420.446 4.642 5 20 HJBD CCc1nc(C)c([C@H](C)OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 741137022 DXRAGEDWUBHLHV-NSHDSACASA-N 403.485 4.964 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(OCc2ccccn2)c(Cl)c1 743695245 DUODIBOEYSQTMR-WDZFZDKYSA-N 409.829 4.874 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 744157397 UMFKKYFBCAFBPD-BJZITVGISA-N 402.447 4.667 5 20 HJBD O=C(Cc1ccc(OCc2ccccn2)cc1)OCc1cc([N+](=O)[O-])ccc1Cl 751816107 AFVXBOZCHUCBMF-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(CCCO)[C@H]1CCCc2ccccc21 754421779 JHNBWPVEDYIZLY-SFHVURJKSA-N 403.866 4.542 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cc(Cl)ccc1[N+](=O)[O-] 760832940 VSGISNSYMABODY-GOSISDBHSA-N 402.237 4.816 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)C1 761870039 LVISDNLEAAETOH-LBPRGKRZSA-N 414.418 4.506 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764201025 FHJPIGHYQAAVJA-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)OC(C)(C)C)CCC2)no1 764908588 UUZCIGCCLFVEDO-HNNXBMFYSA-N 416.478 4.618 5 20 HJBD O=C(CCc1cncc2ccccc12)OCc1ccc([N+](=O)[O-])cc1Br 765067918 PHCBLZOBXABTNV-UHFFFAOYSA-N 415.243 4.582 5 20 HJBD COc1cc(COC(=O)C[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1F 766197022 TVMXTRLOHDNUTG-ZDUSSCGKSA-N 401.312 4.522 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 769757188 VOVWPNQBUPNRIK-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 770183500 LFZXLGPUGMDPMR-FQEVSTJZSA-N 423.494 4.601 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1 791950234 SLLIEUFXXYNCPX-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD Cc1cc(OCC(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)ccc1[N+](=O)[O-] 797736025 GJBZIEFKKLSADH-HSZRJFAPSA-N 420.465 4.587 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1ccc(C(F)(F)F)cn1 798671309 LSZZVNAZXZFMOD-QWRGUYRKSA-N 400.378 4.794 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OCc2ccc(Br)c([N+](=O)[O-])c2)cc1 814264697 CNZWTDRMBVYNCY-UHFFFAOYSA-N 421.291 4.945 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 815549910 WSVOCHBDGGLRFN-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(OCc3ccccc3)cc2)no1 904423440 JKWBSYWQEXQVKS-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 921153197 FNEIWADQHCNRAQ-MSGBMKDASA-N 407.470 4.570 5 20 HJBD Cc1ccc(NCc2ccc([N+](=O)[O-])cc2Br)cc1C(=O)N(C)C(C)C 1256059639 LNYWNTBGRWAYJJ-UHFFFAOYSA-N 420.307 4.758 5 20 HJBD Cc1ccc(C)c(Sc2ccc(NC(=O)COc3cccnc3[N+](=O)[O-])cc2)c1 5971537 GCSYVOZYBZRAOI-UHFFFAOYSA-N 409.467 4.775 5 20 HJBD C[C@H](OC(=O)c1cccc(Oc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 6485663 SAMHPGDOBBRHRM-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD COC(=O)c1ccc(OCC(=O)Nc2c(C(C)C)cccc2C(C)C)c([N+](=O)[O-])c1 7128675 GDKKHPZXXVJARL-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD C[C@H](Sc1nnc(-c2cccs2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16633917 HIXAGDSRXATPBR-VIFPVBQESA-N 401.429 4.610 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Cl)c1 24997798 LFHNEHVQCFJYQV-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374798 SGDQPGJVWNLBLU-NSHDSACASA-N 418.858 4.955 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 55550740 CDRSTOWDTPFCTQ-UHFFFAOYSA-N 413.477 4.532 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OC[C@H]2CCCO2)c1 56303188 AALUXCZAPWXVQF-DOTOQJQBSA-N 416.499 4.580 5 20 HJBD C[C@@H](c1ccc(-n2cncn2)cc1)N(C)C(=O)c1cc2ccccc2c2cccnc12 58189836 RPDZXPJUKZFUDW-KRWDZBQOSA-N 407.477 4.802 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)c2ccc(F)c(F)c2)cc1 65846873 JXRMNQRQJLIGBM-UHFFFAOYSA-N 414.364 4.951 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(Br)s3)cs2)c1 236703082 GZFXXXTYIBRBHK-UHFFFAOYSA-N 410.318 4.832 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 410263530 HVUJGJIFPKLZHQ-MRXNPFEDSA-N 402.519 4.754 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@@H]1C 426848969 BDJIEMWEONTBTK-QFBILLFUSA-N 413.543 4.691 5 20 HJBD CC1(C)Oc2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2O1 436104109 PYIKAVANCSJLHZ-UHFFFAOYSA-N 404.397 4.580 5 20 HJBD Cc1cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc(C)c1OC(F)F 460344551 BAFJCRNIYGONIM-SFHVURJKSA-N 408.426 4.701 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460367748 WMSDXEPHCSPWSK-LJQANCHMSA-N 401.875 4.546 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)[C@H](C)C2)cc1SC 460647072 FYFOORPHHJQKLJ-ZBFHGGJFSA-N 400.500 4.591 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1nccn1C 463968210 GXLFNXSCMBHURZ-SFHVURJKSA-N 413.865 4.510 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2cc(Cl)ccc2O1 464666664 IDYMFZXLBQJTIN-NSHDSACASA-N 415.858 4.799 5 20 HJBD CC[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cccc(Cl)c1 465527316 VNATVYZEVNIFJY-HXUWFJFHSA-N 417.893 4.952 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1cc2cc(Br)ccc2[nH]1 466468472 HAOMPAICQODJEF-UHFFFAOYSA-N 404.264 4.527 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1c(F)cc(Br)cc1F 467335404 QUUZRQBMXLXVJR-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@@H]1O 467752784 MEOADLQOOKPGCF-UUXHPUJUSA-N 420.575 4.745 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1C[C@H]2CC[C@H]1C2 468677507 YUUXPNOMNIIDMD-ZFWWWQNUSA-N 417.918 4.968 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(-n2ccc(C(F)(F)F)n2)c1 471093823 ZOSHNNMRYZTHEU-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(O)(Cc4cccc(F)c4)CC3)o2)cc1 473055802 HGHCLRGACNPKNP-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CC[C@@H](c4ccccc4)C3)cc2[N+](=O)[O-])C1 476157217 OBVMTMKSMFCBHW-DBXWQHBBSA-N 407.514 4.707 5 20 HJBD COCC[C@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc(C)o1 478353235 NKFGHCDCFJWBCB-SFHVURJKSA-N 400.431 4.632 5 20 HJBD CCC[C@@H](NCC1(c2ccc3c(c2)OCO3)CCOCC1)c1cccc([N+](=O)[O-])c1 481545527 NVKBPVSVEKYXCL-HXUWFJFHSA-N 412.486 4.503 5 20 HJBD COc1c(C(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)cccc1[N+](=O)[O-] 483336410 FBKYOOWNJYNHSZ-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](c2ccc(F)cc2)C(C)(C)C)cc1[N+](=O)[O-] 483702807 VMIQIPCARUOYNT-HXUWFJFHSA-N 420.506 4.879 5 20 HJBD O=C1CCCc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc21 485739538 TYRKTTIJJINJQG-UHFFFAOYSA-N 416.433 4.945 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC2(c3cccc(Br)c3)CCC2)c1 490257764 QPXIQKXVQQKZIJ-UHFFFAOYSA-N 421.316 4.888 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3noc(C(F)(F)F)n3)c2)c1 490278700 YHRAJVXBZNJRNX-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(O)c1)c1ccc(OCc2ccc(F)cc2)cc1 490607890 NBLILUKWWQPWLP-CQSZACIVSA-N 410.401 4.510 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@@H]2CCO[C@]3(CCSC3)C2)cc1[N+](=O)[O-] 491147752 OLPCRVQBNPWJTI-OXQOHEQNSA-N 424.588 4.518 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCn3cccc3[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 493034352 QKKMLHJBZJGRIK-JTSKRJEESA-N 404.470 4.581 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 497341087 GCBHCAOERRENNP-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Cc2ccc(F)cc2)CC1 504927120 XWIJIVYVAYYPLW-OAHLLOKOSA-N 402.491 4.696 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2ccc(OC)c([N+](=O)[O-])c2)n1 508596614 UBCCIQISYOBHBF-MRXNPFEDSA-N 417.849 4.865 5 20 HJBD COc1ccc(C[C@H](C)C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1OC 511006588 CWEQQFJKCQUWJR-INIZCTEOSA-N 412.486 4.766 5 20 HJBD Cc1nc(COc2cccc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c2)cs1 513842307 FFANCXSWZMPQQA-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc4cnccc34)cc2[N+](=O)[O-])C1 515479887 YFUCXIFMBKSQOC-HZPDHXFCSA-N 404.470 4.878 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)cc1 515766833 VWROZEPWHMRCRX-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)CSc2ccccc2)c([N+](=O)[O-])cc1OCC 521085967 GZUYVUSPCYWBAZ-AWEZNQCLSA-N 404.488 4.759 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCN(CCc4ccccc4)CC3)cs2)c1 522198097 OEVLBTSVKHRWMY-UHFFFAOYSA-N 422.554 4.515 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 522327620 OKAVIGBUYGJOSB-MGPUTAFESA-N 407.474 4.572 5 20 HJBD CO[C@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 524223096 DFTRKGCLFQWUTJ-OAQYLSRUSA-N 407.426 4.896 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2c2cccc3ccccc23)cc([N+](=O)[O-])c1OC 525068484 IQNDAJPOOCRFSK-IBGZPJMESA-N 406.438 4.743 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1ccc(C(=O)Nc2ccc(F)cc2)cc1 532119847 IYYUJMRSZZOJIX-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD O=C(CCc1cc(Br)ccc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 533992656 LAMAGGQZLUHQKT-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc2)cc1 534813950 DQEGGIWESULMFZ-UHFFFAOYSA-N 402.406 4.793 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@@H](c4nc5ccc(F)cc5o4)C3)co2)cc1 535550199 XQZQFJICOVSGJA-OAHLLOKOSA-N 422.416 4.910 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1c[nH]c2cccc([N+](=O)[O-])c12 535681331 QVBZKBNLLHJYNB-CYBMUJFWSA-N 415.833 4.540 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](C)c1cccc(Cl)c1Cl 537112056 CNAQAJFVYHPPDL-MNOVXSKESA-N 412.273 4.588 5 20 HJBD CC[C@@H](N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1c(C)noc1C 542564979 GYFJZXZCPHMBJD-XMSQKQJNSA-N 422.485 4.929 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(Cc3coc(-c4cccs4)n3)no2)c(Cl)c1 545597853 VKHRUEPHYLBGIK-UHFFFAOYSA-N 402.819 4.529 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)ccc1[N+](=O)[O-] 545616846 IXOYZYRDCJTGRZ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc(C(F)(F)F)c1 545688012 DCYUXJZQLRMDRH-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(c3cccc(Br)c3)CC2)c1 545816832 LJQCEWASMBWFHY-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD Cc1ccc(Cc2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cn1 547065745 DFJURZQIQWCEHW-HNNXBMFYSA-N 416.441 4.507 5 20 HJBD Cc1cc(NCc2ccc(CN3CCCC3=O)cc2)c([N+](=O)[O-])cc1C(F)(F)F 549461891 MAOKIZLIVLKMNR-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD CN(C(=O)c1ccc(-c2ccc(Br)s2)s1)c1ccc([N+](=O)[O-])nc1 554747023 FKKZBIAFEMKEQZ-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2nc(C)cs2)c(F)c1 556785506 HTXMKPYYQNSCHW-UHFFFAOYSA-N 403.391 4.552 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2COCc2ccccc2)c1 571464977 RRQMILYLZUJILX-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 571714866 WCJNLKPMYRGBAZ-QFIPXVFZSA-N 414.505 4.773 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)c1 572907836 DOXSGQKNWDOPDJ-UHFFFAOYSA-N 401.781 4.710 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2Cc2cccc(Cl)c2Cl)c1 580178851 KYWRGSUJMGVRAR-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 582882247 OBNNBYZINAKXME-LBPRGKRZSA-N 401.513 4.551 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CCC(F)(F)[C@@H](C)C2)c([N+](=O)[O-])c1 583387615 ZFCVBNCUMVWXFQ-HNAYVOBHSA-N 403.429 4.560 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c1 583894969 QFQZCOFSUWICOQ-UHFFFAOYSA-N 422.485 4.747 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2)n(-c2ccccc2)n1 603636223 LJLWZTLNHWDUHP-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603637919 LQAFBLGOTLWABR-MRVPVSSYSA-N 421.183 4.909 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@H]1c1cccs1 603730849 TYUURICDRTWADH-AWEZNQCLSA-N 400.378 4.575 5 20 HJBD CSCc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 608953329 JYKJHTDCCQPQRN-UHFFFAOYSA-N 400.378 4.651 5 20 HJBD COc1ccc(CC(=O)N[C@H](Cc2ccccc2Cl)c2ccccc2)cc1[N+](=O)[O-] 609011891 LYGFIEVNHVMVRJ-HXUWFJFHSA-N 424.884 4.900 5 20 HJBD O=C(NCCCc1c[nH]c2ccccc12)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609346878 YJWGMFLVZGZINX-UHFFFAOYSA-N 406.467 4.562 5 20 HJBD O=C(N[C@H]1CSc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609796194 RHCOYYKAVMKGNT-IBGZPJMESA-N 405.479 4.784 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](CC(C)C)c2cc(Cl)ccc2OC)c1 609977977 MQPHQQPOVARRFC-QGZVFWFLSA-N 406.866 4.783 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1Br 610039286 BZXFOQHQZYVHGK-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(Nc1cc(-c2cccc(Cl)c2)[nH]n1)c1ccc([N+](=O)[O-])cc1Br 610090367 FQCNDBPCMQEYDS-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCc2ccccc2F)CC1 613189575 AMQXKDRCCVYKKF-SFHVURJKSA-N 414.477 4.510 5 20 HJBD O=C(C[C@H]1CCc2ccccc21)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 734387297 PYRRFXLIHZGNSD-QGZVFWFLSA-N 416.433 4.873 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1[N+](=O)[O-] 735273432 PINPYBLGMKTBEA-UHFFFAOYSA-N 408.370 4.589 5 20 HJBD CCOc1cc(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)ccc1OC(F)F 735491311 IBOVUGWOURTVGS-UHFFFAOYSA-N 400.809 4.880 5 20 HJBD Cc1cc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2n1 735890816 ZTOWSQAFCAGIJL-UHFFFAOYSA-N 405.435 4.932 5 20 HJBD CS[C@H]1CC[C@@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 742739834 DYHZLWOSFGLHSG-OLZOCXBDSA-N 409.558 4.525 5 20 HJBD COC(=O)c1oc2ccccc2c1COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567098 DLUUHWVWSPFMNL-UHFFFAOYSA-N 423.299 4.503 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)OCc1ccc(Cl)cc1[N+](=O)[O-] 745748884 KFRNXLKQCAWDEN-HNNXBMFYSA-N 404.633 4.722 5 20 HJBD COc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 755189627 UENRDYXKHATRPG-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1ccc(Br)cc1[N+](=O)[O-] 757813927 FTZXHTLXQOVTLZ-HNNXBMFYSA-N 417.259 4.534 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)c1cccc([N+](=O)[O-])c1Br 760809882 BKGPOZLEFXVBBS-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD Cc1ccc(NC(=O)[C@@H](SC2=N[C@@H](C)CS2)c2ccccc2)c([N+](=O)[O-])c1 766293947 OEXYMYYLRZXCEJ-GUYCJALGSA-N 401.513 4.808 5 20 HJBD C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1C(F)(F)F 766589822 BYQRJPWZXHKXQB-ZJUUUORDSA-N 402.319 4.814 5 20 HJBD COc1cccc(C(=O)O[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 769604679 QMPHPYCOXWWVMR-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(C)c(Cc3c(F)cccc3F)s2)c1[N+](=O)[O-] 773525886 YFHOVFYFQJWQNP-UHFFFAOYSA-N 421.400 4.929 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2nc(C3CC3)cs2)cc1[N+](=O)[O-])c1ccccn1 773958543 JQBPENCNSVWELK-CYBMUJFWSA-N 424.482 4.854 5 20 HJBD Cc1cccc(Cl)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775088255 BTKLYVCKUIYETR-UHFFFAOYSA-N 422.890 4.853 5 20 HJBD CN(C(=O)Cc1cc(-c2ccccc2)on1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496055 PQGWOEOHVYBRNV-UHFFFAOYSA-N 420.450 4.579 5 20 HJBD CC(C)CN(C(=O)c1ccc([N+](=O)[O-])o1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775497034 ZPCARFYOQQLCBN-UHFFFAOYSA-N 416.415 4.522 5 20 HJBD O=C(COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc2ccccc2c1 781268938 QZRKSBHZCSUPMP-UHFFFAOYSA-N 407.425 4.874 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 787114730 MGYYLGYBYMMVPQ-FQEVSTJZSA-N 413.836 4.831 5 20 HJBD Cc1cc(C(=O)COc2c(Cl)cncc2[N+](=O)[O-])c(C)n1Cc1ccc(F)cc1 795248031 VAAIHGQXVIALNE-UHFFFAOYSA-N 417.824 4.511 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 795946830 SLSOTRKDPULPHS-UHFFFAOYSA-N 408.835 4.614 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 797579541 NCRYWJLPAGBIDK-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 801894589 YJFLUOMJQHVCFF-JOCHJYFZSA-N 422.460 4.525 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809917978 PJHYVHRFAFASFJ-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD O=C(OC[C@H]1CCN(c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 810917376 KNGAZEJGHFJFOW-INIZCTEOSA-N 416.452 4.504 5 20 HJBD Cc1cc(=O)cc(C)n1CCCC(=O)OCc1nc2ccccc2c2ccccc12 811354293 PEGKYEJUTDTIPN-UHFFFAOYSA-N 400.478 4.690 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(Cl)nn3)o2)c(Br)c1 811836677 JBMHGTMGGUHAEM-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD COc1ccc(C2CCN(C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)cc1 813033465 UVXNKGVQLCRWKP-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NC/C=C/c2ccccc2[N+](=O)[O-])CC12CCC2 813785733 HPTXLGFBNUXAQD-AHKGRUIUSA-N 401.507 4.520 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813881216 JZSYUWWVSIAFCE-SJORKVTESA-N 403.523 4.876 5 20 HJBD COc1cccc(-c2nc(COc3cccc(Cl)c3[N+](=O)[O-])cs2)c1OC 814773330 GYJQXYQZKIRBTF-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD O=[N+]([O-])c1ccc([C@@H]2C[C@H]2c2nc(-c3cccc(CN4CCCCC4)c3)no2)cc1 904433469 GYTNBLYLDLQSCW-LEWJYISDSA-N 404.470 4.902 5 20 HJBD CC(F)(F)CCC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 915810597 COKHYKFXKMFDTJ-UHFFFAOYSA-N 412.776 4.841 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 916554992 QDCGPMAJUKJBPP-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc(C(F)(F)F)c1 917262968 HSJZFPDGSFCEOI-JTQLQIEISA-N 422.315 4.544 5 20 HJBD COc1cc(COC(=O)Cc2csc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 917280989 AUHUBSPLKQIKMD-UHFFFAOYSA-N 423.393 4.705 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc([N+](=O)[O-])cc3c2OCCO3)o1 1326252680 SFSLEOBHWWNNPU-UHFFFAOYSA-N 422.422 4.515 5 20 HJBD Cn1nc(-c2ccc(Cl)cc2)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 1340683001 ULCLZOBIHFVZBC-UHFFFAOYSA-N 422.775 4.502 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 1343983517 RRSBBFWQMXXOKY-IHWFROFDSA-N 419.481 4.657 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](Sc1ncccn1)c1ccccc1 6910927 NTCJXDPJSHCWMF-MRXNPFEDSA-N 400.847 4.510 5 20 HJBD CSc1ccc(C(=O)NC[C@H](c2ccccc2Cl)N2CCCC2)cc1[N+](=O)[O-] 10092354 NYUCNMXNEAPOBS-GOSISDBHSA-N 419.934 4.537 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15414365 KUIRPMLYKYEQOB-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD CC(C)(CC(=O)O)CC(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 29010506 JGSRBXOYKUJPAY-UHFFFAOYSA-N 420.849 4.657 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 56027542 DERUBBJCYQXXMI-UHFFFAOYSA-N 419.840 4.702 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)Nc2ccccc2)c1 65440453 SYCHCODCYGFCAY-UHFFFAOYSA-N 419.441 4.603 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 65734151 PUPFOLDVUIZHMA-UHFFFAOYSA-N 402.248 4.568 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCc2cc(OC(F)(F)F)ccc21 71895079 GFPDVFXPBIQAFC-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCCC[C@H]1c1cc2ccccc2[nH]1 112575060 OLOWBSMPWVAKST-QFIPXVFZSA-N 406.486 4.940 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc12 195697009 QQVUBWAPKOPNRK-CQSZACIVSA-N 420.494 4.854 5 20 HJBD Cc1sc(CCNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1-c1ccccc1 409664147 LOBRBHDWDNLXHF-UHFFFAOYSA-N 407.451 4.745 5 20 HJBD O=C(CCSCc1coc(-c2c(F)cccc2F)n1)Nc1ccccc1[N+](=O)[O-] 409853937 HCEHHSVWINIJEP-UHFFFAOYSA-N 419.409 4.790 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cccc(OC)c2[N+](=O)[O-])cc1 435872131 NFNTYPUBJXNGDW-JOCHJYFZSA-N 419.481 4.813 5 20 HJBD COC(=O)c1cc(C(C)C)ccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436341303 GTNDTKDMHAQZKC-UHFFFAOYSA-N 414.461 4.844 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437777050 SBKUYZMVJAYLQG-UHFFFAOYSA-N 420.347 4.951 5 20 HJBD COc1ccc(OCCN(Cc2ccco2)Cc2ccc(C)s2)c([N+](=O)[O-])c1 441268863 LPCIVFKOTFRMST-UHFFFAOYSA-N 402.472 4.648 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(Cl)c2)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 444407674 FCYIXFRZIGIZKO-BLVKFPJESA-N 400.818 4.597 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Nc1ccccc1 444616742 CYZKHYANBZGYLK-OAQYLSRUSA-N 417.484 4.687 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](c1cc(F)ccc1F)c1ccccc1Cl 460554867 DHAZZKBJCHOZCV-OAQYLSRUSA-N 416.811 4.975 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)CC[C@@H]1c1ccccc1 460636475 PBRNHPCSAVNYHH-CJNGLKHVSA-N 403.276 4.623 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCC2)[C@H]1[C@H]1CCCO1 461301628 MKNOEVWQZVBNHI-NHCUHLMSSA-N 424.522 4.920 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 462228908 XJLJADSHEZOULG-GOSISDBHSA-N 423.435 4.936 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NCc1cc([N+](=O)[O-])ccc1OC(C)C 462234524 AWESLPSDDGNROH-UHFFFAOYSA-N 411.502 4.975 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1ccc(OC)cc1OC 462433487 ZQOUEBLTHVBVAN-UTKZUKDTSA-N 412.486 4.544 5 20 HJBD Cc1nc(Oc2ccc(Br)cc2[N+](=O)[O-])c2c3c(sc2n1)CCC3 462907432 RQOLQOZZBTWVMX-UHFFFAOYSA-N 406.261 4.951 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc(-c2ccncc2)cs1 462995679 XHATYOQCCZVTKD-GOEBONIOSA-N 410.499 4.559 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1OC 463103757 XCIUIJNTXFSODG-LLVKDONJSA-N 412.364 4.512 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463159326 GMTLKWHJJPVLDF-UHFFFAOYSA-N 417.181 4.961 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OCc1ccccc1 466298119 JSPLEMFZAMUYIV-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCN1C 467800998 PNNQKBGMIZXBIH-DZGCQCFKSA-N 419.934 4.612 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](c2c(F)cccc2F)C1 470357738 SICUYUWZKHSHFO-SNVBAGLBSA-N 400.303 4.522 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 471186315 MJZFHBIJFJOTKO-NSHDSACASA-N 410.879 4.636 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472124535 QLITZWRUDMJZKR-INIZCTEOSA-N 411.405 4.936 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2ccc3ncccc3c2)cc1 474117035 XJMXYMFQBJNUTI-UHFFFAOYSA-N 419.462 4.539 5 20 HJBD Cc1cccc2c1[C@H](C)C[C@@H]2CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 485858679 AEJDBVYXBUJRJR-QZTJIDSGSA-N 408.498 4.954 5 20 HJBD Cc1nc(COc2ccccc2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cs1 488096981 TWLSVRBUCNQCGP-AWEZNQCLSA-N 411.483 4.738 5 20 HJBD O=C(Nc1ccn(-c2ccccc2Cl)n1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 489077120 SLIGUZSXIFUWCN-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cc(CNc2ccc3cnn(C(C)C)c3c2)c([N+](=O)[O-])cc1OCC(F)F 494067048 UPEDYDKARHIYJJ-UHFFFAOYSA-N 420.416 4.790 5 20 HJBD CCOC(=O)c1sc(/C=C/c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc1C 497528732 IHYNLQYEJVOXRD-BQYQJAHWSA-N 401.469 4.830 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(OC2CCCCC2)CC1 498002852 OPBXTXXTDLVIKA-UHFFFAOYSA-N 413.421 4.542 5 20 HJBD COc1ccc(Br)c(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 502811586 QWZNTUMECQCAAE-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(C(F)(F)F)cc1 507588074 UHLYKRCBMIBWIQ-JTQLQIEISA-N 405.419 4.870 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccccc1[N+](=O)[O-] 508289935 QJCZLNIFURBWQG-ZIAGYGMSSA-N 415.877 4.904 5 20 HJBD Cc1cc(CC(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)no1 510214517 YXVKDRKJUWRPEU-UHFFFAOYSA-N 420.347 4.835 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)c1cc([N+](=O)[O-])ccc1C(F)(F)F 512898942 MBOZLEYLIHWLFP-UHFFFAOYSA-N 409.339 4.597 5 20 HJBD CSc1ccc(-c2nc(C3(c4ccc(C)cc4)CCOCC3)no2)cc1[N+](=O)[O-] 516171733 WVRBBONQVLKBBN-UHFFFAOYSA-N 411.483 4.772 5 20 HJBD CN1C(=O)CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc21 519136785 BPZWFOSDWJGEIW-UHFFFAOYSA-N 417.421 4.548 5 20 HJBD CN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Oc2ccccc2)cc1 519901370 QYCOKOAJKXHVOI-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD Cc1cccc(C)c1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522890277 AAHAYJBLCMGIOQ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CCOCCCN1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)[C@H](C)C1 524550797 GJETXLBYGRRUNK-UTKZUKDTSA-N 417.575 4.550 5 20 HJBD COc1cc(C(=O)Nc2cc(-c3ccccc3)ns2)c([N+](=O)[O-])cc1OC(F)F 524832590 VCEXROBJLSJOHA-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCOC4(CCCCC4)C3)o2)c([N+](=O)[O-])c1 532627370 MAEMTLXGBJTTIZ-MRXNPFEDSA-N 400.475 4.835 5 20 HJBD Cc1ccc(-c2nc(C[S@@](=O)Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)co2)cc1 535506237 CSDAJOFIFLWJBN-SSEXGKCCSA-N 423.450 4.662 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 538250952 AFUFDKBNUHRNJH-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](OCC(F)(F)F)C1 538532261 ZWDDYYSXQLJNEI-AWEZNQCLSA-N 420.453 4.671 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@@H](C)c3nccs3)cc2[N+](=O)[O-])n1 542580024 BUDULGTYMRDCFJ-SNVBAGLBSA-N 420.541 4.501 5 20 HJBD C[C@@H](C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1ccc([N+](=O)[O-])cc1F 543464661 KHDKTQQFPMVWGJ-GFCCVEGCSA-N 410.367 4.704 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 545075957 QAEVHBQOMOEHNI-OAHLLOKOSA-N 412.471 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(Cc4c[nH]c5cc(Cl)ccc45)no3)cn2)cc1 546365885 BCFXWZMGLMGTFR-UHFFFAOYSA-N 420.816 4.556 5 20 HJBD COc1cccc(/C=C/c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)c1OC(F)F 546561743 QXEVMEACPDKYEJ-VQHVLOKHSA-N 417.368 4.657 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)[C@H]1C[C@@H]1C 566876699 RDTCWTQVUGYBRP-VOJFVSQTSA-N 421.375 4.627 5 20 HJBD C[C@@H](C(=O)N(C)CC1(c2ccc(Br)cc2)CC1)c1cccc([N+](=O)[O-])c1 570886025 LEHSUMMJOXDWFU-CQSZACIVSA-N 417.303 4.651 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H](Oc2ccc(Cl)cc2)C1 582260932 RWBWTLQRJHOVIR-INIZCTEOSA-N 414.767 4.561 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1sc(Br)cc1[N+](=O)[O-] 588934878 SZXCLESHINMIPQ-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@H]1CC[C@@H](C(F)(F)F)CC1 589116112 CKSFJUJSLCVSIR-AOOOYVTPSA-N 409.202 4.550 5 20 HJBD CC(=O)Nc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 591835266 NZJWZWCFIKCWRR-UHFFFAOYSA-N 409.417 4.774 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2ccc([N+](=O)[O-])cc2F)c2cccs2)cc1 603915379 YJYNZXIFEHQTBK-OAQYLSRUSA-N 414.458 4.642 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCc2sccc2[C@@H]1c1cccs1 609639741 PNKVGYMECJPMOB-LJQANCHMSA-N 413.524 4.618 5 20 HJBD O=C(c1cc2sc3ccccc3c2s1)N1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 609841639 WSFZYZWILFCEOJ-LBPRGKRZSA-N 424.507 4.746 5 20 HJBD C[C@@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611215939 WMCGSVZPPWOVFR-ZDUSSCGKSA-N 402.472 4.614 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCC[C@H](CCO)C1 613517151 XQXBZIYHWJVDJR-CQSZACIVSA-N 420.918 4.634 5 20 HJBD COC(=O)c1sc2ccccc2c1CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 614184922 CNCYBDUWIWHIJV-UHFFFAOYSA-N 413.455 4.535 5 20 HJBD CCCOc1cc(NC(=O)c2coc(C3CCC3)n2)c([N+](=O)[O-])cc1OCCC 618078113 JCUXZNUEXMZLPJ-UHFFFAOYSA-N 403.435 4.680 5 20 HJBD CC(C)COc1ccc(C(C)(C)CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 730200592 SWMIGFOVICWQAO-UHFFFAOYSA-N 406.429 4.615 5 20 HJBD COc1ccc(-c2nc(C(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 734781975 HBHCDXOKGIOJFH-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3Br)no2)c1 743396817 FMECRAKXGUNIQV-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1 744380030 RZZMWZBXZOHVEZ-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cccc(Cl)c1[N+](=O)[O-] 749909703 WPMZJIJUSICAFJ-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763717587 NFBWAAJLGZZMAZ-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778036583 LESKNVXOLNNICI-SFHVURJKSA-N 417.799 4.641 5 20 HJBD COc1cc(CNc2ccc(F)cc2NC(C)C)c([N+](=O)[O-])cc1OCC(F)F 779743731 QYZZZLFNXPYOGN-UHFFFAOYSA-N 413.396 4.819 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 782775601 FSBHHMBUJCFQQY-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(N(C)C3CCN(C)CC3)cc2)cc1[N+](=O)[O-] 784066864 BJIKZRCLBKLBOH-UHFFFAOYSA-N 410.518 4.501 5 20 HJBD CC(C)c1ccc(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1Cl 786397850 PGYZDKYBRCGOFN-UHFFFAOYSA-N 401.806 4.779 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCc2cccc(C(F)(F)F)c2)CC1 786797154 MBIFMYWQJRCKCC-UHFFFAOYSA-N 421.419 4.681 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1cccc([N+](=O)[O-])c1Br 796086071 YCCQVTQPCDBBTC-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD CCc1ccccc1[C@@H]1C[C@H]1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 801882750 QULQMZFKMOYTMJ-FASAQXTFSA-N 407.426 4.615 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2)nc1 806269512 KMQAXDZWXSDYMC-UHFFFAOYSA-N 406.442 4.797 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(Br)sc1C1CC1 813393869 INPXBVLNLKWDER-UHFFFAOYSA-N 402.657 4.597 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1ccc(C(C)C)nc1C 815225021 PNSZFPSKAXISFT-UHFFFAOYSA-N 404.488 4.517 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)c([N+](=O)[O-])cc1OC 904449561 NXRXQIGEYKXGDA-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD Cc1cc(C)c(/C=C\C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1C 915490042 NGVDNDVHTDNPFP-BSDKJSHOSA-N 407.426 4.888 5 20 HJBD Cc1ccc(/C(O)=C(/C(=S)N[C@@H](C)c2ccccc2)[n+]2ccccc2)cc1[N+](=O)[O-] 917611887 HIDUGNGALLOOHI-KRWDZBQOSA-O 420.514 4.753 5 20 HJBD CSCc1nc2ccccc2n1Cc1nc(-c2cc(C)c([N+](=O)[O-])cc2C)no1 1116595535 YSCRHDRMUVAZOC-UHFFFAOYSA-N 409.471 4.523 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(CCNc2ccccc2[N+](=O)[O-])o1 1117183352 WGJNXFNBJOGCJR-UHFFFAOYSA-N 416.865 4.606 5 20 HJBD COc1ccc(-c2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)ccc2OC)cc1 1321570612 ZNLDJOYJMDDOEM-HNNXBMFYSA-N 421.453 4.718 5 20 HJBD C[C@H](OC(=O)c1ccc(Oc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 5976567 CMDJOZIACALETA-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD Cc1occc1-c1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])o1 8199470 TVEQKYUOHXHPJU-UHFFFAOYSA-N 407.407 4.928 5 20 HJBD C[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NC12CC3CC(CC(C3)C1)C2 10083152 LVHAYESFRUASNQ-JIEKGTPASA-N 417.556 4.770 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2oc3ccc(Cl)cc3c2C)cccc1[N+](=O)[O-] 26623936 FQQVBAOGEFPDTG-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 31514699 CECXBGJVPXBBOD-CABCVRRESA-N 418.515 4.749 5 20 HJBD COc1cc(C(=O)N[C@H](C)C(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 33178125 SCDMOTNAPBHQJU-MRXNPFEDSA-N 420.465 4.562 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 55641806 LMUVEOSMHYQMPS-NSHDSACASA-N 410.373 4.634 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)CCn2cc([N+](=O)[O-])cn2)c2cccs2)cc1 65773817 ONXPSPMDFBIXCK-YCRPNKLZSA-N 412.515 4.662 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(C[C@H]1CCCO1)c1nc2ccccc2s1 69200754 INAPXLDGHAAMFT-CYBMUJFWSA-N 417.874 4.684 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 69298395 SCJVFBNJCOCSNX-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD Cn1c(S/C=C\c2ccc([N+](=O)[O-])o2)nc2scc(-c3cccs3)c2c1=O 214732960 IHLVWGVZJGKJNT-VURMDHGXSA-N 417.493 4.988 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 301962018 SMRFZZZLDNQMSU-UHFFFAOYSA-N 416.428 4.950 5 20 HJBD O=C(CCc1ccccc1OC(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 303136841 JQHNHBSVKYWRNX-UHFFFAOYSA-N 420.290 4.666 5 20 HJBD CC(C)N(CC(=O)Nc1ccc(F)cc1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 303179209 HWDXDVAMIJLJQV-UHFFFAOYSA-N 415.465 4.521 5 20 HJBD O=C(O)[C@H](CCCc1ccccc1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426774278 NPJGMXGLQJCFHU-FQEVSTJZSA-N 410.495 4.889 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1cccc(-c2cccnc2)c1)C1CC1 427580418 PCXYWXHKGUDLKA-UHFFFAOYSA-N 416.481 4.650 5 20 HJBD CCCC(=O)Nc1ccc(OCc2ccc([N+](=O)[O-])c(OCC)c2)c(C(C)=O)c1 428288382 RLWRAJKHLNYXED-UHFFFAOYSA-N 400.431 4.514 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1ccc(Br)cc1[N+](=O)[O-] 429952203 WKHUIBQGCDERTI-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3c(c2)ncn3-c2ccccc2)c1 432685676 PNFACGLFSBDDEB-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD CCN(Cc1ccccc1C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436096445 FTBAELIBNMNMIZ-UHFFFAOYSA-N 406.432 4.930 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 436176303 CTKWVKLLKVYZJE-UHFFFAOYSA-N 417.469 4.621 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)s2)cc1 437358048 JCCQCLRTMYETGS-UHFFFAOYSA-N 422.388 4.617 5 20 HJBD CC(C)[C@H]1C[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441301147 JAVCZYOHEOZKRY-CRAIPNDOSA-N 400.500 4.679 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(Br)s1 444551837 SLBKIJIRDADJMO-UWVGGRQHSA-N 415.334 4.777 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])sc2C1 445192994 ZEKGADDMIGFQRA-SSDOTTSWSA-N 416.322 4.643 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](C)C[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-] 461311937 VVDXXMRFUSWCNY-RDTXWAMCSA-N 418.490 4.585 5 20 HJBD Cc1n[nH]c(C)c1NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466837521 VWUQTSHNXLGGSL-UHFFFAOYSA-N 402.863 4.992 5 20 HJBD O=C(N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 474334264 YCAXGAYURSJJPA-JKSUJKDBSA-N 422.403 4.677 5 20 HJBD Cc1nc(NC(=O)CCc2ncc(-c3ccc(Cl)cc3Cl)o2)ccc1[N+](=O)[O-] 475320619 UXYBBLJOMRDUOK-UHFFFAOYSA-N 421.240 4.831 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@H](C)c2cccc([N+](=O)[O-])c2C)CC1)c1ccccc1 482147917 RWMMIIOFWZNJKJ-WIYYLYMNSA-N 409.530 4.739 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(-c2ccccc2)o1 483249284 ZFFSLBFQTNJNIX-UHFFFAOYSA-N 412.467 4.523 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)NC[C@@H]1CCCCC(F)(F)C1 490365878 JDTWQXLWBNFZKI-LLVKDONJSA-N 409.355 4.628 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc2ccccc12 497812750 PCUBBAOVWZNYBB-OAHLLOKOSA-N 400.438 4.640 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 501002974 WBIXKVVTXSDPEY-UHFFFAOYSA-N 416.817 4.705 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 504722163 TVJNWNMNNCEHST-CABCVRRESA-N 420.556 4.691 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(CSc2ccccn2)c1 509128681 HJOSYYIGSVPCDK-UHFFFAOYSA-N 409.467 4.690 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c2ccc(F)cc2)cc1 512119069 ARVCTZZYGDNKDM-NRFANRHFSA-N 419.412 4.743 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(Oc2ccccc2Cl)CC1 513892029 QUEGKFHRZUXZJI-UHFFFAOYSA-N 415.877 4.506 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CN(C)CCc3ccccc3[N+](=O)[O-])c2)cc1 514818382 QGONPPVDHGHIKD-UHFFFAOYSA-N 419.481 4.530 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 521086981 GYUSMCIJDDHYJP-KBPBESRZSA-N 422.359 4.517 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 521091338 LEEKVXKNHHPTCM-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H]2CCO[C@]3(CCSC3)C2)cc1[N+](=O)[O-] 532866728 PFGALPNKZUWRQU-PGRDOPGGSA-N 413.543 4.507 5 20 HJBD CC(=O)c1cc(F)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c(F)c1 534066887 APSOGMLVIATBRA-UHFFFAOYSA-N 417.412 4.564 5 20 HJBD Cc1cnccc1CCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 535859695 JSOALYZZUAENNH-UHFFFAOYSA-N 411.845 4.716 5 20 HJBD CC(C)(CCCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 537917494 RUDBCLWLKHIVAP-UHFFFAOYSA-N 422.934 4.928 5 20 HJBD COc1cc(CN[C@@H](C)c2ccccc2OC(F)F)c([N+](=O)[O-])cc1OC(F)F 538146428 ZJHOXMFHJTWYHD-JTQLQIEISA-N 418.343 4.657 5 20 HJBD C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(OCc2ccccn2)c1 540353012 VEOKUOXFZOXERH-OAHLLOKOSA-N 404.426 4.561 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(-n2cccn2)c1 540459416 YNYZIMFPRRRHCT-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD Cc1ccc(Sc2ccc(CNc3nnc([C@H]4CCCO4)o3)cc2[N+](=O)[O-])cc1 540793178 QPNMMNLVOFLRDG-QGZVFWFLSA-N 412.471 4.901 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 542539444 YNMZKUFQPJNOMQ-CQSZACIVSA-N 408.433 4.676 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC(F)(F)F)cc1 542540569 XXFVSKLGUWQTTO-RYUDHWBXSA-N 414.355 4.656 5 20 HJBD CCOCc1ccccc1-c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 544210594 GIHIARJPWUGVPJ-UHFFFAOYSA-N 408.439 4.972 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545781463 IGFWVJVNKOBXQH-SCLBCKFNSA-N 412.421 4.540 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(Oc4ccc(F)c(F)c4)cc3)n2)nc1 547193492 BETVZOGWYLQNGA-UHFFFAOYSA-N 410.336 4.701 5 20 HJBD Cc1ccc2cc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)ccc2n1 553051908 DTRAJGSSWGNVLF-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD O=C(CCC(F)(F)F)N1CCC[C@@H](Nc2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 553319985 LKZNUBOHDQGSGE-SNVBAGLBSA-N 414.211 4.647 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 555686715 ZYXNLIQSNODQLP-SCLBCKFNSA-N 414.849 4.513 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2Cn2cccn2)no1 574315430 PCWMWZFEOFNQRR-QGZVFWFLSA-N 403.442 4.626 5 20 HJBD COc1ccc(NC(=O)CCN[C@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 579216958 UXWMEAIXTAJYBJ-CQSZACIVSA-N 411.433 4.575 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 582169846 YTRPLXSZUOAADA-UHFFFAOYSA-N 421.419 4.894 5 20 HJBD O=C(Nc1ccc(Oc2nnc(-c3ccccn3)s2)cc1)c1cccc([N+](=O)[O-])c1 588808711 XXLRJAWXRCXQTN-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(F)cc3[N+](=O)[O-])cc2)s1 603857685 POARLIDSTQSEJV-UHFFFAOYSA-N 408.432 4.697 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 604258189 VCSAIXAKFIYEEK-UWVGGRQHSA-N 416.343 4.520 5 20 HJBD Cc1c(NC(=O)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)cccc1[N+](=O)[O-] 608993303 ZRFHOZFMMKXGAF-QGZVFWFLSA-N 410.257 4.815 5 20 HJBD COc1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 609916194 DXPGEWYWGAORGH-JSGCOSHPSA-N 410.392 4.722 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1OCC 609973943 PKZNVVXZDALFND-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD COc1ccc(OCc2ncc(-c3cccc(Br)c3)o2)c([N+](=O)[O-])c1 610217115 RIQPVWFPPJYLIB-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CC3 610290251 VHKYPEZJALBFGO-UHFFFAOYSA-N 403.360 4.602 5 20 HJBD COC[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(C(F)(F)F)c1 611396955 SPDAMUZAYKJVTD-GOSISDBHSA-N 421.375 4.746 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1cc(Cl)ccc1SC(C)C 619467356 BZAMOJXYVDMBQO-UHFFFAOYSA-N 416.908 4.558 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 619503609 CCNKKUYCTKHEMK-KBPBESRZSA-N 410.499 4.705 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(OCc4ccccc4)cc3)no2)cc([N+](=O)[O-])c1 731801452 FWQHNFIWBFBHRA-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD O=C(O[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])[nH]1 732508555 OMIQJSHTIRGBMO-NSHDSACASA-N 407.142 4.536 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 733070429 CUZQBZFZDLCCHW-CQSZACIVSA-N 422.466 4.681 5 20 HJBD CC(C)c1ccc(C(=O)[C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 745073524 IUNYAHFHJMWKNM-GFCCVEGCSA-N 420.259 4.909 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncc(C(C)(C)C)o1 747693769 IYEOJUMCGIVOGE-LLVKDONJSA-N 401.444 4.922 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 752056897 WEVQIFAITGTCBB-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 754584254 ZLMPWJSJBBQXDG-UHFFFAOYSA-N 415.446 4.780 5 20 HJBD Cc1c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cccc1OCC(F)(F)F 757221457 JSFHUDNUWJGOSI-UHFFFAOYSA-N 417.771 4.819 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])Nc1ccc(N2CCCCCC2)cc1 760434208 ZAMHWALXWISLCJ-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD CCCc1c(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1cc(C)ccn1 760923320 KFVOQMVAXZMPQG-UHFFFAOYSA-N 414.853 4.734 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC[C@@H](O)c1ccc([N+](=O)[O-])cc1 765845653 RSMIBICXHRMTFI-KPZWWZAWSA-N 401.463 4.550 5 20 HJBD COCc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 767199206 LJCRRHYISLSHOA-OAHLLOKOSA-N 406.442 4.562 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2ccco2)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 767493729 QFCDQXDWCKDFGO-JTQLQIEISA-N 420.830 4.754 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1csc(-c2ccccn2)n1 768782900 OTOJPWQABZJMPJ-UHFFFAOYSA-N 403.419 5.000 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC(=O)C1(F)CCCC1)c1ccccc1 772789215 CJJLHQHPFZXHGJ-QGZVFWFLSA-N 420.824 4.754 5 20 HJBD COc1cc2c(cc1NC(=O)CSc1ccc([N+](=O)[O-])cn1)oc1ccccc12 772858997 ZCSMXIPGMQMTLL-UHFFFAOYSA-N 409.423 4.629 5 20 HJBD CC(C)Oc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 774903055 ZADCAVRKUALYAP-UONOGXRCSA-N 405.882 4.713 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775479427 YEBDCHRHGCKBBP-GHXNOFRVSA-N 414.483 4.924 5 20 HJBD CC[C@H](C)Oc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccn1 777117635 XNCQDOINMDVGAS-YCRPNKLZSA-N 407.426 4.509 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 781199913 HPYPDJYAYXYNHM-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cnc2ncc(Br)cc2c1 781252869 YZNWZDRHVAHJEF-LLVKDONJSA-N 416.231 4.527 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nnc(-c2cccs2)o1 781258243 AUVVPMTUUGNBPI-LBPRGKRZSA-N 417.446 4.711 5 20 HJBD O=C(Nc1ccc(OC2CCCCC2)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 784938159 AQDVIPVQOLCMED-UHFFFAOYSA-N 417.849 4.537 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 786643972 AWOFZKGYABEZLP-UHFFFAOYSA-N 413.846 4.580 5 20 HJBD O=C(Nc1ccc2nc(S)[nH]c2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 787504118 WVFHFIYEEXJSBP-UHFFFAOYSA-N 420.450 4.591 5 20 HJBD O=C(Cc1ccc(Cl)s1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 800798714 QMRPSLJQDWAAKY-UHFFFAOYSA-N 416.842 4.710 5 20 HJBD Cc1ccc(-c2noc(Cc3noc(-c4ccc(C(C)C)c([N+](=O)[O-])c4)n3)n2)cc1 809254158 KSIRTLGUXLPSGE-UHFFFAOYSA-N 405.414 4.717 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3cc([N+](=O)[O-])ccc3Cl)CC2)cc1 812776179 JIFGHXOVGZJPCD-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(CCCN2CCCC2)CC1 813497098 YLEBZCOASIOPFD-UHFFFAOYSA-N 414.333 4.630 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(N)c([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 815560119 YMMMMTPUURHENJ-HOTGVXAUSA-N 404.470 4.841 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1S(=O)(=O)C1CCCC1 817472672 OJBUVSFRQHUAFN-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD COc1cc(C)ccc1OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 899171075 MGGZLKBXOKALHV-UHFFFAOYSA-N 401.362 4.628 5 20 HJBD COc1cc(Cl)c(Br)cc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 1116779899 UXGMFQJJVKRZGI-UHFFFAOYSA-N 421.581 4.550 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c(Br)c1 1320533729 MTJMMYACMOCABW-CJNGLKHVSA-N 411.321 4.507 5 20 HJBD Cc1ccc(C)c(C(=O)c2ccccc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1339546754 WSWRUFWNSALNEN-UHFFFAOYSA-N 400.434 4.599 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)Nc1c(Cl)cc(Cl)cc1Cl 6290725 NTJAENAXLRRJAX-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 14498997 GADNEEYBQJNJSJ-ZDUSSCGKSA-N 417.874 4.684 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1C[C@H]1CCCO1 15503583 IPNNBZOJCBPDQY-VGSWGCGISA-N 419.437 4.600 5 20 HJBD Cc1ccccc1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 16029145 KBCOBKKCLBNHLF-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)sc2C)cc1 60390640 JQAOWWMVHBXZJG-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=C(NCc1ccc(CN2CCCCCC2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 63679940 MFPZHNLIVQEREQ-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1-c1nc2ccccc2[nH]1 65712675 QSSMIUXSELNAKD-UHFFFAOYSA-N 411.421 4.732 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@H]2c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 303323728 AOBXYXAFTJWXES-QFIPXVFZSA-N 415.449 4.824 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)[C@@H](Oc1ccc(F)cc1Cl)c1ccccc1 409805039 OSACLXVEJKNTJW-FQEVSTJZSA-N 414.820 4.824 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-c2cn3c(n2)CCCC3)cc1 410077874 NXTCLKABIWMCLC-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(CO[C@H]3CCC[C@H](C)C3)c2)c1[N+](=O)[O-] 434191224 YDYRLNTWVAIIHT-YOEHRIQHSA-N 400.479 4.789 5 20 HJBD CN(CCCOc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436091655 VFOSCDZQNMXGAK-UHFFFAOYSA-N 422.431 4.501 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(F)c1 444036571 LIBCDBWQXSSJKX-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD CN1C(=O)N(c2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C(=O)[C@@H]1c1ccccc1 445972249 UBLVWKRKVWAUNW-FQEVSTJZSA-N 403.394 4.527 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@@H](C)Sc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 446492472 JPMPMNAUWRKLDX-CQSZACIVSA-N 422.510 4.597 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC3(CCC3)[C@@H]2C2CC2)cc1[N+](=O)[O-])c1ccccn1 461195187 QPDHFNUQJLJBBG-VFNWGFHPSA-N 406.486 4.568 5 20 HJBD CC(C)(O)CCOc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 462416169 JAYQMGBVWYVINM-UHFFFAOYSA-N 413.257 4.694 5 20 HJBD C[C@@H](Sc1nnc(COc2ccccc2Cl)n1C)c1ccccc1[N+](=O)[O-] 463037207 LSAMUAVBHMYJTB-GFCCVEGCSA-N 404.879 4.809 5 20 HJBD O=C(O)C/C(=C\c1cccc([N+](=O)[O-])c1)c1nc2cc(Br)ccc2s1 463044679 SWUAMOAYGTZYRD-IZZDOVSWSA-N 419.256 4.982 5 20 HJBD Cc1cc(C(=O)N2CCC(c3cccc(Br)c3)CC2)ccc1[N+](=O)[O-] 466789013 LMFWUOCIOLHQHB-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 468334673 UXBKTIXXVXWBSV-KRWDZBQOSA-N 411.506 4.516 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 470523680 NGLOVBOTYOBYED-OLZOCXBDSA-N 407.854 4.545 5 20 HJBD Cc1c(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)n(C)c2ccccc12 473271925 JKCPRZGMYFYYEL-UHFFFAOYSA-N 413.452 4.551 5 20 HJBD C[C@@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)Cc1c([N+](=O)[O-])ncn1C 476660571 MTRAHOWWZZYKHS-AWEZNQCLSA-N 404.923 4.677 5 20 HJBD CCc1ccc(C(=O)NC2(c3cccc(C(F)(F)F)c3)CCOCC2)cc1[N+](=O)[O-] 486908007 HDYVFTBBYFCUMC-UHFFFAOYSA-N 422.403 4.612 5 20 HJBD CC[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 487057858 SAZHPSMMFIQMTB-MRXNPFEDSA-N 424.270 4.789 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@H](C(C)C)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 487753022 KMZXHGAVPFPQJD-OAQYLSRUSA-N 418.497 4.856 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c(C)c2c1 488819691 PNLYYDIGECOJFQ-AWEZNQCLSA-N 411.458 4.954 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 489668998 UOSNUGGFOREGBU-CABCVRRESA-N 420.412 4.661 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C)c1ccc(F)cc1 491055713 AOOVNMJOUXQFPN-GOSISDBHSA-N 406.479 4.600 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)Cc1ccc([N+](=O)[O-])cc1 491720868 FYYVIVZZLBMRFM-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2)cc1[N+](=O)[O-] 493074603 DMMHYNUWSQVLBF-DLBZAZTESA-N 424.501 4.801 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(C)c(N3CCNC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 494473820 KWHXZSNVNWPFMX-QFIPXVFZSA-N 420.469 4.790 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])ccc1OCCC(C)C 501415298 WLQXKJSXDXEAKT-MRXNPFEDSA-N 400.475 4.828 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(SCC)cc2)cc1OC 504710346 AQSNAARYOCJJCY-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)c1ccc([N+](=O)[O-])cc1Br 505451190 MWAJIOZODDYDMY-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COC(=O)c1c(C2CC2)csc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 506848931 ANTIOZRFUDTARL-UHFFFAOYSA-N 417.487 4.763 5 20 HJBD CCN1C/C(=C/C=C/c2ccccc2[N+](=O)[O-])c2nc3ccccc3c(C(=O)O)c2C1 508598979 OLFWYHLXUSEIHT-SXSJWQJESA-N 415.449 4.774 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 512995961 ANQFQRNTFHCHCL-MUJYYYPQSA-N 415.287 4.908 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(-c3nncn3C)c2)cc1[N+](=O)[O-] 513604326 HRDBVVVEMLGDDT-UHFFFAOYSA-N 414.469 4.770 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1 513666923 QBFNXTHBSLKHBY-HNNXBMFYSA-N 403.866 4.880 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 515220681 LSJPQGORYXTFHP-AWEZNQCLSA-N 417.462 4.626 5 20 HJBD COc1ccc(NC(C)=O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 515283306 CLXYILJFLMIQJN-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD O=C(Nc1nnc(-c2ccccc2Cl)s1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 517656412 RQLCRSTYDZNQCC-UHFFFAOYSA-N 415.862 4.593 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(Cn4cnc5ccccc54)cc3)no2)s1 518877815 ZXSIUFCSMHNDKO-UHFFFAOYSA-N 403.423 4.771 5 20 HJBD Cc1ccc(NC(=O)CNC(=O)c2cc3ccccc3c3cccnc23)c(Cl)c1 519362786 OPDMZSSMYMUAQQ-UHFFFAOYSA-N 403.869 4.718 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)n[nH]1)Cc1ccc(Cl)cc1[N+](=O)[O-] 522549738 KNQMLAPRCNXAJI-UHFFFAOYSA-N 402.857 4.842 5 20 HJBD CCOCc1cccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 522618766 JTJSWEHXVGVLJH-LBPRGKRZSA-N 411.380 4.589 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)N(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])o2)c1 530830391 CASQDEQRNCEYIX-OAHLLOKOSA-N 407.426 4.550 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1c(C)nn(-c2ccc(Cl)cc2)c1C 531256475 BWDOSJTZEYERRF-UHFFFAOYSA-N 412.877 4.849 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)c2nc(Cc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 531443729 BZCZDWNFPIRUFD-KGLIPLIRSA-N 400.866 4.942 5 20 HJBD CCN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@@H](C)Cc1ccc(OC)cc1 532556308 FQBQHNGZOSMDHB-KRWDZBQOSA-N 409.486 4.754 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 533469670 QJACLWQQYSHZJW-UHFFFAOYSA-N 408.410 4.586 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc21 535529184 NDASTESBPAABRP-UHFFFAOYSA-N 422.488 4.558 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CCCO)Cc1ccc(Cl)c(Cl)c1 536175588 STTDRGMXCFEVKH-UHFFFAOYSA-N 423.252 4.673 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](N2CCCC2)CC1 537418031 WBDHBFYUBNNSLP-QGZVFWFLSA-N 405.564 4.578 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1OC 538152594 FFTVKYLUOQEVSZ-OAQYLSRUSA-N 410.445 4.825 5 20 HJBD C[C@H](NCC(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1)c1cccc([N+](=O)[O-])c1 538174756 GBBYYOAOTFDUFC-ORAYPTAESA-N 422.312 4.916 5 20 HJBD Cc1ccc([C@H](C)NCc2c(C)nn(-c3ccc(F)cc3F)c2C)cc1[N+](=O)[O-] 539104928 JSWZVLSKHQIROO-ZDUSSCGKSA-N 400.429 4.835 5 20 HJBD C[C@@H](C(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 544429729 PSTFXBGSCRLWNR-CQSZACIVSA-N 407.401 4.728 5 20 HJBD O=C(Nc1cccc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)c1)C1CCC1 545565716 NLVIVACERWOTPE-UHFFFAOYSA-N 410.455 4.676 5 20 HJBD CC1(C)C[C@H](NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2O1 547257549 MCXSRTVKBITSMY-KRWDZBQOSA-N 404.413 4.569 5 20 HJBD O=C(NC[C@H](c1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 562094325 MMQPLDBAXITGPY-OAQYLSRUSA-N 418.443 4.883 5 20 HJBD C[C@H](Oc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)cc1)c1ccccc1 566362839 XQRVTSPLCCLUCE-HNNXBMFYSA-N 402.410 4.771 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Br)cc1F 569635150 QDFWPTSQRLVUGH-MNOVXSKESA-N 410.243 4.618 5 20 HJBD C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)C1 572624450 KKBLBPHXIBYUDP-VQIMIIECSA-N 405.454 4.522 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(Cc3nc(C(C)C)no3)cc2)cc1[N+](=O)[O-] 578208564 VPNLCVDIKXOBLJ-OAHLLOKOSA-N 423.473 4.883 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 581568501 NUTUNIOHKHAQDK-QGZVFWFLSA-N 421.291 4.518 5 20 HJBD C[C@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 589875589 ZSAUOOCKRHOCGU-SGTLLEGYSA-N 418.375 4.523 5 20 HJBD CC(C)(C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1 590423239 PZBOGENTNGSCFF-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(Br)cc2n1 603967162 SDAMICJHBWTVCH-LBPRGKRZSA-N 414.259 4.705 5 20 HJBD CC(C)Oc1ncccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 608836205 OIIJHJQSPHRZAE-UHFFFAOYSA-N 401.850 4.980 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 609196682 CWCYTLJLDSFYAZ-LBPRGKRZSA-N 423.860 4.899 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(C(F)(F)C(F)(F)F)cc1 609228270 MDWTWUYTFJEHEX-UHFFFAOYSA-N 406.332 4.980 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OCC(F)(F)F 609722781 GBZMYZRYDBDQSE-UHFFFAOYSA-N 418.755 4.597 5 20 HJBD O=C(CCC1CCCC1)N1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 643143801 LOGCBAMYOBBGJK-UHFFFAOYSA-N 415.537 4.643 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1C(F)(F)F 728037074 UIHOKSCNZMJYEJ-KRWDZBQOSA-N 407.392 4.779 5 20 HJBD C[C@H](OC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 729892516 AODSFHIBNSYPSL-INIZCTEOSA-N 404.422 4.789 5 20 HJBD Cc1cc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])ccc1N1CCCC1 734993559 QZGOEMGGUJCVPD-UHFFFAOYSA-N 403.866 4.564 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(OS(=O)(=O)c2c(Cl)cccc2C(F)(F)F)c1 747281619 FZQPITOFUFRKKO-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD Cc1c(C(=O)O[C@@H](C)c2ccc(OC(F)F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748942450 AQZJHOXHOBZGQI-NSHDSACASA-N 410.329 4.639 5 20 HJBD Cc1nc(COc2cccc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)c2)cs1 752974709 CBQFNMOGQMXYBN-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])ccc2F)cnn1-c1ccc(Cl)cc1 752991401 VWUBSPDZIFFCRJ-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1Cl 754098734 XOBXAMQUMHHVEY-FZKQIMNGSA-N 408.813 4.506 5 20 HJBD Cc1c(C(=O)Nc2cnn(-c3ccccc3)c2C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 754698463 JVBQMSHKRQVNGY-UHFFFAOYSA-N 423.429 4.681 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 755727970 DTRSLJUTQMMMSR-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964163 RFWZEEONXDDBSW-NSHDSACASA-N 404.879 4.761 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccc(Br)c([N+](=O)[O-])c1 757818513 NGDDBKWTRFBIHK-OAHLLOKOSA-N 417.259 4.534 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761104555 VOYKLHWTXOUWEK-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1cccc(Cl)c1Cl 762904360 JDRXTTYBQLLFJZ-VIFPVBQESA-N 422.224 4.567 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1 764304803 WBFGYZCVHPMGJQ-ZDUSSCGKSA-N 410.301 4.977 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)ccc1F 766228880 WYGWUOHPZQRNBI-LBPRGKRZSA-N 422.372 4.559 5 20 HJBD COc1cc(C(=O)OC[C@@H]2CCCOC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 766302250 FYSUBWSAKAHBCC-CYBMUJFWSA-N 421.833 4.633 5 20 HJBD CCn1c(SCc2ccc(Cl)c([N+](=O)[O-])c2)nnc1-c1ccc([N+](=O)[O-])cc1 768412862 SGZWIMQXANCBBU-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)O[C@H](C)C(=O)Nc1ccccc1C(F)(F)F 769888000 NRWXWNCAXYSMSI-CYBMUJFWSA-N 424.375 4.723 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@@H]1c1ncc[nH]1 771498874 JCAXEIIRKPQSFO-MRXNPFEDSA-N 412.833 4.741 5 20 HJBD CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496048 PGKHHLMWHCODDS-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136643 KXBXACYOXNXPBS-FQEVSTJZSA-N 421.434 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CCCc1nc(-c2ccc(F)cc2)no1 778773568 QTRYOLULNMJKSI-CQSZACIVSA-N 413.405 4.719 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc(Br)ncc1F 786926625 NDEDQGJBRHXKGQ-QMMMGPOBSA-N 422.176 4.761 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])nc2ccccc21 790744894 FCNCEDIUZVRVQF-GFCCVEGCSA-N 418.856 4.738 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 795481218 KWUGMPBILKIGNY-UHFFFAOYSA-N 424.459 4.973 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801921404 BRFYXNQJPBLFPE-GFCCVEGCSA-N 414.462 4.581 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1ccc([N+](=O)[O-])cc1Cl 803227567 MYJQMCNSXQBVHA-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CC(C)c1ccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)nc1 810532716 FWSZCIXFJVCFEE-UHFFFAOYSA-N 405.410 4.585 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cnc(Oc2ccccc2)cn1 811085804 BSFFLGLIRKHDRP-UHFFFAOYSA-N 420.208 4.841 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCCC[C@@H]1c1noc(C2CC2)n1 813014141 QUNAFASFAANZOC-CYBMUJFWSA-N 411.245 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H]1CCCN(C(=O)c2cccc3ccccc23)C1 916402151 BRIDHKFNGAHOBH-GOSISDBHSA-N 418.449 4.514 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCC[C@@H]3c3ccc(Cl)c(Cl)c3)n2)cc1 917479436 ZTYYNFFCTQJRAH-GOSISDBHSA-N 418.284 4.955 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 921152869 BUDQPZPNOCQJDM-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1nc(C(C)(C)C)cs1 1116530579 RXQXYXBFBCFNDD-SECBINFHSA-N 412.309 4.602 5 20 HJBD C[S@](=O)C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1 1325078657 ZZJNMJHPJLGXPY-WRHNGFHOSA-N 418.902 4.718 5 20 HJBD Cc1ccc(OCc2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)o2)cc1C 1345654638 DMPYFCHQHJFENS-UHFFFAOYSA-N 408.458 4.831 5 20 HJBD COc1cc(COC(=O)/C=C/c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 10387377 FLWYMVAKYHSBBI-QPJJXVBHSA-N 413.760 4.615 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cc(-c2ccccc2)on1 11278750 NKIJYAGQRPHORB-UHFFFAOYSA-N 410.429 4.553 5 20 HJBD C[C@@H](OC(=O)Cc1cccc(C(F)(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528253 MFVVPGADXJLRBW-LLVKDONJSA-N 421.331 4.511 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3ccsc3)cc2[N+](=O)[O-])n1 24384298 QMAAXHOSMXARBZ-UHFFFAOYSA-N 405.526 4.845 5 20 HJBD O=C(Nc1ccc(OC[C@@H](O)c2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1Cl 27213158 PADZHGBEQVRUPN-HXUWFJFHSA-N 412.829 4.613 5 20 HJBD O=C(Nc1ccc(-c2nnco2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 58291239 ADNRCAMSGGZIKC-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1cccc(C(=O)NCc2ccco2)c1 64350611 ONPBYXZOJUBDPL-UHFFFAOYSA-N 407.470 4.528 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 116982547 VGAIWEDYXGUFMC-UHFFFAOYSA-N 421.350 4.795 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc21 215741652 PJJZHXTZAPHACJ-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD Cc1cnc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)s1 237927677 ZWXDMWPCVDNDIS-UHFFFAOYSA-N 405.483 4.502 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc(C(F)(F)F)cc3[N+](=O)[O-])n2C)cc1 301112135 XEQZHCGZTWQYAI-UHFFFAOYSA-N 410.377 4.569 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](CC(=O)O)c2ccsc2)cc1[N+](=O)[O-] 426679171 ULIBGAOSQUUCHY-GOSISDBHSA-N 411.483 4.730 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(Br)o3)no2)c1 427671046 HCYLINJFGQAFBA-UHFFFAOYSA-N 424.232 4.580 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437131139 ZIEUVKBSCHASSR-GOSISDBHSA-N 401.344 4.528 5 20 HJBD CCOc1cccc([C@@H]2C[C@H]2NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 437213403 JLDMISYLFYWTBQ-PZJWPPBQSA-N 416.452 4.505 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1 438753345 HPHRZDRJQKTHKW-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC([C@@H]4CCCO4)CC3)c([N+](=O)[O-])c2)cc1 438883997 FAPPKJBFYZLFEL-QFIPXVFZSA-N 409.486 4.551 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCO[C@@H](c4ccco4)C3)cc2[N+](=O)[O-])cc1 440652080 XVJVCXIUTRAWCC-HXUWFJFHSA-N 424.478 4.861 5 20 HJBD CO[C@@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)[C@@H](C)C1 441464585 NCCVRKZUGHGSKS-DOTOQJQBSA-N 400.500 4.694 5 20 HJBD COc1ccc(CNC(=O)c2[nH]ccc2-c2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 441820337 LVOPIGPVVVGPGN-UHFFFAOYSA-N 420.252 4.835 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)s1 443834982 DZDDKCLJDZMWJH-LLVKDONJSA-N 418.500 4.833 5 20 HJBD Cc1c(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)oc2ccccc12 444018560 DYBKTYQDMKXTBD-UHFFFAOYSA-N 418.399 4.944 5 20 HJBD Cc1ccc(N[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cc1OCC1CCOCC1 447580956 RIMFEKNTMLYFGI-VQTJNVASSA-N 414.506 4.501 5 20 HJBD CC[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(OC)cc1 463428514 ZNBDJNYEBFONOR-QGZVFWFLSA-N 410.392 4.688 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nnc(-c2cc3ccccc3o2)o1 464725175 VOKRHQZIYDTKKC-UHFFFAOYSA-N 406.398 4.525 5 20 HJBD CC(C)(C(=O)NC1CC(c2ccc(F)c(Cl)c2)C1)c1ccc([N+](=O)[O-])cc1F 467870729 FKFICSMESULONX-UHFFFAOYSA-N 408.832 4.866 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)s1 468170928 SLBKIJIRDADJMO-ZJUUUORDSA-N 415.334 4.777 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 479615256 DCLRBPPQURMWMC-OAHLLOKOSA-N 412.273 4.764 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OCC(F)(F)F)cc1 481997690 JVQDDGHUPQIKRJ-UHFFFAOYSA-N 414.405 4.578 5 20 HJBD Cc1c([C@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)oc2ccccc12 485000971 ASJXNHQWRGOHEZ-STQMWFEESA-N 401.850 4.981 5 20 HJBD COc1cccc([C@@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 485775940 ULMDHQKWHUKZRL-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)o1 488864848 ZLNWGTKXYMPMOL-SUMWQHHRSA-N 412.471 4.639 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H]3CCO[C@@H]3c3ccc(Cl)cc3)o2)c1 494493122 HUKLWHDBYGSBGI-WIYYLYMNSA-N 413.861 4.566 5 20 HJBD CC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCOCC1 500619919 VCVDZIWHILHSCN-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCSc2ccc(Br)cc21 504612711 IFGOBNXKLRPOCA-INIZCTEOSA-N 421.316 4.975 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H](c1ccc(F)cc1)C1CCC1 517844004 JIDKWNHMAHGUSN-VWLOTQADSA-N 414.480 4.951 5 20 HJBD O=C(CSCCCc1ccccc1)Nc1ccc([N+](=O)[O-])cc1Br 518912022 CAFSEZSFXCFODW-UHFFFAOYSA-N 409.305 4.662 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)cc1C 520155889 CVSUXMNLUXYPNJ-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD Cc1ccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1NC(=O)C(C)(C)C 522023971 HGKYXVYPUUOSDC-UHFFFAOYSA-N 409.446 4.550 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1OC 523171999 SSHGMYNRXZEIMZ-LJQANCHMSA-N 412.486 4.548 5 20 HJBD CN(C)[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1c(F)cccc1F 523734506 XCMKXSGIZIAFDJ-LJQANCHMSA-N 401.413 4.526 5 20 HJBD CN(C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(OC(F)(F)F)c1 523958333 SEPNONJEOXGOET-UHFFFAOYSA-N 418.755 4.579 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 524740990 FMCUPJQOWWBBCM-UHFFFAOYSA-N 424.284 4.655 5 20 HJBD O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 525778458 UHNLKEXVZUNBBC-UHFFFAOYSA-N 406.229 4.790 5 20 HJBD CC(C)[C@H](CCO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 532227215 XROGRTGJVNOHPG-KRWDZBQOSA-N 408.907 4.536 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)Cc3csc(C4CCCC4)n3)co2)cc1 534060410 ADQJUEXHTUNADA-NDEPHWFRSA-N 417.512 4.813 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(C)n2[C@@H]2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] 535335457 IGUXXPDUSPHGMB-IVMMDQJWSA-N 417.535 4.674 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537771350 GELMWZFKMWQNHV-UHFFFAOYSA-N 413.886 4.832 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@@H]2CCCO2)cc1 538239749 DCJUYEZPPZNAFB-SFHVURJKSA-N 416.499 4.905 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1 538313437 NJNLQIZEYPZEFD-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccccc2)CCOCC1 539336674 IIESGNTWZAEDBJ-UHFFFAOYSA-N 414.527 4.574 5 20 HJBD C[C@H](NCC1(c2cccc(Br)c2)CCOCC1)c1cccc([N+](=O)[O-])c1 540192141 AJSJNIFDSKYBHG-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542557065 ZVGKJQKXBLHEAT-CYBMUJFWSA-N 417.531 4.843 5 20 HJBD CC[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 545119787 PGPZVQFZOOJURG-LBPRGKRZSA-N 419.400 4.754 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546777919 SIIHQFDAWDDCKJ-INIZCTEOSA-N 416.524 4.750 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)c(F)c1 548030321 ZDVZOSMMHAPXCO-UHFFFAOYSA-N 400.331 4.514 5 20 HJBD O=C1NCCCN1c1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1F 569285537 RGXGOTXUKNQBQI-UHFFFAOYSA-N 410.405 4.526 5 20 HJBD CC(C)(C(=O)Nc1cccc(CSC2CCOCC2)c1)c1ccccc1[N+](=O)[O-] 569597334 UGMDPYBZRHNHOA-UHFFFAOYSA-N 414.527 4.923 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2ccc(Cl)cc2Cl)no1 578173477 KDXAQIKZVPCUCH-SNVBAGLBSA-N 421.240 4.618 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@H]3c3nc4ccc(Cl)cc4[nH]3)n2)cc1 579646459 PBGODTUZYCGDSG-KRWDZBQOSA-N 424.848 4.512 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-] 608945596 RFRAJAZOPNAMGC-INIZCTEOSA-N 408.376 4.990 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1ccc(F)c(Br)c1)c1cccc([N+](=O)[O-])c1 609391117 AOTVQVNQBIUXLR-GHMZBOCLSA-N 410.243 4.618 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 619215636 PGIIXSQPUPCXKF-NSHDSACASA-N 408.863 4.529 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2ccc(F)c(F)c2)CC1 681986067 DWRYHAIPHRBSCN-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709205 WVHUEJRCVIUXKW-UHFFFAOYSA-N 412.392 4.520 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@H](C)c3nc4ccccc4s3)cc2[N+](=O)[O-])CC1 734111791 FPWMUYQBHWFNAO-OAHLLOKOSA-N 424.526 4.932 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 747514862 HDQGWRCKVFXRPA-LKTVYLICSA-N 423.252 4.576 5 20 HJBD C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC[C@H]1c1ccccc1 753826400 XAFMVTVCCCMHPU-DZGCQCFKSA-N 403.276 4.623 5 20 HJBD CC(C)(C)O[C@H](C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1 754349673 OVCIVIBXVVWABK-IBGZPJMESA-N 410.426 4.849 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1C[C@H](O)c1cccs1 754395846 CUCUOPNIQPCWPY-HIFRSBDPSA-N 402.497 4.599 5 20 HJBD O=C(N1CCCc2c1cccc2[N+](=O)[O-])C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 754404278 VYANFDNDVVUVNV-RCRDTURJSA-N 419.319 4.608 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@@H](c2ccc(F)cc2)C1 755024306 LWONOCDRTPKWJR-GFCCVEGCSA-N 408.227 4.518 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755067177 UITBMWWMEVGGND-KKUMJFAQSA-N 416.449 4.921 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cc([N+](=O)[O-])ccc3Cl)cs2)c1 756900346 PAKMCHIHYWCCMZ-UHFFFAOYSA-N 404.835 4.570 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@H](O)c1ccc(F)cc1 765189366 XPPDZMPEXALTTR-RBZFPXEDSA-N 418.490 4.621 5 20 HJBD CC(=O)c1cc(Cl)c(Cl)cc1OS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 766181129 RCTFFCNNZJJPEH-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD Cc1ccc(NC(=O)[C@H](SC2=N[C@H](C)CS2)c2ccccc2)c([N+](=O)[O-])c1 766293928 OEXYMYYLRZXCEJ-CXAGYDPISA-N 401.513 4.808 5 20 HJBD Cn1ccnc1Sc1ccc(Cl)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770078537 WBPHRKXWNJJOAO-UHFFFAOYSA-N 424.816 4.663 5 20 HJBD COc1ccc(CC(=O)O[C@H](C(F)(F)F)C(C)(C)c2ccccc2)cc1[N+](=O)[O-] 771370061 ZOGQJWOSLVVBMS-SFHVURJKSA-N 411.376 4.598 5 20 HJBD O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778076092 XMINJWYRUDPOCD-UHFFFAOYSA-N 410.336 4.529 5 20 HJBD O=C(COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Nc1ccc2ccccc2c1 781260508 UWTFVFFRPDXGKG-UHFFFAOYSA-N 422.440 4.630 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncsc1-c1ccccc1 782383305 BCOTYNCWKZSCSS-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787660991 AQGQMYJAJDPRMV-UHFFFAOYSA-N 420.440 4.525 5 20 HJBD CCCn1c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc2ccccc21 787874395 ZGUVIQQIBVRHIY-AWEZNQCLSA-N 420.425 4.928 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 799671771 SHJKHINPNKSVSX-HNNXBMFYSA-N 408.479 4.729 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H]1CCCN(c2nc3ccccc3s2)C1 800149423 MXENMHGTXSHEIG-ZDUSSCGKSA-N 414.462 4.507 5 20 HJBD COc1ccc(CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)[C@H](C)C2CC2)c(OC)c1 800825017 XVUZQPQDZNHVGQ-CQSZACIVSA-N 415.515 4.610 5 20 HJBD O=C(c1ccccc1OCc1ccc(Br)c([N+](=O)[O-])c1)N1CCCCC1 800830531 ZXVPYPZXULSBDH-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 809912183 AHWNHILDGBZMPV-GOSISDBHSA-N 424.284 4.879 5 20 HJBD CN(Cc1cccc(OC(F)(F)F)c1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809914600 HQXCLPKGBPERQI-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD COc1ccc(C[C@H](C)C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811218219 RGLPCCNYIKGCEC-AWEZNQCLSA-N 412.467 4.649 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 813244916 WKHKNLYQWLJUFX-UHFFFAOYSA-N 403.866 4.619 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 914770109 RLDVXPWOGWZCOO-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1cc([N+](=O)[O-])ccc1Sc1ccccc1 916422687 JIUQLKXRIQJZHL-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1nc(-c2ccccc2Cl)cs1 921268282 LBOLWKIDSLGHOG-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1cc(Cl)ccc1Cl 8799310 JZQLUCDJJPLHKR-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1cc(COC(=O)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])cc1OC(F)F 10390264 CPGAUEMHPKGRQK-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nccn3-c3cccc(Cl)c3)c1)OCOC2 10875262 ZHROFOVBFJTZDT-UHFFFAOYSA-N 403.847 4.593 5 20 HJBD O=C(CNc1cccc([N+](=O)[O-])c1)N1N=C(c2cccs2)C[C@@H]1c1cccs1 15288942 VUQIFQUQDKWJMD-MRXNPFEDSA-N 412.496 4.508 5 20 HJBD C[C@H](CCc1ccccc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 15563832 XPQFLMNCKBYMHE-CYBMUJFWSA-N 412.433 4.843 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(-c2ccccc2F)o1 20620723 QSUUWCNETHLVBT-QBFSEMIESA-N 403.369 4.648 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nccn3-c3cccc(Cl)c3)o2)cc1 22133789 BDKNWKGXTIUNCL-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(F)cc2)cc1[N+](=O)[O-] 60389732 RZJLEOZACMJDAN-UHFFFAOYSA-N 410.426 4.939 5 20 HJBD Cc1cc(NCc2cccc(CN3CCCC3)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858884 SZFUYNXTTPJXFN-UHFFFAOYSA-N 403.486 4.568 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 65735401 FHYHDNBDWYXCHF-QGZVFWFLSA-N 406.486 4.563 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 97570370 KKNKGZGRBWQLLB-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 104959733 WWJMGTWHRCGUAU-HXUWFJFHSA-N 409.486 4.571 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3cn4c(n3)CCCC4)c2)c1 108855135 WBEJGRWOAANGPF-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD Cc1cc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)cc([N+](=O)[O-])c1 195692524 UUEYHKPHHQSHGJ-CQSZACIVSA-N 415.877 4.824 5 20 HJBD COc1cccc(Oc2ccc(CNc3ccc4cc([N+](=O)[O-])ccc4n3)cn2)c1 301085466 IWYYFYZEWQFZOO-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CCn1c(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])nnc1-c1cccc(Cl)c1 301113434 XJYIOSDUFMKRFO-UHFFFAOYSA-N 402.863 4.880 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)NCc3cccc4cccnc34)cc2[N+](=O)[O-])C1 302966352 HJRUKXOWUXMENK-IRXDYDNUSA-N 418.497 4.555 5 20 HJBD Cc1ccc(C(C)C)c(O[C@@H](C)C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c1 410178431 HJBDHEKVMXNRLE-HNNXBMFYSA-N 414.458 4.594 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426271199 MFHPLHKCDPYQIX-UHFFFAOYSA-N 419.762 4.748 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 429175676 UTHWPRMPALWGDR-OAHLLOKOSA-N 410.474 4.996 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])cc2)n1 432863113 CGEMQCKMIWLKMW-UHFFFAOYSA-N 409.446 4.825 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])s2)cc1 433760235 UTIRCYYYDVLRIH-LBPRGKRZSA-N 413.503 4.673 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437803272 PVRBOQBGKLBPGW-UHFFFAOYSA-N 413.474 4.767 5 20 HJBD CC(C)c1ccc(C(=O)N(C)CCCc2cc(-c3cccc(F)c3)n[nH]2)cc1[N+](=O)[O-] 439463767 PKDGPCLFVWIRFA-UHFFFAOYSA-N 424.476 4.952 5 20 HJBD CCCCO[C@@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(C)C 444103471 BKUKJVCXSILUAO-FCHUYYIVSA-N 406.526 4.737 5 20 HJBD CCO[C@@H](CCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C1CCCC1 444107888 UUASYFQQVWMNHH-FQEVSTJZSA-N 410.489 4.536 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](Sc1ccc([N+](=O)[O-])cn1)c1ccccc1 448047774 GQTNSACLFFHYJQ-FQEVSTJZSA-N 409.467 4.779 5 20 HJBD COc1cccc(-n2c(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])nc3ccccc32)c1 461248109 NXNNCMIDGDEOMT-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Nc1cccc([N+](=O)[O-])c1 463240764 WJNMTLLWJQQCCB-UHFFFAOYSA-N 406.261 4.538 5 20 HJBD COc1cc(CNc2cc(NC(=O)c3ccccc3)ccc2C)c([N+](=O)[O-])cc1F 464087241 MLUHYHPYKMOZJB-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])NCc1ccc(-c2nc3ccccc3s2)o1 465501120 MKNLSGMIWJIUQX-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD CN(CC[C@H]1CCCO1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 467019055 SGJOSEKTRRSHRW-MRXNPFEDSA-N 404.850 4.682 5 20 HJBD CC[C@H](C)[C@@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467921911 YPGVPQXZMGNMNT-LRDDRELGSA-N 408.907 4.536 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)ccc1F 476689466 NQNZBYAFNXJHCM-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CCc2ccccc2)CC(C)C)cc1SC 482389248 UKIIMYKESGUCGY-UHFFFAOYSA-N 402.516 4.666 5 20 HJBD CCC[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 486211547 UAQIULXWITVWHQ-NSHDSACASA-N 419.247 4.968 5 20 HJBD Cc1cc(N(C)C)cc(C)c1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488907357 ITXJRHLXLGHLCG-UHFFFAOYSA-N 410.396 4.618 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 489078780 WIBLTCOBRFPCAL-CQSZACIVSA-N 417.893 4.744 5 20 HJBD CN(C(=O)[C@H]1COc2ccc(Cl)cc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 489772251 QNYIIXFTQKTKRC-AWEZNQCLSA-N 415.858 4.511 5 20 HJBD CCCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)[C@@H](C)C(=O)Nc1ccccc1C 490015527 IUGHVFFEFFFAOT-HNNXBMFYSA-N 415.515 4.505 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 495147065 NLOWACNJXAAVDR-ZDUSSCGKSA-N 415.902 4.936 5 20 HJBD COc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(C(F)(F)F)c1 503029414 GCZNDKGZIFBIBK-UHFFFAOYSA-N 412.367 4.961 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(Cc3cccs3)n2C2CC2)c(F)c1 505443099 CDQFDYQIIFNOET-UHFFFAOYSA-N 408.455 4.744 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 506206467 UUKQJOSOQQZHIM-MRXNPFEDSA-N 420.469 4.838 5 20 HJBD COc1ccc(CN(C)Cc2cnc(C)s2)cc1OCc1ccc([N+](=O)[O-])cc1 510449492 JTXRTWSMFVWPDQ-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)N1CCCc2c1cccc2[N+](=O)[O-] 513837789 GQBJYOQPMWDYPD-UHFFFAOYSA-N 411.845 4.815 5 20 HJBD COc1cc(CN(C)Cc2ccccc2C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 514990098 BEADGWXKXRXYIP-UHFFFAOYSA-N 420.334 4.856 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Oc3ccccc3OC)c(F)c2)cc1[N+](=O)[O-] 516230761 LWYMBYNDEUGHGF-UHFFFAOYSA-N 411.389 4.829 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cc([C@@H]3CCCOC3)nn2C)cc1[N+](=O)[O-] 518306020 DEOCZOWMCBTBOZ-GOSISDBHSA-N 421.501 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 532244163 VVOJKNKUMNDJFD-SBUREZEXSA-N 424.522 4.747 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(NC(C)=O)c1 537398921 MRDUCBLNWBRADT-UHFFFAOYSA-N 405.451 4.837 5 20 HJBD Cc1c([C@H](C)N[C@H](C)c2cc3c(cc2Br)OCCO3)cccc1[N+](=O)[O-] 537991951 RZPRHDDSNSCWFP-QWHCGFSZSA-N 421.291 4.849 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(C)(C)O)cc1 540773898 YZUHSTBSADDWQZ-UHFFFAOYSA-N 418.515 4.889 5 20 HJBD C[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H](C)c1ccccc1C(F)(F)F 541638550 TTZKSMWQUOLCRE-QWHCGFSZSA-N 420.391 4.945 5 20 HJBD CCC(C)(C)OCc1ccccc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 544409811 PULILSRILGRXID-HNNXBMFYSA-N 415.490 4.748 5 20 HJBD C/C(=C/c1nc(Cc2ccn(-c3c(F)cccc3F)n2)no1)c1ccc([N+](=O)[O-])cc1 547246144 RQHKRGSSGPNKPO-QBFSEMIESA-N 423.379 4.593 5 20 HJBD O=C1CSc2ccc(Nc3ccc4ncccc4c3[N+](=O)[O-])cc2N1CC(F)F 553226332 KIFOCQQOUMBLJU-UHFFFAOYSA-N 416.409 4.590 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 554941963 CSWYNDPUITXYAL-UHFFFAOYSA-N 414.849 4.527 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 572655478 DNQUFMBCLJNIFV-CQSZACIVSA-N 403.866 4.743 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@@H](c4ccc(F)cc4F)C3)cs2)c1 582642413 AMTLMWPFVLTKGS-LJQANCHMSA-N 417.437 4.570 5 20 HJBD CC(C)C[C@H](C)n1nccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 603960434 DGJIKCUWHUYXIB-KRWDZBQOSA-N 413.522 4.887 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 604005880 FXDOFRRDNSRUJM-UHFFFAOYSA-N 419.437 4.779 5 20 HJBD Cc1cccc(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)c1[N+](=O)[O-] 604016147 FDOKZZYXSHCAAS-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 608838103 CHNKTKINLCWPDG-CYBMUJFWSA-N 423.300 4.858 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C\c4ccc(F)cc4[N+](=O)[O-])n3)c2)n1 609475747 JGACUCCKWYVRJF-CLFYSBASSA-N 419.416 4.816 5 20 HJBD COCc1noc(C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)n1 609475823 NIHLJZHHONGXTC-GXDHUFHOSA-N 408.439 4.517 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCSC[C@H]1c1ccc(Cl)cc1 609703494 PLYGHVIZAFISGT-SFHVURJKSA-N 401.875 4.660 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 609784668 NEOIRYLYIWREAB-UHFFFAOYSA-N 410.861 4.629 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H]1CCc2c(O)cccc21 609845656 GIVMGNKBSLBAMI-HXUWFJFHSA-N 405.410 4.902 5 20 HJBD O=C(C1CCN(Cc2ccccc2)CC1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609860416 SWSBGDLTMKTACD-UHFFFAOYSA-N 407.514 4.783 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccc([N+](=O)[O-])cc1Br 610036044 LVAMOBGMMULGHL-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccc(Cl)cc1)C1CC1 610039553 RETPVOBUOKVNRY-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610047550 DYYIGTDNKCDJBJ-UHFFFAOYSA-N 406.866 4.580 5 20 HJBD O=C(Nc1cccc(Cn2cncn2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611201315 LQGCMMNABJZPKZ-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC([C@@H]2CCOC2)CC1 612346026 JMJYERXSOPVELJ-MRXNPFEDSA-N 404.532 4.518 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n[nH]1 612588142 FOYYAQQTKOWVFA-UHFFFAOYSA-N 412.471 4.746 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCCO[C@H](c2ccccc2)C1 613862252 PDQZEMSSWBIUTE-KRWDZBQOSA-N 409.364 4.609 5 20 HJBD Cc1nn(C)c2ncc(C(=O)Nc3c4ccccc4n4c(C)nc5ccccc5c34)cc12 644054379 IXERJSSNXQBEIG-UHFFFAOYSA-N 420.476 4.792 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(C(F)(F)F)c1 726518342 LIYQRHPIDLEDNZ-UHFFFAOYSA-N 416.783 4.984 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445788 ZLFXXQQUWOZIAI-UHFFFAOYSA-N 421.434 4.659 5 20 HJBD Nc1c(Cl)c(Cl)nc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1Cl 735524387 MRYHRYCCMPLDNO-UHFFFAOYSA-N 411.028 4.543 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1)c1ccsc1 736075143 NRCVOZJZTDVEMJ-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CCCC(=O)COC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 738591432 RJBQVGCLUQDQQQ-UHFFFAOYSA-N 407.806 4.575 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)on1 741969289 WBMGYIHVBHNFDM-UHFFFAOYSA-N 414.506 4.653 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c1C 751108672 UKNWJFWTXIWUDL-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD C[C@@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)Nc1ccccc1-c1ccccc1 758113056 IUFDUJGTBKPYEB-CQSZACIVSA-N 408.385 4.585 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761065744 TUXHYRXZRMUBPN-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CN1CC[C@@H](CNCc2cc(Cl)ccc2[N+](=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 762623074 HVHCWJXWMKCTQG-ORAYPTAESA-N 412.292 4.823 5 20 HJBD COc1ccc(C(=O)N2CCCc3cc(N4CCCC[C@@H]4C)ccc32)cc1[N+](=O)[O-] 763184659 MGMGGHHNRGPRQJ-INIZCTEOSA-N 409.486 4.575 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764196906 KPCMPAWTYLKMQZ-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD Cc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 777985235 YFLBOLZGXJKGSU-UHFFFAOYSA-N 404.291 4.575 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1F 778204082 ULGBXCLUAADQOT-CQSZACIVSA-N 408.813 4.588 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C)c2nnc(C)s2)n1 782060304 DRPUHSKHTCHZEM-SECBINFHSA-N 422.513 4.589 5 20 HJBD Cc1cnc(COC(=O)c2c(-c3ccccc3Cl)noc2C)c(C)c1[N+](=O)[O-] 789441736 AJTARGRPCYNQJG-UHFFFAOYSA-N 401.806 4.580 5 20 HJBD CCc1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cnn1-c1cccc(C)c1 790965831 HWMGTXUVTXMFDF-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD CC(C)N(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1cnc2ccccc2c1 791028001 FQGRPIXSLSHMKK-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1 800148407 CXQKYAPAHBWPOT-UHFFFAOYSA-N 405.332 4.547 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@H]1CCCO1 804859447 CLHVUWWSUOOWEE-XSVZSIDJSA-N 424.478 4.857 5 20 HJBD CC[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])Oc1ccccc1F 813037717 ZDZAYHOOJKWADF-LLVKDONJSA-N 401.221 4.628 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)C1CCN(Cc2ccccc2)CC1 815212317 XNCUWZRAFIMDNV-UHFFFAOYSA-N 422.403 4.569 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2Cl)c2cccs2)CC1 816812958 FPZITALMCZNKOZ-INIZCTEOSA-N 407.923 4.513 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 916652713 DWVNVKLXBFWESE-ZDUSSCGKSA-N 400.696 4.850 5 20 HJBD Cc1cc(C)c(OC(=O)Cc2ccc(OCc3c(C)noc3C)cc2)c([N+](=O)[O-])c1 918679442 BMPLLHBPWQFRNV-UHFFFAOYSA-N 410.426 4.544 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)C1CC1 919880741 OEZDMCRHIIMFHF-INIZCTEOSA-N 414.465 4.850 5 20 HJBD CC(=O)[C@@H](OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 920543745 AIIWVOBTGNNONW-OYHNWAKOSA-N 419.437 4.650 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1 1116151386 LAWDKMAATOZWKW-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 1263626508 AEQJVVJSYHNHKX-MRXNPFEDSA-N 409.364 4.991 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1csc(Cc2ccc(Cl)cc2)n1 1321633828 HTBHIHLQODASHR-UHFFFAOYSA-N 404.831 4.523 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@H]2CCO[C@]3(CCSC3)C2)o1 1324095489 XGWVAYZLRFONPT-IFXJQAMLSA-N 408.907 4.653 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CSCc3ccc(Br)cc3)o2)c1 1327818941 OAADLEBTZSBAJS-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD O=C(O[C@@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)c1nc(-c2ccccc2)oc1C1CC1 1344031201 YMTLMMJFNOSWTO-LJQANCHMSA-N 419.437 4.563 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 14596368 ZGCRXERHUJIHFM-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1cccc(Cl)c1 15584885 LJFZBGCNYRJJQQ-UHFFFAOYSA-N 404.797 4.676 5 20 HJBD Cc1c(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)cccc1[N+](=O)[O-] 16008637 KKHPBBPBXUWFIK-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD O=C(CCCc1nc2ccccc2s1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 42918605 SOKBZPJMAWPUFY-UHFFFAOYSA-N 407.398 4.767 5 20 HJBD CCC[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 50731787 MLVYYKMDFJBEBR-MJGOQNOKSA-N 403.526 4.545 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 55640244 PMKXAOANKMFNJL-QGZVFWFLSA-N 400.478 4.971 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H](c2nc3ccccc3s2)C1 56139636 AIQARWQWWCKAEP-AWEZNQCLSA-N 406.467 4.706 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC(C)C 56398593 GJGGZGZSDQFMQP-LSDHHAIUSA-N 401.463 4.512 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1C 65736163 YSFACPDMIWBTBW-UHFFFAOYSA-N 416.437 4.992 5 20 HJBD O=C(Nc1ccccc1CN1CCc2ccccc21)c1cc2cccc([N+](=O)[O-])c2[nH]1 108736704 VTHFBVSTIUYFEA-UHFFFAOYSA-N 412.449 4.891 5 20 HJBD CCn1c(S[C@H](C)c2ccc(C(=O)OC)o2)nc2cc3ccccc3cc2c1=O 116868931 ULICBJDHMMHZNG-CYBMUJFWSA-N 408.479 4.803 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H](C)c3nnc4ccccn34)cc2[N+](=O)[O-])cc1 237410200 REMOFHIJNBPZJO-MRXNPFEDSA-N 419.510 4.948 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCn2c(nnc2-c2ccccc2)C1 301431452 IXEMFFMJLOKLSB-UHFFFAOYSA-N 423.782 4.546 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3c(F)cc([N+](=O)[O-])cc3F)s2)c1 301776511 HPRJDOHNVXQNRL-UHFFFAOYSA-N 423.426 4.578 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccccc1Cl 303896962 PKLRSRGHUWZMLD-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(CCc2ccccc2)n1 409790400 INIWGTRITCUAFY-UHFFFAOYSA-N 419.409 4.690 5 20 HJBD Cc1ccc(C(=O)N2CCC(c3ccc(Br)cc3)CC2)cc1[N+](=O)[O-] 410409337 DHHIOWXMEBYWFC-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@@H](C)[C@@H]2C)cc1SC 430652323 NLVYPMCZSSJOBP-RYUDHWBXSA-N 404.513 4.855 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(F)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436060249 QFYDVPYFCHRFMN-UHFFFAOYSA-N 416.330 4.813 5 20 HJBD O=C(Nc1cccc(-c2cnco2)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436065019 WWVZMFBSNRAJAW-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD Cc1ccc(CCN(C[C@H]2CCCO2)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 437578112 FXZSLKVLVMYNOH-GOSISDBHSA-N 417.893 4.812 5 20 HJBD Cc1c(CC(=O)Nc2cc(Cl)ccc2-c2nc(C3CC3)no2)cccc1[N+](=O)[O-] 439939577 CEHOYARBRAZENU-UHFFFAOYSA-N 412.833 4.665 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 440703444 IBDIOSABGUXNGL-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cccc(OC)c4[N+](=O)[O-])CC3)c[nH]c12 444016515 AUMFMNMMLCKJMS-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](COc3ccccc3F)c3ccccc3)[nH]c2c1 444689050 MAEMTPGXYVAMIZ-FQEVSTJZSA-N 406.417 4.520 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@@H](C)c1ccc(F)cc1 446027784 ZEKLCCHWRAXGGA-VIFPVBQESA-N 401.257 4.781 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21 460147885 BSYLTHXMAOHGRS-KRWDZBQOSA-N 411.458 4.594 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 460859995 RFRPFBBLTJQCHL-IBGZPJMESA-N 423.435 4.735 5 20 HJBD COc1cc(CN2CCCC[C@H]2c2nc(-c3ccccc3)no2)c([N+](=O)[O-])cc1F 464121798 KWZILAMLNQMQSC-KRWDZBQOSA-N 412.421 4.520 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cccc(Cl)c2n1C 466285198 CGKCTAYMLCECSR-UHFFFAOYSA-N 404.879 4.889 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1csc(Br)c1 467191283 LUBDYJMBLBVBMQ-JTQLQIEISA-N 423.292 4.662 5 20 HJBD COc1cc(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)ccc1[N+](=O)[O-] 474747533 GZDVCMBMHPAYRH-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2o1 475219249 KHMNVQJGVKFVPF-KBXCAEBGSA-N 411.483 4.970 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(C(F)(F)F)cc1 481852221 CRUSHFDTWQEKEX-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1-c1cccc(Cl)c1 482694465 WIZFXTDJVTWXPZ-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1sc(Br)cc1[N+](=O)[O-] 486184523 OFPXHVWAIAVCPP-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC(C)N1CCC[C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 486623824 FNEYYGXSLIRAHY-KRWDZBQOSA-N 413.543 4.596 5 20 HJBD NC(=O)N1CCc2ccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc21 487174360 QEVHBCUDAPIIOI-UHFFFAOYSA-N 422.416 4.580 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cnn(C(C)C)c2c1 488648620 XDJMOIONDOGLGV-UHFFFAOYSA-N 414.487 4.516 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3c(C)cccc3[N+](=O)[O-])c2)c1 489398297 XCENYNXVDBNWJO-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CCCN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)C(=O)Nc1ccccc1C 490064234 HKNJDRHSJJPGQH-KRWDZBQOSA-N 422.485 4.797 5 20 HJBD O=C(c1cnc(Cc2ccc(F)cc2)s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492873639 NJECJCGLVNJYLL-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD COc1c(C)ccc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 505604751 CSIIKCFWYJXVOF-UHFFFAOYSA-N 411.380 4.680 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 507939523 ZHYAFIKETNSXIX-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-c2cnco2)c1 511333820 NXQQXXLWMKWIEN-UHFFFAOYSA-N 423.372 4.999 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3c[nH]nc3-c3ccccc3F)cc2[N+](=O)[O-])CC1 513789880 OSNRXLZJHNDHDR-UHFFFAOYSA-N 423.448 4.613 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1cc(OC)c(OC)cc1SC 515020006 LZANFAFAZNHDOE-UHFFFAOYSA-N 419.503 4.560 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 515904453 GJBLLDRUSJWTCL-HOCLYGCPSA-N 410.499 4.855 5 20 HJBD C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(OCC(F)(F)F)cc1 518687617 QHLALUIOXYJBGN-NSHDSACASA-N 409.364 4.594 5 20 HJBD CNC(=O)c1ccc(S[C@@H](C)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])c1 519948336 MIDMYTKLBXYYIS-JTQLQIEISA-N 400.378 4.706 5 20 HJBD CCOc1cc(NC(=O)c2ccc(F)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OCC 520021904 FUMLDWNTIJOQLH-UHFFFAOYSA-N 416.327 4.802 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 522327622 OKAVIGBUYGJOSB-YWZLYKJASA-N 407.474 4.572 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1cccc(Br)c1 522937142 ZNRGXXITBJHZPL-INIZCTEOSA-N 409.667 4.988 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(NC(=O)c3cccs3)cc2)cc1[N+](=O)[O-] 523340022 POLGUCKZTCBVKS-UHFFFAOYSA-N 410.455 4.593 5 20 HJBD CC[C@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])Oc1cccc(C(F)(F)F)c1 530951254 AJMSTBBJFDEWHM-GFCCVEGCSA-N 422.363 4.532 5 20 HJBD O=C(CCn1cc(-c2ccccc2[N+](=O)[O-])cn1)Nc1cccc(C(F)(F)F)c1 544049038 JCXUJYCUACPZCG-UHFFFAOYSA-N 404.348 4.506 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccccc1COc1ccccc1 544235460 RZBDXCFCTBATFT-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccnc(Oc5ccccc5)c4)n3)c2c1 545075029 ALHUJJVRRXNINQ-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD COc1ccc(Cc2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)c(Cl)c1OC 547063256 YPFINRSTAJKPNI-UHFFFAOYSA-N 417.849 4.565 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C[C@@H](C)O1 549336419 YYDGTPLULUAGKW-IZZQQSIFSA-N 411.502 4.530 5 20 HJBD Cn1ccnc1[C@H](NCc1cccc(COC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 552252322 IPFQCVCAXHVDTR-OAQYLSRUSA-N 408.502 4.523 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H]1c1ccc(Cl)cc1 553779989 KGNIPLVMBPMDNM-INIZCTEOSA-N 413.783 4.924 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 557759205 MGCHQBSBFMMIGC-SUMWQHHRSA-N 408.376 4.524 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1OCCc2sccc21 558078769 NTZBYGCXCKUAHB-KZULUSFZSA-N 406.529 4.591 5 20 HJBD C[C@@H](C(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 562300795 ZKAWECFVHIIEQO-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD Cc1cc(CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c2[nH]c(C)c(C)c2c1 564478122 GBIILZOWNHDQGK-JOCHJYFZSA-N 403.486 4.614 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2Cc2cccc3ccccc23)c1 571532826 QYKNBYFZZVNDLD-UHFFFAOYSA-N 416.437 4.644 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCc2nc(-c3ccccc3Cl)sc2C1 575781512 NMXXXAKTRCVTSK-UHFFFAOYSA-N 414.874 4.962 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cccc(-n5ccnc5)c4)no3)cc12 577024252 NRFUIVAEUHPTBV-UHFFFAOYSA-N 405.417 4.584 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)n2)s1 603616473 TUCPZRWKDWUYCP-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1F 603732481 ODUIMAOVMUMZSP-UHFFFAOYSA-N 413.386 4.865 5 20 HJBD O=C(CCc1ccccc1Br)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603961457 SBCZIHWDSHYULL-UHFFFAOYSA-N 415.190 4.530 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cccnc1 609174630 QUFRYMHKOAREGK-UHFFFAOYSA-N 410.861 4.922 5 20 HJBD Cc1cc(-c2csc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)n2)c(C)o1 609417363 SKEFMEGWSJODBR-UHFFFAOYSA-N 400.460 4.759 5 20 HJBD CC[C@@H](C)CN(C)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 609505634 ATZNOKDJVRSHAL-CQSZACIVSA-N 400.500 4.666 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)Nc1nccs1 609805925 OIHFOGYXFHQWSK-UHFFFAOYSA-N 422.825 4.958 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccc4nc[nH]c34)cc2[N+](=O)[O-])n1 609852468 YPDVYBCICYZMHY-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc2n1 610065495 ZJWFJIGMALLBHF-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(F)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610171663 QHXVYOKQTOFCNS-UONOGXRCSA-N 414.330 4.568 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(C(C)(C)C)cc1 730644609 PAFVEMKOUSEHOS-GFCCVEGCSA-N 404.850 4.730 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nc2ccc(Cl)cc2[nH]1 732520397 DNPYBBLKQVOMMQ-GHMZBOCLSA-N 405.863 4.910 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(C(=S)Nc3ccc4ccccc4c3)CC2)cc1 743021840 JKPCZXGCYJVYLU-UHFFFAOYSA-N 407.495 4.988 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 746255454 ZBZAVLBEBYFMTB-WIYYLYMNSA-N 414.477 4.760 5 20 HJBD COc1cc(CN[C@@H](C)c2nc3ccccc3s2)c([N+](=O)[O-])cc1OC(F)F 754710221 HVPIRDDIZOPHSQ-JTQLQIEISA-N 409.414 4.665 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754994127 MNVFBHVUYYKORP-SNVBAGLBSA-N 411.227 4.926 5 20 HJBD O=C(COC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)Nc1ccc(-c2ccccc2)cc1 756767408 WAOLJQCFKXMENO-UHFFFAOYSA-N 415.405 4.539 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 762440016 PQBJSHGCFRTIKG-UHFFFAOYSA-N 417.437 4.855 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(COc2ccccc2)cs1 764155670 ARUXHNLWRAVDFL-UHFFFAOYSA-N 415.496 4.995 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@H](O)c1ccc(F)cc1 765189368 XPPDZMPEXALTTR-XCLFUZPHSA-N 418.490 4.621 5 20 HJBD COc1cc(COc2ccc(N(C)C(=O)OC(C)(C)C)cc2)c([N+](=O)[O-])cc1F 766064593 RTPKCQYSUWSUMN-UHFFFAOYSA-N 406.410 4.693 5 20 HJBD O=C(OCC1CCC(C(F)(F)F)CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 770309118 VFDKOJLIDICIDI-UHFFFAOYSA-N 414.424 4.723 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 771767067 IZUDBSUQAAUTPX-UHFFFAOYSA-N 424.335 4.718 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(F)cc1OC1CCCC1 781740850 SZQKXAFOVSKDRX-UHFFFAOYSA-N 417.393 4.535 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-])c1ccccn1 783152104 CUYMEBMMJTYYLO-KUHUBIRLSA-N 413.474 4.666 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1SC[C@H]1CC1(Cl)Cl 786797590 ZFSVOVWBXFNSFT-SNVBAGLBSA-N 412.298 4.715 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@H](C)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 798783670 JUESORVDPWRORF-DGCLKSJQSA-N 424.375 4.596 5 20 HJBD Cc1nc2c(-c3nc(-c4ccc(Oc5cccc([N+](=O)[O-])c5)cc4)no3)ccnc2[nH]1 809434986 MBUCAAZTUPBLRT-UHFFFAOYSA-N 414.381 4.684 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1C 809913142 WMJYNKJQZNMXDR-UHFFFAOYSA-N 407.854 4.754 5 20 HJBD O=C1c2ccccc2N[C@@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])N1C[C@@H]1CCCO1 811637775 KUVFGENZTIWXSA-KPZWWZAWSA-N 422.268 4.647 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1cccc([N+](=O)[O-])c1)C1CC1 813072788 KOHNTDWKESPUGU-UHFFFAOYSA-N 410.213 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813881214 JZSYUWWVSIAFCE-IRXDYDNUSA-N 403.523 4.876 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@@H]1CCCc2ccccc21 911577283 AZPCPCCQSCKQQY-HXUWFJFHSA-N 420.469 4.502 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C1CCCCC1 917801767 FACSINCKXVWEED-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CC(C)(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)nn1 1318577178 XLIXQSIAELZQLW-UHFFFAOYSA-N 405.458 4.547 5 20 HJBD Cn1cc([C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c2ccccc2)cn1 1320173091 FGTHLEPKGWFKGA-JOCHJYFZSA-N 404.495 4.936 5 20 HJBD CCOc1cc(-c2nnc(-c3cc(C)cc([N+](=O)[O-])c3)o2)cc(OCC)c1OCC 1322368530 XBSIITKITUIWLZ-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD Cn1cc(CCNCc2ccc(Oc3ccc(C(C)(C)C)cc3)c([N+](=O)[O-])c2)cn1 1322601683 SJQRYELJRLWMKV-UHFFFAOYSA-N 408.502 4.750 5 20 HJBD CCc1cc(OCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1Cl 1343445861 FTHKMJOSIQBEFN-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 15094598 WJUQRJSSZWIHFP-HNNXBMFYSA-N 424.526 4.641 5 20 HJBD Cc1ccc(NC(=O)c2nnc(/C(Cl)=C/c3cccc([N+](=O)[O-])c3)s2)cc1 26228512 XTSAPEGPFLFVHO-GDNBJRDFSA-N 400.847 4.744 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(COc2ccccc2)cc1 32303794 OXBIFUOLWZMNDO-KRWDZBQOSA-N 422.506 4.971 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cc(F)c(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 42673701 NTTSESVCXSAKIV-QMMMGPOBSA-N 417.220 4.549 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(NC(=O)Nc2ccccc2)cc1 43841260 GQUGCSVBFOEKHR-UHFFFAOYSA-N 404.426 4.511 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 55466242 RVPNGWBMXNEDRQ-OAHLLOKOSA-N 408.458 4.578 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n(Cc2ccccc2)n1 64906725 BGBMJHMKIHMMPI-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 65123966 NHQIIGJXZCYDOI-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD Cc1c(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)cccc1[N+](=O)[O-] 72006257 DZTBTBZMGSZWCV-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Br)cc1F 127455991 XSHOBMWYOLXQMZ-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC[C@@H](NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1)c1ccncc1 237025619 UTBZMHIDHICWCN-OAQYLSRUSA-N 407.470 4.818 5 20 HJBD COc1cc(CNCc2ccc(F)c(Cl)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 237315658 ZVJMHTSLAGBRPH-UHFFFAOYSA-N 422.762 4.627 5 20 HJBD Cc1ccccc1-n1c(Sc2ncc(Cl)cc2[N+](=O)[O-])nnc1-c1cccnc1 301742700 LYBSKEJEMVTWDY-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD Cc1cc(N2CCC[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)c2cccc([N+](=O)[O-])c2n1 302044554 XKQGBLOFSYDONI-HZPDHXFCSA-N 414.506 4.581 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(F)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 303982908 YKJGSKBKWKLZJJ-UHFFFAOYSA-N 413.449 4.908 5 20 HJBD C[C@H](CCCC(F)(F)F)NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426283532 YDUWJEXLSTXAQQ-SECBINFHSA-N 401.307 4.534 5 20 HJBD CC(C)O[C@@H](CSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccccc1 427680733 UOGAYWWCHJANKE-FQEVSTJZSA-N 416.499 4.792 5 20 HJBD CC(C)(NC(=O)Nc1ccc(OCc2ccncc2)cc1)c1ccccc1[N+](=O)[O-] 432809210 WGGNPKGIEYFQQO-UHFFFAOYSA-N 406.442 4.626 5 20 HJBD CC(C)c1n[nH]c(C(=O)Nc2ccc(-c3nc4c(Cl)cccc4[nH]3)cc2)c1[N+](=O)[O-] 433937117 QIIZYVGYGCKZMK-UHFFFAOYSA-N 424.848 4.890 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 436353256 RJHSIWPAIOKBDK-MRXNPFEDSA-N 400.453 4.759 5 20 HJBD O=C(NCCc1cc2ccccc2o1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444087394 ZYQXZRRTFXYTRV-UHFFFAOYSA-N 418.399 4.678 5 20 HJBD O=C1CCCc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)cc21 444172159 ADPMGYTVXHXGSS-UHFFFAOYSA-N 418.399 4.731 5 20 HJBD CN(CC1(c2ccccc2)CC1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444377921 ZLRUGSFQBGUEPD-UHFFFAOYSA-N 418.443 4.763 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 448415419 HEXPQKXBLWQYHL-HZPDHXFCSA-N 413.522 4.634 5 20 HJBD Cc1nccn1-c1ccc(CNc2ccc([N+](=O)[O-])cc2Br)cc1F 462084585 NZEYSZFULGFKTI-UHFFFAOYSA-N 405.227 4.603 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 463912585 PBZXJMXOOHLYBG-AUUYWEPGSA-N 417.481 4.837 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464067035 SUDZERZJMQBRBL-UHFFFAOYSA-N 401.344 4.606 5 20 HJBD CC(C)Nc1ccc(F)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 470103543 NZTZSMPVJAZJPW-UHFFFAOYSA-N 400.435 4.930 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](COc2ccccc2Cl)C1 470125290 LPLYIQXWTGPWSR-CYBMUJFWSA-N 407.829 4.710 5 20 HJBD Cc1nc2cc(Cl)c(NS(=O)(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2s1 479294755 JRJHQZYPPREVRA-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD COc1ccc2cc(CNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)ccc2c1 479946039 BBYVDHWZFSHMRZ-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD CCn1c(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)nnc1-c1ccc(Cl)cc1 484500794 OTCBFPDVRAUKIY-UHFFFAOYSA-N 414.878 4.695 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 488612618 VIHPIGJKLWSEPW-UHFFFAOYSA-N 410.499 4.761 5 20 HJBD Cc1cc(=N[C@H](C)c2ccccc2OC(F)F)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489231522 KCSJTRSKCVWLPY-CYBMUJFWSA-N 400.385 4.557 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1)C1CCCCC1 492218731 BKOUNKRXYQRYOS-UHFFFAOYSA-N 420.469 4.733 5 20 HJBD Cc1nc(CCC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)oc1-c1ccccc1 493150425 IKNCNTVXBWBMTE-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493212023 VUYWWAUJRPRUQS-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)c12 505037860 GHRABGUTZJVMSA-UHFFFAOYSA-N 418.438 4.630 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(CSc3ccccn3)c2)cc1[N+](=O)[O-] 509143329 XSJLBCUWJFAJKJ-UHFFFAOYSA-N 408.483 4.966 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2)cs1 510838944 RMGNTFHIJCWBQI-OAHLLOKOSA-N 424.526 4.972 5 20 HJBD COC(=O)c1cc(C(=O)N(Cc2ccccc2Cl)C2CCCC2)cc([N+](=O)[O-])c1 511913344 YJIUHBCAFBFHEQ-UHFFFAOYSA-N 416.861 4.620 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2cccc(Cl)c2F)nc1OCc1ccccc1 513071406 WIRHPVARWVHROX-INIZCTEOSA-N 417.824 4.507 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)c1 513282356 YBXHKRAOXTWBJN-CQSZACIVSA-N 402.369 4.879 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 514958374 NIJRYDWVCFSFBF-CQSZACIVSA-N 422.890 4.675 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2ccc(OCc3cccnc3)cc2)no1 518343404 FFOUMFWGGUCFMJ-UXBLZVDNSA-N 418.384 4.928 5 20 HJBD Cc1c(Br)cccc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 522640683 TXTDUYUXYRYCOR-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Sc3nc(C)cs3)cc2)cc1[N+](=O)[O-] 524245264 RZHXGDNUZFDWJH-UHFFFAOYSA-N 415.496 4.701 5 20 HJBD CN1CCC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 525105209 QHVPYDITABHJEN-MRXNPFEDSA-N 419.934 4.566 5 20 HJBD CCOC[C@@H]1CCCN(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 537624243 VNOQHQKDHNPOAA-LJQANCHMSA-N 420.513 4.696 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCN(c2ccccc2)C1 540783676 FUJSIOKPDGJFGM-QGZVFWFLSA-N 413.543 4.743 5 20 HJBD CO[C@@H](C)CCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 542032192 NZSXGIBGTBDDMI-LBPRGKRZSA-N 410.829 4.611 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)c2cccs2)c1 547372268 SFUPULWUEAWKFM-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(F)cc1Br 558290170 WJLXGWCBHVGDNH-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H](Sc2cccc(Cl)c2)C1 561888272 JIHIBMZSTPDMHU-OAHLLOKOSA-N 401.875 4.736 5 20 HJBD CCc1ccc([C@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])N(CC)CC)cc1 562190373 PBJSTDVCOPYWBC-IBGZPJMESA-N 403.910 4.624 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 568705658 DMDQKOISJHGSMA-GUNSETOZSA-N 408.479 4.645 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCO1 573241087 PBACLWOQOOLFMI-HXUWFJFHSA-N 418.877 4.928 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C(F)(F)F 573878221 FPPOJLXASMVSTJ-ZDUSSCGKSA-N 411.380 4.631 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2nc(C)cs2)c(F)c1 576026808 JIOWUYCWUHGFGW-UHFFFAOYSA-N 417.418 4.942 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1cccc([N+](=O)[O-])c1 579067674 XYAQGZAQWFRSKK-OAHLLOKOSA-N 402.410 4.783 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(N2c3ccccc3C[C@H]2C)CC1 589376886 UCOSSIKJCWFNDY-MRXNPFEDSA-N 408.502 4.605 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1F 602029933 GMHKPTXBUUZWDT-UHFFFAOYSA-N 422.416 4.557 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 603928424 QVGXOIJZCGKCDZ-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD CC(C)n1ncc2c(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc(-c3ccco3)nc21 603966386 PEUHZOLLYXYDJL-CQSZACIVSA-N 419.441 4.671 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COc1ccc([N+](=O)[O-])cc1Br 609962006 SDAHSVUQVQVTTJ-UHFFFAOYSA-N 407.264 4.807 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1cccc(OCc2ccccn2)c1 610041375 JHQJJZQFIHUASZ-QGZVFWFLSA-N 419.481 4.724 5 20 HJBD COc1cccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)c2ccccc2Cl)c1 610181036 QXVMBFXCMJBVCL-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711869 NMRNEKDBUBMJQK-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)C1CCSCC1 732933804 JJLRWPQLYBMYNR-UHFFFAOYSA-N 406.891 4.909 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Br 741627515 HDRNLWWPQAIEEA-DVOMOZLQSA-N 403.276 4.764 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)cc1 741641009 WPDSCCCSHXFDLC-MRXNPFEDSA-N 419.481 4.827 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@H](c1ccccc1)c1ccncc1 744963386 VSTUTHPMIHQIRX-HHHXNRCGSA-N 420.468 4.883 5 20 HJBD Cc1ccccc1[C@H](OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1cccs1 748505707 RPDOXCOPSLQFKR-NRFANRHFSA-N 407.451 4.647 5 20 HJBD O=C(Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-])c1cc2ccccc2nc1O 749063434 YABJRFXWSYYSQZ-UHFFFAOYSA-N 400.390 4.659 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 751093073 KGUREZLJCPKVND-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(-n3ccnc3)cc2)c2ccc([N+](=O)[O-])cc2)o1 753637375 UEPNCDPOSGAAPY-QHCPKHFHSA-N 402.454 4.815 5 20 HJBD COCCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@H](C)c1ccccc1 755021159 APGHTZVJBKDBHE-CYBMUJFWSA-N 422.279 4.599 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755493081 OYRKUQKJAMOCAF-RDTXWAMCSA-N 418.515 4.712 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(C(F)(F)F)cc1 756346320 BPKCADZTEJBEHV-GOSISDBHSA-N 420.343 4.789 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 758367231 NTGMLZHWJJHYOR-ZDUSSCGKSA-N 411.683 4.762 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760514068 IZSUHKCTRHHALQ-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3ccc(N4CCOC4=O)cc3)no2)cc1[N+](=O)[O-] 761739282 VSFCKPZQOGYBSE-UHFFFAOYSA-N 408.414 4.566 5 20 HJBD C[C@H](C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 763952476 JNVADKJOYIUVGT-INIZCTEOSA-N 404.422 4.694 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1)CC2 773989316 LGPOCPLNJNIEDG-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] 777074779 ODEDHLRBFMMJLF-ZDUSSCGKSA-N 416.499 4.626 5 20 HJBD Cc1ccc(C[C@@H](C)C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 779336972 FIVHIDBVLQCYHE-QGZVFWFLSA-N 422.485 4.569 5 20 HJBD Cc1ccc(N(C)[C@@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 784374969 PAKLAZXVDLYMAE-LAUBAEHRSA-N 421.501 4.615 5 20 HJBD CC(/C=C(\Cl)c1nc(O)c2ccc(C(=O)O)cc2n1)=C\c1cccc([N+](=O)[O-])c1 787169975 SLRCPZFSAAOHPE-YAPIEDGSSA-N 411.801 4.625 5 20 HJBD COC(=O)[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)c(Cl)c1 790536313 HYEXXUIHVMKZAN-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc3nc(C4CC4)sc3c2)cc1[N+](=O)[O-] 791215277 UUULOZFQGJWHOY-UHFFFAOYSA-N 400.435 4.539 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1Cl 805436860 BKNYUQXTFDQJNH-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 806452163 RNBJZUSVFOUOPO-HSZRJFAPSA-N 419.481 4.979 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813238035 ZWVBPRYDLPFOFA-ISVAXAHUSA-N 405.159 4.605 5 20 HJBD CNC(=O)Oc1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 813792201 SKHVXKXOHBRTSU-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD CCOc1ccc(C(=O)O[C@H](c2nc3ccccc3o2)C(F)(F)F)cc1[N+](=O)[O-] 816061071 JJDNAPAWTANFAJ-OAHLLOKOSA-N 410.304 4.595 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@@H](O)c3ccc(OC(F)(F)F)cc3)sc2c1 916970990 XPSNHQAIXBGXDJ-CYBMUJFWSA-N 416.402 4.929 5 20 HJBD COc1ccc(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 918876580 XYNWVEBHVWBHDA-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD COc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1-c1ccccc1 1322152251 XTGKGHWKCWPLMJ-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(C(F)(F)F)c2)no1 10757836 PTPSYVQSPCKWAJ-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1sc2ncnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c2c1C 23406022 SQEXVCVIWOUCIK-LBPRGKRZSA-N 402.501 4.644 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1Cl)c1c[nH]c2ccccc12 26530726 UPKSIZBBIYZKSZ-KRWDZBQOSA-N 422.872 4.630 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OCC(C)C)c(Cl)c2)c([N+](=O)[O-])cc1OC 30188637 NUSGRNSCSVNLMW-UHFFFAOYSA-N 422.865 4.943 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2c3ccccc3C[C@H]2O)ccc1Oc1ccccc1Cl 238093893 QTDLMBCMCPIEDP-DENIHFKCSA-N 410.857 4.788 5 20 HJBD Cc1nc(-c2cccnc2)nc(Oc2ccc([N+](=O)[O-])cc2Br)c1C 301582773 OCWNVVGROGGADW-UHFFFAOYSA-N 401.220 4.618 5 20 HJBD CCCN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)CC(=O)Nc1ccccc1 410036493 HJIIKMSFDIFCLE-AWEZNQCLSA-N 418.881 4.909 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2)c1C 428590563 GUPNOENDWCPYEG-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)N1[C@H]2CCC[C@H]1CC2 437952638 HRDULHXSSIZBOM-SFTDATJTSA-N 416.481 4.534 5 20 HJBD Cc1c(Cc2nc(-c3cccc(OCc4cccnc4)c3)no2)cccc1[N+](=O)[O-] 439008131 OHRRPFDOZVBRFP-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=C(N[C@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1ccccc1[N+](=O)[O-] 443929827 TVHKCPFQUMRYEM-OAQYLSRUSA-N 424.840 4.539 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CCCCC[C@H]1c1ccco1 446031747 QAMJEDIOYFSUOI-INIZCTEOSA-N 407.264 4.637 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)CC1)c1ccccc1 447539083 FOWDJSKCPORYNR-ISKFKSNPSA-N 421.541 4.747 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)nnc1-c1ccccc1 462438188 BSEGBJSXIGBJFM-UHFFFAOYSA-N 419.466 4.516 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc([N+](=O)[O-])cc1F 464267186 PMMAGGWBJAFOJM-MRXNPFEDSA-N 415.421 4.804 5 20 HJBD CCN(CC)Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 470134432 RSTQOXOSVPYIMV-UHFFFAOYSA-N 413.521 4.635 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478295429 XJKQAYJKDTZMBG-SMDDNHRTSA-N 423.922 4.570 5 20 HJBD Cc1nc2cc(Cl)c(NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)cc2s1 480286254 QYRJMXYXHZPMDM-UHFFFAOYSA-N 401.835 4.828 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)c1[N+](=O)[O-] 482744702 UFOYXKZNOZHRBH-UHFFFAOYSA-N 416.869 4.656 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2cccc(COC(C)(C)C)c2)cc1SC 483631964 GGBGHKVMVJMFHI-UHFFFAOYSA-N 418.515 4.570 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC[C@@H]1c1ncc[nH]1 487309915 CWNPNNUSJVFDLA-LJQANCHMSA-N 408.483 4.837 5 20 HJBD O=C(N[C@H](Cc1cccc(F)c1)c1cccc(F)c1)c1ccc([N+](=O)[O-])cc1F 489967205 SJXMDOUMORZDII-HXUWFJFHSA-N 400.356 4.726 5 20 HJBD COCC1(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CCOCC1 491599657 TZYDJDNZDNKXFY-UHFFFAOYSA-N 420.893 4.573 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 494150928 SFDDSOMXBSPOCI-UHFFFAOYSA-N 403.866 4.903 5 20 HJBD O=C(c1cc(-c2ccccc2Cl)on1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498140241 LMMLTYFGUUJPFM-LJQANCHMSA-N 415.858 4.791 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCc2cc(C(F)(F)F)ccc21 498245069 NEPJAKURCLHQAR-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD CN(C)CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 502734887 XKNFMACWJMBNON-UHFFFAOYSA-N 421.453 4.580 5 20 HJBD CCOc1cc(NC(=O)N(Cc2cccc(F)c2)C2CC2)c([N+](=O)[O-])cc1OCC 509747090 UATSHASOXCDDKE-UHFFFAOYSA-N 417.437 4.728 5 20 HJBD C[C@@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(F)cc1 512839945 LGOBSRJNUUTZCN-JKSUJKDBSA-N 422.460 4.836 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)c2)cn1 512946584 FRNQCLMLDGCNMQ-UHFFFAOYSA-N 405.454 4.890 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 513827903 YZFYFFIRYIVXHR-XKGZKEIXSA-N 406.486 4.631 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 513837529 BSVCOINVTVDZMC-UHFFFAOYSA-N 424.888 4.703 5 20 HJBD COc1ccc(Cl)cc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 515623992 GLFLKRSSFNNPFN-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1csc([N+](=O)[O-])c1 515780572 ONJUOWCWGLMOSP-LBPRGKRZSA-N 415.437 4.914 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 515903971 SJZBKDVIJZEOIE-SCLBCKFNSA-N 418.291 4.965 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1-c1ccccc1 516518694 BBAAEISWYLRXBJ-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccc(Cl)cc2)C2CCCC2)cc1[N+](=O)[O-] 517079893 HVHVYYXVEGHVPY-NRFANRHFSA-N 402.878 4.847 5 20 HJBD CCN(Cc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 518474161 QRNFFLCBWRHPMG-ZDUSSCGKSA-N 410.417 4.797 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1Cl 519762714 FKEINCVGGUJROK-GOSISDBHSA-N 409.269 4.799 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)cc1 522484608 WTDLIZGQNNDLDW-UHFFFAOYSA-N 424.457 4.628 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)C(C)(C)c4ccc([N+](=O)[O-])cc4)CC3)c2c1 523528617 IUGRXLWDMKAUCN-UHFFFAOYSA-N 419.481 4.678 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 523888595 OSCHDHCLQYEJTJ-GOSISDBHSA-N 420.918 4.642 5 20 HJBD O=C(Nc1cccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1)c1ccco1 535473378 DHCMXTHAXYJMCI-UHFFFAOYSA-N 405.366 4.674 5 20 HJBD C[C@H]1CC(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@H](C)O1 535766760 GAWPSFZLAVLUQH-STQMWFEESA-N 404.850 4.726 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1cccc(C(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 536428993 BHGJXWBWMDYNII-SJORKVTESA-N 402.450 4.807 5 20 HJBD COc1ccc([C@@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 537027422 WAPYVPMDSVLYJT-HXOBKFHXSA-N 419.481 4.942 5 20 HJBD C[C@H](NCc1ncc(-c2cccc(Br)c2)o1)c1cccc([N+](=O)[O-])c1 539279822 LWRBSYOUTBJYPU-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 540378768 COEBIURBGFQZGG-CQSZACIVSA-N 410.499 4.706 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2ccnc2)c(F)c1 540434800 GMOOLPUVCQYCBF-UHFFFAOYSA-N 400.435 4.672 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 541074091 JJINAGKQLOBWGJ-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 541399152 XCNYKIZWFVPCRT-JTQLQIEISA-N 416.833 4.819 5 20 HJBD O=C(c1c2c(nc3ccccc13)/C(=C\c1ccc([N+](=O)[O-])cc1)CCC2)N1CC(F)C1 541499746 CUHNLWWKVDRCKZ-VBKFSLOCSA-N 417.440 4.814 5 20 HJBD Cc1nc(CNC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)oc1-c1ccc(Cl)cc1 541723533 KBXVVUZHLVGKAL-LLVKDONJSA-N 417.824 4.771 5 20 HJBD CC(=O)Nc1cc(Cl)ccc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 544073400 BGMBPOMOTJWLQB-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCc2c1cccc2C(F)(F)F 545358370 VURYSXUJRVXQAG-UHFFFAOYSA-N 404.266 4.835 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c1 546981320 NFSRCRUIZFLBNB-UHFFFAOYSA-N 421.438 4.784 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3ccc(OCc4ccccc4)cc3)n2)nc1 547193473 AIXJAKHVNBELBO-JYRVWZFOSA-N 414.421 4.713 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(Br)cc3OC(F)F)no2)s1 547262830 LFJBRKSUGJIFFD-UHFFFAOYSA-N 418.175 4.737 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(CCC3CCOCC3)no2)cc1[N+](=O)[O-])c1ccccn1 556415816 GBFYARRTAXCWQO-OAHLLOKOSA-N 423.473 4.572 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2cccc([N+](=O)[O-])c2C)c1 558296774 LDWVJHZCXRFQME-RDJZCZTQSA-N 419.547 4.684 5 20 HJBD CC(C)c1ccc(-c2nc(-c3ccc(OC4CCOCC4)cc3)no2)cc1[N+](=O)[O-] 568137179 XNUNDISONVSBMB-UHFFFAOYSA-N 409.442 4.993 5 20 HJBD O=C(Nc1ccc(COc2ccccc2)cc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 573848710 YFULNRRAWCNKBS-UHFFFAOYSA-N 419.437 4.600 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 577773710 BGNSOGCZZVEVPL-WKILWMFISA-N 407.857 4.986 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2(Cc3ccc(F)cc3)CCOCC2)o1 581872897 XMUCMGBSUAGTPV-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1c([N+](=O)[O-])cccc1C(F)(F)F 584808026 DEOGMBVBIRJGGL-XJKSGUPXSA-N 414.767 4.527 5 20 HJBD COc1cccc(C(=O)N2CCC(c3ccc(Cl)cc3Cl)CC2)c1[N+](=O)[O-] 590404402 QRPYSKHEEVJYFY-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD CCCCN(C(=O)c1cc(C(=O)OCC)cc([N+](=O)[O-])c1)[C@H](C)c1ccc(C)o1 603531166 HUGJUKRXBVKGHN-OAHLLOKOSA-N 402.447 4.676 5 20 HJBD CCc1ccc(CN(C(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)C2CC2)cc1 603566937 JCOTZGXLLLIKRV-UHFFFAOYSA-N 414.527 4.590 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)c1 604016312 OPERSVIULOHVCB-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD Cn1c(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)nc2ccccc21 604484592 VLUUXTALJVQLDC-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 608964625 JHHAUQVCCLPGOY-KRWDZBQOSA-N 420.252 4.597 5 20 HJBD COc1cc(CNC[C@@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 609223368 LMTCXBYVCMRXCD-GFCCVEGCSA-N 416.371 4.577 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(C(F)(F)C(F)(F)F)cc1 609254467 UJCPNEBRUGCKPY-UHFFFAOYSA-N 403.307 4.613 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 610668594 GRZAXDFAPFWAEC-UHFFFAOYSA-N 421.291 4.854 5 20 HJBD COc1cc(COC(=O)C[C@@H](C)c2ccccc2F)c([N+](=O)[O-])cc1OC(F)F 726840434 BZBHQCMITRQAKI-LLVKDONJSA-N 413.348 4.581 5 20 HJBD Cc1cccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])c1NC(=O)c1ccoc1C 728993827 FSXZFCYZBOBWIF-UHFFFAOYSA-N 412.373 4.553 5 20 HJBD CCOC(=O)Nc1ccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 730755673 ZPHJZNGQKJBQCX-UHFFFAOYSA-N 413.411 4.506 5 20 HJBD C[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1cccc2ccccc12 731917696 XSDHHAWVQQXPNW-GFCCVEGCSA-N 406.410 4.917 5 20 HJBD CCc1ccc(OC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)c([N+](=O)[O-])c1 734739734 LYNQOUCIIMFPIP-UHFFFAOYSA-N 415.833 4.789 5 20 HJBD CS[C@H]1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 742383390 MGEJMTFUHVCLGR-RISCZKNCSA-N 411.321 4.991 5 20 HJBD CC/C(=C/C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(Cl)c1 745752666 HESUYJHJRCHPOT-KAMYIIQDSA-N 402.834 4.532 5 20 HJBD C[C@H](OC(=O)CCSc1ccc(F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746149531 DLXCRHKJEBFHLL-LBPRGKRZSA-N 417.418 4.571 5 20 HJBD CC1CCN(c2ccc(C(=O)O[C@@H](c3ccccn3)C(F)(F)F)cc2[N+](=O)[O-])CC1 747338740 OIOXGBPHCBRJGK-SFHVURJKSA-N 423.391 4.687 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1cccc(Cl)c1[N+](=O)[O-] 749912047 SZVFPXMIKPLZCZ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1cc([N+](=O)[O-])ccc1Br 751059372 BSYRINRQXXYAKU-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2ccccc2COCc2ccccc2)c1[N+](=O)[O-] 753102203 ABQSWMXDFURAGB-UHFFFAOYSA-N 408.429 4.689 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1cc(F)cc([N+](=O)[O-])c1Br 754633988 ZNDJVSDJCNBJJQ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1cccc2ccccc12 755069344 ZNFCKHQHPHJOCY-KBPBESRZSA-N 410.401 4.561 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccc(F)cc1)C1CCOCC1 755247568 SGQITSDXFHVLSA-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD COc1ccccc1[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccn1 759611450 YMLMQZGMSNZJPI-VFNWGFHPSA-N 424.478 4.812 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 759848645 HFRMFTCIUZBSDG-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CCc1nnc(COC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])o1 767743386 SKTPHECMFTVNQI-UHFFFAOYSA-N 419.846 4.702 5 20 HJBD Cc1nc(-c2ccccc2)[nH]c1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140437 XJMICJIETNGBFT-NRFANRHFSA-N 414.421 4.635 5 20 HJBD O=C(Nc1cc(Cl)ccc1Br)C(F)(F)c1ccc([N+](=O)[O-])cc1 778041973 RQBLORGOXHPUSE-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD CCC1(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)CCOCC1 780646739 PNARULFROGKGAV-MRXNPFEDSA-N 413.474 4.527 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 781045174 XGGBUMKSGMUPLJ-UHFFFAOYSA-N 411.792 4.702 5 20 HJBD CC(C)[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1Cl 781643181 XAHYDLUTDFVDON-IBGZPJMESA-N 405.838 4.603 5 20 HJBD Cc1cnc(COC(=O)c2cnc(-c3ccccc3Cl)s2)c(C)c1[N+](=O)[O-] 789432110 ODNULOOZMJITAH-UHFFFAOYSA-N 403.847 4.741 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OC 790539997 VGYAMDYYNCVYTK-LBPRGKRZSA-N 424.856 4.605 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790565748 SOMNSJQVQUVUCH-SNVBAGLBSA-N 418.774 4.696 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3cccc([N+](=O)[O-])c3Br)nc21 812319089 SUMPKXDABYFVGB-UHFFFAOYSA-N 410.293 4.680 5 20 HJBD CC(C)(CCc1noc(-c2ccc(SCc3cn4ccccc4n3)cc2)n1)[N+](=O)[O-] 812811745 HXZOYQRIFLNAHJ-UHFFFAOYSA-N 423.498 4.665 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)cnc1Br 813371721 LOLKIJZTXNXDGB-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD CN(Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)[C@@H]1CC(C)(C)OC1=O 814179038 NUEJZZNTOSMZPL-MRXNPFEDSA-N 404.850 4.566 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4cccc(CN5CCCCC5)c4)no3)c2c1 904432983 LZJHEIXVRDLZFJ-UHFFFAOYSA-N 417.469 4.703 5 20 HJBD Cc1c(NC(=O)CCN2CC=C(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 913040907 SKRKZUATEZWZKV-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD COc1cc(C(=O)O[C@@H](c2cc(C)cc(C)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC 918560288 STMWLESRIDINLC-KRWDZBQOSA-N 413.348 4.689 5 20 HJBD Cc1cc(N2CCC(C(=O)c3cccs3)CC2)c(Br)cc1[N+](=O)[O-] 1320664817 SCSLUPXKDPZFCG-UHFFFAOYSA-N 409.305 4.827 5 20 HJBD CCc1ccc([C@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])c2cccc(F)c2)cc1 1326408350 NHNDRLPBAXLDJL-NRFANRHFSA-N 408.429 4.824 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3ccccc3[N+](=O)[O-])cc(-c3ccco3)nc21 11042683 OXDZOOUBAUOOBO-UHFFFAOYSA-N 406.398 4.537 5 20 HJBD C[C@@H]1CCCC[C@@H]1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 24177181 OAKZEXWXUNXPHN-VGSWGCGISA-N 418.497 4.685 5 20 HJBD CC[C@@H]1CCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 27152074 BHESBLZNVNPSIP-CQSZACIVSA-N 415.877 4.763 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cnn(-c2ccc(Cl)cc2)c1C(F)(F)F 54678575 SKTGXVHSGLXYHB-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 58311119 SPOZZWCOYWAMSB-UHFFFAOYSA-N 404.401 4.626 5 20 HJBD Cc1c(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)cccc1[N+](=O)[O-] 59584305 BRGLMVXBLROCIW-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CCNc1ccc(C(=O)NCc2cccc(COCc3ccccc3)c2)cc1[N+](=O)[O-] 60413517 BGCCNGUGIVWQFH-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1ccccc1)Cc1ccccc1 64856302 FCKSYJZQBNFXCX-UHFFFAOYSA-N 412.449 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@@H]1c1nc2ccccc2s1 109093310 SBWZPGQCXIOHEV-GOSISDBHSA-N 410.499 4.679 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(N3CCCC3)nc2)ccc1Oc1ccc(F)cc1 237882277 UJYFPJAUNJOXDM-UHFFFAOYSA-N 422.460 4.811 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3cc4ccccc4o3)o2)c(Br)c1 301553644 ROYGUFARDMGLSP-UHFFFAOYSA-N 419.216 4.700 5 20 HJBD Cc1cccc(C[C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)c1 426645352 ZOWNDDRGUVMMHM-KRWDZBQOSA-N 410.495 4.665 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccco1 426982797 UOVICLQQKRHNOL-VLIAUNLRSA-N 412.467 4.583 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N[C@H](CC)c2cccc([N+](=O)[O-])c2)c(C)c1 429049200 OZAYZHSPXMNKKU-HNAYVOBHSA-N 412.490 4.704 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)c1ncsc1C(F)(F)F 430917499 KCKOQXQBXNZJDG-UHFFFAOYSA-N 421.356 4.553 5 20 HJBD COc1ccccc1-c1noc(C2CCN(c3c(Cl)cccc3[N+](=O)[O-])CC2)n1 432138722 MQRZVIICIUAILW-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD COc1cccc(C(=O)N[C@H](Cc2cccc(F)c2)c2cccc(F)c2)c1[N+](=O)[O-] 435756862 VSWHMDXQUNVEKW-LJQANCHMSA-N 412.392 4.595 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccc(OC(F)F)c1 436101177 HNQYYGRYNGUGGC-ZDUSSCGKSA-N 408.404 4.774 5 20 HJBD CC(=O)N(c1cccc(Cl)c1)c1nc(Cn2cc([N+](=O)[O-])c(C3CC3)n2)cs1 436187646 SKCYNNKFHRXXLO-UHFFFAOYSA-N 417.878 4.512 5 20 HJBD COCc1cccc2sc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)nc12 437773354 JEOBLGKZZJLDNL-UHFFFAOYSA-N 412.471 4.547 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1cccnc1 439888008 JLNIFQXRWXZXFV-UHFFFAOYSA-N 420.469 4.665 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443883804 VQIAHYLDEJXOTI-UHFFFAOYSA-N 409.364 4.769 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](Cc2ccccc2)C1 444079008 WRVDCBVKAVSMNS-MRXNPFEDSA-N 418.443 4.664 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C1(Cc2ccc(F)cc2)CC1 445584983 QQEZVBYAWIPRTH-UHFFFAOYSA-N 410.367 4.648 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 447865475 KYFBSKBDDKVXQQ-UHFFFAOYSA-N 412.449 4.971 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccccc2Cl)CC1 462628872 SNPGNVBFSADCHA-QGZVFWFLSA-N 416.905 4.887 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCc2c(Br)ccc(Cl)c2C1 464185052 MVMVZQXDTSURKJ-UHFFFAOYSA-N 423.694 4.528 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 464671219 SVJYPBHXIROBLW-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(F)c1Br 468277880 OUFYDIDIYJIAPE-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 470708845 XJVAHEUHYKJMOB-NRFANRHFSA-N 417.893 4.501 5 20 HJBD Cc1cc(NC(=O)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)ccc1[N+](=O)[O-] 473778545 LNVDBJNFAXAQGW-QGZVFWFLSA-N 410.257 4.815 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)Cc2ccc(C)s2)cc([N+](=O)[O-])c1C 479827980 HTHKAQWYAWFNPA-UHFFFAOYSA-N 400.456 4.717 5 20 HJBD CN(Cc1ccc(F)cc1Br)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 481704876 QNOUUNQUWMOFFA-UHFFFAOYSA-N 407.195 4.515 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2c(F)cccc2F)CC1 485596918 GWRWQYVQEZPHDL-ZDUSSCGKSA-N 406.454 4.760 5 20 HJBD Cc1cc(Br)cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 485751300 JFDMYMHVEARKNR-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCCC[C@@H]2c2ccc(C)o2)c(C)c([N+](=O)[O-])c1 486901861 NEJMVSBJGNUEOZ-QGZVFWFLSA-N 415.446 4.740 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nnc(-c2cccc3ccccc23)o1 488818873 HHIBOCFKMDXVLP-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494263913 BRGSGVULAMYOBH-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 495018665 OBHFILRWPIMSQI-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)c1c[nH]c2ncccc12 502108967 RRRXHZVXRWZHBI-VIFPVBQESA-N 406.261 4.597 5 20 HJBD C[C@@H]1CCCCN1C[C@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 505905859 JCUQFTMYKDYJOJ-HZPDHXFCSA-N 401.532 4.536 5 20 HJBD Cc1cccc(Nc2nnc(Sc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)s2)c1 506818326 WBLQSYUDYPCZSN-UHFFFAOYSA-N 413.484 4.534 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1OCC(F)(F)F 506855409 BFYSCTAMMVBFOK-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCC(n3c(C)ccc3C)CC2)cc1[N+](=O)[O-] 509667225 STTQYWNAGFZFRC-UHFFFAOYSA-N 420.307 4.561 5 20 HJBD COc1cc(C(=O)Nc2nc(C)c(Cc3cccc(Cl)c3)s2)ccc1[N+](=O)[O-] 511802468 RBHYYGFAPVMRKM-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1 513835984 YCEREBWDAXWNAS-UHFFFAOYSA-N 407.495 4.857 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(Br)cn1 516129003 MSZLHCNOFAZSQX-VIFPVBQESA-N 404.186 4.622 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1csc([N+](=O)[O-])c1 517852609 NVBGFNFOTJWVES-CYBMUJFWSA-N 403.460 4.726 5 20 HJBD O=C(NCC1(O)CCCCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 522922990 FUWMPVRUKFVUSL-UHFFFAOYSA-N 400.500 4.561 5 20 HJBD C[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 523260393 ANVZVIRKKDHWGQ-GUYCJALGSA-N 404.850 4.728 5 20 HJBD C[C@H]1Cc2ccccc2N1C(=O)[C@@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534058201 VRDCOYULFWRJOL-LSDHHAIUSA-N 423.494 4.849 5 20 HJBD CC(C)OC1CCN(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 535079124 XTDNOHYWBFMKOF-UHFFFAOYSA-N 420.513 4.837 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N1CCc2sccc2[C@H]1c1cccs1 536230553 CWRZVPMYGCZAGX-IBGZPJMESA-N 413.524 4.618 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N[C@@H](C)c3ccccc3[N+](=O)[O-])n2)cc1 537148316 QTDCOBBXPHMIKW-HNNXBMFYSA-N 408.458 4.578 5 20 HJBD Cc1cccc(C)c1OCCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356244 SMOQFVDJAOBEQB-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 540066422 YKWNRMUAHLRVOY-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD CCc1cnccc1[C@@H](C)N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 541193200 CVUCNRJWYAJXSW-UZUQRXQVSA-N 418.497 4.891 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)cc1 542484009 NRMILEZUUVNXNR-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 543944924 VDKBGGPLNWJQIY-UHFFFAOYSA-N 405.454 4.923 5 20 HJBD COc1ccc2oc([C@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)c(C)c2c1 544477346 MUUVQENUXMQFEC-ZDUSSCGKSA-N 408.414 4.559 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccc(Cn4ccnc4)c3)no2)cc(C(F)(F)F)c1 544953287 MVUCBQAHZMBOJA-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD CCn1cc(Cc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c2ccccc21 546489457 QTPWQLXOWPANLQ-UHFFFAOYSA-N 401.426 4.615 5 20 HJBD COc1cc(F)cc2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc12 547709150 XHWZAVTWAJAHKQ-UHFFFAOYSA-N 419.437 4.691 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](COc2ccccc2)c2ccccc2)c1 549010043 KTFQUGJWXRKMCF-OAQYLSRUSA-N 406.438 4.544 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC(C)C 551454023 ZNEDRNKWZSGGPC-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 553561852 PTLSZHGOMUQNIP-INIZCTEOSA-N 412.412 4.718 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 553561853 PTLSZHGOMUQNIP-MRXNPFEDSA-N 412.412 4.718 5 20 HJBD COc1cc(Cl)c(Br)cc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 564274546 MFGWTGKZHSNUMG-UHFFFAOYSA-N 416.640 4.798 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)c2o1 568467219 QZYVSHROHLDADT-SECBINFHSA-N 404.220 4.549 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)c2o1 568467220 QZYVSHROHLDADT-VIFPVBQESA-N 404.220 4.549 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 574350758 UWPIGQPIBNPHRN-IAGOWNOFSA-N 405.454 4.550 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 582147807 HTTWDIMZMFRGQF-OAHLLOKOSA-N 412.471 4.638 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(SCc2csc(C)n2)cc1 591590394 SIJFOTGOMTVPIT-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD C[C@H]1CCC[C@H](NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 603508798 OFVYFUDWAVJPLD-PXNSSMCTSA-N 418.497 4.685 5 20 HJBD C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(OCc2cccnc2)cc1 603855132 GPOAWPZLNCSZOV-HNNXBMFYSA-N 418.453 4.627 5 20 HJBD CCC[C@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(N2CCCC2)c1 608837282 YLNKGHIIWDLORO-GIVJRHHMSA-N 422.529 4.605 5 20 HJBD CC(=O)Nc1ccc(Oc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 608972005 VFQVGQVLAZLYCI-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD O=C(Nc1cccc(CNCCc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1Cl 609223397 MKRZMYOKAGWFPR-UHFFFAOYSA-N 409.873 4.833 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)c(Cl)c1 609389176 ZOLOFSLLYKPNSR-GOSISDBHSA-N 419.890 4.645 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 610040560 WQKQOXUQTRAOOP-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD C[C@H](NC1(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)CCCC1)c1ccccc1 610065074 HOKVYVGAPRMFOB-SFHVURJKSA-N 409.530 4.652 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 610159826 NKFHSJCXXRCLTC-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4ccc5ccccc5n4)no3)cc12 685238964 CWWAMGFAMJCGPK-UHFFFAOYSA-N 404.429 4.870 5 20 HJBD O=C1OCCN1c1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 727468543 LQKASMRTBGEZAF-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCc1ncc(-c2ccccc2F)o1 735643829 ILYLDTKWEGYJFF-UHFFFAOYSA-N 404.781 4.889 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@H]1CCCc2nc(-c3ccccc3)sc21 741194300 LJUQSFAYHSMYJF-SFHVURJKSA-N 410.451 4.718 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCSc2ccccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745430183 WWEHSQPZKCSZLY-MLGOLLRUSA-N 411.439 4.529 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 746075929 LIYZJFFUIOCTRI-VIFPVBQESA-N 408.197 4.870 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(c2cccc(F)c2)CC1 752046407 BRBJNDDAJHJINW-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757092402 YAOPBIIOZWJHGG-NRFANRHFSA-N 421.478 4.514 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 761975445 HUHIIOWTFTZFKK-GJZGRUSLSA-N 413.474 4.923 5 20 HJBD Cc1cc(NC(=O)C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1-c1ccccc1 762679114 UOJMZUBELHVGCN-QGZVFWFLSA-N 417.465 4.695 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc(-c2ccc(Cl)cc2)no1 770012557 BPHUSGOKOYDSBF-SECBINFHSA-N 422.228 4.834 5 20 HJBD COc1cc(CN2CCCCC[C@H]2C[C@@H](O)c2cccs2)c([N+](=O)[O-])cc1OC 770295243 SRJSHSIMACSNHO-FUHWJXTLSA-N 420.531 4.542 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)CCCC(F)(F)F)c2ccccc2)c([N+](=O)[O-])c1 771136311 IZQWMPIAXARHNT-GOSISDBHSA-N 424.375 4.859 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(Cc2nc(-c3ccc([N+](=O)[O-])s3)no2)c1 771216182 LHBYPPSQPWZCSX-UHFFFAOYSA-N 402.432 4.644 5 20 HJBD CC(C)([C@@H](OC(=O)c1cccc(F)c1F)c1ccc(Br)cc1)[N+](=O)[O-] 774374030 DPEGFWUQVPCRFQ-HNNXBMFYSA-N 414.202 4.681 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](C)c1c(C)nn(CC(F)(F)F)c1C 778007001 ZZRPZNKMINIXLO-SECBINFHSA-N 418.803 4.674 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc2c(c1)CCC2 781260041 LFCCHCMODADKKT-OAHLLOKOSA-N 411.457 4.598 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 782202565 CXEZFTULGNGDNR-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD C[C@@H](OC(=O)CC1CC(c2ccccc2)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 798732866 CRPLCEKGDBXTHB-KSTDHSDQSA-N 407.426 4.833 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 810318495 CVOGVFYSJSEANZ-FQEVSTJZSA-N 409.442 4.518 5 20 HJBD C[C@@H](NC(=O)c1cc2ccccc2c2cccnc12)c1ccc(N2CCCNC2=O)cc1 812760949 HIBZWAQFQQRBTB-QGZVFWFLSA-N 424.504 4.799 5 20 HJBD CCOc1ccc2c(c1)[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])CCO2 813191861 XBACMKIZKULGAW-OAHLLOKOSA-N 411.241 4.554 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1cc2c(Cl)cccc2s1 825211932 KBEAKNQQTLHBLQ-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC1CCN(Cc2ccccc2)CC1 920134605 DFFBIOOUAZFQAR-KRWDZBQOSA-N 414.527 4.531 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(Cl)cc2Br)o1 1117214649 KJYZJZXOTNYBCV-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccc3F)o2)c(Br)c1 1320701535 YHFMBWAQOIHJQE-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(Cc3ccc4ccccc4c3)o2)cc1OC 1322320478 LGJUPWRJBUZILD-UHFFFAOYSA-N 405.410 4.796 5 20 HJBD CC[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 11486774 JINDCNHIQIGHEZ-GOSISDBHSA-N 401.894 4.732 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cc(OC)c1OCc1ccccc1 16959436 HJLRCUUABFHXSE-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 17967620 CBVPKXITLCDBQU-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(-c3cccnc3)cs2)CC1 29252859 AHHVGVLBJFQSHQ-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccc(C)c(C)c3)nc2)c([N+](=O)[O-])cc1OC 58363798 XIRQKYIIAMWCCZ-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD Cc1sc(NC(=O)c2cnn(-c3ccccc3F)c2)nc1-c1cccc([N+](=O)[O-])c1 58694454 OBNRGHHQSMVIDQ-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 63083600 MEBULZLLESSBRG-JTQLQIEISA-N 402.756 4.680 5 20 HJBD CCN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 65702180 USYVUSLIHKTQLZ-HNNXBMFYSA-N 404.426 4.750 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3cc(SC)ccc3[N+](=O)[O-])c(C)c2c1 78607534 GVPPHXPNAGORGW-LBPRGKRZSA-N 400.456 4.871 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 110817372 WLEGIVMVNYCVLU-UHFFFAOYSA-N 404.470 4.695 5 20 HJBD COc1nc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc2ccccc12 195721382 YUZOGHFZSIQPMH-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCCc3cccs3)s2)c2ccncc12 247349926 VXKDGZOGANCTQB-UHFFFAOYSA-N 415.525 4.862 5 20 HJBD CCc1ccc(OCC(=O)N[C@@H](c2ccc(C)cc2)c2cccs2)c([N+](=O)[O-])c1 303667780 XZLGXOILVHEPMB-QFIPXVFZSA-N 410.495 4.812 5 20 HJBD CC1CCN(c2ccc(C(=O)N3c4ccccc4N(C)CC[C@H]3C)cc2[N+](=O)[O-])CC1 303846682 CQEYVKBMOAOEOV-GOSISDBHSA-N 422.529 4.706 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 409767863 BOJIEVSZBBWSRC-HGQUKEQDSA-N 406.841 4.651 5 20 HJBD C[C@@H](NC(=O)Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cccc([N+](=O)[O-])c1 429705015 ABNQYFHIUPPVDB-GFCCVEGCSA-N 417.828 4.515 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ncsc3C(F)(F)F)n2)cc1[N+](=O)[O-] 430901891 WZLWORNEHRNPQT-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD COc1cc(CNc2ccnc3c([N+](=O)[O-])cccc23)cc(Br)c1OC 432323712 GBXWBBFPIGPQPW-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD O=C(c1ccc(Br)c(Cl)c1F)N1CCCc2ccc([N+](=O)[O-])cc21 435863641 BGNXFKLVIMMHQA-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1F 436281770 FFEGOARRHSJBGK-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD CCN(C(=O)c1ccc(O)c([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 436963438 NWYRNUGMBZJWCD-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD C[C@@H](C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 437506642 SYHAMEPZEYMQMN-UXIGCNINSA-N 410.367 4.919 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2ccncc2)cs1 437672577 ZRLMGOVXZWEEIW-SNVBAGLBSA-N 422.388 4.623 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1CN(Cc1ccccc1[N+](=O)[O-])C1CC1 441265087 RJNOYAKEEUDZDW-UHFFFAOYSA-N 421.453 4.591 5 20 HJBD O=C(Nc1cc(Cl)ccc1-n1cccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443851337 IDVYHWMSCOQLID-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1c1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444009719 DDLZUCZEZDPRSI-HRAATJIYSA-N 400.453 4.887 5 20 HJBD CN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(OCc2ccccc2)cc1 444466903 ZOXFNKDFFAEAJX-UHFFFAOYSA-N 401.422 4.932 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 462761007 LGUMCNDDYBYXMY-FPOVZHCZSA-N 420.513 4.513 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1CC(=O)c1ccco1 462772674 BXSYDAUNBWGOOT-ICSRJNTNSA-N 412.486 4.801 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cc(-c4ccc(Cl)cc4)no3)CC2)cc1 464773400 HBBNUPCPTCIKNE-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 466250532 HOGGQCPZCUSMCJ-SFHVURJKSA-N 421.522 4.573 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 466878057 PPMYECLEKJJSKC-FPOVZHCZSA-N 410.517 4.949 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(Br)s1)c1ccc([N+](=O)[O-])cc1F 468174212 PJQSGPMBOYTUHF-DTWKUNHWSA-N 401.257 4.539 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 470185214 JYEILAHUOSQUPK-MRXNPFEDSA-N 408.376 4.746 5 20 HJBD COc1cc(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 470582692 NTCWBFVFFNLHRZ-DZGCQCFKSA-N 420.412 4.531 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1cccs1)CC(F)(F)F 470788247 LMEHAZKDHBZLEX-SNVBAGLBSA-N 422.812 4.668 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 471156021 GZQJOLLUUHTTJI-UHFFFAOYSA-N 424.888 4.766 5 20 HJBD CSc1cccc(C(=O)NC(C)(C)c2ccc(OC(F)(F)F)cc2)c1[N+](=O)[O-] 475771599 OTGPEHMIMRNYKL-UHFFFAOYSA-N 414.405 4.880 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)cc([N+](=O)[O-])c1C 479079639 SCIHAIKKDSRIDN-UHFFFAOYSA-N 418.755 4.759 5 20 HJBD C[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C(F)(F)F 479600806 FWKWTCVOJJNXFG-SECBINFHSA-N 407.186 4.905 5 20 HJBD COc1ccccc1OC[C@@H](C)CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 482817909 NRKXOSNAOUBERW-HNNXBMFYSA-N 413.499 4.532 5 20 HJBD C[C@H](NCCc1cccc(C(=O)N(C)C)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483719032 CFJPROKZDBYQRZ-INIZCTEOSA-N 423.538 4.918 5 20 HJBD CC(C)c1ccc(Sc2ccc(NC(=O)Cn3ccc(=O)c([N+](=O)[O-])c3)cc2)cc1 486214362 JIDBWVHGTQGDHI-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1C(=O)N(C)C(C)C 488233513 LZQCSDAOCGOMAR-OAHLLOKOSA-N 415.515 4.503 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2nc(O)c3c(-c4ccc(F)cc4)csc3n2)c1 491561257 SJHJGNDEHZICJD-UHFFFAOYSA-N 411.414 4.711 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494096889 DNBALAAURVYMKA-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 497920775 HQNZMQXRDPHNAP-UHFFFAOYSA-N 416.412 4.693 5 20 HJBD CCOc1ccc([C@@H](Nc2ccc(C(=O)NC)cc2[N+](=O)[O-])c2ccccc2)cc1 498836590 IAGRIBDJFQNPJY-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(NC(C)=O)c1 504091549 SFVMSEAZZCTMLG-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n(-c2cc(C(F)(F)F)ccc2Cl)n1 504100401 MKZLSEVQASSVGH-UHFFFAOYSA-N 414.727 4.606 5 20 HJBD CCC1(C)CCN(C(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)CC1 504918270 INZLDDGYIXHBIV-UHFFFAOYSA-N 423.513 4.544 5 20 HJBD COc1cc(CNC2(c3ccc(F)cc3)CCCC2)c([N+](=O)[O-])cc1OCC(F)F 505574774 LEJLYSWZTURKRB-UHFFFAOYSA-N 424.419 4.946 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC[C@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 507353071 ODQSOYJMCNHOGX-XSLAGTTESA-N 408.502 4.719 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 509606134 RHUFSXWXRBEBOG-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD COc1ccc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)[C@H](C)C2CC2)c(OC)c1 510148049 WENVTTYORVCATC-CYBMUJFWSA-N 417.437 4.584 5 20 HJBD Cc1cc(C)c2cc(C(=O)N[C@@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])[nH]c2c1 514879033 TXUMAMHENBTWIH-IBGZPJMESA-N 423.469 4.506 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)CC2)c(C)c1 515903946 RNFXLDCBPRXGEY-QGZVFWFLSA-N 409.486 4.577 5 20 HJBD CN(C(=O)c1ccc2c(c1)C(C)(C)CO2)c1nc(-c2ccccc2[N+](=O)[O-])cs1 518273473 GKMAFNWFIUGIMK-UHFFFAOYSA-N 409.467 4.665 5 20 HJBD COc1cc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)ccc1OC(F)F 518325419 YVGDUCWNFFCRIR-QPJJXVBHSA-N 407.304 4.564 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 520923890 VKXNYSGVRLBACX-UHFFFAOYSA-N 412.405 4.991 5 20 HJBD CC(=O)Nc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 520933843 UIFVSSHKADWEOV-UHFFFAOYSA-N 404.470 4.611 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520995402 KVUQUOIGGNABKD-UONOGXRCSA-N 406.866 4.680 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H]1CCCc2nc(O)ccc21 522709810 ZKPJDPXAMWKFIP-HXUWFJFHSA-N 420.425 4.687 5 20 HJBD Cc1ccc(C[C@@H](N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccn2)cc1 523739492 UGMAGMHAXCLTSH-XMSQKQJNSA-N 418.497 4.507 5 20 HJBD CCC[C@H](Cc1ccccc1)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 524311018 RUTYUWLXNWUGBV-OAHLLOKOSA-N 410.392 4.677 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CCOCC1 530589111 JKZHOPXVDGHAHT-UHFFFAOYSA-N 406.891 4.650 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCC(=O)Nc1ccccc1C(C)C 531596352 DSTHDRMVOZEIRC-UHFFFAOYSA-N 407.264 4.807 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc3nc(-c4ccccc4Cl)[nH]c3c2)c1[N+](=O)[O-] 532462418 JPFXETPYNAABFZ-UHFFFAOYSA-N 424.848 4.890 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 534436023 KDSIIGYMQVACIH-CQSZACIVSA-N 400.504 4.657 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3ccccc3)cnc2C)c([N+](=O)[O-])cc1OC 536454565 YGUCIKFYBWPDOF-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CCN(CCC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1)CC(C)C 536924762 KWKQXDDXHZTWTC-UHFFFAOYSA-N 401.507 4.561 5 20 HJBD Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)nn1-c1ccc(Cl)cc1Cl 538452413 PEHJGHRCCMQOQD-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD Cn1cc(CNc2ccccc2OCC(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 538824849 SLGNWOZDAMHIPR-UHFFFAOYSA-N 406.364 4.549 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452574 VNTOGKHZLXPVGZ-UHFFFAOYSA-N 422.441 4.991 5 20 HJBD CC(=O)c1ccc(OCc2ccc(Br)c3cccnc23)c([N+](=O)[O-])c1 541290370 KCYQLBUHCGOOPF-UHFFFAOYSA-N 401.216 4.687 5 20 HJBD C[C@H](C(=O)N(Cc1ccco1)c1ccc(F)cc1F)c1ccc([N+](=O)[O-])cc1F 541611091 GDJBVZLNYKSMKV-LBPRGKRZSA-N 404.344 4.942 5 20 HJBD CCc1ccc([C@H](N[C@@H]2CCN(C(C)=O)c3ccccc32)c2ccc([N+](=O)[O-])cc2)o1 543424831 GDIXIKWQLQTZGK-ZJSXRUAMSA-N 419.481 4.927 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544651137 BACBVVGEIUJGJV-CTNGQTDRSA-N 415.465 4.574 5 20 HJBD CCc1ccc(-c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)cc1[N+](=O)[O-] 545787342 YVXMJCKQMDKLSJ-OAHLLOKOSA-N 415.833 4.695 5 20 HJBD CCc1ccc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cc1[N+](=O)[O-] 547037436 CGKHABITZBTHAT-UHFFFAOYSA-N 416.437 4.772 5 20 HJBD CSc1cccc(-c2nc(-c3cccc(NC(=O)C4CCC4)c3)no2)c1[N+](=O)[O-] 558298116 CNZAYTFRLSWFEN-UHFFFAOYSA-N 410.455 4.772 5 20 HJBD COc1ccc2oc([C@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 565799795 BEANPKGRYINEGT-GJZGRUSLSA-N 411.458 4.644 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N[C@H]1C[C@H](c2ccccc2Cl)C1 572876260 WLLGFEVXEDKVMU-JOCQHMNTSA-N 423.694 4.616 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@]2(CCc3ccccc3O2)C1 579648987 NGAIQBXASXFKFU-LJQANCHMSA-N 421.375 4.615 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccncc2)c([N+](=O)[O-])cc1OCc1ccccc1 583961493 CKAWNGIKEJCPQD-OAQYLSRUSA-N 419.481 4.915 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609816872 IQFFEJUAVGITNX-UHFFFAOYSA-N 403.866 4.888 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1 610155010 XCVCYWBQKOTMIQ-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2OC)C(C)C)cc1OCC 611994442 ZZZRZKLROFPRAC-OAQYLSRUSA-N 416.474 4.528 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 612502483 YOVSVZNAUIMYBC-AWEZNQCLSA-N 417.181 4.781 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cc(Cl)cc3cccnc23)cc1SC 728650986 NVBCWFDWWJFXDP-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD CC(C)(C)c1ccc(C(=O)OCc2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 730295970 NHUZMCKRFKOEJB-UHFFFAOYSA-N 415.833 4.953 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1ccc(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 730614876 UYHADBYSGZOUKG-BPNCWPANSA-N 423.252 4.576 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 732151472 UYOVEKKHGINLRO-UHFFFAOYSA-N 402.454 4.899 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1 734396344 FKFWKSPXTKGWPP-MRXNPFEDSA-N 416.437 4.723 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 735023042 YCVCIOQMMJFWNV-OZNATWPXSA-N 412.511 4.828 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(F)c(C(F)(F)F)c1 736420143 GEZJZNJQUBJFIA-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 741935834 ZJTWLSQYAKMBJX-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD O=C(/C=C/c1ccccc1OC(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 742186368 IHIXUQLXRVTVDL-HKMNZKMDSA-N 424.400 4.781 5 20 HJBD COC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Cl 744480868 GQQHSXYAYSBYNB-AWEZNQCLSA-N 418.616 4.626 5 20 HJBD COc1ccc(COC(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1SC(F)(F)F 744910607 GHOBZJMZXGKWMN-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1C(F)(F)F 745308943 LXJXAOUUJGZURX-NSHDSACASA-N 421.331 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)Nc1ccc(Cl)cc1Cl 750949856 OZLWTVFTNHGQOC-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD Cc1noc(C)c1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755009382 CRZDZJPPODOWIW-UHFFFAOYSA-N 403.504 4.754 5 20 HJBD C[C@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755021587 MNWZUUISJKPZEB-JSGCOSHPSA-N 421.266 4.590 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 761484200 RKXPKSKGKLFCBH-INIZCTEOSA-N 403.438 4.898 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(C3OCCO3)CC2)ccc1Oc1ccccc1Cl 769301414 PTXHLDSZEAYHSU-UHFFFAOYSA-N 418.877 4.625 5 20 HJBD COCCOc1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1F 770497849 OMLLKENFTNYWHF-UHFFFAOYSA-N 416.405 4.640 5 20 HJBD Cc1cnc(Br)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 776048661 IFLQPJFCPHSMCB-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(Br)c1 776131946 BWARLBIYGKJNAX-SFHVURJKSA-N 413.227 4.699 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cn1c2ccccc2c(=O)c2ccccc21 778767624 AMEVUVCJPOOGGV-MRXNPFEDSA-N 416.433 4.676 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC[C@@H](CSc2ccccc2)C1 782125396 XQMPKXNYSDAQOS-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 791041419 NGRFBGXMZHSSIW-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCCCc2cscn2)cc1 799660450 OEOUDWVIMDFGJR-UHFFFAOYSA-N 414.439 4.642 5 20 HJBD CC(C)[C@H]1C[C@H](CC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 811218874 GOYVUAZTOMLMFA-RDTXWAMCSA-N 404.488 4.603 5 20 HJBD C[C@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 845031957 MNUWTNYIGHLEFC-NSHDSACASA-N 423.252 4.504 5 20 HJBD CSc1ccc(C(=O)Nc2nc(COc3ccccc3)cs2)cc1[N+](=O)[O-] 884001343 KPGADERKHDUDNN-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(=O)Nc1cccc(Cl)c1Cl 919526584 KSSOCVYNDYLSOW-VIFPVBQESA-N 422.224 4.567 5 20 HJBD CN(C)C(=O)[C@H](Sc1nc2ccccc2c2nc3ccccc3n12)c1ccccc1 6649968 JTBZDKRTVHVRSU-OAQYLSRUSA-N 412.518 4.957 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1SCc1ccccc1[N+](=O)[O-] 8877759 ITEICAZZPMMFQY-UHFFFAOYSA-N 404.879 4.731 5 20 HJBD CCCCCN(C(=O)c1cccc([N+](=O)[O-])c1C)c1nc(C)c(C(=O)OCC)s1 11232788 SHGAFLRLGANUPV-UHFFFAOYSA-N 419.503 4.682 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(C(F)(F)F)c2)cc1OC 19358349 BFJYQHZIUMRSAD-NSHDSACASA-N 412.364 4.512 5 20 HJBD Cc1ccc(C(=O)OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)cc1[N+](=O)[O-] 28829446 MDUZMEOVROBYSZ-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1cc([N+](=O)[O-])ccc1Cl 72061922 ORRTZYOGRZMMPQ-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD Cc1c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)oc2ccc(Br)cc12 105424195 IKEDEBRTQUPPLO-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cccc(Cn3cccn3)c2)s1 237963040 USGDCYPOSMLDSL-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD Cc1cc(NCc2ccc(N(C)C(=O)c3ccncc3)cc2)c(Cl)cc1[N+](=O)[O-] 301462251 FDRAUVLRTBHNCQ-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD CCN(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)[C@H](C)c1cc2ccccc2o1 303445317 GVYJQLVUKYTHOM-CYBMUJFWSA-N 405.410 4.818 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1csc(Br)c1 321355171 YRAKVCYTEBFKJD-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=c1ccccn1C[C@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccccc1 409525865 TWZCMYWBUBEQDH-KRWDZBQOSA-N 403.360 4.629 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@@H](c2ccccc2F)C1 410304470 GRURYFFDYJHCBF-GOSISDBHSA-N 419.456 4.976 5 20 HJBD CC1CCN(C(=O)c2ccc(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])cc2)CC1 432911218 QRBDUDLMLCQYCR-UHFFFAOYSA-N 424.501 4.524 5 20 HJBD CCc1cccc2c1OCC[C@H]2NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436360204 BDSUDLYHYUJCEX-HXUWFJFHSA-N 416.452 4.636 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 437019440 LOQZONBPPUIROO-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD CC(C)(CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])CN1CCCC1 440891528 RPBJDHNULXFJGV-UHFFFAOYSA-N 413.543 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)[C@@H]1CCc2c(Cl)cc(Cl)cc21 443441048 JOLZAGAMZLUZCM-QGZVFWFLSA-N 416.282 4.513 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444284485 GOSHXGVKSNHQPR-LFVRLGFBSA-N 420.896 4.841 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444337098 PEUFYBNJXDFRHI-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c(C)c1 445238590 KXPMIKBYQBNMGD-CYBMUJFWSA-N 413.503 4.992 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1ccc([N+](=O)[O-])cc1Br 460391894 IBNQCGCKTJHQQX-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(Cc2cccc(F)c2)CC1 463116650 PAQCNVPXLVRGSX-UHFFFAOYSA-N 410.367 4.848 5 20 HJBD COC(=O)[C@@H](C)Oc1ccc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 467929292 ZEYUOPBBBVRYGU-GRVPHDJPSA-N 404.422 4.519 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCC(F)(F)F)CC(F)(F)F 468532429 WSWLRWMIXKRODU-LBPRGKRZSA-N 400.319 4.507 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)oc2C(F)(F)F)c([N+](=O)[O-])cc1OCC 472520102 QMQVSVHOSFXONX-UHFFFAOYSA-N 402.325 4.565 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 475554364 IRGSSORRMPPNNH-GFCCVEGCSA-N 403.389 4.839 5 20 HJBD CCC(=O)c1ccc(N[C@H](C)[C@H](OC2CCOCC2)c2ccccc2)c([N+](=O)[O-])c1 480095114 SQFUYZGIPDDDOO-MWTRTKDXSA-N 412.486 4.925 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1 480835139 YGRABPPPAVMGCW-AWEZNQCLSA-N 404.488 4.763 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cn1 483586643 RDSRYHIWWKRJIB-UHFFFAOYSA-N 423.494 4.858 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486708101 JCIBDQTZKPAIEH-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD COc1cc(CCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1Cl 486744043 LAMKNPHAWQGSNY-UHFFFAOYSA-N 416.783 4.525 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1F 494064567 ZROSSYXPYMZMJJ-UHFFFAOYSA-N 422.416 4.557 5 20 HJBD COc1cc(CN(C)C(=O)c2cc(C)cc([N+](=O)[O-])c2)ccc1OCc1ccccc1 495462358 OAZINBINDVRIOC-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD COc1ccc(-c2nnc(SCc3c(F)cccc3[N+](=O)[O-])n2CC(C)C)cc1 504507040 FPZDCILEMXNBPW-UHFFFAOYSA-N 416.478 4.949 5 20 HJBD O=C(N=c1nc(-c2cccc(Oc3ccccc3)c2)[nH]s1)c1csc([N+](=O)[O-])c1 505210541 OFIUGYLDMRVPTO-UHFFFAOYSA-N 424.463 4.641 5 20 HJBD CNC(=O)c1ccc(N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c([N+](=O)[O-])c1 507020678 FTRMMPNHFISMSQ-LJQANCHMSA-N 403.438 4.557 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 509678422 FREYVCGCPCNNQZ-UHFFFAOYSA-N 416.886 4.736 5 20 HJBD CC[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)s1 510758269 JDEYHFFHRZTOCS-CQSZACIVSA-N 407.923 4.794 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)Cc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cc1 513683884 NPFTZPYOSQUZHJ-FQEVSTJZSA-N 412.921 4.930 5 20 HJBD CCc1ccc(C(=O)N2CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)cc1 515283664 IJTQFVKVRSFFJP-UHFFFAOYSA-N 423.516 4.549 5 20 HJBD CCOc1ccc(C(=O)N2CCC[C@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 516527642 OHFKXGCBHAAJIK-INIZCTEOSA-N 419.275 4.733 5 20 HJBD C[C@H](NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1cccc([N+](=O)[O-])c1 516942880 HJQPMVQNHPJFJX-ZDUSSCGKSA-N 412.421 4.525 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H]2CCCN(Cc3cccs3)C2)o1 518772810 FRMFQVQZWQJJOW-KRWDZBQOSA-N 411.527 4.918 5 20 HJBD CC(=O)Nc1cc(Cl)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 520678878 CTVGVDOOTZHSCU-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD COc1cccc(C[C@@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 522107432 NXPDXSDBNUPRHA-KRWDZBQOSA-N 423.494 4.574 5 20 HJBD C[C@H](Sc1ncccc1Br)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 522901933 OLRLDUDUFNNRRV-VIFPVBQESA-N 407.249 4.656 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(OCC2CCCCC2)CC1 524694513 FZEZMFMYRVWOPJ-UHFFFAOYSA-N 414.424 4.815 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 536640170 PLCLEPUMVBLSQC-DOTOQJQBSA-N 422.403 4.617 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 537570513 SIXFSWGENGFLTK-QMMMGPOBSA-N 408.327 4.745 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(C(=O)NC(C)C)ccc1Cl 539910495 YHRRPSFXBCVWPY-UHFFFAOYSA-N 403.866 4.564 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 541612110 WMCRQXKZMNVCAX-OAHLLOKOSA-N 415.465 4.738 5 20 HJBD COc1ccc(Cc2nnc(SCc3ccc([N+](=O)[O-])cc3Cl)o2)cc1OC 543860794 LPYJDXGXXUJAAG-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)CSc2ccccc2[N+](=O)[O-])cc1 544050930 NNPKVCMHHKWEFU-GOSISDBHSA-N 400.500 4.839 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545123083 UOQZCZGVAUTAHJ-UHFFFAOYSA-N 417.506 4.512 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(CCc3ccc(OC(F)F)cc3)no2)cc1 545751370 BJMIAKVSAPUYSZ-UHFFFAOYSA-N 411.311 4.505 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cn1 546986670 HPBMZUHIEMDPQM-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(CC)[C@@H](c2ccc(C)cc2)c2cccnc2)c1 549294690 GKTVTBFMGWCOSA-QHCPKHFHSA-N 419.481 4.949 5 20 HJBD O=C(Nc1ccc(OCc2cccc(C(F)(F)F)c2)cc1)c1ccc([N+](=O)[O-])[nH]1 551370756 KRMZXBBXIMHXCW-UHFFFAOYSA-N 405.332 4.773 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2cccc(OC(F)(F)F)c2)s1 553307455 QQBHPUUPDCJFED-UHFFFAOYSA-N 424.360 4.573 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 558191653 DOZOXESDUCQTRY-MRXNPFEDSA-N 409.389 4.820 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(Cc2ccc(F)c(F)c2)no1 561913416 OKTQVTPWQWRMJA-UHFFFAOYSA-N 410.174 4.585 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2cc(Cl)ccc2O[C@H]2CCOC2)no1 562236129 BKJVXDNROVAKAE-PXTSUWAFSA-N 413.817 4.636 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2nc3ccccc3cc2Br)cc1[N+](=O)[O-] 564683068 MHRZQIYYAKPAQK-GFCCVEGCSA-N 414.259 4.705 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 572862217 UNBRILHZUQIGMA-UHFFFAOYSA-N 424.375 4.750 5 20 HJBD Cc1nnc(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1Cc1ccccc1 590126833 RGXOCRWIYPJCAD-UHFFFAOYSA-N 408.405 4.854 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](CC(F)(F)F)c1ccccc1 604447190 SFIWVFQLHSSKHS-RISCZKNCSA-N 416.783 4.825 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1CSc1nc2ccccc2o1 604471379 XHNZXEGOKQVDKQ-UHFFFAOYSA-N 419.462 4.958 5 20 HJBD CNc1ccc(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)cc1[N+](=O)[O-] 609186439 PROVFBGXZLZTIU-NSHDSACASA-N 414.462 4.699 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 609192377 VELQFACALZATND-RTHLEPHNSA-N 413.318 4.632 5 20 HJBD CN(C(=O)c1csc(-c2ccc(Br)s2)n1)c1cccc([N+](=O)[O-])c1 611091487 DKYDHIRKDSKZFX-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD Cn1cnnc1-c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611200119 FTMZTFZEOLXIAY-UHFFFAOYSA-N 423.498 4.677 5 20 HJBD O=[N+]([O-])c1cn(CN2CCC[C@@H]2c2ccccc2C(F)(F)F)nc1-c1ccncc1 742148010 NCIJREZIALYSNZ-QGZVFWFLSA-N 417.391 4.667 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749908700 KKBRZCOBXBHBGX-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 751146135 HPWPXOLSKMPAQX-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 756781927 NGSRHBCNQITMHN-LRDDRELGSA-N 405.376 4.855 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cccc([N+](=O)[O-])c1Br 758418614 AYDUPFCZYJDQLJ-DGCLKSJQSA-N 407.239 4.515 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764169166 RJYBUKOIZCALFH-GJZGRUSLSA-N 407.511 4.654 5 20 HJBD O=C(OCC[C@@H]1CCOC1=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767743456 UVXKZIKSFCLIOC-LBPRGKRZSA-N 421.858 4.509 5 20 HJBD Cc1noc(C)c1CN(Cc1ccc(Cl)c([N+](=O)[O-])c1)Cc1c(C)noc1C 768554645 LETTYQZCDDRHKI-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2cc(C)on2)cc1OC 782032553 LZPLSBIBJSBERN-KDOFPFPSSA-N 403.479 4.662 5 20 HJBD CC(C)c1ccc2c(c1)[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)CCC2 783343798 RMRGVPFYBJVISO-SFHVURJKSA-N 415.877 4.504 5 20 HJBD CN(C(=O)c1cc(-c2ccccc2)nc2cc(F)ccc12)c1ccc([N+](=O)[O-])nc1 808795616 XLFYKFLJOVRPLG-UHFFFAOYSA-N 402.385 4.621 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(Cc3coc(-c4cccs4)n3)no2)c1 809283938 GAMGHOUYGQKCJG-UHFFFAOYSA-N 423.454 4.552 5 20 HJBD CC(C)(CCc1noc(CN(Cc2ccco2)c2cccc(Cl)c2)n1)[N+](=O)[O-] 812813124 CHACUFLOWZXLFV-UHFFFAOYSA-N 404.854 4.511 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813145342 UYEXLCJLEUTOHN-LBPRGKRZSA-N 414.676 4.564 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCC2=O)cc1 815082364 MYUPZFFUELJJCI-ZDUSSCGKSA-N 407.392 4.591 5 20 HJBD Cc1ccc(C2(C(=O)OCc3cc([N+](=O)[O-])ccc3C(F)(F)F)CCOCC2)cc1 815209419 VXBHELMJEWYKAW-UHFFFAOYSA-N 423.387 4.714 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc2cc(Br)ccc2[nH]1 912729801 AWOYWGPKVRENJW-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD Nc1c(-c2nnc(COc3ccccc3-c3ccccc3)o2)cc(F)cc1[N+](=O)[O-] 1257680381 ZPFAYYKCSLOOJL-UHFFFAOYSA-N 406.373 4.612 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nnc(-c2ccc(COc3ccccc3)o2)o1 1257827772 ZTWBVAGDIUGZQJ-UHFFFAOYSA-N 406.398 4.550 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc(-c3ccc(Br)cc3Cl)o2)c1 1257911656 XKHNOONMPODZMQ-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CCCOc1ccc(-c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)cc1OC 1322280873 UVYIEXHYDHCNEH-UHFFFAOYSA-N 401.444 4.735 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 1324456275 DNRUTVDLXQGADC-UHFFFAOYSA-N 410.392 4.763 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 1326247144 AZJPOOROFTWIDZ-IBGZPJMESA-N 419.403 4.562 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@H]2/C=C/c2ccccc2[N+](=O)[O-])cc1 7889409 JGOBKKAZJOGBLW-RCJYHZQCSA-N 401.422 4.715 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3Cl)o2)cc1C 10846575 FUYRCPRMUUDFBS-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cc2)n1 11285971 ZNBDYVCQLKKBBO-LFYBBSHMSA-N 406.467 4.805 5 20 HJBD C[C@@H](OC(=O)c1c2ccccc2cc2ccccc12)C(=O)N1CC(=O)Nc2ccccc21 15798002 BHPPPZMNCLREQP-MRXNPFEDSA-N 424.456 4.524 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3)c(C(C)=O)s2)c1 18184703 WYRNJLNRJWIKIQ-UHFFFAOYSA-N 413.480 4.895 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C)C)cc1C(F)(F)F 33177052 RMIKHJRHNGTHLZ-NSHDSACASA-N 413.421 4.799 5 20 HJBD Cc1cc(SCC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c(C)cc1Br 45716261 NOJNAYOMMUGJJR-UHFFFAOYSA-N 423.332 4.725 5 20 HJBD CC(C)(C)c1ccc(OCCCn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 60875496 GFDIQFKQCNZGNY-UHFFFAOYSA-N 407.470 4.585 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)cc1[N+](=O)[O-] 63991100 YOBCYCUAAJNJKR-UHFFFAOYSA-N 416.437 4.644 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 65819750 TWKJYFPVSWTAIC-GFCCVEGCSA-N 416.275 4.590 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)nc2ccccc21 110234879 BVYOQUAUOGYVOQ-QGZVFWFLSA-N 413.865 4.987 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3ncc4n3CCCC4)cc2)cc1[N+](=O)[O-] 195623088 KURMRAQJKKNCOA-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD Cc1ccc(C[C@H](Nc2ncc([N+](=O)[O-])cc2Br)c2ccccn2)cc1 301570801 WUPGLROVVIKOKB-SFHVURJKSA-N 413.275 4.852 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 302973149 GRZKHDDJNKXLBN-ZFWWWQNUSA-N 410.499 4.705 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](c2ccccc2C)C(C)C)cc1[N+](=O)[O-] 303459272 NNQIXTFBOPLOJO-NRFANRHFSA-N 402.516 4.659 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(CC(F)(F)F)c1ccccc1 303724208 WWEALVFDBFTQOX-UHFFFAOYSA-N 407.373 4.927 5 20 HJBD O=C(O)[C@H]1[C@H]2CC[C@@H](C2)[C@@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426780369 RBPLQMZAAFORAX-FEBSWUBLSA-N 416.861 4.629 5 20 HJBD CCc1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cnn1CCC(C)C 427432867 YWONIXQRVAWXBB-UHFFFAOYSA-N 402.498 4.739 5 20 HJBD Cc1cc(OCC(=O)Nc2ccccc2COCc2ccccc2)ccc1[N+](=O)[O-] 430395544 RHHIBQPVFQAVHC-UHFFFAOYSA-N 406.438 4.638 5 20 HJBD Cn1cc(C(=O)Nc2ncc(-c3ccc(F)cc3)s2)c(-c2ccc([N+](=O)[O-])cc2)n1 432210680 PQGUWYIPKNBQPV-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 434136818 QAFHBXGJTSORIH-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CCN(Cc1ccc(OC)cc1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436064597 WCVOPLCCNOXCPY-UHFFFAOYSA-N 422.431 4.630 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1O)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 436951379 QCEONTXQUFWYNC-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCC(C)(C)C[C@H](C)O)cc2[N+](=O)[O-])cc1 439732993 RCZHLEDGHUPMLS-HNNXBMFYSA-N 402.516 4.581 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 443861167 QCECWAXIBJVSHC-LBPRGKRZSA-N 423.391 4.703 5 20 HJBD CN(C)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C(F)(F)F)c1 443862441 UZPDYAZOWJRDRC-UHFFFAOYSA-N 421.297 4.951 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1ccc(O)cc1)Cc1ccco1 444241774 FWERHRJDIKJDFQ-UHFFFAOYSA-N 424.456 4.823 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)N(Cc1ccccc1[N+](=O)[O-])C1CC1 448062190 BGLILLXNILHKKU-LPHOPBHVSA-N 406.486 4.780 5 20 HJBD COc1cc(C(=O)N2C[C@H]3[C@@H](C2)C3(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 460642566 KHAMAFJNNQTBIM-GASCZTMLSA-N 416.861 4.777 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)c2)n1 462647223 WXOQHTNYAXEWNK-MRXNPFEDSA-N 408.458 4.723 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1c(Cl)cccc1[N+](=O)[O-] 465469534 SWUDCZQAONKMOY-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1cccc(CCN[C@H](c2cccc(Br)c2)C2CCOCC2)c1 467389572 LCFOSGNHHIDLTR-FQEVSTJZSA-N 419.319 4.657 5 20 HJBD CCc1nc(CN2CCC(CNC/C(C)=C/c3cccc([N+](=O)[O-])c3)CC2)cs1 468652802 SLRSVEUDMBMKGY-GZTJUZNOSA-N 414.575 4.519 5 20 HJBD CCOc1cc(C(=O)N2C[C@H](c3ccccc3)c3ccccc32)c([N+](=O)[O-])cc1OC 470210708 VYGVUFAQKYCOAX-LJQANCHMSA-N 418.449 4.794 5 20 HJBD CCOc1ccc(C(=O)CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1F 473757194 QIXKGQABNSNQTN-UHFFFAOYSA-N 416.449 4.533 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CC[C@H](c4ccccc4)C3)cc2[N+](=O)[O-])C1 476157232 OBVMTMKSMFCBHW-OPYAIIAOSA-N 407.514 4.707 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1ccc([N+](=O)[O-])s1 476200596 OBOXBKLBHYIUQH-UHFFFAOYSA-N 406.467 4.891 5 20 HJBD CC[C@@H](C)n1ncc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 479502527 ZIHMWZDHQJLTFZ-MNOVXSKESA-N 413.400 4.529 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1 484140680 YEQDWUHMYXXHJO-CQSZACIVSA-N 404.488 4.763 5 20 HJBD Cc1[nH]c2ccccc2c(=NC(=O)c2ccc(OC(F)F)c([N+](=O)[O-])c2)c1C(C)C 485666972 JTDOJHAFKFIFAX-UHFFFAOYSA-N 415.396 4.850 5 20 HJBD Cc1c(F)cccc1NC(=O)CCSc1nc2cc3ccccc3cc2c(=O)n1C 488770563 TUINPKUDSBWAEU-UHFFFAOYSA-N 421.497 4.655 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2cc(Br)cs2)cc1[N+](=O)[O-] 493102297 BNRGCEKGDNHYGI-LLVKDONJSA-N 412.309 4.630 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc(-c2ccccc2)cs1 498268399 NDEIEGXMQARVPT-ZDUSSCGKSA-N 404.451 4.758 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4ncc[nH]4)cc3)cc2[N+](=O)[O-])C1 502569812 NEPBLQLAAVGXHI-IYBDPMFKSA-N 419.485 4.720 5 20 HJBD CCn1c(Cc2ccccc2)nnc1SCc1cc([N+](=O)[O-])ccc1OC(C)C 511058963 WAVNVQGXLAOWKL-UHFFFAOYSA-N 412.515 4.877 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)o1 511143117 GFNBUJSGCCOUMZ-NRFANRHFSA-N 416.437 4.846 5 20 HJBD COc1cc(CNc2ccc(CN(C)C)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 512954562 IKCGTHYBZGAYMY-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 513788713 WFLQRFZGLKKDBE-AQOAWAETSA-N 422.529 4.941 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccccc1Sc1ccccc1 515452697 XXGBKBAUVQQYKE-UHFFFAOYSA-N 416.480 4.555 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(Cl)cc1 515626265 VNDSRDCVBPSNGN-UHFFFAOYSA-N 410.861 4.679 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3ccnc(Oc4ccc(F)cc4F)c3)n2)s1 517201814 IFZIBGXDYARLSZ-UHFFFAOYSA-N 402.338 4.839 5 20 HJBD CCc1c(C(=O)COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])[nH]c(C)c1C(C)=O 517990965 QSRSHCOAZROXHT-UHFFFAOYSA-N 406.438 4.925 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 518503882 NWGATBBPWMWEIT-CQSZACIVSA-N 408.376 4.642 5 20 HJBD COCCN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 519905136 VDYYYBFNNAEZGO-ZDUSSCGKSA-N 411.380 4.855 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2Cc3ccccc3-c3ccccc3C2)c([N+](=O)[O-])c1 523551550 STLJQSJYMYTALB-UHFFFAOYSA-N 424.460 4.747 5 20 HJBD CCOc1cc(C(=O)N(c2ccc(F)cc2Cl)C(C)C)c([N+](=O)[O-])cc1OC 523981827 YLOXIFJWYACBDK-UHFFFAOYSA-N 410.829 4.850 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 524886657 IICBLJXQSIQAJE-CQSZACIVSA-N 408.907 4.800 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(Cc3ccc(Cl)cc3[N+](=O)[O-])C2)cc1 532684915 REUIVMGYNIOPSG-INIZCTEOSA-N 416.909 4.590 5 20 HJBD COc1cc(CNc2ccc3[nH]ncc3c2)ccc1OCc1ccc([N+](=O)[O-])cc1 534452569 WHCTYEMHKWLDJU-UHFFFAOYSA-N 404.426 4.671 5 20 HJBD Cc1cc(C)c(C[C@@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C(F)(F)F)c(C)c1 536064023 QFNVVYYFRQBPSG-IBGZPJMESA-N 420.431 4.826 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(C(F)(F)F)cs1 540455779 KHTDHFBMVLEBEH-UHFFFAOYSA-N 405.423 4.501 5 20 HJBD C[C@@H](c1nc(-c2ccc(Oc3ncccn3)cc2)no1)c1ccc([N+](=O)[O-])cc1F 544066417 KDNAWISBSIIPFA-GFCCVEGCSA-N 407.361 4.518 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc([C@H](C)c4ccc([N+](=O)[O-])cc4F)n3)c2)n1 544617149 RRCQMEZRIFBFTN-OAHLLOKOSA-N 421.432 4.797 5 20 HJBD Cc1cccc(C)c1[C@@H](C)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 544822452 IZYPPLZTNIEAPJ-MRXNPFEDSA-N 406.486 4.564 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 545123012 QWONTYGWPQKCRP-LJAQVGFWSA-N 420.506 4.697 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(Cc3cn4cc(Cl)cc(Cl)c4n3)no2)cc1 546359643 WRWNHARWFSWMFD-UTCJRWHESA-N 416.224 4.694 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 547053845 XQCMDIKNOOCRDT-WTKPLQERSA-N 402.204 4.586 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc4c3OCC[C@H]4OC)o2)c([N+](=O)[O-])c1 556090757 FBAPGAVGQACOSR-HXUWFJFHSA-N 410.426 4.946 5 20 HJBD COc1ccc([C@H](Nc2ncnc3sc([N+](=O)[O-])cc23)c2ccc(F)cc2)cc1 557518811 MJRMFEACPIFHGP-GOSISDBHSA-N 410.430 4.949 5 20 HJBD COc1cc(CCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Cl 559352612 NEVFMALYXCFVBJ-UHFFFAOYSA-N 403.891 4.713 5 20 HJBD CCOC1CC2(CCN(C(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)C2)C1 560777320 ZHALKECZVGWDHJ-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 574971369 HCRIMTFAPWWMCR-DYVFJYSZSA-N 404.850 4.548 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CCN[C@@H](c1cccnc1)c1ccc(F)cc1F 576668908 ULNIMGDFUFMUPV-FQEVSTJZSA-N 403.816 4.843 5 20 HJBD COc1cccc(-c2nc([C@@H](C)c3ccc(Br)cc3)no2)c1[N+](=O)[O-] 579284308 PZADCSLPUJKBER-JTQLQIEISA-N 404.220 4.568 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NC[C@@H](Cc2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 589614490 WYTGNQUVIDWCJL-RHSMWYFYSA-N 409.408 4.685 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590423868 PVQWACUGEQOFNT-UHFFFAOYSA-N 421.419 4.507 5 20 HJBD Cc1ccc(C(=O)N(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603759828 TWWWBKFHDGKCKF-UHFFFAOYSA-N 419.437 4.650 5 20 HJBD C[C@H](N[C@@H](C)c1c(F)cccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 604047861 OHTIYBBYIFJAQA-UWVGGRQHSA-N 417.334 4.570 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)c1 609407627 VSCHKEVTSRMXOF-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)c(Cl)c1 609475516 CHNAEGGZVIAOGD-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 610159906 RRUHZTWVCNDDKC-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@H]3c3ccccc3Br)c1)OCOC2 610676181 BZJAGKSVEPIAJC-SFHVURJKSA-N 419.275 4.561 5 20 HJBD COCCN(Cc1ccc(C)cc1C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 681957025 NGNDMKWEGGQFIY-UHFFFAOYSA-N 414.505 4.587 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)s1 730202794 ZWFRQEULWMOMDC-CYBMUJFWSA-N 402.863 4.894 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)CCc1nc(C)c(-c2ccccc2)o1 730395978 PWRSLFHUUUUZMY-UHFFFAOYSA-N 410.426 4.633 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(OCc3cccnc3)cc2)c1 733404841 YJCOZGGIBATMLR-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD CC(C)CO[C@@H]1C[C@H](OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1(C)C 739296924 PBPDXUPLBPKUQX-SJORKVTESA-N 404.488 4.715 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccc(Oc2ccccc2)cc1 742399380 OZCAOJYBMUXBHI-UHFFFAOYSA-N 405.410 4.956 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1cc([N+](=O)[O-])ccc1Cl 748859415 ALLKULDRNPUGNL-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1c(C(=O)Nc2cccc(C(=O)c3ccccc3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 751150282 ZHNLCYSUUHKKHA-UHFFFAOYSA-N 419.393 4.603 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC(Oc2ccccc2Cl)CC1 754118717 IUPVHVKOOUVALA-UHFFFAOYSA-N 424.284 4.655 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 754166523 UJTJYOHRWXTHOY-CYBMUJFWSA-N 403.410 4.681 5 20 HJBD CC(C)(C)S(=O)(=O)N1CCC(NCc2c3ccccc3cc3ccccc23)CC1 755220801 RXVUCXQSQXWTFC-UHFFFAOYSA-N 410.583 4.675 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(CC(C)C)C[C@@H](O)c1ccc(F)cc1 766241244 LLRGDPGXRLAVJD-HXUWFJFHSA-N 403.454 4.574 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)s2)cc1OC 766369336 UNYGLAGXGDGHTI-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccccc1OC(F)(F)F 767124255 BODYJXPTUISXFE-UHFFFAOYSA-N 413.351 4.659 5 20 HJBD O=C(Nc1cc(C(F)F)ccc1Cl)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 769011819 RVGNHSLEKFCEIT-UHFFFAOYSA-N 410.808 4.540 5 20 HJBD Cc1nc(COc2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)no1 770567068 URJUUHCQHXVAAP-UHFFFAOYSA-N 423.454 4.601 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Cl 773390407 NYYDGFUTLMBPEV-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 777833001 RSYGOCIQZNXMGR-UHFFFAOYSA-N 411.414 4.618 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)NCCCN1c2ccccc2Sc2ccccc21 779496713 BGLOVVHHWOTXDP-UHFFFAOYSA-N 420.494 4.600 5 20 HJBD COc1cccc([C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccncc2)c1 782459860 XKSYKUHCZCQAAE-NRFANRHFSA-N 404.378 4.691 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(F)c1Cl 789068963 JEYZESJCYRERBD-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCc1nc(-c2cccc([N+](=O)[O-])c2)no1 790648032 RMTXGCSXVCZJLX-UHFFFAOYSA-N 410.430 4.777 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Br)ccc2OC(C)C)c1F 790865506 HDHMPICXBAEGTJ-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD CN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccc(Cl)cc2s1 791009853 AQPGQRVPWVJVPE-UHFFFAOYSA-N 414.245 4.856 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cc1sc(SC(F)F)nc1C 795942100 AXJHCUIJUQBWHX-JTQLQIEISA-N 402.444 4.830 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 803364905 UWJILQCXMLSFPY-SFHVURJKSA-N 409.269 4.799 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2c(c1)[C@H](C)CCN2 809553618 BLBCFELJLGNCHC-KGLIPLIRSA-N 422.441 4.790 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373348 DVSQDHYNZCBYAZ-SECBINFHSA-N 415.204 4.533 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 811820769 KDTHIXITOVFWOT-LBPRGKRZSA-N 400.412 4.728 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812915450 FDXQMFAZMNSVIC-LLVKDONJSA-N 424.284 4.816 5 20 HJBD COCCOc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 812927146 RHBQQYKLYTVWKI-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@H](OCCCc2ccccc2)C1 813043201 HEPNFRJUZGGJSV-INIZCTEOSA-N 423.296 4.766 5 20 HJBD COC[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813235476 MKEYISSPXOFPMB-CQSZACIVSA-N 403.649 4.673 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864008948 ASCSTRIKWCMBGR-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1ccccc1CS(=O)(=O)c1ccccc1 864011783 CRGLQOFEMUMQDZ-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD Cc1nc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])sc1Cc1ccccc1F 917731581 IEUZRMQIAPZMPL-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(SC(F)(F)F)cc2)cc1[N+](=O)[O-] 1251145125 ZNBMMMAFBHYHAA-UHFFFAOYSA-N 401.366 4.537 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1261202400 MEVJGLNAHZLQTC-UHFFFAOYSA-N 407.392 4.882 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@H]2CCCC[C@@H]2C1 1318612586 VAWOOZQQPCHBIA-CHWSQXEVSA-N 423.300 4.740 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cn(C)cc3C(F)(F)F)n2)cc1[N+](=O)[O-] 1319992437 RONPQYCIGZLACY-UHFFFAOYSA-N 410.377 4.636 5 20 HJBD COc1ccccc1C1(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCC1 1320051793 IOLAZEBMOVXIIF-UHFFFAOYSA-N 423.494 4.812 5 20 HJBD C[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)[C@@H](C)c1ccccc1C(F)(F)F 1324659586 PBUUXCNMCJOMJL-OLZOCXBDSA-N 407.392 4.831 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cncc(-c3ccc(Cl)cc3)c2)o1 1326081214 SAZNWGOEZHWUHZ-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 1347647184 KUKZJDWWOGAEIY-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 5974458 BLZJECLIBABFHT-LJQANCHMSA-N 410.451 4.810 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cs1 9622139 IUJBGBBIZHGABN-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD COc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C)oc1ccccc12 15081314 ATHDNYOQTCWFLC-UHFFFAOYSA-N 421.365 4.972 5 20 HJBD O=c1cc(CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)nc2ccc(Cl)cn12 25609340 WWVZZQIUIQHJLK-UHFFFAOYSA-N 421.844 4.612 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1)c1cccs1 27384163 PNGKOSZZEIOCCL-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1[N+](=O)[O-] 29516586 NFWMZGBCOWNVKI-GJZGRUSLSA-N 412.511 4.666 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 31307917 IIYZPVBJGGMERS-OAHLLOKOSA-N 418.453 4.788 5 20 HJBD CCn1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc2ccccc21 58418074 JYLSORDRYOJCTK-UHFFFAOYSA-N 405.376 4.774 5 20 HJBD CCN(C[C@H]1CCOC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 63090056 RSODYYBCQCCWIC-CQSZACIVSA-N 404.850 4.539 5 20 HJBD CC(C)c1nc2cc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2o1 64420365 RXBDSTADEPTNKI-LLVKDONJSA-N 403.822 4.919 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@H]2c2ccccc2Cl)c([N+](=O)[O-])cc1OCC 97000085 VSNBJHLJPIKVJP-UONOGXRCSA-N 404.850 4.788 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H]2CCc3ccc(-c4ccccc4)cc32)s1 302357686 CNXYXYHWQBHVPL-QGZVFWFLSA-N 414.508 4.826 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 303232305 YFCGGRFJUIVXCY-UHFFFAOYSA-N 420.400 4.750 5 20 HJBD C[C@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 426181148 XFSPFJDFWPWWEJ-HNNXBMFYSA-N 410.474 4.553 5 20 HJBD Cc1[nH]ccc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 426294516 KFZVLLFQXICISW-UHFFFAOYSA-N 403.797 4.746 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 431064054 BSFLWMATFSPYJG-UHFFFAOYSA-N 404.854 4.654 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cnccc1-c1cccc(F)c1 439665912 MKZSKIXOEBTQNL-GFCCVEGCSA-N 415.808 4.855 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 443867207 IMCHGZMYIYVSKZ-LESCRADOSA-N 416.440 4.860 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCCc1nc2cc(Cl)ccc2s1 443972197 MRMQRSCTHGKFTO-UHFFFAOYSA-N 404.879 4.839 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC[C@H]1c1ccccc1 460644099 VQTLZZLOXANQLT-DNVCBOLYSA-N 407.495 4.984 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc[nH]2)n1Cc1cccs1 461316859 VKZGUFPDFOSRPF-UHFFFAOYSA-N 422.514 4.706 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](CO)Cc3c(F)cccc3Cl)o2)c1 462742350 WVMAMSOXYVPHJO-CQSZACIVSA-N 418.852 4.588 5 20 HJBD COC(C)(C)CNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 463894169 HLIVUQPMLNWKIN-UHFFFAOYSA-N 417.512 4.511 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1F)c1cccc(Cl)c1 463933659 XBFYYTLHELHOFI-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD CC[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(F)cc1F 465960900 TXAFAMMOCCGSSK-HXUWFJFHSA-N 419.428 4.577 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3ccc(F)cc3[N+](=O)[O-])CC2)cc1 466622581 FHRKDXYBEBASOD-UHFFFAOYSA-N 412.461 4.668 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)c2)n1 467469099 UMXRGJLLZHRWMV-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD C[C@H](O)C[C@H](C)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467791645 ZCSCZPJIYDSZJH-STQMWFEESA-N 408.907 4.536 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])c2)n1 467960671 SEPDUOLUTCKBEY-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD CN(C(=O)C1(Cc2ccccc2F)CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 467990804 QECXPKYEDCKJRA-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 468358349 IQSPQRFSZJCNJB-OAHLLOKOSA-N 418.443 4.579 5 20 HJBD COc1cc(C(=O)N2C[C@H](C(C)C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 470383658 QFKNXLXNGBWUTI-CQSZACIVSA-N 406.385 4.605 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc([N+](=O)[O-])cc1Br 471504856 WIQOCRDTVKJUEW-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2C)cc1[N+](=O)[O-] 471922808 ZSHZDTFSGIBNOQ-INIZCTEOSA-N 409.486 4.733 5 20 HJBD COc1cc(C(=O)Nc2ccccc2SC(C)C)c([N+](=O)[O-])cc1OC(F)F 472548013 MQNQZHYKOBBHNA-UHFFFAOYSA-N 412.414 4.958 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Nc2cccc(COC(C)(C)C)c2C)c([N+](=O)[O-])c1 474927411 ZRTTZLLBUGHUHK-HNNXBMFYSA-N 415.490 4.666 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](C[C@H]1CCOC1)c1ccccc1 475190884 MIICUNVQUFCRHG-CXAGYDPISA-N 423.391 4.903 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCc2csc(-c3ccc(Cl)cc3)n2)c1 476694350 IQXOSOFBQIAGOO-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(-c2cccc(Br)c2)cn1 482512662 VUMZTDXOSQSTIA-UHFFFAOYSA-N 412.243 4.601 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(C)c1 482990087 RUBAMGJCXDDQNX-INIZCTEOSA-N 424.501 4.628 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC(C)(C)Oc2cc(F)ccc21 486471540 RPELOEDFBQZLKL-IBGZPJMESA-N 415.465 4.956 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(F)c(Br)c2)cc(C(F)(F)F)c1 487172779 OVGLYIUYESIJCR-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD Cc1ccc(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)cc1[N+](=O)[O-] 490027015 SFXHPPDYIFQDJB-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD COc1ccc(CN(CC2CC2)C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c(OC)c1 504786094 NUCFZYVWCLQTKW-UHFFFAOYSA-N 413.474 4.673 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)c1cccc(Cl)c1Cl 511909173 HUHMKVFFTZNQIT-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cn1c(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc2cc(C(F)(F)F)ccc21 513844018 MSKSUKCNDMMFQK-UHFFFAOYSA-N 403.360 4.698 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CC=C(c2ccc(F)cc2)CC1 514561459 XCXNVEKLPWWCHA-UHFFFAOYSA-N 424.350 4.605 5 20 HJBD C[C@H](c1ccc(Cl)cc1)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534063114 YZBYCHWLERPEFU-GFCCVEGCSA-N 406.847 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(c4c[nH]c5ncccc45)CC3)co2)cc1 534106866 VPRGUFUSGKUGFK-UHFFFAOYSA-N 403.442 4.506 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)C(C)C)c1 537095768 OSGYIZNUSKBFLG-UHFFFAOYSA-N 401.488 4.942 5 20 HJBD COC[C@@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc(F)c(F)c1 538000548 NJWIDVJZNYQUHD-LJQANCHMSA-N 418.396 4.619 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@H]2CCCO2)cc1 538239748 DCJUYEZPPZNAFB-GOSISDBHSA-N 416.499 4.905 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)N[C@@H](C)c4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 539244680 KLOIMWUGARDZRD-INIZCTEOSA-N 404.470 4.944 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1c[nH]c2cccc([N+](=O)[O-])c12 541532797 AWEXUSQWYRALMR-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD C[C@@H](c1nc(Cc2ccc(Br)s2)no1)c1ccc([N+](=O)[O-])cc1F 545584107 UWWDHLSMEKJIPT-MRVPVSSYSA-N 412.240 4.684 5 20 HJBD Cc1c(-c2nc(Cc3c[nH]c4ccc(Br)cc34)no2)cccc1[N+](=O)[O-] 545690295 SYWDASAUGUJTAB-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=C(Nc1cccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1)c1ccc(F)cc1 546261899 GOFCSOQJPFXPBH-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547195729 ZJKCLEKTJGBPJU-UONOGXRCSA-N 419.359 4.867 5 20 HJBD COCc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 557424833 ZJCMAHIHSZCBQU-UHFFFAOYSA-N 401.469 4.601 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCC1(F)F 564545001 MAXAOWNSXRDSPZ-CYBMUJFWSA-N 423.847 4.978 5 20 HJBD CCc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1ccc(Cl)cc1 570010985 HAEOGYPKYKWEDX-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD CC(C)c1ccc(CN2CCC[C@@H](c3nc(C(F)(F)F)cn3C)C2)cc1[N+](=O)[O-] 574795835 LYSFZUSCDMEVKS-OAHLLOKOSA-N 410.440 4.850 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2c(-c3cccs3)nc3ccccn23)c1 577902207 FTMXWCPNZDSYAS-UHFFFAOYSA-N 422.466 4.687 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@](C)(CC)NC(=O)c2ccccc2)no1 581574828 OQIKDWOFCBJZEF-GAJHUEQPSA-N 422.485 4.769 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 583601605 MFGDAUMICXCOBP-AWEZNQCLSA-N 411.845 4.964 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H](Sc2cccc(Cl)c2)C1 590461772 OYNGOEQXEBYPSS-HNNXBMFYSA-N 401.875 4.736 5 20 HJBD CC(C)(C)n1nc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1C1CC1 603961658 UCBOXLNZMQDBSW-UHFFFAOYSA-N 424.423 4.628 5 20 HJBD CCCS(=O)(=O)Nc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 606394017 JKTHTSRNDFTZDC-UHFFFAOYSA-N 419.506 4.792 5 20 HJBD C[C@H](NC(=O)c1ccc(C(=O)N[C@H](C)c2ccccc2)cc1[N+](=O)[O-])c1ccccc1 609043213 FBPPRKARYVVTCM-SJORKVTESA-N 417.465 4.577 5 20 HJBD O=C(Nc1nc(/C=C\c2ccccc2)cs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 609757270 RHEAJKMRMUPWFI-VURMDHGXSA-N 417.450 4.660 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1C 609858753 BNEMNXIGVQNLHJ-UHFFFAOYSA-N 404.470 4.987 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 609865693 AQBTVWHJQHOMAV-VBHSOAQHSA-N 408.907 4.621 5 20 HJBD CN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)[C@@H](c1cccc(F)c1)c1cnn(C)c1 609970204 OXAFALGYOHCPPG-NRFANRHFSA-N 424.476 4.627 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 610228732 UVBBWLWJCXFZIM-QHCPKHFHSA-N 407.445 4.618 5 20 HJBD CO[C@H]1CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 611947744 LQJQYOVCBUTEGB-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCC[C@H]3c3ccccc3C(F)(F)F)n2)cc1 728048904 APAKRPZUZGBOFY-SFHVURJKSA-N 417.391 4.667 5 20 HJBD O=C(Oc1cccc(NC(=O)c2cccs2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 734114942 CGVVQCBCMZCQDW-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(COc2cc([N+](=O)[O-])ccc2Cl)o1 747810785 WAMMCQHFWSUMSX-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(\Cl)c2nc(O)c3c4c(sc3n2)CCCC4)cc1O 748148970 RYHNCHXOPZVXIL-XFFZJAGNSA-N 403.847 4.626 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Oc2ccc(Sc3ccncc3)cc2)cc1C 749704210 YXFYHZHQDFYQPQ-UHFFFAOYSA-N 416.480 4.526 5 20 HJBD CC(=O)c1ccc(OS(=O)(=O)c2c(Cl)cc(Cl)cc2Cl)c([N+](=O)[O-])c1 749949051 MFAWTKCUCPKFBV-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1F 755067369 JHTAAWFLBKWARJ-VXGBXAGGSA-N 417.368 4.639 5 20 HJBD Cc1nc(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Br)cc2)co1 755109848 SEOJZKIUKUKDDB-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD CNc1c(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)cccc1[N+](=O)[O-] 765516244 SQXWWVIDDXAYII-UHFFFAOYSA-N 413.474 4.679 5 20 HJBD COc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C1CC1 766870201 DKBYWZICMGTEQW-JOCHJYFZSA-N 404.422 4.822 5 20 HJBD COc1ccc(-n2c(S/C=C\c3ccc([N+](=O)[O-])o3)nnc2-c2ccccn2)cc1 768402131 HFGBWAIGAMYRAG-QBFSEMIESA-N 421.438 4.602 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1S/C=C/c1ccc([N+](=O)[O-])o1 768415644 QJHPUUSRQHEKGI-MDZDMXLPSA-N 406.851 4.795 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CC=C(c2ccccc2Cl)CC1 769151917 GIRISZRXIDAGFT-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 776450250 CTZUKWZIGDMZAM-HXUWFJFHSA-N 408.408 4.754 5 20 HJBD CCc1noc(-c2ccc(C)c(NC3CCN(c4ccc([N+](=O)[O-])cc4)CC3)c2)n1 777706225 YZISJNQCSKMILQ-UHFFFAOYSA-N 407.474 4.597 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1cccc([N+](=O)[O-])c1Br 782117249 MATYWHYVYAJUIB-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD C[C@@H](Sc1nc(-c2ccc(F)cc2)cs1)C(=O)Nc1ccccc1[N+](=O)[O-] 786590023 KFFHUSDWUNIQNS-LLVKDONJSA-N 403.460 4.977 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2Cc2ccco2)cc1F 789948414 AKQSNZFSFOQEKM-UHFFFAOYSA-N 416.459 4.988 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN2CCC(F)(c3ccccc3C(F)(F)F)CC2)cc1 799176334 NXRZQAIVQOINJP-GOSISDBHSA-N 412.383 4.608 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1csc2nc(-c3ccccc3)cn12 800144139 MGQVTVXIZFSWKD-UHFFFAOYSA-N 410.430 4.600 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1csc([C@@H]2CCCO2)n1 811083875 QWEDHNNTDPHAKU-LBPRGKRZSA-N 403.243 4.567 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2nnc(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 815405655 DOJIPMIPRDYVRD-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)c1cccc(OCc2ccccn2)c1 816892045 NNRPQGFFHXIWQG-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD CC(C)[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccccc1F 877513957 FAQWZHFMGYTYAA-QGZVFWFLSA-N 419.503 4.777 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 921153196 FNEIWADQHCNRAQ-KMGMULKOSA-N 407.470 4.570 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3ccc(F)cc3Br)o2)c2ncccc12 1117225349 MJCKZMDDWCUFES-UHFFFAOYSA-N 415.178 4.762 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1F 1321505332 WQTXSWPDFASVCI-IBGZPJMESA-N 418.808 4.651 5 20 HJBD O=C(COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])Nc1ccccc1-c1ccccc1 4808312 DCAMMJLULHNFLN-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3ccc(F)cc3)cc2[N+](=O)[O-])n1 6305747 SNIJGABTRYQKLA-UHFFFAOYSA-N 403.460 4.580 5 20 HJBD O=C(CN1CCC[C@H]1c1nc2ccccc2s1)Nc1ccc([N+](=O)[O-])cc1Cl 7910194 QWLGAEKOBOMFHJ-INIZCTEOSA-N 416.890 4.634 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)c(C(F)(F)F)c1 18084188 CLYYTRJHHXAXIS-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1c(NC(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)cccc1[N+](=O)[O-] 47346066 RCHZIRXATLZWHG-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD C[C@H](NC(=O)N(CCc1ccccc1)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 52550762 LYYVVHKRYATDGE-SFHVURJKSA-N 404.470 4.505 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC(C)C)cc2C)c([N+](=O)[O-])cc1OC(F)F 55769702 KHBVUPBXNHUVQX-UHFFFAOYSA-N 410.373 4.553 5 20 HJBD COc1ccc(Cl)cc1-c1n[nH]c(SCCCOc2ccc([N+](=O)[O-])cc2)n1 71971134 RXJBQQIHSHFQGL-UHFFFAOYSA-N 420.878 4.603 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1ccc(Br)c([N+](=O)[O-])c1 110480217 GODGKOFCXVOPRB-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD C[C@H](C(=O)Nc1ccccc1C(F)(F)F)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 217382807 BAGFUMMZZUHUEN-LLVKDONJSA-N 415.799 4.726 5 20 HJBD Cc1cccc(C)c1NC(=O)CNc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 301059007 OZSIXXMFORNFAN-UHFFFAOYSA-N 401.772 4.934 5 20 HJBD CC(C)[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(F)cc1F 301643409 OJWZKDMKRBSPMH-HXUWFJFHSA-N 403.429 4.918 5 20 HJBD C[C@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)[C@H]1OCCc2sccc21 426457458 GIXHPVDOQKRGRZ-JEOXALJRSA-N 410.455 4.529 5 20 HJBD CC(C)Cn1ncc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1-c1ccccc1 429090343 DFLDLGOYRZROQD-UHFFFAOYSA-N 415.453 4.915 5 20 HJBD CC1(C)C[C@H](NCc2ccc(NC(=O)c3ccco3)cc2)c2cc([N+](=O)[O-])ccc21 433145818 JDGBOLDENZDJQP-FQEVSTJZSA-N 405.454 4.952 5 20 HJBD Cc1ccc(-n2cnnc2)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 435452530 IMRQRVKOICEPOE-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD COc1cccc(C(=O)N[C@@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c1[N+](=O)[O-] 435857966 ZQCDPAOPFKPENH-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD Cc1c(CC(=O)Nc2cc(Br)ccc2OC(C)C)cccc1[N+](=O)[O-] 439302555 UUTQCQBJZOOOFS-UHFFFAOYSA-N 407.264 4.634 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2ccccc2[N+](=O)[O-])n1 439657221 ZMRFLBIIRBKRGE-UHFFFAOYSA-N 400.822 4.749 5 20 HJBD O=C(NCc1cccc(-c2cccnc2)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444309466 AGGQNFRLQSGAAA-UHFFFAOYSA-N 423.447 4.812 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2cc(Br)c(C)c([N+](=O)[O-])c2)n1 445785427 RQWFGAPNKZAYQF-MRXNPFEDSA-N 418.247 4.842 5 20 HJBD CCc1cccc(C)c1NC(=O)CSc1ccc(Br)cc1[N+](=O)[O-] 447353587 GBYYHYYQECTAHP-UHFFFAOYSA-N 409.305 4.959 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2cccnc2)c(F)c1 462491059 QFPLOIMKALUCGZ-SFHVURJKSA-N 423.444 4.806 5 20 HJBD CCN(c1cccc2ccccc12)S(=O)(=O)c1ccc([N+](=O)[O-])c2cccnc12 464401931 DKQHNPMJUFIDFU-UHFFFAOYSA-N 407.451 4.511 5 20 HJBD CCO[C@H](CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)C(C)C 470186043 ODOKTDODJYAYAL-LJQANCHMSA-N 402.516 4.927 5 20 HJBD CCOc1cc(NC(=O)CCc2cnc3ccccc3c2)c([N+](=O)[O-])cc1OCC 470739874 GGYXJJJYTSYZGO-UHFFFAOYSA-N 409.442 4.512 5 20 HJBD CSc1ccc(C(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 472295961 SJJCPFXSHDFWJI-IBGZPJMESA-N 413.886 4.885 5 20 HJBD CCCCN1CCc2ccc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])cc21 472601911 ZPHLCNNXNQJAPQ-UHFFFAOYSA-N 415.515 4.740 5 20 HJBD O=C(N[C@H]1CCCC[C@@H]1Cc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 476466265 XEIVJIQZYIUIGM-YADHBBJMSA-N 421.541 4.729 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCO[C@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 477081761 CJNLYBFVIDCWJH-DJJJIMSYSA-N 421.856 4.540 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)c1ccccc1 482133756 JSLWHNDKEJXIFH-PGRDOPGGSA-N 409.530 4.739 5 20 HJBD CCc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 482694138 DGGLPVSBDANKAU-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3cccc4c(Br)cccc34)CC2)n1 488719901 JPMRSSQJIQBTAD-UHFFFAOYSA-N 415.291 4.544 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 490581682 DRMODINYAPVUCN-MJGOQNOKSA-N 413.543 4.953 5 20 HJBD CCCCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1C 494103677 XOKGBFIBBVKGKB-UHFFFAOYSA-N 407.474 4.764 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 499248415 HMKMSRKWYLVOPA-INIZCTEOSA-N 422.403 4.692 5 20 HJBD O=C(Nc1cccc2oc(C(F)(F)F)nc12)c1ccc([N+](=O)[O-])c2cccnc12 499506722 GXNGOBPDZNSUNP-UHFFFAOYSA-N 402.288 4.555 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 499623575 WECVRHSQOMPBRP-ZDUSSCGKSA-N 411.439 4.559 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(F)cc2OC(C)(C)C)c([N+](=O)[O-])cc1OC 500606330 AGWVSKAZWBNOBX-UHFFFAOYSA-N 406.410 4.571 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1cccc2ccccc12 503125640 XYGKVOWUVWKUEI-MSOLQXFVSA-N 404.422 4.652 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3csc([N+](=O)[O-])c3)s2)cc1 505147445 UUZUDVXKEFFKLS-JTQLQIEISA-N 403.485 4.588 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3)nn2C(C)C)cc1OC 505288144 SSEYIVYDEQQEFE-UHFFFAOYSA-N 424.457 4.699 5 20 HJBD O=C(NCCc1c[nH]c2ncccc12)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 505892963 LBAXBWIFGYCZDO-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1ccsc1)c1ccccc1 508498102 PXCQVTVJTOHYMO-UHFFFAOYSA-N 404.451 4.689 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 509586434 IMDWEBIDCVOIQC-UHFFFAOYSA-N 412.490 4.519 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1c(-c2cccs2)nc2ccccn12 509801739 ACSIAQLJZLKXLS-UHFFFAOYSA-N 410.480 4.702 5 20 HJBD COc1cc(CN[C@@H](C)c2ccncc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 510037414 DUUMMGMICIJJKX-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD CC[C@@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)s1 510762568 LAZMNFPMNDYASM-SNVBAGLBSA-N 400.909 4.921 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 511910183 HEFPUABJJMESFP-NSHDSACASA-N 415.298 4.816 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511922903 NOSYOSOOSYOIOM-ZDUSSCGKSA-N 405.279 4.621 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cnc(-c2ccccc2F)s1 516756226 JIMWKHNDWNVCAC-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(OC(F)F)cc3)o2)c([N+](=O)[O-])c1 518272538 JKLFCYDZLIRWCC-UHFFFAOYSA-N 404.369 4.755 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@H]1c1c(F)cccc1F 523844562 MVVAHYZIPDJCEN-OLZOCXBDSA-N 401.394 4.739 5 20 HJBD COc1cccc(Cl)c1C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 525649263 KUGJCTHSEZAEFB-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)c2nc(Cc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 531443727 BZCZDWNFPIRUFD-KBPBESRZSA-N 400.866 4.942 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2cccc(F)c2)CC1 533240904 NACXJOCPXHFTJX-OAHLLOKOSA-N 410.449 4.800 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 534405558 LOPDOVFXFWVOKD-NSHDSACASA-N 417.940 4.518 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCNC1(c2c(Cl)cccc2Cl)CC1 538228939 JXBFTRWDXNIXRU-UHFFFAOYSA-N 424.284 4.518 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cs1 538277317 ZTPJHYPHPYOAFL-HNNXBMFYSA-N 410.499 4.895 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@@H]3C[C@@H]3c3ccc(F)cc3)n2)c(Br)c1 538313561 MTLFZANTEPKOTQ-HUUCEWRRSA-N 418.222 4.741 5 20 HJBD CCn1c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nc2ccccc21 539373594 PSNGIPDKPHODDI-AWEZNQCLSA-N 412.515 4.956 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCN(CC)CC)CC1 542094671 OOLOGWLTKFNMSA-UHFFFAOYSA-N 407.580 4.681 5 20 HJBD CCc1ccc([C@H](N[C@@H]2CCC[C@H](C(=O)NC(C)C)C2)c2ccc([N+](=O)[O-])cc2)o1 543400770 LPKSVUAVFQUKHF-NJNPRVFISA-N 413.518 4.513 5 20 HJBD CCOc1cc(Cc2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)ccc1OC(F)F 545649532 VMWDLLNKIUZHJI-UHFFFAOYSA-N 405.357 4.544 5 20 HJBD Cc1c(-c2ccccn2)cccc1-c1nc(CCNc2ccccc2[N+](=O)[O-])no1 545673708 DQIBITJLMLGMEB-UHFFFAOYSA-N 401.426 4.670 5 20 HJBD CC(=O)N1CCC[C@@H](c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)C1 545757750 PHBVDBRYRWQNNH-SLZMIMFISA-N 424.482 4.603 5 20 HJBD CC(C)CCSc1ccc(-c2nc(Cc3nc4cccnc4[nH]3)no2)cc1[N+](=O)[O-] 547136223 SRIQHALXMCWVFO-UHFFFAOYSA-N 424.486 4.645 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 549413188 IHFVYQZXOKTSSV-LBPRGKRZSA-N 423.391 4.528 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccccc1OC1CCCC1)c1cccc([N+](=O)[O-])c1 552040916 KPRBHRKKOWAIOT-QFIPXVFZSA-N 406.486 4.529 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 553061647 LPIVYNOCPXEHOT-ROUUACIJSA-N 421.522 4.955 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccnc(N4CCCC4)c3)o2)c(Cl)c1 558942533 IEJWQHBWAOQKGP-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD C[C@@H](C(=O)NC1(c2cccc(C(F)(F)F)c2)CCOCC1)c1cccc([N+](=O)[O-])c1 558966382 ADQOSQYTQWWVEK-CQSZACIVSA-N 422.403 4.539 5 20 HJBD O=C(NCCn1ccc2cc(Cl)ccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 560587586 BERIKTPKZFBICH-UHFFFAOYSA-N 411.767 4.652 5 20 HJBD CCOC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 571067151 ASDVLMYRZJUPOA-UHFFFAOYSA-N 406.548 4.908 5 20 HJBD COc1ccc(CNCCC(=O)Nc2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 578717881 LRFQDTHDIQBORN-UHFFFAOYSA-N 421.453 4.514 5 20 HJBD C[C@@H](C(=O)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1cccc([N+](=O)[O-])c1 580610026 JQSKLSFNTIXKPL-QAPCUYQASA-N 421.522 4.744 5 20 HJBD CCN(Cc1ccncc1)Cc1cc(OC)c(OCc2ccccc2)cc1[N+](=O)[O-] 580899374 FDCUBMXTRATGOR-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603639170 VCQNRRMBAOSAJT-UHFFFAOYSA-N 423.372 4.640 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OC1CCCC1 603961993 UOHUHLGEYJOFDT-CYBMUJFWSA-N 402.422 4.555 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc4nccnc4c3)cc2[N+](=O)[O-])n1 603964135 AWHNYFYCUIIMPL-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD C[C@@H](SCc1cc([N+](=O)[O-])cc2c1OCOC2)c1nc(-c2cccs2)no1 604060329 YPDVUMXPWLKSBD-SNVBAGLBSA-N 405.457 4.567 5 20 HJBD COc1ccc(CSCC(=O)N(c2cc(C)ccc2C)C(C)C)cc1[N+](=O)[O-] 609100657 UTQHOBGJEUWWNJ-UHFFFAOYSA-N 402.516 4.895 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 610961834 NSYLGMXEVVVBFY-LBPRGKRZSA-N 404.348 4.672 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1cccs1 611198277 DHABWKSTTYWSDE-QGZVFWFLSA-N 419.572 4.724 5 20 HJBD Cc1nn(Cc2ccc(-c3nc([C@@H]4CCc5ccccc54)no3)cc2)c(C)c1[N+](=O)[O-] 612933307 RLNPRPVIALUJMZ-HXUWFJFHSA-N 415.453 4.585 5 20 HJBD C/C(=C\C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 727582235 WYOFIGWPOSWAKF-LMMOQWNQSA-N 423.252 4.875 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])s2)cc1F 727706950 UMSABWFJHXHIQM-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD COc1ccc(CC(=O)O[C@@H](Cc2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 733948438 UCJOBMNESNLIBE-INIZCTEOSA-N 417.767 4.516 5 20 HJBD COc1ccc(Nc2nc3ccccn3c2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 734832818 BMYKEEGWQFIHMS-UHFFFAOYSA-N 409.446 4.514 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)n(C)n1)Cc1ccc(Cl)cc1[N+](=O)[O-] 734835068 JHDIPPLBEZFIAY-UHFFFAOYSA-N 416.884 4.852 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 736517307 PJEVXXYKDOHEGP-NSHDSACASA-N 411.683 4.714 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=S)NCc1ccc(Br)cc1 747651115 LCNKSXNCRYWYCI-UHFFFAOYSA-N 422.348 4.642 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])sc2C)cc1 749879574 MHDIWFBMPWSSKT-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(NCCc1csc2ccccc12)c1cc([N+](=O)[O-])ccc1Br 751091785 ZOUQJVOCFUWJAR-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD C[C@H](CCC(C)(C)C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755139478 OYGZFTVUJKPOTF-VXGBXAGGSA-N 418.412 4.946 5 20 HJBD Cn1cc(NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)cc1C(N)=O 756967221 SPZHBVCKOVPOJW-UHFFFAOYSA-N 423.472 4.623 5 20 HJBD O=C(Cn1ccc2ccc(Cl)cc21)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760501437 RNDNPYMWVSTPQB-UHFFFAOYSA-N 411.801 4.601 5 20 HJBD COc1ccccc1-c1c[nH]cc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760511516 NEFDNYLUKISKLH-UHFFFAOYSA-N 419.393 4.611 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 764391727 KTNGPEIWPBUUPW-PZJWPPBQSA-N 414.527 4.582 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 768708023 XNMLLEKJSYBUFJ-INIZCTEOSA-N 415.660 4.769 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])ccc1Br 770346783 AHKMAMHZUNYYSQ-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD C[C@H](C[C@@H](O)c1ccc(F)cc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774670918 LCPJUIBHCBXOBG-YMTOWFKASA-N 423.313 4.707 5 20 HJBD CCN(CC)Cc1cc([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1OC 775416642 VVLURKNSDXUSBF-OAHLLOKOSA-N 405.926 4.949 5 20 HJBD Cc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1NC(=O)C(C)(C)C 777690984 XNCHOTSMQAERLA-UHFFFAOYSA-N 410.518 4.969 5 20 HJBD C[C@@H]1CC[C@H](C(F)(F)F)CN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 780607016 AKDAOUUPLDHZBZ-BDAKNGLRSA-N 410.190 4.552 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])NC1(c2c(Cl)cccc2Cl)CC1 781726537 JQAXOHIAKABNQL-UHFFFAOYSA-N 424.240 4.548 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1Br)[C@H](C)c1cccc(OC)c1 782123493 ONNDGRFISPDEFO-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD COC[C@@H](C)OC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782549043 MRJWSCITWBLJMU-DSQDWWTGSA-N 412.467 4.713 5 20 HJBD O=C(Nc1cccnc1-c1ccc(Cl)s1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 782959443 ZOYXEPGWFBBJTH-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)cc1 795257748 TXHIYMMTBQGFLI-UHFFFAOYSA-N 408.813 4.634 5 20 HJBD O=C(CCSc1cccc(Cl)c1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 808701905 MBVAKJFLRODJOA-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1cc(Cl)ccc1-n1cccn1 863999597 VMOZWFCBJNWRMD-UHFFFAOYSA-N 407.655 4.809 5 20 HJBD COc1cc(C(=O)OCC(F)(F)F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 912507777 YCFGXNURPHYKGJ-UHFFFAOYSA-N 405.712 4.768 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1coc(-c2cccc(Cl)c2)n1 914624185 OSNACKLJDAMSJW-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD COc1cc([C@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 914869458 FVNTUAMLOWPFJX-AWEZNQCLSA-N 403.504 4.686 5 20 HJBD COc1cc(COC(=O)C[C@@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC(F)F 917994769 HUIQFYVKLXLEAC-LLVKDONJSA-N 413.348 4.581 5 20 HJBD COc1ccc(-c2nnc(CCCOc3ccc(Cl)cc3Cl)o2)cc1[N+](=O)[O-] 1257718964 SBKFKWFMBAQSFK-UHFFFAOYSA-N 424.240 4.972 5 20 HJBD CC(C)[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc2c(c1)OCCO2 1320704596 BXGOGLFERVAPHR-IBGZPJMESA-N 421.291 4.615 5 20 HJBD CC1(C(=O)NC2(c3ccc(NC(=O)/C=C/c4ccc([N+](=O)[O-])o4)cc3)CCC2)CCC1 1786205534 CEGQOFONLGBYNC-CSKARUKUSA-N 423.469 4.525 5 20 HJBD O=C(CCC1CCC1)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])o3)CCC2)cc1 1786259439 SFRADPIENJDHTM-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 9352457 IMQLWLLNGFVEQG-CABCVRRESA-N 416.499 4.771 5 20 HJBD CSc1ccc(C(=O)OCc2ccc(C(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 11205899 QZSYAZGJANDOBY-UHFFFAOYSA-N 422.462 4.926 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(c2ccccc2)c2nc(C)cs2)cc1OC 28243406 XIUDDSXJXPNGIN-UHFFFAOYSA-N 413.455 4.746 5 20 HJBD O=C(Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1)c1ccc([N+](=O)[O-])c(O)c1 29794059 KFEVMPZAKOMXLX-UHFFFAOYSA-N 423.372 4.619 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 32676738 JAJHOFRVXBYZTN-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1cnc(NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)s1 44189068 BYEVBSNSUIUXLT-UHFFFAOYSA-N 416.846 4.518 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@@H]1CCCCO1 56349037 DGWRCGIBWYGMHA-RDJZCZTQSA-N 416.499 4.662 5 20 HJBD CC(=O)c1ccc(NCc2ccc(Cn3cnc4ccccc43)cc2)c([N+](=O)[O-])c1 60863521 WVHGTZVYQAGAOI-UHFFFAOYSA-N 400.438 4.808 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1CCC(c2c[nH]c3ncccc23)CC1 301997540 ULYFTBBKYYRQCK-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC(O)(c2ccccc2)CC1 302221646 SKKBCGNNYMURLB-UHFFFAOYSA-N 400.784 4.755 5 20 HJBD O=C(c1cnc(OCc2ccccc2)cn1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 428736157 RYUZTMRGYVVWBH-UHFFFAOYSA-N 418.453 4.553 5 20 HJBD O=C(Nc1cccc(CNc2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1)[C@@H]1CCCO1 429200239 QICUDOSNVUWKQY-INIZCTEOSA-N 410.257 4.631 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)c1ccc(Br)cc1[N+](=O)[O-] 431035956 GWTZBNWWXLNRCW-UHFFFAOYSA-N 413.231 4.748 5 20 HJBD CC(C)Oc1ccccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436062986 COJOOVKZZLARKU-UHFFFAOYSA-N 404.441 4.538 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436318950 OLRXFDSNMPHNHV-XMMPIXPASA-N 405.457 4.596 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 436442759 DFTCSTNUIZTSDV-MRXNPFEDSA-N 404.747 4.740 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccs1 438032709 IJSSGWSBYLJYQA-ZDUSSCGKSA-N 412.389 4.553 5 20 HJBD O=C(NCC1(c2cccc(F)c2)CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444077334 SWACHXZJDWRJAJ-UHFFFAOYSA-N 422.406 4.560 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)C1(c2ccc(Cl)c(Cl)c2)CCC1 444631122 KDWDZXGFAXLYMO-UHFFFAOYSA-N 419.268 4.516 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 445173912 QJNXGGUTRMYQEG-SSDOTTSWSA-N 418.338 4.664 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H](C)CC(=O)c3ccc(Cl)cc3)n2)c1 445571628 OLDWVFDFHDRGND-LBPRGKRZSA-N 415.833 4.607 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 447659259 CEHRBQYPNJYCLB-JSGCOSHPSA-N 405.376 4.855 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1ccc(F)cc1[N+](=O)[O-] 466334972 QMTHMZZTFDBQPK-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD C[C@]1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCO1 466426875 YGAWCETVSOJBQP-LJQANCHMSA-N 406.891 4.698 5 20 HJBD Cn1cnc(-c2ccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc2)n1 471534321 XOXMBLLZLUBSRZ-UHFFFAOYSA-N 419.416 4.934 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1 474505237 GCVFAXWHUJVWAN-FQEVSTJZSA-N 410.861 4.574 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1cc(F)c(F)c(F)c1 474745866 PWAAHTLFDUBZFQ-UHFFFAOYSA-N 402.328 4.697 5 20 HJBD Cc1nnc(-c2cc(F)ccc2NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)o1 475357802 YBVOAUCYIVTAIR-UHFFFAOYSA-N 411.418 4.828 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1cccc2ccccc12)C(F)(F)F 482414330 YIBUAXJJBFEIRW-GOSISDBHSA-N 403.360 4.851 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@](=O)C2CCCCC2)c1 485488662 HVWPNFQRXFWSIF-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)c(Br)c(F)c2)c1[N+](=O)[O-] 486413790 YEYBRGCTAMAEFD-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cc(C)cn2)c(F)c1 489931366 HEMCZPFKCMZGJV-UHFFFAOYSA-N 400.435 4.592 5 20 HJBD Cc1c(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCOCC2)cccc1[N+](=O)[O-] 500881964 ANYQTSBOKXQSTJ-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1/C=C\c1ccccc1 505367836 XMWTWSLXRMJBRI-IIUXCQHESA-N 408.501 4.859 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(N2CCCCC2=O)c1 509549139 LZFYYRSWIAMIAE-UHFFFAOYSA-N 410.474 4.554 5 20 HJBD CCCN1CC[C@H](CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 509580335 WNIOPKOFFJFNRF-QGZVFWFLSA-N 403.910 4.862 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)COc2ccc([N+](=O)[O-])cc2)cc1F 510252867 GXZXWKLVOBCSRA-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3cc([N+](=O)[O-])ccc3C)ccn2)cc1 511290607 YQIDOJUUNNIIMG-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD CCN(Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cc1cnn(C)c1 511646606 HXJRUQSZSKREJB-UHFFFAOYSA-N 400.866 4.796 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCC(F)(F)F)cc1 516758627 TVARLKUBQLZRQQ-LLVKDONJSA-N 408.332 4.773 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](c1ccccc1)c1ccc(F)cc1 517362064 WVGXNSVKSNSUKP-JOCHJYFZSA-N 408.429 4.994 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 518826575 JKPZFLSDGICKHE-LBPRGKRZSA-N 412.273 4.683 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 520011804 OOHSVTIAUNIHPH-OAHLLOKOSA-N 421.453 4.916 5 20 HJBD COc1ccc(CN[C@@H](C)Cc2cccs2)cc1OCc1ccc([N+](=O)[O-])cc1 520960196 PEECWVFDKGKPBB-INIZCTEOSA-N 412.511 4.965 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 522735550 PJNKFWAPPFTYJA-UHFFFAOYSA-N 402.863 4.938 5 20 HJBD O=C(c1cc(-c2ccccc2)ccc1Cl)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 522922189 BMFLKMAENNEWFO-QGZVFWFLSA-N 422.872 4.637 5 20 HJBD Cc1[nH]nc(C(=O)Nc2ccc(Oc3ccccc3C(F)(F)F)cc2)c1[N+](=O)[O-] 523685299 LHPLREKWGGHWQR-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2cccc(O)c2)cs1 524816366 KRKDFHQEBYCIQC-LLVKDONJSA-N 401.469 4.543 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc(Cl)cc2O)cc1[N+](=O)[O-])c1ccccn1 532837335 NEMSTFGFAYXKNQ-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1cccc([N+](=O)[O-])c1 536246045 UVYVTARGQWOWTM-CYBMUJFWSA-N 415.902 4.636 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 536486889 ZAZXOAZGHNDEAQ-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@@H](COCc4ccccc4)C3)cs2)c1 536696331 UUWBWSLWTUMRAL-GOSISDBHSA-N 409.511 4.757 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](NC1CCN(c2nc3ccccc3s2)CC1)C1CC1 537960100 IYCZFKRZVDSQNA-HXUWFJFHSA-N 422.554 4.784 5 20 HJBD C[C@@H](NCC(=O)N(C)[C@@H](c1ccccc1)c1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 540377257 LHUJRXJVWNMYRI-OSPHWJPCSA-N 421.472 4.633 5 20 HJBD C[C@@H](CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)C(=O)c1ccc(Cl)cc1 540805104 VPYYBLAVXUSCCK-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)c1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 541161447 OCQIOBHOFWDYLC-MRVPVSSYSA-N 409.316 4.564 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2ccccc2Cl)s1)c1ccc([N+](=O)[O-])cc1F 543472477 XANBBQRMEQQGFW-SECBINFHSA-N 406.826 4.648 5 20 HJBD C[C@H](CCSc1ccccc1)Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C 547232137 GZJPFSOJQLRQFY-CYBMUJFWSA-N 401.513 4.505 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 547270450 VPFKUTOSERUMEJ-AWEZNQCLSA-N 412.465 4.820 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 552442481 YTAUDHWUXDCIAJ-QVKFZJNVSA-N 406.404 4.539 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 554827272 ATMDMTCGFTVIHG-RBUKOAKNSA-N 421.522 4.955 5 20 HJBD C[C@@H](C(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1ccc([N+](=O)[O-])cc1F 557986057 UQRXPEHWFWOWSS-CYBMUJFWSA-N 413.424 4.864 5 20 HJBD C[C@H](N[C@H]1CCOC2(CCOCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 563701887 HGEODQAJILNKNS-HOTGVXAUSA-N 402.516 4.702 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1c(F)cccc1NC1CC1 566920676 QABZTEQAOFNZHZ-UHFFFAOYSA-N 408.227 4.631 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 575858565 SHUFFYJDQHAWLR-IIBYNOLFSA-N 406.486 4.993 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 577410088 BTCUSVJRHAIRCN-MRXNPFEDSA-N 405.454 4.937 5 20 HJBD COc1ccc(CSc2nc3sc(C)c(C)c3c(=O)n2C(C)C)cc1[N+](=O)[O-] 603722684 VCHKIAWQIIBIOJ-UHFFFAOYSA-N 419.528 4.865 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccc2c(c1)OCO2)C1CCCC1 603821528 PECRCGLIQSGANJ-UHFFFAOYSA-N 402.834 4.562 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604095149 PSNLNDHGWAVUQC-IUCAKERBSA-N 423.313 4.715 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])C1(c2cccc(C(F)(F)F)c2)CCCC1 610237222 DNUPWOOMBOYBSI-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611215907 BUKAHVHROFJKIN-HXUWFJFHSA-N 414.527 4.873 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(-c2ccco2)c(-c2ccco2)s1 617362693 XVMOSKQSZUJYPS-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD C[C@H](C(=O)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 617698387 CSJOBTSPRLTDJL-MVWJERBFSA-N 412.330 4.724 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccccc3)n2Cc2ccco2)c([N+](=O)[O-])c1 619680012 UNPVAVHEDBBRNO-UHFFFAOYSA-N 420.450 4.848 5 20 HJBD COc1ccc2c(ccn2CC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728165658 NWSVVZYEMUGUDT-UHFFFAOYSA-N 413.861 4.655 5 20 HJBD CC1=NN(c2ccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)CC1 728166232 WXLUYDBEVGPHMB-UHFFFAOYSA-N 412.877 4.891 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3ccc([N+](=O)[O-])cc3C)sc2c1 729728876 GCSXTXXTRLQKDO-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734897877 ZHEXZSOFOYLTQZ-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735270163 NAHFXWFTYBNPJA-UHFFFAOYSA-N 403.369 4.907 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)[C@@H]1c1ccccc1 735644642 CFLQAVYCCPEARU-SJCJKPOMSA-N 421.316 4.676 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 744476118 ROLAUZIWFCHXIV-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@H](OC(=O)C1(c2cccc(Cl)c2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744779102 XCLLHQLCQRZDOU-LBPRGKRZSA-N 413.817 4.634 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2ccccc12 745074033 NGRLVCOPXLBCQI-HNNXBMFYSA-N 406.438 4.596 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747097317 UYCRRJKFHHYBGE-UHFFFAOYSA-N 424.888 4.606 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)c2C)c1 747438124 PJJSGMBRZXGEBU-UHFFFAOYSA-N 419.485 4.558 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)n2)c(C)o1 748630474 LFHWHXURAZIPLU-UHFFFAOYSA-N 416.415 4.705 5 20 HJBD Cc1nc(SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)c2c(C)c(C)sc2n1 753853212 MAIGSYBEDDLWSS-UHFFFAOYSA-N 413.484 4.867 5 20 HJBD CC(C)CN(C[C@H](O)c1ccc(F)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 762657789 NARVVNFRYZXZMR-SFHVURJKSA-N 416.474 4.780 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 770103972 HAFNNHBGPNZMEI-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD Cc1c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cccc1N1CCOC1=O 770603900 VQCMSCDLBBVKTB-UHFFFAOYSA-N 410.455 4.595 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CN(CCc3ccccc3)Cc3cccnc3)c2)c1 777957904 YRDGIGCNLQJGSH-UHFFFAOYSA-N 413.481 4.556 5 20 HJBD Cc1nc2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2s1 781100815 FVAWSRGFNSEQKZ-VIFPVBQESA-N 424.404 4.971 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])c2)cs1 781571934 LWIFGQQTKONSDG-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H]3CCc4cccnc43)cc2[N+](=O)[O-])n1 789679840 DVNYJANCLHPNNS-HNNXBMFYSA-N 413.480 4.750 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790927612 LUFDVKZQESINHV-KPZWWZAWSA-N 406.841 4.548 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccc(Cl)cc2n1C 796954797 YXHJHBVQOGSXNP-LBPRGKRZSA-N 401.850 4.717 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799689523 MUSKZFNUHBMDKA-WMLDXEAASA-N 412.467 4.581 5 20 HJBD COC(=O)Nc1cccc(CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c1 804816061 GDTCBCGJPWQREI-UHFFFAOYSA-N 405.410 4.616 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 809434424 YRJAVRHWTHZASO-AWEZNQCLSA-N 421.413 4.694 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H](O)c1c(F)cccc1Cl 813490235 BMVLOTHDUWQIIV-ZIDLFYJRSA-N 421.639 4.546 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1sc(SC(F)(F)F)nc1C 819998542 GMVDPMQOZGMAAT-UHFFFAOYSA-N 421.422 4.552 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CCCc2nc(-c3cccs3)no2)n1 1116613099 XDXYMYRCUUQBTF-UHFFFAOYSA-N 411.443 4.548 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nnc(CSc3ccc(Cl)cc3)o2)n1 1322388790 IJIRVIFEFXQDJY-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N3CCCCC[C@H]3C[C@H](O)c3ccco3)c2c1 1325490005 TXSQEWOBCHFPIK-GUYCJALGSA-N 416.865 4.657 5 20 HJBD COCc1nnc(C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)o1 1345528612 HJMDQSZRNUZXTA-GXDHUFHOSA-N 408.439 4.517 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(F)cc1OC(F)F 1347505134 NRAUVPOATFSHLZ-NSHDSACASA-N 411.380 4.631 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1-c1ccccc1 6890366 FWFDJYKXMHJTLI-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 7799977 VUWWUMVIRKMNQZ-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD O=C(OCc1csc(COc2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1 14073713 MUCPPYRTKLEOKF-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Cl 56200639 MLVWMNUEJICDLC-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64941723 SYYOGBGFGCNSAB-UHFFFAOYSA-N 409.467 4.897 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 65159749 BPNHFDJFYJESDH-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD Cc1ccccc1-n1c(S/C=C/c2ccc([N+](=O)[O-])o2)nnc1-c1cccnc1 106913259 RGBPCDSCXQMJBB-ZRDIBKRKSA-N 405.439 4.902 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 237641879 HSJRHXXTUYNUHA-YKSBVNFPSA-N 420.513 4.561 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3ccc(OC(F)F)cc3)n2)cc1[N+](=O)[O-] 302923028 YVKFLDOJQOQYSE-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD C[C@H](Nc1cccc(OCc2cccc(F)c2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 302994669 SQEAPIVHNBBMIN-HNNXBMFYSA-N 409.417 4.752 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 409899038 BZBOILXVNOOQKX-IBGZPJMESA-N 402.328 4.771 5 20 HJBD Cc1cc(C)cc(C[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)c1 426827769 XBTWCUOIBNQACL-HXUWFJFHSA-N 410.495 4.726 5 20 HJBD COc1cc(CNC[C@@H]2CCC(F)(F)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 430024395 ZTFGLCPPVDIQBW-QGZVFWFLSA-N 406.429 4.707 5 20 HJBD COC(=O)c1cc([C@@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)oc1C 431083251 LAGRNBLAFSJDNB-GFCCVEGCSA-N 402.428 4.932 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1c(Cl)cccc1[N+](=O)[O-] 432599254 SYLOOWSPWLGUMS-ZDUSSCGKSA-N 414.874 4.820 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438913393 SMFLDDHYCRQFIS-UHFFFAOYSA-N 424.428 4.811 5 20 HJBD COc1cc(CNc2cccc(C[S@](=O)c3ccccc3)c2)c([N+](=O)[O-])cc1F 442131448 MPPKKISTOXXXSN-LJAQVGFWSA-N 414.458 4.662 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C(C)(C)C)cs1 444007852 GJPYYSRTUTWACF-CYBMUJFWSA-N 405.523 4.926 5 20 HJBD O=C(NCC1(c2cccc(F)c2)CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444071722 GPNZVFFGZVGGOJ-UHFFFAOYSA-N 422.406 4.560 5 20 HJBD COc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1Br 444097765 OJBPVOKPCFNVDI-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD CCO[C@@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(CC)CC 444228497 UCJXTCZWKOYQEC-FGZHOGPDSA-N 406.526 4.737 5 20 HJBD Cn1nc(C2CCCCC2)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236700 LETOVNZNKQVJLP-UHFFFAOYSA-N 400.482 4.715 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 445983949 UURBRCFOUKAIEJ-RTBURBONSA-N 421.419 4.502 5 20 HJBD COc1ccccc1SCC(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446426253 PGHKYRLELXHVFB-UHFFFAOYSA-N 424.478 4.913 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@H]3C3CCC3)n2Cc2cccs2)c1 461309716 PKPHWDYKQQAOEI-IBGZPJMESA-N 409.515 4.732 5 20 HJBD Cc1c(CNc2ccc(CC(=O)N3CCc4ccccc43)cc2)cccc1[N+](=O)[O-] 462220822 AQORLERIFINBHI-UHFFFAOYSA-N 401.466 4.647 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 462491170 QOKKLVJTAMCLPA-UWJYYQICSA-N 406.486 4.712 5 20 HJBD CC(C)N(Cc1cccs1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462548659 HPYGNNIQMHCDIK-UHFFFAOYSA-N 409.316 4.562 5 20 HJBD CCOC(=O)c1ccnc(Nc2ccc(COCc3ccccc3)cc2)c1[N+](=O)[O-] 462873551 YVLJDQAALROIHE-UHFFFAOYSA-N 407.426 4.627 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)c1ccoc1 463624423 SCPFGPNEZMWHNQ-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD Cc1c(F)cccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 465276913 IVTGZENNDVYJGT-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1cccc(Cl)c1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 467679282 VTQYBNDQUKXQSO-MRXNPFEDSA-N 419.865 4.761 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(C(=O)N3CCCCC3)c2)c1 470503625 RALUXAVFOKBNSX-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD COc1cc(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 470582696 NTCWBFVFFNLHRZ-UKRRQHHQSA-N 420.412 4.531 5 20 HJBD CC(C)(NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1 474508762 AMOMKAMITIWLCW-FQEVSTJZSA-N 424.888 4.967 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)C(F)(F)F)c1 482052377 WVNKPUHYBWPSPO-GOSISDBHSA-N 407.392 4.881 5 20 HJBD O=C(N[C@@H]1CCc2c(O)cccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 484957729 GHJVVIUXMFVSCV-LJQANCHMSA-N 406.463 4.869 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3ccc([N+](=O)[O-])c(-c4ccc(F)cc4)n3)cn2)n1 485398222 IEZDFFBXFOJPOO-UHFFFAOYSA-N 418.432 4.606 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OCC(F)F)c(C(F)(F)F)c1 486097464 SHRUVXNKBISHGB-UHFFFAOYSA-N 404.291 4.818 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@@H]1Cc1ccccc1 491107964 FODJCIISBLLIAF-HXUWFJFHSA-N 401.466 4.664 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 498182941 NZVLTYOVLLLLAK-UHFFFAOYSA-N 421.400 4.742 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccncc1 498467957 YHQPRIOXIRKZHM-GOSISDBHSA-N 402.882 4.513 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1noc(Cc2ccccc2)n1 499139987 FOPYCGVVWQJXIF-LLVKDONJSA-N 403.236 4.504 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(Cc1cccc(-c2cccnc2)c1)C1CC1 503051725 ILWQDJVMXXSSMA-UHFFFAOYSA-N 416.481 4.568 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3c(c2)CCC3)cc1[N+](=O)[O-])c1ccccn1 506116027 OCVRHUVMQPVYMZ-HNNXBMFYSA-N 402.454 4.904 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 507941253 XFUXGBMPVIPOMT-UHFFFAOYSA-N 403.316 4.808 5 20 HJBD Cc1cc(Cl)c(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 507973325 ITSIAKIVNKPTOS-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)c([N+](=O)[O-])c1 508017914 SNPFCKLVFKNXGV-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3cnc4ccccc4c3)cc2[N+](=O)[O-])C1 508936586 YKHFONFBRFDQCB-CALCHBBNSA-N 418.497 4.555 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)O[C@@H](C)C2 509232563 DTGSUIOIWHBVLK-HNNXBMFYSA-N 413.474 4.759 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](n2ncc3ccccc32)C1 511742431 VLVDXNGJKTYMQV-KRWDZBQOSA-N 410.499 4.532 5 20 HJBD COC(=O)c1c(CC(C)C)csc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 512411800 PZACNBDHINLNKT-UHFFFAOYSA-N 408.501 4.616 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccccc1[N+](=O)[O-] 512769924 CKPAHIXEHUJNRT-UHFFFAOYSA-N 400.328 4.591 5 20 HJBD CCOc1cccc2cc([C@H](C)Nc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])oc12 514322192 BJYKHHMQGSWLFP-ZDUSSCGKSA-N 409.442 4.805 5 20 HJBD COCCC[C@@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1 514828506 LUIIFRVLGSLAQF-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](c3ccccc3)[C@H]3CCCO3)o2)c([N+](=O)[O-])c1 520216995 BDRRVZNQOMJUBJ-DHIUTWEWSA-N 408.454 4.873 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)NCc3ccccc3[N+](=O)[O-])c2)cc1 520813973 NJAAIACZGCLJDC-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(COCc2ccccc2)cc1 524651750 YEANVIKHSCTWET-UHFFFAOYSA-N 415.449 4.905 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])[nH]c2c1 524767801 HPNFKLIFOWJPPK-INIZCTEOSA-N 418.375 4.776 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1F 525466587 DPUJAJSECPIDNT-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD C[C@@H](NC[C@@H]1CCN(c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1 536879148 WDPXGQGGIIXDPF-CABCVRRESA-N 404.308 4.534 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2nc3ccccc3[nH]2)CC1 538199187 SKOUJAIVUREPML-UHFFFAOYSA-N 424.526 4.991 5 20 HJBD CCOCCO[C@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 539897755 BUBLHWCWHLHDOP-KRWDZBQOSA-N 420.893 4.668 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](OC1CCOCC1)c1ccccc1 540032352 AGSPPZKJFHQZIW-VLIAUNLRSA-N 422.428 4.934 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)[C@@H](C)OC)c1 540729855 DUCHJPQARRUAAM-HUUCEWRRSA-N 403.504 4.551 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@]3(C)CCCO)cc2[N+](=O)[O-])cc1 544540191 AHXUUKHUYSASLP-JOCHJYFZSA-N 414.527 4.822 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@H](F)Cc3ccccc3)n2)c(Br)c1 544998368 ACBXDGZJGRXKJW-OAHLLOKOSA-N 406.211 4.584 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(SCc2ccccc2Cl)cn1 545509783 XLDRWLUTVNQRPR-UHFFFAOYSA-N 414.874 4.770 5 20 HJBD COc1ccc(CSCc2nc(-c3ccc(OC(F)F)cc3)no2)cc1[N+](=O)[O-] 545525465 OHBWRVOYMZEXGC-UHFFFAOYSA-N 423.397 4.688 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C(=O)c2ccc(C)cc2C)CC1 545905544 RKLUYZOMPSLKTA-HXUWFJFHSA-N 422.525 4.902 5 20 HJBD C[C@H](COc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546449943 WETPPGNBGLELLQ-GFCCVEGCSA-N 418.247 4.514 5 20 HJBD Cc1[nH]c2ccccc2c1Cc1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 547071076 JZLZXJLQLMRTII-UHFFFAOYSA-N 420.472 4.967 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 549918373 CBAHHBIKVADXII-UHFFFAOYSA-N 409.408 4.691 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555785783 LGJGGGIONJOIAV-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD O=C(Nc1cccnc1C(F)(F)F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 567145173 HRRKGTRXATXRPK-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CC[C@H](C)c1ccc([C@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 575795752 SATMJYSKJIJQOX-UGSOOPFHSA-N 410.499 4.865 5 20 HJBD COC(=O)c1cc(C(=O)N(Cc2ccccc2)Cc2ccccc2C)cc([N+](=O)[O-])c1 603531113 XISBYPUABSNNDO-UHFFFAOYSA-N 418.449 4.532 5 20 HJBD O=C(CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1)Nc1ccc([N+](=O)[O-])cc1Cl 603889885 BWJOJTATCNUOTL-OAHLLOKOSA-N 412.877 4.548 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H](Cc2ccccc2)C1 609265587 INYVZTNMBBEBQG-QGZVFWFLSA-N 407.495 4.813 5 20 HJBD COc1ccc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc1[N+](=O)[O-] 609475453 IBQCESUGSAELOG-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD CC(C)n1ncc2cc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])ccc21 609764170 UZTKDBFNJCBJSK-UHFFFAOYSA-N 402.838 4.586 5 20 HJBD CCn1nc(C)c(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1C 609782265 YFBCRSNHWLNXCC-UHFFFAOYSA-N 409.446 4.542 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1OC(F)F 610045542 HEXFFWYAJVBLPG-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCSc2c(F)cccc21 610100117 BKSDZPHABQJQNY-SFHVURJKSA-N 403.479 4.593 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)nn1 610633880 QBSDPIYFYUPOEO-HNNXBMFYSA-N 407.430 4.677 5 20 HJBD Cn1ccnc1[C@H](NCCc1cc(Cl)cc(Cl)c1)c1ccc([N+](=O)[O-])cc1 611560429 CSOHZNHOQQYXMN-GOSISDBHSA-N 405.285 4.557 5 20 HJBD CC(C)[C@H](CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1ccnn1C 615954311 LCZPXQLAXHMCKS-FQEVSTJZSA-N 424.526 4.649 5 20 HJBD C[C@H](NC(=S)/C(=C(/O)c1ccc([N+](=O)[O-])cc1)[n+]1ccccc1)c1cccs1 726581891 YMWDWOHRGODUKC-AWEZNQCLSA-O 412.516 4.506 5 20 HJBD O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1csc(-c2ccccc2Cl)n1 727561851 NPUVSSCWFNDRIC-UHFFFAOYSA-N 418.858 4.998 5 20 HJBD Cc1cc(Br)oc1C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728165670 OQJAQDCWPFSZCH-UHFFFAOYSA-N 413.655 4.969 5 20 HJBD CC(C)(C)OC(=O)N[C@H](CCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1ccccc1 729651979 RLKOQIXNAOBERZ-QGZVFWFLSA-N 417.437 4.719 5 20 HJBD COc1ccc(CC(=O)O[C@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 733072143 LNEZEBICSUSREM-CQSZACIVSA-N 412.467 4.882 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(C(C)(C)C)cc1 737713384 CXOOOHKEENWJAJ-GOSISDBHSA-N 418.877 4.580 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 739548510 DRXVJFLRTZJBQI-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3sccc3C3CC3)CC2)ccc1[N+](=O)[O-] 744202672 AWXSVINOOWAPJK-UHFFFAOYSA-N 416.499 4.758 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@@H](O)c1cccc(F)c1 749120847 XKQGKVONMVXNSR-MPBGBICISA-N 404.825 4.859 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C2CC2)o1 757256825 SSISLMNPHCVQBA-PXAZEXFGSA-N 403.866 4.839 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](O)c3cc4ccccc4s3)cs2)c1 757703077 SVGSNZNXSGUHBO-KRWDZBQOSA-N 411.508 4.756 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(CCCc3ccccc3Cl)no2)C1 762116973 ZVJJRYDZPOMVDD-SFHVURJKSA-N 412.833 4.672 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cccc21 762997306 AINCUYPWQZQYLE-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c(C)c1 763720639 XQSTUROHMQKHLG-UHFFFAOYSA-N 404.850 4.749 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 766766437 DHVUDBRBOKILHV-LSDHHAIUSA-N 418.327 4.514 5 20 HJBD CN(CC(F)F)C1CCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 769511870 YIHAQVAULFESFV-UHFFFAOYSA-N 423.435 4.688 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3c(Cl)nc4ccccn34)no2)cc(C(F)(F)F)c1 771330734 CIXGKOQGLARVMQ-UHFFFAOYSA-N 409.711 4.632 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1ccc(SC(F)(F)F)cc1 773381742 SOABDEBLNLPUHS-UHFFFAOYSA-N 406.407 4.624 5 20 HJBD Cc1nn(C)cc1CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 773884601 JFYRIJHSNLWOTJ-UHFFFAOYSA-N 409.489 4.905 5 20 HJBD CSc1cccc(C(=O)OCc2ccc(OCc3ccccn3)cc2)c1[N+](=O)[O-] 777074685 ZSWGBJGZXMZVBO-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD CN(Cc1cnc(NC(=O)OC(C)(C)C)s1)Cc1cc([N+](=O)[O-])ccc1Cl 800507240 MCKSYWNGWZOWOC-UHFFFAOYSA-N 412.899 4.684 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cc1 804321955 PJRRGRBESKRQMI-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1 804561916 UWLBBIQYQFFXOA-UHFFFAOYSA-N 407.923 4.831 5 20 HJBD O=C(OCC(=O)c1cscn1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 805342895 CPPHXQVZZHCTQR-UHFFFAOYSA-N 418.814 4.537 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H](O)c1c(Cl)cccc1Cl 809923187 YHYWJYHZZNMNSJ-ANRSDYALSA-N 418.664 4.799 5 20 HJBD C[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@@H](O)c1ccccc1 811540058 SUVBHJZVLASFDG-QVKFZJNVSA-N 408.479 4.598 5 20 HJBD Cc1cc(N[C@@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)ncc1[N+](=O)[O-] 811869060 BQPFJTUZCCPLMS-KRWDZBQOSA-N 400.479 4.756 5 20 HJBD Cn1c([C@@H]2CCCN2C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 812945362 BRRSZMWXACYZEO-INIZCTEOSA-N 419.268 4.766 5 20 HJBD Cc1ccc(OCCC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(Br)c1 813244499 FISNVHWYJGEFPE-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD CCOC(=O)Nc1ccc(Br)cc1NCc1ccc(C)c([N+](=O)[O-])c1 864010274 TWHQVGPRKWJZJR-UHFFFAOYSA-N 408.252 4.846 5 20 HJBD CC(C)Oc1cc(-c2nc(-c3ccccc3Br)no2)ccc1[N+](=O)[O-] 904959571 MMCICEBMAAQDHD-UHFFFAOYSA-N 404.220 4.862 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cnn(-c2ccccc2)c1C1CC1 913727197 RPOKDZADGGACPH-UHFFFAOYSA-N 410.861 4.584 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cc([N+](=O)[O-])ccc1Cl 914683754 JSOHWKLFPPWVHG-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(O)/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 915854879 APVFYFGCDFFXRE-JYRVWZFOSA-N 404.378 4.737 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915865530 YTZBWPIASNJQRD-VAWYXSNFSA-N 415.371 4.747 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Cl)c1ccco1 918174620 UIHOZHMIZNIAQJ-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 919985376 NNHUSCIFDXZIQH-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(Cn1cc(Br)c([N+](=O)[O-])n1)C1CC1 920306410 SRRQZDZJURHIJP-CYBMUJFWSA-N 415.291 4.890 5 20 HJBD CCOC(=O)c1cc(-c2nnc(CSc3ccc(Cl)cc3)o2)cc([N+](=O)[O-])c1 1323946316 OWBXOOVMYBCDGU-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)o1 1327875925 UZQVSRTXTYKTIQ-UHFFFAOYSA-N 424.438 4.746 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)C23CC4CC(CC(C4)C2)C3)c1 16196737 DWDLGHDVUHUTAJ-CSNVJCEJSA-N 403.500 4.648 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 17305230 QDMAVZYZCSXFSZ-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](C)c3ccccc3Cl)cc2)c(C)c1[N+](=O)[O-] 20011433 KKRRUCMTAAEKGE-CYBMUJFWSA-N 412.877 4.601 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1ccc(Cl)c([N+](=O)[O-])c1 27519941 PHNGXJYCTLHKPC-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(Nc1ccccc1-c1ccccc1)N1CCN(c2ccccc2[N+](=O)[O-])CC1 43328920 DDRHILVNAQIEAE-UHFFFAOYSA-N 402.454 4.616 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50311903 XCPBLYYRXIXGCT-NSHDSACASA-N 403.410 4.830 5 20 HJBD O=c1c2ccccc2nc(SCCOc2ccccc2[N+](=O)[O-])n1C1CCCC1 71960102 CPTQFAMEUFHZHW-UHFFFAOYSA-N 411.483 4.591 5 20 HJBD CCn1c(S[C@H](C)C(=O)N(C)C2CCCCC2)nc2cc3ccccc3cc2c1=O 115161177 BNDMMLVQOAGLBU-MRXNPFEDSA-N 423.582 4.841 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(=O)N3C[C@@H](C)C[C@H](C)C3)ccc2C)cc([N+](=O)[O-])c1 148162503 NMJQFBMJMAXUSN-HOTGVXAUSA-N 409.486 4.582 5 20 HJBD C/C(=C/c1ccccc1)CN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 427585967 ILSQIBKZIGSFMB-PEZBUJJGSA-N 400.453 4.996 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cccc(Cl)c1Cl 432322458 YWYXYGAWSDLYMU-NSHDSACASA-N 420.252 4.706 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436076718 RNBPGACIXNVTSF-UHFFFAOYSA-N 422.406 4.560 5 20 HJBD Cc1cc(Cl)ccc1OCCCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436157396 WXKCMSGPLVUDQO-UHFFFAOYSA-N 420.896 4.842 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 437908106 LRYGBDWIXGBGBA-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD CN1C(=O)Cc2cc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])ccc21 440884638 IWWBEXQYMYVJJJ-UHFFFAOYSA-N 419.462 4.517 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2ccccc2Cl)C1 443650807 DDPBPFPPMVDGSO-CJNGLKHVSA-N 414.849 4.513 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)cc(Br)cc1F 443882464 UADOKGLBAICHRQ-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD C[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Sc1ccccc1 444084693 KVAGFTMAUYNQAI-CQSZACIVSA-N 406.482 4.731 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccs1 444173719 CUXPQDHBBSUKJZ-MRXNPFEDSA-N 416.502 4.620 5 20 HJBD Cc1cc(C)c2c(c1)CN(C(=O)c1cccc3c(=O)c4cccc(F)c4[nH]c13)CC2 444331783 XEWAPGVXOHLKSA-UHFFFAOYSA-N 400.453 4.636 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])c(O)c1 444437972 NCLGQJPJMKGHFF-GFCCVEGCSA-N 422.281 4.743 5 20 HJBD O=C(CSCc1cscn1)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446427624 HZVQRPCCIDEPDU-UHFFFAOYSA-N 415.496 4.502 5 20 HJBD O=C(C[C@@H]1OCCc2ccccc21)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446432063 TUBQLQBHMYPHLN-QHCPKHFHSA-N 418.449 4.816 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)cc1 463966934 IQKZLVKNFLHWKG-AWEZNQCLSA-N 409.446 4.707 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccccc2Cl)c1C 464720190 OXQKQWZQDULGMW-UHFFFAOYSA-N 412.877 4.925 5 20 HJBD Cc1noc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)n1 466876126 CNSDDJQILCNINA-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)COc1cccc([N+](=O)[O-])c1 469062367 ONOYIDDYOCMPJG-OAHLLOKOSA-N 411.483 4.625 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccccc2[N+](=O)[O-])c1)Nc1ccccc1Cl 471122228 WUMJACUZJGHXCZ-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(CCc1ccccc1)Cc1ccncc1 475573913 UOIFJNMGSKANNP-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD O=C(N[C@@H]1CCCC[C@H]1Cc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 476466262 XEIVJIQZYIUIGM-FCHUYYIVSA-N 421.541 4.729 5 20 HJBD COC(=O)c1cnc(S[C@H](C)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])c1 478278020 IUVVBUDNZUNNIC-SECBINFHSA-N 402.350 4.528 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485378729 LRFRKQNGWGWRHD-UHFFFAOYSA-N 416.890 4.732 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1ccccc1 486230970 JTVHKGUZBOOLQR-FQEVSTJZSA-N 408.479 4.845 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 488449208 FXYLJEVLTDMETG-LLVKDONJSA-N 420.441 4.943 5 20 HJBD COc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(Br)c1OC 490846672 RZXGVTGUIDZTBM-UHFFFAOYSA-N 421.291 4.539 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccccc3OC)n2CC)ccc1[N+](=O)[O-] 491984583 ZJUMQNMYURYRLE-UHFFFAOYSA-N 414.487 4.573 5 20 HJBD C[C@H]1CCN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 494106537 KNLCRNYOEQMXER-IFXJQAMLSA-N 419.934 4.755 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cc2)n1 498271328 UBSWUXTVSAOXJX-UHFFFAOYSA-N 405.439 4.904 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 500909844 SIFCNOHUSKEANY-UHFFFAOYSA-N 418.457 4.515 5 20 HJBD CCC(C)(C)c1ccc(C[S@](=O)Cc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 505755357 DUGGMFOAGSAJLF-NDEPHWFRSA-N 403.500 4.598 5 20 HJBD CC(C)(C)O[C@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 513949167 WNPJKWNAXFJYBW-NRFANRHFSA-N 412.486 4.521 5 20 HJBD COCCN(C(=O)C[C@H]1C[C@H]2CC[C@H]1C2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514620447 MGNLYHVFWSBVRK-BHYGNILZSA-N 415.515 4.524 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc(F)c(Cl)c1)c1ccccc1[N+](=O)[O-] 515108552 VPRNKGJYBAYAKX-INIZCTEOSA-N 423.828 4.592 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(-c2ccc(OC(F)F)cc2)s1 517345925 NRERPTSEUWRIPJ-UHFFFAOYSA-N 404.394 4.855 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)sc1Cc1cccc(Cl)c1 518062004 OXAJWAURYBMXDJ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523500765 SHDJPIWZCTZUQQ-KUHUBIRLSA-N 402.878 4.514 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2Cc3ccccc3C[C@@H]2C)cc1[N+](=O)[O-])c1ccccn1 525080130 XUECFQHBNOWVHS-IRXDYDNUSA-N 416.481 4.750 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c1C 531395647 NBUQNVCODHXUQD-UHFFFAOYSA-N 400.431 4.589 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(OCC2CCCCC2)CC1 533011052 RCEMOJCDWRDJSF-UHFFFAOYSA-N 414.424 4.815 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2ccc(Br)cc2[N+](=O)[O-])C1 533147653 NOHHMDGVJQHPAB-LLVKDONJSA-N 407.289 4.746 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCO[C@@]3(CCSC3)C2)ccc1Oc1ccc(F)cc1 533188334 ITSULPOUUCVOOD-UTKZUKDTSA-N 418.490 4.671 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](Oc4cccc(Cl)c4)C3)o2)c1 535378163 VXFUVFNREMNHAU-IBGZPJMESA-N 413.861 4.599 5 20 HJBD COCCCOc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 537156286 DEWBIKKHHSHZRL-UHFFFAOYSA-N 404.488 4.763 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3nnc([C@H]4Cc5ccccc5O4)o3)o2)c1 537489552 RGAFGGMKOBATLY-LJQANCHMSA-N 404.382 4.526 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)Cc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 538721314 BQDFZXGTCDYDCG-SSEXGKCCSA-N 423.538 4.732 5 20 HJBD CC(C)c1ccc(CNc2ccc(Oc3cccc(C(N)=O)c3)nc2)cc1[N+](=O)[O-] 539693075 ICFIAGSOLRFVEI-UHFFFAOYSA-N 406.442 4.617 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(C(=O)NC4CC4)ccc3C)o2)c([N+](=O)[O-])c1 539936438 HGCHDDYAUQGFQW-UHFFFAOYSA-N 421.453 4.676 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1Cl)OCCO2 540398555 RTGBRHMXWXBPQT-UHFFFAOYSA-N 408.863 4.772 5 20 HJBD Cc1noc(C2CC2)c1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 541530668 XBCKDUQRGUKSFP-GFCCVEGCSA-N 407.430 4.589 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc2c(c1)CCO2)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 543461902 GIENNPOBDUNSBF-OAHLLOKOSA-N 412.461 4.744 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)cn1 544952493 BEZQMNMIGYMBKY-UHFFFAOYSA-N 411.468 4.931 5 20 HJBD CC(C)c1nc(Cc2noc(-c3cc(Br)cc([N+](=O)[O-])c3)n2)cs1 547100526 QVLKYUDECRBVJD-UHFFFAOYSA-N 409.265 4.578 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)CC1 547259942 MYRIYPJIEVDKCG-QGZVFWFLSA-N 419.541 4.515 5 20 HJBD CC(=O)Nc1c(OCc2cc([N+](=O)[O-])ccc2OC(C)C)n(C)c2c(C)cccc12 558326074 OEBVBHJTPHHIKG-UHFFFAOYSA-N 411.458 4.720 5 20 HJBD CCCc1c(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])cnn1-c1ccc(C)cc1 558884625 GWUFEQAMYFOERY-UHFFFAOYSA-N 408.458 4.692 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C2CC2)no1 567147466 NKWLCGLUOKRWIH-OXQOHEQNSA-N 420.469 4.595 5 20 HJBD C[C@@H](C(=O)NCCCCN1CCC(Cc2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 567661556 QACOUTPWQKORFQ-HXUWFJFHSA-N 423.557 4.549 5 20 HJBD CCOc1cc(C(=O)Nc2ncc(-c3cccc(C)c3)s2)c([N+](=O)[O-])cc1OC 574347794 FDBOHMUDTISLNU-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 574894493 DWUHZTIFLCZXCG-BMIGLBTASA-N 418.318 4.720 5 20 HJBD C[C@H](C(=O)Nc1cccc(CSC2CCOCC2)c1)c1cccc([N+](=O)[O-])c1 581347469 VDOXKQHPMDFHNA-HNNXBMFYSA-N 400.500 4.749 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(Oc2cccnc2)cc1 582321155 GNQRBLOTGLIENM-HNNXBMFYSA-N 414.421 4.821 5 20 HJBD O=C(CCSc1cccc(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 588927081 KZUBQNCVYYMRIZ-UHFFFAOYSA-N 420.918 4.800 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603637993 XANIUOJQZKCBAP-UHFFFAOYSA-N 419.409 4.804 5 20 HJBD CCOc1ccc(C(=O)N(Cc2csc(C)n2)c2ccc(F)cc2)cc1[N+](=O)[O-] 603679457 XNYVLWSDWLRAJF-UHFFFAOYSA-N 415.446 4.745 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)ccc1[N+](=O)[O-] 609732669 MQBUTKWMGMOSFX-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609836234 XTNDORZHEQNUOF-IBGZPJMESA-N 410.861 4.545 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610104194 IHBFCMNSGXUHAD-UHFFFAOYSA-N 420.307 4.522 5 20 HJBD CCO[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C12CCC2 610185634 ICLPKBSXWIIRNC-PMACEKPBSA-N 412.511 4.824 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@@H](COc3ccccc3F)c3ccccc3)nc2c1 611382885 SXRJAYFFUWGVST-IBGZPJMESA-N 407.401 4.785 5 20 HJBD COc1cc(CNC2(c3ccc(F)cc3)CCC2)c([N+](=O)[O-])cc1OCC(F)F 611549720 PHLWOZGDXWYJHG-UHFFFAOYSA-N 410.392 4.555 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@@H](CC(F)(F)F)c1ccc(Br)cc1 612593499 HMGLJHLKSCWNQZ-AWEZNQCLSA-N 419.197 4.846 5 20 HJBD O=C(OCc1cc(Cl)cc2cccnc12)c1cc([N+](=O)[O-])ccc1Br 725988021 OROWIJRODFEYOV-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1cc(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)c(N)c([N+](=O)[O-])c1 727900551 UPVVJIQFBPXWMY-UHFFFAOYSA-N 413.474 4.528 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)OCc1ccc([N+](=O)[O-])cc1 730448910 JBVRHQMVKWHFJZ-UHFFFAOYSA-N 410.373 4.899 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])cs2)cc1 730643085 HNIGLJAPUSBMFV-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CC(C)c1cnc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 733462000 HKKZXMRHAKBXQS-AWEZNQCLSA-N 410.430 4.631 5 20 HJBD COC(=O)C[C@H](C)S[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734368018 NBARUOFHZVVQFF-SGTLLEGYSA-N 422.890 4.613 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc3ccsc3s2)CC1 735462710 VELORCKDXDVVKV-UHFFFAOYSA-N 402.501 4.755 5 20 HJBD O=C(COC(=O)C1(c2cccs2)CCCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 738704671 YXEUHHJOXVWWGJ-UHFFFAOYSA-N 422.890 4.694 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccc(Cl)cc2)no1 742939871 BKCXRPTWULUQEH-SNVBAGLBSA-N 412.789 4.535 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 743406484 HGZRYWZQMOFHQG-UHFFFAOYSA-N 412.324 4.524 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCc1ccon1 744589018 DLNZSKZHRUHKLM-RVDMUPIBSA-N 421.434 4.867 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745482287 DFFJCTFQGUQXJD-LCYFTJDESA-N 422.359 4.507 5 20 HJBD Cc1c(CC(=O)Nc2ccccc2C(=O)N[C@H](C)c2ccccc2)cccc1[N+](=O)[O-] 747842696 JZDDSVIRAOCMBI-QGZVFWFLSA-N 417.465 4.575 5 20 HJBD O=C(OCc1ccc(OCc2ccccn2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 747930541 KNOJOSJKKQKYMC-UHFFFAOYSA-N 404.378 4.672 5 20 HJBD COc1cc(C(=O)Oc2ccc(-c3cscn3)cc2)c([N+](=O)[O-])cc1OC(F)F 750754388 UTJKDEPPFOSASF-UHFFFAOYSA-N 422.365 4.548 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 751085126 SIOHWVRHYOPOER-UHFFFAOYSA-N 423.263 4.797 5 20 HJBD O=C(OCc1ncc(-c2ccccc2)o1)c1cc([N+](=O)[O-])c(Br)cc1F 751692662 QOGXWBUGLFLMCN-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc2ccccc2c1 753512918 CUTZQKBHLPFDBK-ZDUSSCGKSA-N 412.829 4.687 5 20 HJBD Cc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnc(Cl)c1Br 759276090 KHAGWXXRMKJAJW-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=C(CCCc1c[nH]c2ccccc12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760460693 DKVDHJHGXWLZOO-UHFFFAOYSA-N 405.410 4.797 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764219659 QIWNNIMEEXWXPO-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4ccc([N+](=O)[O-])c(Cl)c4)CC3)c2c1 765482193 CXPWWTAINHTISV-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2c(c1)CCCN2C(=O)OC(C)(C)C 772224591 RLMVNSFDJUVDAL-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD COc1ccccc1SC[C@H](C)CNC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772950628 OBMOBWOUQRLSTN-QGZVFWFLSA-N 415.559 4.590 5 20 HJBD Cc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1NC(=O)C(C)(C)C 777993274 FPMUHAVZCWLWCE-UHFFFAOYSA-N 405.401 4.618 5 20 HJBD Cc1ccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1NC(=O)CN1CCCCC1 783586425 USJIHQHPXFBUHT-UHFFFAOYSA-N 416.909 4.593 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])ccc1Cl)C(C)C 785999558 WDUYTRMCGPIMSC-UHFFFAOYSA-N 421.856 4.819 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])s1 787565623 DDHJOEKHESVOKR-QGZVFWFLSA-N 407.407 4.653 5 20 HJBD Cc1nc(Cl)ccc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 790255609 PPOSQMATEUZBMC-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD C[C@@]12CCN(c3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)C[C@H]1C2(Cl)Cl 804706595 STVCOKHVOBKYGZ-CRAIPNDOSA-N 408.285 4.589 5 20 HJBD O=[N+]([O-])c1cc(C(F)F)ccc1N1CCO[C@H](c2ccc(Br)cc2)C1 809350244 QBDKOXXUGFUIIH-INIZCTEOSA-N 413.218 4.873 5 20 HJBD O=C(NCCc1ccc(Br)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813006548 NGEKHXKQAYWODK-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD Cc1c(C(=O)Nc2ccccc2N2CCc3ccccc32)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914841251 ORSCAUHXXLHXPL-UHFFFAOYSA-N 418.409 4.758 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cc([N+](=O)[O-])ccc1Cl 916062626 RDLBMYUNCJNUEA-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc3c(c2)CCC3)cc1[N+](=O)[O-])c1ccccn1 1251217292 YUSNNFQPMLAGDE-INIZCTEOSA-N 416.481 4.582 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1nc(C2CCCCC2)no1 1320409561 VSRYMMADDYUFKA-LLVKDONJSA-N 409.284 4.639 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 5672390 GNFVTIAACAOBNB-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(C(F)(F)F)cc1 7496429 PMAQMSAMXHRILA-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD C[C@H](OC(=O)c1cc2ccccc2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 9534853 FBDSCOGDOUWJIO-JTQLQIEISA-N 422.315 4.544 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3ccc(SC)c([N+](=O)[O-])c3)cs2)c1 9622167 LFBUSPWODSXROK-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11668827 YGNGBRXHXOFCCC-IYNCYZAOSA-N 415.254 4.547 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 35605357 MFOPVVULQKWOTD-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCOc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1Cl 46668106 AZDZERLKVCWQHM-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD CCOc1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 54099482 OFYKOUIKWOEBCF-HNNXBMFYSA-N 406.442 4.814 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1cc([N+](=O)[O-])ccc1Cl 97570358 VPTFXSGMZGJWQG-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 139797468 BPKLIIAQJBBDJV-UHFFFAOYSA-N 400.778 4.738 5 20 HJBD CCN(C(=O)Cc1ccc(NCc2cc([N+](=O)[O-])ccc2OC)cc1)c1ccccc1 195710080 KRNXVSCSKNFPKJ-UHFFFAOYSA-N 419.481 4.811 5 20 HJBD Cn1cnnc1-c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 237664764 RPWNVDUSCBLNBT-UHFFFAOYSA-N 419.416 4.934 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(-c4ccc(Cl)s4)o3)n2)c1 303948558 YPGYDIUHCQTYHP-UHFFFAOYSA-N 421.847 4.702 5 20 HJBD CC(C)c1cnc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 303967565 RBNAAPMMUNTNOO-ZDUSSCGKSA-N 411.487 4.995 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)C[C@H]1C=CCC1 409981238 DDCWRSRNJNIQSB-HNNXBMFYSA-N 411.483 4.620 5 20 HJBD CC(C)(C)Oc1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)nc1 426595233 JGQXLPDDPISNPE-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD Cc1ccc(F)c(CN(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 436064874 KDLXUNDEOFIXSU-INIZCTEOSA-N 422.460 4.883 5 20 HJBD C[C@H]1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2cc(Br)ccc2O1 436327442 GKUUFESTMRXVJT-JTQLQIEISA-N 417.215 4.531 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2O1 443569799 VXNYCJUZPYMMJI-KRWDZBQOSA-N 407.220 4.507 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 444247690 GMSPPUOSFOFTOR-OAQYLSRUSA-N 406.486 4.695 5 20 HJBD Cc1cccc(C)c1NC(=O)Cc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 445328690 ULGMFHPBVVBUOS-CQSZACIVSA-N 412.471 4.629 5 20 HJBD Cc1ccc([C@@H](C)N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)c1 447019768 CZKJCCYWEYYERU-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD CCOc1ccc(-c2nc(CSc3ccc([N+](=O)[O-])cn3)cs2)cc1OC 448041206 ZYPSDLWXUPEGNQ-UHFFFAOYSA-N 403.485 4.813 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC)C[C@H]1CCN(Cc2ccccc2)C1 462608352 WCUWXTQKAASRSN-GOTSBHOMSA-N 423.557 4.534 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3[C@@H]4CCCO[C@H]4C3(C)C)c([N+](=O)[O-])c2)cc1 462901368 UKSDQJYXWCFSAL-IOMROCGXSA-N 409.486 4.771 5 20 HJBD CC(C)(C)OC(=O)CCCNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 467695276 DSZTZSNRBNWSCT-UHFFFAOYSA-N 415.446 4.631 5 20 HJBD C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 468377301 NBASKYDQBZLGLJ-NSHDSACASA-N 415.421 4.756 5 20 HJBD CC(C)(C)OC1CCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 469126401 ILOMZEIBPWHDBS-UHFFFAOYSA-N 420.453 4.512 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)[C@H](C)C(C)(C)C)cc([N+](=O)[O-])c1OC 470197648 KEBFVJYYQIEWQX-OAHLLOKOSA-N 400.475 4.689 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 470245338 AAVXUWSIFLNSGY-QGZVFWFLSA-N 416.474 4.528 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)COc2c(Cl)cccc2[N+](=O)[O-])cc1 471293289 QRYAETDHGSNOJP-GOSISDBHSA-N 418.877 4.780 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](c1cccc(Cl)c1)c1ccccn1 475326305 ULIWIIMQHZHVKV-JOCHJYFZSA-N 424.888 4.659 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccn1 476165502 RWORQKOFAGKOFQ-CQSZACIVSA-N 408.414 4.673 5 20 HJBD Cc1c(CNC(=O)NCc2ccccc2COCc2ccccc2)cccc1[N+](=O)[O-] 478753596 BSLNDDLMLHAGKW-UHFFFAOYSA-N 419.481 4.619 5 20 HJBD C[C@H](NCC(=O)N1Cc2ccccc2C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 479532140 PNBAAMYZNMIZJB-HNNXBMFYSA-N 407.495 4.516 5 20 HJBD O=C(Nc1cccc(-c2nnco2)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 480821599 SJQVSCXYJDZIGQ-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cnn1-c1ccc(C)cc1 483454869 AEZYARRGGIMIHR-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2Cl)c1C(C)C 486845588 MRINPXMSOYXFME-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CCc1cc(=NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2ccccc2[nH]1 487073009 URRIADGJFRQDML-UHFFFAOYSA-N 411.436 4.605 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OCC(C)C 487188724 RXYQIJZFBCKQER-UHFFFAOYSA-N 412.408 4.947 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)ccc1Oc1ccncc1 489374088 NSXKUISXSNJJGL-UHFFFAOYSA-N 415.352 4.944 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 493180524 LGUHZMSCFPEBTE-CQSZACIVSA-N 420.307 4.958 5 20 HJBD O=C(CCCc1nc2ccccc2s1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 499021267 MFOOJZHEGRBRQP-UHFFFAOYSA-N 407.455 4.556 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)c1ccc(Br)cc1 499635399 ZLXJDJSBYOCEPV-CQSZACIVSA-N 405.292 4.898 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(-n2cccn2)c1 503408947 UIODJDYBSJYCHN-OAHLLOKOSA-N 410.499 4.774 5 20 HJBD CSc1ccccc1NC(=O)CN(C)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 506767567 OTEFKJPLMXUNQD-UHFFFAOYSA-N 411.483 4.647 5 20 HJBD COc1ccc(CNC(=O)N[C@H](C)c2ccc(SC(C)C)cc2)cc1[N+](=O)[O-] 508101291 ZJAZNMADUCXOTD-CQSZACIVSA-N 403.504 4.664 5 20 HJBD CCN(CC)Cc1ccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 509384486 GBLRPDSCNHERLN-UHFFFAOYSA-N 412.534 4.557 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccc(Br)cc2n1 510653122 UZERJHFNOZCIAY-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)c(Br)cc1F)c1cccc([N+](=O)[O-])c1 511123061 SKSPUMPSVPSCIJ-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD CC[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C(C)(C)O 512755858 LZBMYVVSOJOCRS-MRXNPFEDSA-N 408.907 4.679 5 20 HJBD CN(CC(=O)Nc1cccc(Cl)c1)C(=O)c1cc2ccccc2c2cccnc12 515282054 BYXPVBUSIBFVPS-UHFFFAOYSA-N 403.869 4.752 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1OC[C@H]1CCCCO1 517806228 KGTDVSLSXFOVCM-CQSZACIVSA-N 405.838 4.840 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1)c1ccc(Cl)cc1 520227060 BONMCRAOWBZNBH-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 521857936 ISVRQLROVFDGLG-CVEARBPZSA-N 416.359 4.542 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 525074170 XYKQMSXSDPDKOX-KZULUSFZSA-N 406.866 4.783 5 20 HJBD CC(C)(CO)C(C)(C)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 530729286 SAVFUVGFTAXOJW-UHFFFAOYSA-N 411.296 4.504 5 20 HJBD COc1ccc(CC(=O)N2CC[C@H](C)[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 533018369 VLFIFNBOLANQJY-RBZFPXEDSA-N 422.403 4.774 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(NC(=O)c2ccccc2)c1 536124540 HKVPKNSWTFFMIN-UHFFFAOYSA-N 414.421 4.510 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)c1cccc([N+](=O)[O-])c1N1CCOCC1 538450208 BLHWHPBLIDPDJC-UHFFFAOYSA-N 417.421 4.583 5 20 HJBD COc1ccc(-c2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1OC 540475686 BBTADBDMGBFDNB-CQSZACIVSA-N 413.499 4.895 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 541509859 OWSTZTVNOUYEQG-VBKZILBWSA-N 423.494 4.950 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@H]2c2ccc(Br)cc2)n1 544908975 VPBBZKDQPZQCOG-GJZGRUSLSA-N 400.232 4.602 5 20 HJBD Cc1ccc(O[C@H](C)c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cc1 545005102 YSTBTCKUEFMGNC-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C2CC2)nc2onc(C(C)C)c12 545252059 KFVOIJFYKYASDJ-UHFFFAOYSA-N 410.430 4.783 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc4ncsc4c3)n2)c(Br)c1 545756827 NGTSYGPELUYEPA-UHFFFAOYSA-N 417.244 4.608 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccc([N+](=O)[O-])cc1F 545844600 FLKIDJSEIQGVHW-UHFFFAOYSA-N 424.428 4.935 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc([C@@H]2CCCCS2)no1 546519459 WDVOJHHEBXCOSC-ZDUSSCGKSA-N 402.398 4.609 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(Cn3ccnc3)c2)no1 546982931 MTBNNKOAGYSISP-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD COc1ccc(Cc2noc(CSc3ccc([N+](=O)[O-])cc3)n2)c(Cl)c1OC 547068691 CTLXMKHMLOTVOY-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD CC(=O)c1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1C 548734639 CNOCIFGJNCWGFQ-UHFFFAOYSA-N 406.388 4.723 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550628125 VEUXYCNICMKHNX-UHFFFAOYSA-N 402.413 4.863 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(Oc2cncc(Cl)c2)CC1 552177235 FXBDUVDSVZLYND-UHFFFAOYSA-N 417.874 4.542 5 20 HJBD COc1ccccc1C(C)(C)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 557570107 KNMCJWPHSIZIQP-UHFFFAOYSA-N 411.483 4.668 5 20 HJBD C[C@H](C(=O)Nc1cnn(-c2ccc(F)cc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 558673911 CMTSODQJACMUQA-NSHDSACASA-N 422.338 4.681 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1ccccc1[N+](=O)[O-] 559334084 HYRMCSGQQLFRHD-OAHLLOKOSA-N 409.511 4.888 5 20 HJBD CC(C)NC(=O)c1ccc(NC[C@@H](Cc2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 572451122 CKAWRGSCRWYFFA-MRXNPFEDSA-N 409.408 4.566 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(F)(F)F 580235033 OSHHHCYPKIHVSF-NSHDSACASA-N 407.348 4.587 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccc(C(N)=O)c(F)c3)cc2[N+](=O)[O-])cc1 600849946 REPPFKFJIHOXND-UHFFFAOYSA-N 411.458 4.905 5 20 HJBD CCCN1Cc2cccc(NC(=O)c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)c2C1 608949840 ZULSLDPPIUKELT-UHFFFAOYSA-N 422.529 4.809 5 20 HJBD Cc1ccc(OCc2nc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)cs2)cc1 609150907 IVFKSMCKPPYJOV-UHFFFAOYSA-N 406.467 4.851 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2[C@H](C)C[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 609835919 QZDHNTBIJAVTPQ-KYFSNAEOSA-N 408.502 4.956 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nc(-c4ccccn4)cs3)o2)cc1 609919235 RMTSXBRMAYOHPX-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)CNc2ccccc2[N+](=O)[O-])cc1 610204355 HFBQXARMROKLPJ-MRXNPFEDSA-N 405.454 4.560 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccc(Br)cc2)cs1 610220373 IHPDGQYDVFPPGC-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H]1c1cccc(F)c1 610305235 ORWQUTYXXVSIPG-NRFANRHFSA-N 405.429 4.933 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 611279069 CAISPPRBLDZMBU-RYUDHWBXSA-N 412.330 4.723 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1csc([N+](=O)[O-])c1 612502234 REIMXRMVYSOJFB-LLVKDONJSA-N 423.210 4.842 5 20 HJBD CCCN(Cc1ccccc1F)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 618831918 KDPBEUAPHNATTE-UHFFFAOYSA-N 413.371 4.875 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cc2)c1 727708379 QVGGNYNXPKEGHR-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])cc2)cc1 730984015 OOEYJDXJIOAPJT-UHFFFAOYSA-N 410.401 4.967 5 20 HJBD Cc1ccc2cccc(OS(=O)(=O)c3c(Cl)cc(Cl)cc3[N+](=O)[O-])c2n1 742269816 JBGDYMRRXJFTCR-UHFFFAOYSA-N 413.238 4.526 5 20 HJBD Cc1cc2oc(=O)cc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2cc1C 745567929 VUBZHJJHSILALU-UHFFFAOYSA-N 421.327 4.694 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747249806 QWEBXRCVSIQUGG-UHFFFAOYSA-N 416.428 4.670 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(O)cc2)cc1[N+](=O)[O-] 747926271 OIHMCUWQNMPYJU-UHFFFAOYSA-N 408.435 4.506 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)sc1-c1ccccc1 748617347 HAIRYBHKAJZOPB-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1)c1ccc(Cl)cc1 751102858 JEYVJOCUCVMSTQ-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD CCN(CC(C)C)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 751325325 JYIGBUYWFYRCDI-UHFFFAOYSA-N 403.866 4.619 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 754896167 RCEDSKBMBZXADP-VIFPVBQESA-N 415.646 4.511 5 20 HJBD CC(C)OCc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754994291 RIALUSDDUYPBJK-UHFFFAOYSA-N 411.227 4.674 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(-c2ccc(Cl)cc2)no1 755055531 OVNLUVWRLQINHE-QWRGUYRKSA-N 419.796 4.845 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756995210 BCFQDBJTFUFBGJ-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)c2cccc([N+](=O)[O-])c2Br)c1 760869581 ZDRGLSKWZNEEAB-SJKOYZFVSA-N 419.275 4.589 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(COc3ccc([N+](=O)[O-])c(F)c3)n2)nc1 762389966 OFUDEVCYZCBPJV-UHFFFAOYSA-N 404.423 4.649 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 763309542 YIVLFXRPVBYBEW-UHFFFAOYSA-N 415.515 4.754 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(SC(=O)N(C)C)cc1 765036238 WDKIRLXSGZDUJC-OAHLLOKOSA-N 401.488 4.576 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])C[C@H]1CCCO[C@@H]1c1ccccc1 769214295 YTXOVSHVPAHGKL-OXQOHEQNSA-N 419.319 4.957 5 20 HJBD COc1cc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)ccc1OCc1ccccc1 773993820 NGXDTMXPWZQQQZ-UHFFFAOYSA-N 418.449 4.694 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cnn(-c3ccc(F)cc3)c1C(C)C)CC2 774220937 OEAARRZCPDBLJU-UHFFFAOYSA-N 408.433 4.554 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CNC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cc1 776270298 CFXOFPUUAVILMO-ZJSXRUAMSA-N 401.466 4.531 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1coc(-c2ccccc2Cl)n1 776301319 KUUGNQWMBGBGAY-UHFFFAOYSA-N 406.225 4.829 5 20 HJBD Cc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c(OC[C@H]2CCCO2)c1 776870677 YQTDRBIABSDOEQ-OAQYLSRUSA-N 411.502 4.542 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 781464926 OKBMCAXZDRIJLN-UHFFFAOYSA-N 413.351 4.842 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(COc2ccc(Cl)nc2)CC1 788629885 FQRWQUCIICCKCF-UHFFFAOYSA-N 418.881 4.583 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cn1nc(Nc2ccccc2F)sc1=S 795644311 FLQLLSYOGUXTQN-UHFFFAOYSA-N 419.507 4.597 5 20 HJBD CS[C@@H](C)[C@H](C)OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 797194770 PXTZVRMDDVZNEO-RYUDHWBXSA-N 420.453 4.513 5 20 HJBD CC(C)OC(=O)C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 801540325 YCXGBSWLHAEHRH-KRWDZBQOSA-N 421.291 4.530 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)OC)c1 804608213 GGAAMGIQOAXIME-UHFFFAOYSA-N 417.487 4.595 5 20 HJBD O=C(/C=C\c1ccc2[nH]ccc2c1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220740 OGCWSXMJMHHLJA-ALCCZGGFSA-N 405.435 4.956 5 20 HJBD O=C1c2ccccc2N[C@@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])N1C[C@H]1CCCO1 811637776 KUVFGENZTIWXSA-KZULUSFZSA-N 422.268 4.647 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc2nc(C(F)F)[nH]c2c1 815211467 WAABJULPLDCODR-UHFFFAOYSA-N 415.274 4.785 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511221 ARKFSIFOZRNPPR-UHFFFAOYSA-N 424.375 4.841 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc([C@@H]3CCOC3)cc2)CC1 818738804 PXVUAGWELOHFCI-GOSISDBHSA-N 409.486 4.587 5 20 HJBD C[C@H](OC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 842049897 BLKIRCPTTNHUCX-AWEZNQCLSA-N 420.450 4.822 5 20 HJBD COc1cc(COC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc(Cl)c1OC(F)F 892084271 MNOVTWHZKJLWOJ-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(NS(=O)(=O)c2cc(C(F)(F)F)ccc2Cl)c1 916108303 RTIREOSSUUILHA-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCc1cc(F)ccc1[N+](=O)[O-] 918892613 BKERJQCEGBUTBN-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccc(F)cc2)C(F)(F)F)c(Br)c1 1320856458 LYPISRZNDVRLIF-AWEZNQCLSA-N 407.161 4.890 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CCCCN1Cc1cccnc1 1320941371 VXPLVGALFXQSSV-VWLOTQADSA-N 411.505 4.881 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cs1 1323039165 HIJBQTXSVFMPIH-UHFFFAOYSA-N 405.435 4.976 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(Br)c(Cl)c2)o1 1325766326 QUXVMDNVEKXWKP-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD C[C@H](Cc1ccc(Br)cc1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1326927223 IZGGGNNLNRCSBY-GFCCVEGCSA-N 418.247 4.666 5 20 HJBD Cc1c(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cnn1C1CCCC1 1345760917 PFNMPPZSXPFQFU-UHFFFAOYSA-N 412.471 4.695 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(OC)c(Cl)c2)cc1OC 1515066995 NSIQMSPFDRBDGS-LLVKDONJSA-N 409.822 4.582 5 20 HJBD CCn1c(SCC(=O)Nc2cccc(C)c2C)nc2cc3ccccc3cc2c1=O 7577769 VFBWWXSNDDWXMR-UHFFFAOYSA-N 417.534 4.917 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(SC(F)F)cc2s1 15308785 MLADMZXVYVKXCC-UHFFFAOYSA-N 424.454 4.838 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Cl)c1 24997792 LFHNEHVQCFJYQV-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD Cc1cc(OCC(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)ccc1[N+](=O)[O-] 26332414 GURIQRCHFAKASO-UHFFFAOYSA-N 420.425 4.565 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)cc1 29244229 HTEJWIBAOJINLY-AWEZNQCLSA-N 421.419 4.707 5 20 HJBD Cc1sc(NC(=O)CCCOCc2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 64933466 LFJUTSVFNRCIJI-UHFFFAOYSA-N 411.483 4.962 5 20 HJBD CCCN(CC(F)(F)F)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 65830313 QAGCVEHHBDOPNI-CYBMUJFWSA-N 410.396 4.577 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C)c1 66035168 RJHUDUSFPCIQEU-CABCVRRESA-N 415.515 4.551 5 20 HJBD CCCN(CC(F)(F)F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 106179309 HQRIWNYDQDASNV-UHFFFAOYSA-N 404.332 4.507 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncccc1OCC1CCCCC1 109865117 GQKGRTOOZHRHAC-UHFFFAOYSA-N 401.488 4.680 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(CN2CCC[C@@H](C)C2)cc1 226704073 UVKQOUUQHUGZCO-SJORKVTESA-N 413.543 4.946 5 20 HJBD CCc1cnc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)s1 237113188 NMHILIUROWDECM-UHFFFAOYSA-N 419.510 4.756 5 20 HJBD Cc1cc(C)cc([C@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 410045569 WUPKYSOVPWIWJI-INIZCTEOSA-N 406.486 4.564 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C(C)C)cc1OCC 410149214 USFBRZLKPSCZFZ-NRFANRHFSA-N 415.490 4.589 5 20 HJBD Cc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1OCC(F)F 426075456 HYLXEXHQLDXWPA-UHFFFAOYSA-N 405.401 4.744 5 20 HJBD O=C(N[C@H](CC1CC1)c1cc(F)cc(Br)c1)c1ccccc1[N+](=O)[O-] 426405387 LZONYHDRZATHIA-MRXNPFEDSA-N 407.239 4.768 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 428000222 ISTJBWUXJKWFTD-UHFFFAOYSA-N 403.394 4.613 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436062288 JKLFFHPQDCZLMU-UHFFFAOYSA-N 421.456 4.908 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Br 437286372 AVJDLRZMIWEHRJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 440619946 GDHIZUCKMQIMCH-MRXNPFEDSA-N 401.532 4.811 5 20 HJBD Cn1nc([C@@H]2CCCO2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 447653697 UBCASIGKYZRTAL-SFHVURJKSA-N 424.482 4.583 5 20 HJBD C[C@H](CNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)Oc1ccccc1F 463934514 ZKLBQZIETURDLW-MRXNPFEDSA-N 423.444 4.543 5 20 HJBD COc1ccc(-c2noc([C@@H](C)SCc3cc(OC)c(F)cc3[N+](=O)[O-])n2)cc1 464090907 GABQWHSTCJZVSK-LLVKDONJSA-N 419.434 4.796 5 20 HJBD CC[C@H](c1ccccc1)N(CC(C)C)C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2 465137502 IREJTTBNEQRILU-LJQANCHMSA-N 412.486 4.544 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@@H](C(F)(F)F)C3(C)C)cc2[N+](=O)[O-])CC1 470097472 LXSWFSSCBXKLRJ-QGZVFWFLSA-N 413.440 4.634 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(F)cc2-c2nc(C3CC3)no2)cc1[N+](=O)[O-] 471653695 BDCICYQPQKPBDA-UHFFFAOYSA-N 414.418 4.636 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccn1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 471888148 KUXCJQORDWCPHG-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 472646280 LFBXAQFGDHCKHZ-HNNXBMFYSA-N 421.428 4.559 5 20 HJBD Cc1cc(N[C@@H](c2ccc(F)cc2)c2nccn2C)c(Br)cc1[N+](=O)[O-] 473525557 QGZDIJNCSJUOSO-KRWDZBQOSA-N 419.254 4.740 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@@H](c4ccc(F)cc4Cl)C3)co2)cc1 481612665 SWRGAKCDCGKEJC-LJQANCHMSA-N 417.824 4.616 5 20 HJBD CC[C@H](CN(CC)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccccc1 482244483 HXGKFLQCWMVBBD-QGZVFWFLSA-N 406.486 4.651 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nccs2)C1 484957068 DMQILYCQWNCNEL-AWEZNQCLSA-N 405.545 4.819 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])sc2c1CCCC2 485395289 DJVBZCLRJUDQIW-UHFFFAOYSA-N 420.512 4.686 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485611682 WVABDRKIONNRGW-XJUOHMSHSA-N 416.481 4.579 5 20 HJBD CCc1nc([C@@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cs1 485948330 YNUJNOFCSHQADE-CQSZACIVSA-N 411.483 4.684 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccc(C)cc2)C[C@@H]1C 490014855 QRXYDLLYUAVXGJ-FUHWJXTLSA-N 414.527 4.660 5 20 HJBD CCN(C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 498465433 KYLNMLJKTRZRGB-CQSZACIVSA-N 405.882 4.592 5 20 HJBD COc1cc(Br)cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 500068339 ZVSBBLUWFPQEPH-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCc2cc(Cl)ccc21 500160356 CSFRAAPSDFLDGM-UHFFFAOYSA-N 402.881 4.788 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])ccc1OCCC(C)C 501477274 MCKYFQPUPWQVNV-INIZCTEOSA-N 400.475 4.828 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](c3nc4ccc(F)cc4o3)C2)cc1[N+](=O)[O-] 502148562 VZJPJJNTCURPOV-ZDUSSCGKSA-N 415.446 4.617 5 20 HJBD Cc1c(CNC(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 503103929 LIVSUGJJMXUUJR-MRXNPFEDSA-N 406.442 4.651 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2/C=C/c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 505372269 IKKOPYARGBQXJB-BOLDSZDNSA-N 418.396 4.523 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 505889193 XYLJVJQAQNDQMA-CJNGLKHVSA-N 406.866 4.682 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@@H]1CCCCN1Cc1ccccc1[N+](=O)[O-] 507650103 KKLKGTIMCHEZAU-SFHVURJKSA-N 408.285 4.895 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 510818803 YBIBKZFURUMGLG-QGZVFWFLSA-N 421.400 4.964 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1cccc(Cl)c1Oc1cccnc1 511243870 QUGDQCVBWCUORP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(C(F)(F)F)c3)CCCC2)c1 516319394 NATIXRJREBHDGK-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD CCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)[C@@H](C)C(C)C 520026237 GGCRPBVFYISJDC-AWEZNQCLSA-N 415.456 4.723 5 20 HJBD COc1cc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1OC(F)F 522196876 MWLVEOBSHGDYSK-UHFFFAOYSA-N 421.425 4.618 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1-c1nc2ccccc2[nH]1 522731942 NXHPKJKGAAERCR-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cs2)cc1 530779804 BDLYVDPWNMSESC-UHFFFAOYSA-N 411.483 4.737 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c(C)c2c1 533334976 AGWTUTRSUTUXHA-UHFFFAOYSA-N 420.465 4.976 5 20 HJBD C[C@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@](C)=O)cc1 535952644 CDUDRNATBRNDPE-JGVYIQDASA-N 423.494 4.567 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC2CC2)cc1 537490021 UWXVRIWTSLHQFV-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(S(=O)(=O)c3csc4ccc(Cl)cc34)c2)c1 538667784 LSXWEVBRPVBFMH-UHFFFAOYSA-N 419.871 4.563 5 20 HJBD CN(CCc1nccs1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 538724284 BJFYBPZKACMWEA-UHFFFAOYSA-N 419.510 4.579 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@@H]1NCCc1nc(-c2ccncc2)cs1 539071063 UIEHMSVXHWJADN-MJGOQNOKSA-N 408.527 4.657 5 20 HJBD COc1cc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc(Br)c1OC 540176643 UKNQWINXZVALOW-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD COc1ccc(NC(=O)[C@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 543928507 RMDTVRNDTSWCJT-LSDHHAIUSA-N 409.467 4.902 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(Cc1cccc(-c2cccnc2)c1)C1CC1 544088530 LLWBOHJYONZKDD-UHFFFAOYSA-N 419.506 4.940 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](c1ccc(Cl)cc1)c1ccn(C)n1 545162054 XHRHBZQMWWJYOX-LJQANCHMSA-N 413.865 4.510 5 20 HJBD CC(=O)N1CCC[C@@H](c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)C1 545757752 PHBVDBRYRWQNNH-ZHUYAKLQSA-N 424.482 4.603 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 546036408 ULJBNMNSWJXZAQ-INIZCTEOSA-N 406.442 4.760 5 20 HJBD Cc1c(Cc2noc(CCC(=O)c3csc4ccccc34)n2)cccc1[N+](=O)[O-] 547200872 PIGQJFIJRBGMKK-UHFFFAOYSA-N 407.451 4.907 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ncc(-c4ccccn4)s3)cc2[N+](=O)[O-])CC1 553112570 XVVOFHDGPJLVPG-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H]3[C@H]3CCCO3)o2)c(Br)c1 556608020 JGMLZPNMYBFLGD-PKOBYXMFSA-N 421.291 4.761 5 20 HJBD CN(C(=O)c1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1)c1ccccc1 557979688 ZBDQDSOKNLSQOQ-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CC2)cc1 564259877 AVKANCIKUMGSRQ-UHFFFAOYSA-N 411.502 4.797 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c3cccnc23)c1 584125647 RWDDPPLZRGBNLL-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1ncc(-c2ccc(F)cc2)o1 589509511 UYAYAJDCFZPWDZ-NSHDSACASA-N 417.418 4.517 5 20 HJBD C[C@@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])cn1C 592996377 CPMITFKDCBZXNL-AWEZNQCLSA-N 417.918 4.922 5 20 HJBD CCCN(C[C@H]1COc2ccccc2O1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 603819100 MQKUJESUBZGDHG-INIZCTEOSA-N 412.467 4.502 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603876133 APRZYZLOXIBJSJ-SFHVURJKSA-N 417.465 4.643 5 20 HJBD C[C@@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1 603954643 ANQRXXXYSWYPAO-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccccc1C(F)(F)F)C1CC1 603955753 OJXPXSHLDPKFQU-UHFFFAOYSA-N 408.376 4.574 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)NCc1ccc([N+](=O)[O-])cc1 603999343 RJPOLDVQWDRVOY-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](c1ccccc1)c1cccc(Cl)c1 604009319 NBLAVEVAWRZDSO-QFIPXVFZSA-N 409.873 4.874 5 20 HJBD CCOC(=O)c1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1Cl 604030155 HZEMIFLOWAGZGX-LLVKDONJSA-N 408.863 4.544 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(OCc2ccccc2)cc1 604044494 QUOUNKSDJQSVMO-ROUUACIJSA-N 419.481 4.852 5 20 HJBD C[C@@H](Nc1cccc2c1ccn2C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 608889070 GITDMUWVAANALO-LLVKDONJSA-N 406.364 4.544 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1ccc(F)cc1)C1CC1 609726715 WPFLWEYUOXKFJA-UHFFFAOYSA-N 411.458 4.705 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n1 609853655 FRFPOTLRMYHLMP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H](CC(C)(C)c1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610042483 CQHMJQWCFHXWSH-ZDUSSCGKSA-N 405.292 4.844 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1F 610069902 WWKZODLXSAWCBQ-UHFFFAOYSA-N 409.373 4.664 5 20 HJBD Cc1[nH]nc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1-c1cccc(Cl)c1 610354666 YOWWLKOSEYWDKY-UHFFFAOYSA-N 409.833 4.540 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@@H]1CCCO[C@H]1c1ccccc1 611170452 NWVASGXMNDHKTE-UGKGYDQZSA-N 407.470 4.850 5 20 HJBD Cn1cc(CN2CCC[C@H](c3nc4ccccc4o3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 611253879 AOARMEYNMGUNMG-KRWDZBQOSA-N 417.469 4.516 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cccc(Oc5nccs5)c4)n3)c2c1 612962045 DAIPQCIPIQBOHQ-UHFFFAOYSA-N 419.422 4.966 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCC(=O)c2cccc3ccccc23)cc1SC 728656713 LXUMZVNHXCQTNB-UHFFFAOYSA-N 411.435 4.518 5 20 HJBD O=C(CCCc1ccc(Br)s1)OCc1c(F)cccc1[N+](=O)[O-] 728988342 MFBTWCYNSMPHSN-UHFFFAOYSA-N 402.241 4.624 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)OC(C)(C)C)c1 730965459 XOBDMSHIIVVJFC-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1ccc([N+](=O)[O-])cc1Br 745072575 BJEJKRAYCXGERY-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1ccccc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745576672 JLVPLZHMECVMPJ-KGLIPLIRSA-N 413.405 4.657 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1 747442016 XLGITSVVMKXEOI-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750549895 AXJIJOALJUVRFE-BDAKNGLRSA-N 417.270 4.637 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 754755310 WUKMSVIXXBVGNB-HIFRSBDPSA-N 421.266 4.953 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCc1ccc([N+](=O)[O-])cc1F 756612709 WQWZZHZQRDELHZ-UHFFFAOYSA-N 406.218 4.809 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cc(F)c([N+](=O)[O-])cc1F 763422974 IIEIGNMSEIVCEG-UHFFFAOYSA-N 408.364 4.523 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C[C@@H](C)N(C)C(=O)OC(C)(C)C)no1 764915012 IYCYXTQOXVSVBA-ZBFHGGJFSA-N 418.494 4.512 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(CCO)Cc1ccc(Cl)c(Cl)c1 767803086 BYPWKXLNTQFAHC-UHFFFAOYSA-N 418.664 4.581 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(Br)n1 771497562 OZUPRBAQDYSTKJ-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(F)(F)c1ccc(F)cc1 776217748 LHRPTODBKOLJFE-SFHVURJKSA-N 402.328 4.554 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccncc2)c1 790559691 LWSCFICQSSZBKN-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CCOC(=O)/C(=C\c1ccc(-c2cccc(F)c2F)o1)c1ccc([N+](=O)[O-])cn1 794949251 LIHMXLBNZNLCII-GDNBJRDFSA-N 400.337 4.632 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1NC1CCN(Cc2coc(-c3ccccc3)n2)CC1 808051483 YDGASPGXVHUFGP-UHFFFAOYSA-N 420.469 4.529 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)s1 810276333 MHHWBUSPCHFNPV-UHFFFAOYSA-N 402.432 4.745 5 20 HJBD C[C@H](Oc1ccc(F)c(F)c1)C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218147 OTFDDHAWVMIQPM-NSHDSACASA-N 420.393 4.507 5 20 HJBD O=C(Nc1ccnn1-c1ccc(Cl)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813030718 QUAJRHXXHDEBHA-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(Cl)ncc1Cl 813305180 VEDDJSBMLTXJCP-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 815103395 PPBAHELKXQQXTR-ZDUSSCGKSA-N 423.391 4.763 5 20 HJBD Cc1cc(NCc2cc(Br)cc(Br)c2N)ccc1[N+](=O)[O-] 864010440 AGVOOUINNFWUPR-UHFFFAOYSA-N 415.085 4.623 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C(C)C)c1C 878216307 UMVHLTVSEUGWBM-HXUWFJFHSA-N 409.442 4.513 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(-c2cccs2)n1C[C@H]1CCCO1 915791921 ULAGYQXYEDADQD-CQSZACIVSA-N 420.491 4.525 5 20 HJBD Cc1cc[n+](/C(C(=S)N[C@@H](C)c2ccccc2)=C(/O)c2ccc([N+](=O)[O-])cc2)cc1 917612368 IKDBUQOXYJOMPW-KRWDZBQOSA-O 420.514 4.753 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCCc1ccc([N+](=O)[O-])cc1 918892648 CPVBCSCGUVWAJY-UHFFFAOYSA-N 402.859 4.700 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2cccc([N+](=O)[O-])c2C)c2ccccc2)ccc1O 919169402 HYRKQFASQYIKEX-MOSHPQCFSA-N 419.433 4.901 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(=O)Nc1cc(Cl)ccc1Cl 919522872 OMNFCKGZXQYCCG-SECBINFHSA-N 422.224 4.567 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc(C(=O)O)ccc2F)cc1[N+](=O)[O-] 1123334624 IRNMIJYOMPDKBX-UHFFFAOYSA-N 406.435 4.823 5 20 HJBD C[C@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)cc1F 1261215930 VXNBMPQEDGESNJ-NSHDSACASA-N 404.394 4.843 5 20 HJBD CN(Cc1ccccc1)c1ccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1318753057 UFQJAXZVOGJGGJ-UHFFFAOYSA-N 402.454 4.779 5 20 HJBD COc1cc(C(=O)Nc2nc3c(C)cc(C)cc3s2)c([N+](=O)[O-])cc1OC(F)F 10808966 ITPKEWKDAILQHP-UHFFFAOYSA-N 423.397 4.684 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 22253308 CMXVXZWNAFIKBT-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1cccc2ncccc12 25375329 ABLKLGAPJBPSCU-GFCCVEGCSA-N 421.434 4.542 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccccc1Cl 32317315 KGFWPCNYBIUNSX-IBGZPJMESA-N 421.950 4.783 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n1 36748345 JAYCIPBHWXMMBO-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1OC 72075060 CIIFNJPQBSPLCN-GOSISDBHSA-N 413.474 4.631 5 20 HJBD C[C@H](NC(C)(C)CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 237512803 ZJQUWSQWGBIQBI-INIZCTEOSA-N 410.543 4.937 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](c2ccccc2)c2cccc(Cl)c2)s1 301938216 RSCAXIKXJZRWCF-QGZVFWFLSA-N 422.915 4.915 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)Cc2nc3ccccc3s2)cc1[N+](=O)[O-] 409591610 PRNFKKQFFLHAOF-UHFFFAOYSA-N 420.288 4.548 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CSc1nnc(-c2cccs2)n1Cc1ccccc1 436473085 DWWHBNZZKOLNRS-UHFFFAOYSA-N 424.507 4.961 5 20 HJBD COc1cc(/C=C\c2ccc3c([N+](=O)[O-])cccc3n2)c(Br)cc1O 436565996 OQDIQVKOQKZYDY-WAYWQWQTSA-N 401.216 4.790 5 20 HJBD CC(C)c1c(NC(=O)c2ccc(O)c([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 436594034 HLVDGZPVGGPMFH-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD Cc1ccc([C@@H](CC(=O)OC(C)C)NC(=O)c2c(C)cc(Cl)cc2[N+](=O)[O-])cc1 439134650 OSUGNZJYGTYLFH-QGZVFWFLSA-N 418.877 4.678 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3c(c2)ncn3C)cc1 440532337 GLELIPIZSUYAHB-UHFFFAOYSA-N 418.409 4.535 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)CCC2 444231912 KWNAIYSBRPLVGV-FQEVSTJZSA-N 417.534 4.850 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NC1(c2ccccc2)CCC1 462690981 JDQXXBCMASCAOR-UHFFFAOYSA-N 408.479 4.898 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)c(C)c1 465170893 XPAAVQWBJYNYBC-AWEZNQCLSA-N 416.499 4.746 5 20 HJBD CCc1ccc(C(=O)N2CCC(c3nc4cc(Cl)ccc4o3)CC2)cc1[N+](=O)[O-] 471797758 IPUQVIAAMXLMIP-UHFFFAOYSA-N 413.861 4.972 5 20 HJBD COC(=O)COc1ccc(CNc2ccccc2SC2CCCC2)cc1[N+](=O)[O-] 474344767 CYLLSMSTGHYIQG-UHFFFAOYSA-N 416.499 4.793 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 476395602 XNWUGFXMGJSMPZ-UHFFFAOYSA-N 412.895 4.673 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccccc2F)C1)c1ccc(Br)cc1[N+](=O)[O-] 482885219 GZLLDUQQPZNQKA-DGCLKSJQSA-N 407.239 4.563 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2c(-c3cccnc3)nc3ccccn23)cc1[N+](=O)[O-] 484451994 MBJFLINZINGLFZ-UHFFFAOYSA-N 415.453 4.854 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCc1cccc2ccccc12 486166166 PKYFGRVZVLWKHV-UHFFFAOYSA-N 424.522 4.801 5 20 HJBD COc1ccc(Oc2ccc(CCNC(=O)c3c(C)cccc3[N+](=O)[O-])cc2)cc1 486452456 QWQUQZGCYIYEOQ-UHFFFAOYSA-N 406.438 4.677 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)c2)oc1C 487643722 CYWPSIGQQCLOOM-UHFFFAOYSA-N 403.341 4.720 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)cc1 487920122 RZJYZZGKTFWIQJ-CYBMUJFWSA-N 418.291 4.793 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2coc3ccccc23)cc1[N+](=O)[O-])c1ccccn1 489009382 AVPAIHYLRFTEMJ-OAHLLOKOSA-N 416.437 4.839 5 20 HJBD CC(C)c1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1 489501212 UHDRFLZESLUYRS-UHFFFAOYSA-N 405.458 4.731 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCC[C@@H](c2ccccc2)C1 489650138 ODTSAEKKRCAQAN-NHCUHLMSSA-N 410.517 4.997 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccc([N+](=O)[O-])cc1F 490279827 RSOHLKHSQXEFCC-SECBINFHSA-N 424.310 4.545 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1)C(C)C 494114772 CXYYVRNDZNYXLA-UHFFFAOYSA-N 424.501 4.548 5 20 HJBD COC(=O)c1cc(Oc2ncnc3scc(-c4ccccc4)c23)ccc1[N+](=O)[O-] 495324883 YNCCNHOVBIKSTG-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1cccc(F)c1)C[C@H]1CCCO1 497094385 DNYNNPHOXSHOKF-GOSISDBHSA-N 414.458 4.770 5 20 HJBD C[C@H](OCCCNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 497593416 BMVSQPBYHMBUIP-HNNXBMFYSA-N 411.483 4.616 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 498304210 OBEQGUANLLRHDR-UHFFFAOYSA-N 416.437 4.503 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(C(=O)Nc2ccccc2)c1C 498639724 UAPLGOWBXRMPKR-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD CCCCOc1ccc(-c2nnc(Cn3nc(C)c4cc([N+](=O)[O-])ccc43)o2)cc1 502850375 RCHNVLFOKQQFIV-UHFFFAOYSA-N 407.430 4.530 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1csc(Cc2cccc(F)c2)n1 503418024 IGZLTSLYELOKQY-UHFFFAOYSA-N 408.414 4.582 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(Br)cn1 507867578 CYSIDLCNFRQCKO-QMMMGPOBSA-N 401.058 4.688 5 20 HJBD Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 511572439 NWNIKDCGZPONAO-INIZCTEOSA-N 422.268 4.512 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cc(Cl)cc(F)c32)cc1SC 520256414 AVINCIVIYZAJLW-UHFFFAOYSA-N 410.854 4.711 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1C[C@@H]2CCCN2c2ccccc21 524145723 LZWDMVQGVOPFOM-IBGZPJMESA-N 414.465 4.968 5 20 HJBD COc1cc(COc2ccc(Br)cc2[N+](=O)[O-])ccc1OC(F)F 525626238 NHQFQHSKRUZFJP-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD COc1cc([C@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 525761137 VEAWGLDZSNDHME-IRXDYDNUSA-N 407.470 4.989 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2ccc(CO)cc2)cc1 536177052 SHQDQKJCYZRSNS-UHFFFAOYSA-N 417.421 4.542 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(c2cccc(F)c2)C2CCOCC2)cc1[N+](=O)[O-] 537418756 KMASQHQNGXWPGT-UHFFFAOYSA-N 400.450 4.857 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(Cc3nc(-c4cccc(C(F)(F)F)c4)no3)c2)c1 538658691 IOFOOAVZRHJPDG-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](CC)[C@H](c2ccc(C)cc2)n2cncn2)cc1[N+](=O)[O-] 539039276 BSAOYKOHBHMEGC-WGGBDISSSA-N 423.517 4.612 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1ccc([N+](=O)[O-])cc1F 542525107 FPDVOHFZDDVNQL-HUUCEWRRSA-N 420.868 4.808 5 20 HJBD CS[C@H]1C(=O)Nc2ccc(-c3noc(-c4cc5cc([N+](=O)[O-])ccc5s4)n3)cc21 545081515 NSLUTRHILIRSPX-MRXNPFEDSA-N 424.463 4.883 5 20 HJBD COc1ccc(CSCc2nc(-c3ccccc3OC(F)F)no2)cc1[N+](=O)[O-] 546329763 JAKMMNQPRRPNNA-UHFFFAOYSA-N 423.397 4.688 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CCN3CCC(C)CC3)c2)c1 549290099 KIOTUJVYKKVFCZ-UHFFFAOYSA-N 411.502 4.520 5 20 HJBD C[C@H](C(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 564545487 UQLBBBMYKOEVMM-LBPRGKRZSA-N 415.396 4.524 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 570756767 AUMAKQOFIQAEJQ-INIZCTEOSA-N 416.437 4.694 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)nc1 577659057 MZQKZFPNNRJEEM-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCCC1=CCCCC1 588915093 JVEVIAMKOWSNLN-HNNXBMFYSA-N 415.515 4.878 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 589738791 XBAGXFYADTYUEN-NSHDSACASA-N 418.438 4.796 5 20 HJBD Cc1c(CN2C(=O)[C@H](c3cccs3)N(Cc3ccccc3)C2=O)cccc1[N+](=O)[O-] 603678093 WNGFMMJVHPIFAM-FQEVSTJZSA-N 421.478 4.670 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1OC(F)F 603727627 GVFGTWVJAMTIDA-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Br)cc1)C1CCC1 604474166 WBINEALCSCGEKH-SFHVURJKSA-N 418.291 4.698 5 20 HJBD Cc1nc(CCC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)oc1-c1ccccc1 609297960 XALHVOZTXSUKDQ-UHFFFAOYSA-N 417.368 4.731 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3ncc([N+](=O)[O-])cc3C)c(C(F)(F)F)c2)n1 609383678 DCXYNHZCMRIHRC-UHFFFAOYSA-N 405.380 4.732 5 20 HJBD COc1ccc([C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cc1 609783500 MATQARDUTMWTDY-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD O=c1c(Cl)cc([N+](=O)[O-])cn1Cc1csc(-c2ccc(C(F)(F)F)cc2)n1 609850226 CGNAQCDDJXASPN-UHFFFAOYSA-N 415.780 4.601 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(Cl)c1SCC(F)F 609915007 UOMALTYRQIJFDV-UHFFFAOYSA-N 415.849 4.964 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)cc1)c1ccc([N+](=O)[O-])cc1Br 610037602 LFAZJWXSKXZGDI-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1c(C)nn(Cc2ccc(Cl)cc2)c1C 610207656 IFOQLOLHZODXRV-UHFFFAOYSA-N 401.850 4.697 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Sc3nnc(-c4ccco4)n3-c3ccccc3)c2c1 611703415 SEQHTJKJJYTUNJ-UHFFFAOYSA-N 416.422 4.530 5 20 HJBD COC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1cccc(C(F)(F)F)c1 613295140 NYTHVLPBPPIMBP-MRXNPFEDSA-N 411.380 4.740 5 20 HJBD CCC(=O)COC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 727185035 QXSQEXNHYOPPQW-PTNGSMBKSA-N 410.451 4.658 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)c2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 728499956 BYUHWJYXXACLNW-OAHLLOKOSA-N 422.460 4.967 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1cc(Cl)ccc1[N+](=O)[O-] 730236170 WDLQTMKQSCMPCF-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)/C=C\c3ccc([N+](=O)[O-])cc3)C2)nc2ccccc21 733958984 RTQPUAIMCJAJHF-KWRJMZDGSA-N 418.497 4.945 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 744369816 NAKALZYVRMAHSR-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD CC(C)[C@@H](C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 745546000 DXAKOUPOYKHHGS-SCLBCKFNSA-N 404.850 4.558 5 20 HJBD C[C@H](OC(=O)CC[C@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750105076 JGIDRPQUKFJKQB-KBPBESRZSA-N 404.850 4.702 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3C[C@H]4CC[C@H]3C4)cc2)cc1SC 755402950 RQZHQJGUVHFPQB-BBRMVZONSA-N 413.499 4.566 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCc2cccc([N+](=O)[O-])c2)c(Cl)c1 759870703 PMKUVALGLNTURC-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1cccc(C)c1C 763806301 NUBXCUIZPPDCCT-CQSZACIVSA-N 402.472 4.508 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 766933406 WHONDIXYWNKZKI-UHFFFAOYSA-N 400.381 4.743 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H]1C[C@@H](O)c1cccs1 770289487 DNMNTPIRTYFGKN-BLLLJJGKSA-N 411.321 4.507 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)Cc1nc(-c2ccc(F)cc2)cs1 771978435 VVQLDFWAUZGUIK-UHFFFAOYSA-N 419.865 4.712 5 20 HJBD Cc1cc(C)n(-c2ccc(Cl)c(C(=O)O[C@H](C)c3cc([N+](=O)[O-])ccc3C)n2)n1 778739354 JCIUVFYSEVTGMC-CQSZACIVSA-N 414.849 4.672 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 789437196 ILIGKLWLPPONBU-HXUWFJFHSA-N 409.442 4.572 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 793168222 RRIICPLHGLHLOJ-UHFFFAOYSA-N 415.487 4.654 5 20 HJBD Cc1nc(-c2ccc(C(=O)O[C@@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)cc2)cs1 799616687 GAJRCYMXKMOGRS-ZWKOTPCHSA-N 412.471 4.564 5 20 HJBD O=C(OCc1nc(-c2ccccn2)cs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 800339443 UPEVNSPCUOVMDA-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)cc1 809916844 VOVWHFYJZMIXDZ-UHFFFAOYSA-N 402.882 4.726 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(N2CCCCC2=O)cc1 810126262 DVXAFWDNJSBTOS-QGZVFWFLSA-N 411.502 4.750 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3ccc([N+](=O)[O-])c(Cl)c3)CC2)cc1 812777192 YVQUUZUSLKVIAS-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD COCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(-c2ccccc2)s1 813242236 NTJUUXKUHOZGDL-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(-n2cccn2)c(F)c1 815143358 ITYOSIJUMSIDAP-GFCCVEGCSA-N 419.254 4.533 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H](C)c2cccc(OC(F)(F)F)c2)c([N+](=O)[O-])c1 864010718 KIAMTCPLDZSHPF-LBPRGKRZSA-N 411.380 4.805 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 897597384 JKKKZVAEFDRORX-UHFFFAOYSA-N 423.372 4.608 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1OCC 915308759 RTTLZGCKXYFEPJ-GFCCVEGCSA-N 407.854 4.928 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1[nH]c2ccccc2c1Br 917985148 VSAXAAQVVCSWOV-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD CCN(C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 920200686 IPDXXDXGHISHNV-GFCCVEGCSA-N 415.833 4.543 5 20 HJBD Cc1sc(NC(=O)c2cn(C)cc2C(F)(F)F)nc1-c1cccc([N+](=O)[O-])c1 1117534633 UTHWHUIGOZHKML-UHFFFAOYSA-N 410.377 4.636 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H]4OCCC[C@@H]4C3)cc2[N+](=O)[O-])cc1 1253208109 NDKFSEDVNWZPCR-YLJYHZDGSA-N 412.511 4.696 5 20 HJBD O=C(Nc1nc2c(s1)CCCCC2)c1cc([N+](=O)[O-])ccc1N1CCCCC1 1318039316 IHKKLEPFMRYQPC-UHFFFAOYSA-N 400.504 4.563 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 1322007415 LOMZZWMYOWMBOC-CQSZACIVSA-N 423.425 4.557 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N3CCCCC[C@@H]3C[C@H](O)c3ccco3)c2c1 1325490002 TXSQEWOBCHFPIK-DYVFJYSZSA-N 416.865 4.657 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nnc(-c4cccc5ncccc45)o3)cs2)cc1 1326068317 WNJFRMZMWPVTIZ-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD C[C@@](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)(C(=O)O)c1ccccc1 1334777637 AMOIAIMBPQNACT-QFIPXVFZSA-N 410.401 4.616 5 20 HJBD CCCN(Cc1nc(O)c2sccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 1336943071 IVUZNWSCKAGQNV-UHFFFAOYSA-N 424.482 4.977 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 1346612445 BJUDAXDXBVHBIH-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cnc2ccccc2c1 23353209 XSMSNEZPAFMNDA-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 24030927 GMYYTCOXBRQNPT-UHFFFAOYSA-N 422.466 4.735 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@@H](C)OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 26342573 FPPUETSPSDPSJQ-CYBMUJFWSA-N 402.422 4.524 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 31182521 NYMSPOGYGVRNDL-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC=C(c4c[nH]c5cc(F)ccc45)CC3)n2)cc1 52823855 KXAVGEKBFQCXJT-UHFFFAOYSA-N 419.416 4.555 5 20 HJBD C[C@@H](Cc1ccsc1)Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-] 60452036 MXDWQOQFWNRCHY-AWEZNQCLSA-N 417.512 4.500 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(N(C)C)c(F)c2)c([N+](=O)[O-])cc1OCCC 65850731 FBLWWIBLTKRJIV-UHFFFAOYSA-N 419.453 4.630 5 20 HJBD Cc1occc1-c1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)n(CCO)n1 237685786 HBYWUMVWNVXDKZ-UHFFFAOYSA-N 424.482 4.693 5 20 HJBD Cc1cc(N[C@H](C)c2ccc(NC(=O)C3CC3)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301126846 USOKLJLTTPJZEY-OAHLLOKOSA-N 417.469 4.882 5 20 HJBD Cc1cc(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)ncc1[N+](=O)[O-] 301412727 ACYJTTQDCAYGCT-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3cccnc3)cc2)c2cc([N+](=O)[O-])ccc2n1 302208009 XOVBEZZCBMLUMG-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD COC(=O)c1ccc(-c2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)cc1 429261778 GNQBCHIKMMCEDQ-UHFFFAOYSA-N 411.483 4.530 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1ccc([N+](=O)[O-])cc1OC 430206713 KSZLJCJWGIXBFP-UHFFFAOYSA-N 416.865 4.719 5 20 HJBD C[C@@H](Nc1ccnc2c([N+](=O)[O-])cccc12)[C@H](OC1CCOCC1)c1ccccc1 432343091 RCJUTPPHMYRPCI-MWTRTKDXSA-N 407.470 4.880 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 432345110 GNHAMEGCTYPFBD-UHFFFAOYSA-N 417.334 4.725 5 20 HJBD COc1cccc(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)c1[N+](=O)[O-] 435559574 JBGAOCHWDZHOPY-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOC[C@H]1c1ccccc1 438491405 MTXGCLDGPKMFKT-QFIPXVFZSA-N 419.437 4.993 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 440706151 RYTHZJCKTJYEOA-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 444781400 OTILYXIJUITUFX-MRXNPFEDSA-N 406.364 4.737 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2F)on1 448490338 NXYWOFZCLIUXAR-UHFFFAOYSA-N 401.419 5.142 5 20 HJBD CCn1c(Cc2cccc3ccccc23)nnc1N1CCc2ccc([N+](=O)[O-])cc2C1 460208733 ZZIRSKIUMKWJJV-UHFFFAOYSA-N 413.481 4.513 5 20 HJBD Cc1cc(N(C)Cc2nc([C@H](C)OCC(C)C)no2)c(C(F)(F)F)cc1[N+](=O)[O-] 461968195 RWVJZGMHOHPKOB-LBPRGKRZSA-N 416.400 4.675 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(-c2cccc(F)c2)no1 462758814 XSNSKUZMIRJMPS-CYBMUJFWSA-N 408.389 4.895 5 20 HJBD CO[C@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1cccc(Cl)c1 466348212 WJUYDUUOAKZVDE-LJQANCHMSA-N 405.882 4.556 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cc(Br)ccc2CO)o1 466392109 ZYULUPBIGRSPPX-UHFFFAOYSA-N 403.232 4.722 5 20 HJBD O=C(NCCOc1c(Cl)cc(Cl)cc1Cl)c1ccc(F)cc1[N+](=O)[O-] 466649633 XQNDAAHEIKANRK-UHFFFAOYSA-N 407.612 4.503 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@@H](c1cccc(Br)c1)C1CCOCC1 467392230 GFFUKAAIMKLIHP-LJQANCHMSA-N 405.292 4.615 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(Cl)cc2C(F)(F)F)c(Cl)c1 467431410 ZALDSWMMRABYOY-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1ccccc1[N+](=O)[O-] 467972535 BKMBOFUESVRUNO-CYBMUJFWSA-N 415.902 4.636 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 473638495 CFKOKSWZXUJRKS-LPHOPBHVSA-N 400.453 4.887 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@@H](CO)c2c(Cl)cccc2Cl)o1 475613585 CFXGRXMDFZBARP-INIZCTEOSA-N 407.253 4.985 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)ccc1F 479827407 BVRKAMRZLPBDGA-UHFFFAOYSA-N 403.391 4.510 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1 481940113 DOCADRGNAFPTOY-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc2ccccc2n1 483182682 MMVJZXYCFVYQKG-UHFFFAOYSA-N 409.511 4.864 5 20 HJBD COc1ccc([C@@H](Nc2c([N+](=O)[O-])ncn2C)c2ccc(C(F)(F)F)cc2)cc1 485289355 QIJSWORUTYHJQF-INIZCTEOSA-N 406.364 4.557 5 20 HJBD COC1CCC(N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 487721599 ZJHROZRCNRBREY-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1cccc([N+](=O)[O-])c1 490334046 DCIBHKDQDVLTMG-GOEBONIOSA-N 414.531 4.580 5 20 HJBD CCOc1cc(CSc2nnc(COc3cc(C)ccc3C)o2)ccc1[N+](=O)[O-] 491929385 GYGVWWWDUSOANS-UHFFFAOYSA-N 415.471 4.865 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)cc12 498153343 OLJPSWROSRUPLY-SFHVURJKSA-N 416.528 4.554 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 499492273 MNYXMAGFCLHXRL-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 505577722 AHKSPBKRDAFERF-XNJJOIOASA-N 410.417 4.767 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1Cc1ccccc1 506245093 GVAPVWKLEUFWIS-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1noc2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc12 506522003 HEJVWXCKLONXRC-UHFFFAOYSA-N 406.423 4.843 5 20 HJBD CC1(c2ccc(Br)cc2)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 508351442 CCTSZRMYZMQSNC-UHFFFAOYSA-N 409.305 4.613 5 20 HJBD O=C(c1ccccc1)N1CC[C@@H](COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])C1 509062825 CGXKCEPIBBVYCA-OAQYLSRUSA-N 416.477 4.727 5 20 HJBD CCN(CC)[C@@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccccc1 509360045 UCSOCPLTEWBIMV-QFIPXVFZSA-N 412.534 4.598 5 20 HJBD O=C(c1ccc(OCC2CC2)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 512344106 UGWCJGOVYDCDNB-UHFFFAOYSA-N 408.376 4.588 5 20 HJBD O=C(Nc1ccc(F)c(C(F)(F)F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 520036268 WGCJOHKONCQJFO-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD CC(C)(C)c1noc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 520283584 IQZPBTNQOYUBTQ-UHFFFAOYSA-N 403.442 4.719 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1ccccc1-c1nc(-c2cnc3cnccn23)no1 521686419 WWHOOGIVGBIWDM-UHFFFAOYSA-N 416.422 4.506 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)Cc3cccc4ccccc34)co2)cc1 534060907 STLREHAYUZRYRY-UHFFFAOYSA-N 408.435 4.518 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 536482283 PPSQYTBDGYIRAR-OAHLLOKOSA-N 420.469 4.651 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)cc1[N+](=O)[O-] 541129802 YWCREYTZQHQJBM-AWEZNQCLSA-N 424.423 4.585 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 542317473 YUIOJLMMCAQGPT-CQSZACIVSA-N 420.307 4.958 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@@H]3CCCO3)no2)ccc1NCc1ccccc1Cl 546472578 JKWYSMORJYJBDU-KRWDZBQOSA-N 400.822 4.762 5 20 HJBD C[C@@H](Oc1ccc2c(c1)CCC2)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546488422 MBZDQXWSMXMWSF-CYBMUJFWSA-N 404.426 4.679 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccncc1C(F)(F)F 552006964 NFWGPWIXYZLHJW-UHFFFAOYSA-N 413.421 4.687 5 20 HJBD Cc1noc([C@@H]2CCCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1 553732003 HWNYVKFZLWVUDJ-INIZCTEOSA-N 418.519 4.792 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 569231148 MEMLIXZMXWQVIH-KRWDZBQOSA-N 424.501 4.709 5 20 HJBD COc1ccc(OC)c(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C(C)(C)C)c1 577393478 AVJALDFEGFSVGZ-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1)c1cccc([N+](=O)[O-])c1 578933513 LHXASDLYSPZYEZ-DGCLKSJQSA-N 413.421 4.580 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 583117916 PEZSOPPFJCFWAG-NSHDSACASA-N 413.257 4.669 5 20 HJBD CC(=O)N(Cc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)C1CC1 600854182 ODLMKVOTFKFBHB-UHFFFAOYSA-N 407.392 4.737 5 20 HJBD CNc1ccc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cc1[N+](=O)[O-] 603595496 NLDHEPFKXUTCCG-UHFFFAOYSA-N 420.397 4.614 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)C(C)C)cc1 603705729 MHSOYBYCMFAJGA-BTYIYWSLSA-N 411.502 4.869 5 20 HJBD Cc1cc(NC(=O)c2ccc(Oc3ccccc3)nc2)n(-c2ccc([N+](=O)[O-])cc2)n1 603751896 RRGBEOAIKJPBRV-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](NC(=O)CCc1nc2ccccc2n1-c1ccccc1)c1cccc([N+](=O)[O-])c1 603966372 NQFURVTUUJORKL-QGZVFWFLSA-N 414.465 4.744 5 20 HJBD Cc1ccccc1NC(=O)[C@@H]1CCc2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1 608799940 UBUFJTDYPYSPJR-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)cc2)c1 609010884 JBOVBBKSSLAHKY-UHFFFAOYSA-N 408.410 4.586 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCOC[C@H]3c3ccco3)cc2[N+](=O)[O-])cc1 609729020 IHPZICGAVFWTOQ-IBGZPJMESA-N 424.478 4.861 5 20 HJBD CCN(C[C@@H]1CCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613449113 JAUZDRDLJWVGRJ-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1ccc(N2CCOCC2)c(F)c1 730373722 XKGJBAGSDOZQQD-UHFFFAOYSA-N 416.452 4.721 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c(F)c2)c(F)c1 730453087 UYRJPQHOYFTJFL-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1Cl 730644601 OSPCMNHKBXTPRW-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H]1c1ccc(F)c(F)c1 733034255 PAGLXXBEVAVDLJ-OAHLLOKOSA-N 413.327 4.843 5 20 HJBD Cc1ccc(-c2cc(COC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])on2)cc1 735147146 AHJNQEGYUQFHPG-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 741955996 AQWBQWIBKDIEPG-FQEVSTJZSA-N 413.430 4.585 5 20 HJBD Cc1c(C(=O)Nc2ccc(OC3CCCC3)c(F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 742023587 ORSXZYPFXVXCEE-UHFFFAOYSA-N 417.393 4.833 5 20 HJBD Cc1cccc([C@@H]2CCCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)c1C 743386889 AMLKLKSZVZAABD-QHCPKHFHSA-N 418.497 4.620 5 20 HJBD Cc1ccccc1C1(C(=O)OCC(=O)Nc2c(C)cccc2[N+](=O)[O-])CCCCC1 750147250 NWDARHXBLTUCJR-UHFFFAOYSA-N 410.470 4.595 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1noc(C2CCCCC2)n1 751644709 RAGYCCAVTXDSRM-UHFFFAOYSA-N 411.867 4.514 5 20 HJBD COc1cccc(-c2nc(COC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cs2)c1 755055648 QOJGURFQRSOAHX-LBPRGKRZSA-N 416.430 4.713 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1F 764175190 KRQBMRHQUIWENI-UHFFFAOYSA-N 418.446 4.808 5 20 HJBD C[C@H](C(=O)N(Cc1ccccn1)c1ccc(N(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 776389915 IKQODNBEOZFITJ-INIZCTEOSA-N 422.460 4.532 5 20 HJBD Cc1ccc(-c2noc(CCC(=O)O[C@@H](C)c3cc([N+](=O)[O-])ccc3C)n2)cc1F 778782898 YOMZFSOCCXHACO-AWEZNQCLSA-N 413.405 4.638 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2cccc(F)c2)CC1 780855772 GFIVOSHQZYDSBI-UHFFFAOYSA-N 400.453 4.840 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 782849998 UGVYZQVIJHJGQY-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)[nH]c2c1 797634639 DZRDUUNRNWHFGM-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD O=C(c1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1)N1CCCCCC1 798083110 NMRIUPHTVXFBDW-UHFFFAOYSA-N 422.529 4.692 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc(Cl)cc2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 808750504 LAAZCAPAYMEKIC-SECBINFHSA-N 422.825 4.557 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809917201 BPLXLMCWEVGCLC-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809938773 GVWVYACJELYDGI-LBPRGKRZSA-N 418.321 4.638 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2cc(F)c(F)cc2Cl)c(Cl)cc1Cl 821185720 POHBEVSRPGJXRQ-UHFFFAOYSA-N 417.604 4.634 5 20 HJBD O=C(OCCc1c[nH]nc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917946507 IMISIMXJHWCQRA-UHFFFAOYSA-N 421.331 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1C(=O)N[C@@H](C)c1ccccc1 921277855 PTOSIBRBLRLZJQ-INIZCTEOSA-N 403.438 4.647 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc(C)c1Br 1254427910 CDXRGKJKNMRDLQ-UHFFFAOYSA-N 418.169 4.640 5 20 HJBD COc1cccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 1338204001 MJMXWOJENVTTPW-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)Nc1ccccc1SCc1ccccc1 7709852 RUVNUTMDCBLKPI-UHFFFAOYSA-N 400.481 4.688 5 20 HJBD CC[C@@H](C)[C@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 9098113 BEZGMDQRDKIGLI-YJYMSZOUSA-N 404.850 4.560 5 20 HJBD C[C@H](Sc1ncccc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 11033605 RQLUKXIDBXXJGR-JTQLQIEISA-N 420.903 4.886 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(C(=O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 21375970 HZOPNICAQMEBQS-MRXNPFEDSA-N 404.422 4.540 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])cc1OC 23597969 DEYSZWPFMIAAAU-UHFFFAOYSA-N 400.475 4.572 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COc3ccc([N+](=O)[O-])cc3C=O)cc2)c1 24175449 PNBMVBHTQNYQSS-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 49797694 BIQZPWBFCZPABX-SNVBAGLBSA-N 400.237 4.943 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 63980919 NRYSTWVEDCWPMU-UHFFFAOYSA-N 405.439 4.520 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 96559189 XFDHGPPGZYKWHE-UHFFFAOYSA-N 405.410 4.755 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(C(=O)Nc2ccccc2)ccc1Cl 116854514 JHUCGZAAPOUIDA-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H](C)c1nc2ccccc2s1 140822099 PQPMQTZKQNCSNA-NEPJUHHUSA-N 419.890 4.845 5 20 HJBD O=C1CCCN1C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 238054327 AEELOISMCULTKN-NRFANRHFSA-N 421.522 4.777 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2ccsc2)CC1 426286626 QEJHMJRQLLAPOC-UHFFFAOYSA-N 413.421 4.764 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1OCC(F)F 429800065 QGPCLCOJCSPXPJ-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD C[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccc(Cl)cc1 430111302 PXTKEZYUBKDVJP-AWEZNQCLSA-N 406.869 4.532 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(F)c(Cl)c1Cl 431559127 JJLWLYCEAOJTIJ-JXMROGBWSA-N 406.200 4.695 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 431664640 MHYVNQQQALNJPX-JOCHJYFZSA-N 418.497 4.817 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432761043 QKIRUERZPFQIHK-UHFFFAOYSA-N 424.501 4.632 5 20 HJBD COc1cc(COc2cnccc2-c2ccccc2)c([N+](=O)[O-])cc1OCC(F)F 434737000 NAVQJLIOPRPBQE-UHFFFAOYSA-N 416.380 4.888 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)cccc1[N+](=O)[O-] 438824218 BXUCBOGZWRHDRZ-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD O=C([C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1)N1CCc2ccc([N+](=O)[O-])cc2C1 446889761 ZPFUNCAGDMYISK-RBUKOAKNSA-N 419.506 4.839 5 20 HJBD COc1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1C(F)(F)F 448060247 JNHWPCSJMQYXEK-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD Cc1ccc2nc(CNC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cn2c1 460331512 VTTZEBCCZRUSFI-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc(Br)cn1C(C)C 463139956 JFMPVEIYYBLHHL-UHFFFAOYSA-N 408.296 4.791 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(CC(F)(F)F)c1 464426746 KOOUOWCNWUCVGL-UHFFFAOYSA-N 402.756 4.761 5 20 HJBD CCOC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 467757244 HGNGIKIHTBREIS-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Cl)cc1-c1cccc(Cl)c1 469274753 MYLCRYCZOQTIFZ-UHFFFAOYSA-N 405.241 4.712 5 20 HJBD CCOC1CC(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 475888670 NCMTXCUSMGNRTR-UHFFFAOYSA-N 400.500 4.681 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 479546179 QBFPURFPRPLANI-ZBEGNZNMSA-N 402.800 4.960 5 20 HJBD O=C(NC1CCN(C2CCCC2)CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 482728177 LXHOSZAWKOMWKS-UHFFFAOYSA-N 409.486 4.524 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@@H]2Cc2ccccc2)cc1SC 484363559 QBZFOBDQWKDKLI-SJLPKXTDSA-N 414.527 4.857 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cc3sc(C)nc3cc2Cl)cc([N+](=O)[O-])c1 484679321 MCJAEUBBGACPOR-UHFFFAOYSA-N 419.846 4.595 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CC2)OCCc2ccsc23)nc1-c1ccccc1 485190173 UBOANHOWCCIMCT-UHFFFAOYSA-N 407.495 4.787 5 20 HJBD Cc1cc(C(=O)CCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)s1 487016358 YXPJUJJZQJQNPK-UHFFFAOYSA-N 414.405 4.571 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CNc1cc(Cl)ccc1N1CCC(CO)CC1 488134180 MWJBPYHFZXQMPP-UHFFFAOYSA-N 410.301 4.722 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(-c2ccccc2)c1 488643066 IEHIWXKBPUDOED-UHFFFAOYSA-N 409.467 4.648 5 20 HJBD O=C1CN(Cc2ccccc2)[C@@H](c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)N1 489098097 ALJAXBBPGVJIMO-QFIPXVFZSA-N 423.856 4.671 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCCc2cccc(O)c21 490889973 QLXCRMJOGPLKFT-UHFFFAOYSA-N 403.438 4.506 5 20 HJBD O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 492514400 YXYSFHUTICPEAE-KSEOMHKRSA-N 402.475 4.814 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)CC3 498395808 VTPNNAWCWBXVMF-LBPRGKRZSA-N 417.387 4.691 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccc(C)cc2C)c([N+](=O)[O-])cc1OC(F)F 499460408 GVRDKODXBZQMFX-CYBMUJFWSA-N 408.401 4.655 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1 504696119 WDLWAYMMEVBDJV-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 514185254 GINUIGUASCSTFN-UHFFFAOYSA-N 416.384 4.893 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(C)ccc(Cl)c3s2)cc1OC 517055529 QULYMJJHMLADAJ-UHFFFAOYSA-N 421.862 4.826 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 519890202 MQPYYBYZEJHXOY-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@H](C)Cc2cccs2)cc1[N+](=O)[O-])c1ccccn1 525532191 DEPBKXRYAIUHFB-CVEARBPZSA-N 424.526 4.833 5 20 HJBD COCCOC[C@@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 536665556 PZRLBVDYNVYMJW-QGZVFWFLSA-N 420.893 4.525 5 20 HJBD Cc1cc(OCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccc1Cl 537149219 ABHSRADEONRTKV-UHFFFAOYSA-N 417.874 4.722 5 20 HJBD CN(C(=O)CCc1nc2ccccc2s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539351203 LUQTYTKTHHQBFD-UHFFFAOYSA-N 424.507 4.924 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 542719886 WVTBPBPRJDTODM-JTQLQIEISA-N 421.331 4.733 5 20 HJBD C[C@@H](C(=O)Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1F 544398493 DNWYMHKBDGXTII-SNVBAGLBSA-N 420.853 4.572 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(Sc2cc(C)c([N+](=O)[O-])cc2C(=O)OC)o1 553036996 SEVPJMUGELTYAG-CQSZACIVSA-N 413.455 4.766 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 556890411 PMJLUKHZTNMJIV-SFHVURJKSA-N 404.392 4.535 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1OC1CCOCC1 559934190 SUNKSGROXOVSOA-UHFFFAOYSA-N 424.375 4.732 5 20 HJBD O=C(N[C@H]1CCc2sc(Cl)cc21)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 579182882 NGCIZEWSZKJTHZ-INIZCTEOSA-N 421.906 4.550 5 20 HJBD C[C@@H](C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 583299733 DVAAWJBHAHHSCO-OAHLLOKOSA-N 404.426 4.981 5 20 HJBD C[C@@H]1C[C@@H]1CNC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 603640914 JDBVHENJTHUJLV-HCIRMPLMSA-N 407.495 4.907 5 20 HJBD CCOC(=O)c1c(C2CC2)csc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603728700 VRFOIYHKAGMLMG-UHFFFAOYSA-N 400.412 4.709 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)NCc1cccc([N+](=O)[O-])c1 604470491 BRRXTVOVGRYBCG-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD COC(=O)c1sc2ccccc2c1CNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609122789 MUYQAYDPJIVCGM-UHFFFAOYSA-N 419.846 4.571 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609726483 LLUWLIBCNAMDPP-LJQANCHMSA-N 421.522 4.970 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H](N4CCCC4)CC3)c([N+](=O)[O-])c2)cc1 609964783 JNPFSOYXSASUSB-OAQYLSRUSA-N 422.529 4.610 5 20 HJBD COc1cc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OCCC(C)C 610040061 AYPPIMAZZJXLIX-UHFFFAOYSA-N 414.502 4.622 5 20 HJBD CC(C)(C(=O)NCc1ccccc1CN1CCCCCC1)c1ccc([N+](=O)[O-])cc1 610045266 NTJNPHZDVIVQJS-UHFFFAOYSA-N 409.530 4.565 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(COCc2ccccc2)cc1 610184639 BDONSWCPZABFSA-UHFFFAOYSA-N 405.454 4.752 5 20 HJBD CCOc1cc(NC(=O)N2CCC(C3CCCC3)CC2)c([N+](=O)[O-])cc1OCC 610318073 UOTWAPFKEAFUON-UHFFFAOYSA-N 405.495 4.826 5 20 HJBD C[S@@](=O)c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 610575278 VVJHWZRNHVLZKL-HHHXNRCGSA-N 404.875 4.535 5 20 HJBD Cn1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2cc(F)ccc21 611206356 BQKSVYIXSJVVGW-UHFFFAOYSA-N 414.462 4.908 5 20 HJBD O=S(=O)(Cc1nc(O)c2cc3ccccc3cc2n1)c1cc(Cl)ccc1Cl 614115596 ZKFKBNKXWLLHMG-UHFFFAOYSA-N 419.289 4.769 5 20 HJBD CN1Cc2ccccc2[C@@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 619657108 UIJBSCRDLYEUNS-IBGZPJMESA-N 419.506 4.663 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1)c1ccccc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710781 GJLUVLILSVIVQQ-IBGZPJMESA-N 423.856 4.748 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)c1cc2ccccc2c2cccnc12 730801256 SORQMEUXULWSLF-KRWDZBQOSA-N 405.498 4.517 5 20 HJBD CC1CCN(c2ccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 734992617 CPAUFIQFASARPO-UHFFFAOYSA-N 417.893 4.892 5 20 HJBD CC(C)Oc1cccc([C@H](O)CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 735086756 RRLJZCSVJXNYSY-OAQYLSRUSA-N 412.511 4.934 5 20 HJBD CN(C)C(=O)CCCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746162364 GEBBFYMKCXEJIV-UHFFFAOYSA-N 414.505 4.532 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748389887 JTXJVROVKWKKEW-JLHYYAGUSA-N 422.359 4.507 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CCS[C@H]2CCCC[C@@H]21 750892323 FANRVMMWXZPMRF-AAEUAGOBSA-N 419.728 4.511 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1ccc(Br)cc1[N+](=O)[O-] 750962264 CKXLEERMJNUMCM-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])cccc21 754013443 GHYCKHGQKDSBJN-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@H](O)c2cccs2)cc1[N+](=O)[O-] 754396251 PMGKXNCVTQHLKU-QAPCUYQASA-N 402.516 4.682 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(C)C 771794465 BUIPMQDHYVNDMJ-UHFFFAOYSA-N 408.458 4.589 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775086046 JWFDSNQIHLKAIX-HNNXBMFYSA-N 416.499 4.896 5 20 HJBD Cc1ccc(OCCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133554 NKRKXWRDDFPZPI-HSZRJFAPSA-N 406.438 4.790 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1csc(-c3ccc(F)c(F)c3)n1)CC2 780031363 KTPARPXOQQXOLT-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cccnc1SC(F)(F)F 782426488 LSXXAWCIUGRPDG-UHFFFAOYSA-N 411.283 4.873 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787665676 QCDRTYFHGDBUCN-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc3nc(N4CCCC4)sc3c2)c1F 788271121 LNPOTMCMAXTOTI-UHFFFAOYSA-N 400.435 4.505 5 20 HJBD CC(C)CCn1ncc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1C(C)C 790964534 XCIYMTDVIUAECF-UHFFFAOYSA-N 410.877 4.935 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Br)c(Cl)c1)c1ccc([N+](=O)[O-])cn1 794949702 QKSBZEMEQFKRTK-GHXNOFRVSA-N 411.639 4.509 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1occ2c1CCOC2 802810660 NTDZLQDZIAIIJG-UHFFFAOYSA-N 402.453 4.726 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H](c3ccccc3)[C@H](O)c3ccccc3)co2)cc1 808788862 WMAQXFKQCKASFG-XZOQPEGZSA-N 415.449 4.814 5 20 HJBD Cc1ccccc1C1(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CCCC1 811268325 KJZBNZMRNHWRDC-UHFFFAOYSA-N 407.426 4.508 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(N2CCCNC2=O)cc1 812800476 XOPQRKMZRBEMSO-MRXNPFEDSA-N 420.469 4.625 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)cnc1Br 813371722 LOLKIJZTXNXDGB-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD C[C@H](NCc1ccccc1-c1ccc(CN(C)C)cc1)c1ccc(N)c([N+](=O)[O-])c1 815558232 VXDCGPXDSBDWKO-KRWDZBQOSA-N 404.514 4.756 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 825183015 AVRACADHTOGBAE-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(Br)cc3)no2)ccc1N1CCCC1 904717394 VJOUGSQCSMMLMK-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCC[C@H]3c3ccc(Cl)c(Cl)c3)n2)cc1 917479437 ZTYYNFFCTQJRAH-SFHVURJKSA-N 418.284 4.955 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 919855306 PHBLAPLTZSTIGX-UHFFFAOYSA-N 423.450 4.627 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCCc2c1cnn2Cc1ccccc1 1116607922 OGUVXYAZELLBLU-IBGZPJMESA-N 419.485 4.656 5 20 HJBD CS[C@@H]1CC[C@@H](N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 1116839585 NWTSUUXCASPWJD-SJLPKXTDSA-N 400.500 4.530 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2ccc(OC)cc2)nc2cc3ccccc3cc2c1=O 1317887702 HDVDIHVLMLXNKD-HNNXBMFYSA-N 418.518 4.942 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC(Cc2ccccc2C(F)(F)F)C1 1324557326 YOHYMVYPKYYTLS-UHFFFAOYSA-N 413.783 4.973 5 20 HJBD COc1ccc([C@H](C)OC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1Cl 1341886296 RHPXAZDJSJLAKR-JTQLQIEISA-N 411.863 4.905 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cs2)cc1 1349657722 GHPSGSOHDIHUFO-UHFFFAOYSA-N 407.495 4.927 5 20 HJBD Cc1c(Br)cc(-c2nnc([C@@H](C)CN3CCC(C)CC3)o2)cc1[N+](=O)[O-] 1349948426 YJHMZMFZOSRPGO-LBPRGKRZSA-N 423.311 4.551 5 20 HJBD COc1ccc2c(CSc3nc4ccc([N+](=O)[O-])cc4s3)cc(=O)oc2c1 7797867 ITCGVYFSPJCEDW-UHFFFAOYSA-N 400.437 4.612 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C\c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC1=S 11294845 SWGYYBLGHFULIL-FOWTUZBSSA-N 421.503 4.894 5 20 HJBD COc1cc(CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1OC(F)F 18944295 WOMFEWHCUPMCCB-UHFFFAOYSA-N 423.441 4.566 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1ccc(F)cc1)c1cccs1 19352749 LSQKTBNZFHWFRK-IBGZPJMESA-N 420.849 4.733 5 20 HJBD CC(=O)Nc1ccc(C(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cc1 24132722 PBERHRBASNLIRU-SNVBAGLBSA-N 401.469 4.526 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(-c2cccc(Cl)c2)o1 26408571 UKBHKKFHYLBHNL-UHFFFAOYSA-N 418.862 4.728 5 20 HJBD Cc1c(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cccc1NC(=O)c1ccccc1 55803193 RQUHCSDXJBQZDK-NTCAYCPXSA-N 401.422 4.807 5 20 HJBD O=C(Nc1ccccc1)C(=Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccccc1 58773535 UFXGIBBVSBXCOS-UHFFFAOYSA-N 421.840 4.909 5 20 HJBD Cc1ccc(NC(=O)c2sc(NC(=O)c3cccc(F)c3)cc2C)cc1[N+](=O)[O-] 59288618 IHPJHCNVNZDFFC-UHFFFAOYSA-N 413.430 4.917 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 60969061 RSMBXYVXHQYEJZ-UHFFFAOYSA-N 408.479 4.929 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61332040 LOMCIGZTKOEPMA-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD O=C(N[C@H](c1ccccc1)C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64917869 XQYCSDJSQZXNDV-OAHLLOKOSA-N 407.373 4.752 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3cccnc3)c(F)c2)c1 144664540 DOXTVVZZJWQNIA-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CC(C)CCSc1ccc(C(=O)NC[C@H]2CCCN(C(C)C)C2)cc1[N+](=O)[O-] 302989761 MHULSDYDQPNWIL-QGZVFWFLSA-N 407.580 4.583 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCO[C@H]1CCCc2ccccc21 303556337 HRUJSEGYUPEFQY-KKSFZXQISA-N 414.527 4.676 5 20 HJBD Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2)sc1Cc1ccc(F)c(F)c1F 410428416 XGTNXCWLPXSKNH-UHFFFAOYSA-N 421.400 4.549 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@@H](Sc4cccc(Cl)c4)C3)n2)c1 429142258 NOIHRVIDVDEAEF-QGZVFWFLSA-N 416.890 4.665 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 432680840 WERORXHNMRLNAH-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)N[C@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)ccc2NC1=O 433284535 GLNOSBWOJVNEBI-MRFFXTKBSA-N 409.486 4.778 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 436029694 SNCDKORKEOWOTA-GDBMZVCRSA-N 408.376 4.688 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 437450046 GXBPDLXGWVIJKK-SAZUREKKSA-N 422.406 4.775 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438894554 GQEREXCZRCYOOT-HOTGVXAUSA-N 423.513 4.511 5 20 HJBD COc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c(OCc2ccccc2)c1 439032035 DYQZHIUAEBGEFV-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD O=C(Cc1cnn(-c2ccccc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440602441 YNYOLVMMMRPCGX-UHFFFAOYSA-N 412.449 4.556 5 20 HJBD O=[N+]([O-])c1ccc(SCc2ccnc(-c3ccc(Br)cc3)n2)nc1 440872000 FOFVLRKOVFHPLA-UHFFFAOYSA-N 403.261 4.502 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 441015938 ZHEHUUMJIIIMSK-HNNXBMFYSA-N 415.515 4.631 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230968 AQXQJHKIAZIFPT-CVDCTZTESA-N 416.521 4.825 5 20 HJBD O=C(Nc1ccc(Cl)cc1O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444262144 UQCWSWDFFRYWKJ-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](c3ccc(Br)cc3)C3CCC3)[nH]c2c1 444671006 HJKHUAZAJRNWMA-LJQANCHMSA-N 415.291 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc(C(C)C)n(-c2ccccc2Cl)n1 444736460 VWBJSFBEHYPMBY-UHFFFAOYSA-N 413.865 4.821 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnnc(-c4ccccc4)c3)n2)cc1[N+](=O)[O-] 463241598 CGIIKWCCLAMOMG-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)on1 466277963 WSXKROXPLXINDJ-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 470031815 JCCKSPZZAYKXJA-CRAIPNDOSA-N 421.400 4.546 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H](c2c(F)cccc2F)C1 470353388 UKUGPYPLUSYKOC-NSHDSACASA-N 412.845 4.635 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@@H]1CCCN(Cc2ccccc2)C1 470660801 UKHMHXIIFAURAU-LJQANCHMSA-N 409.511 4.546 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 472020425 FOLZAQXONPFGSI-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCCC3(CC3)C2)cc1[N+](=O)[O-] 474787486 KBRHENYKHKYCFF-UHFFFAOYSA-N 410.495 4.564 5 20 HJBD CC(C)N(Cc1cccc(F)c1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480035845 DXBHXHLIERPGGD-UHFFFAOYSA-N 414.355 4.726 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])c1C 480550420 HXYSJVMIUBKEHW-CQSZACIVSA-N 408.376 4.560 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](c2c(F)cccc2F)C(F)(F)F)cc1[N+](=O)[O-] 482427041 WCEXJWXNQIUVCB-MGPLVRAMSA-N 417.334 4.845 5 20 HJBD CC(C)(NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccccc1[N+](=O)[O-] 489729430 SISCGAUBBBLYGO-FQEVSTJZSA-N 424.888 4.967 5 20 HJBD COc1ccc(Cl)c(NCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c1 491036381 YHFGHRXBBGUFAO-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD Cc1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1Oc1ccncc1 498141467 ZFSFLUHXQJVGIY-QFIPXVFZSA-N 421.478 4.978 5 20 HJBD COc1cc(CNc2c(C)cccc2OC(F)F)c([N+](=O)[O-])cc1OCC(F)F 502584230 HTVFEUQRLAQQFZ-UHFFFAOYSA-N 418.343 4.769 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 506158988 DCWBYGBYUFVDSB-JKSUJKDBSA-N 420.469 4.663 5 20 HJBD COc1ccc(CNC(=O)NCCC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 517498894 JGHVAGWTHMADJC-UHFFFAOYSA-N 419.481 4.625 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cc([N+](=O)[O-])ccc1C 519161008 IWNGHUCIQSHQLS-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD CCN(C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 520387954 YCIVKIXGQJPMPD-KBPBESRZSA-N 406.866 4.634 5 20 HJBD COc1ccc(CSCC(=O)NC(C)(C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 523092704 OIVBXVCQSRQUFY-UHFFFAOYSA-N 408.907 4.542 5 20 HJBD CS[C@@H]1CCC[C@H](NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 524209049 XIIJIHBJKXATDU-HYVNUMGLSA-N 414.531 4.567 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1nnc(-c2ccccc2Cl)o1 525626322 VEKISRSNKPSTLB-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1ccc(OCc2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 530730014 IYIBNVQKJMQRMQ-HNNXBMFYSA-N 413.499 4.798 5 20 HJBD CCS[C@H]1CCC[C@@H](NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 530903675 CHAFWWZZIWDALU-KGLIPLIRSA-N 422.469 4.698 5 20 HJBD CN(C(=O)Cc1ccc(C(F)(F)F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151121 NVNLWCWTCBVRNS-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD CS(=O)(=O)CCc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 537756891 WANNLJUNTUKCSV-UHFFFAOYSA-N 416.524 4.523 5 20 HJBD COc1ccc(CN2CCC(C(F)F)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 538737683 WPWMNORGPBQLGN-UHFFFAOYSA-N 406.429 4.660 5 20 HJBD Cc1c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)oc2c(Br)cccc12 541082023 RZXAEXSBAYHIDT-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542526781 UPTHGWJTPUNOAX-SNVBAGLBSA-N 411.227 4.637 5 20 HJBD Cc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cccc1OCC(F)(F)F 542531320 IPXQYUMDRVUZHG-JTQLQIEISA-N 400.328 4.726 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(OC(F)F)cc1OC(F)F 544386359 NWUULVXSHQQXBT-JTQLQIEISA-N 418.343 4.657 5 20 HJBD O=C(CCc1nc(CCNc2ccccc2[N+](=O)[O-])no1)c1csc2ccccc12 544551312 FMYMTXULULUAFL-UHFFFAOYSA-N 422.466 4.663 5 20 HJBD Cc1ccc(-c2noc(CCc3nnc(-c4cc5ccccc5o4)o3)n2)cc1[N+](=O)[O-] 544953076 BKVWGQKTEQAYGC-UHFFFAOYSA-N 417.381 4.535 5 20 HJBD CCCOc1cc(NC(=O)N2CCC(OC(C)C)CC2)c([N+](=O)[O-])cc1OCCC 548800634 PNGGCHYNQLNSKK-UHFFFAOYSA-N 423.510 4.594 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)c1 549213787 OBGGHGFAKSOSOC-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@H]3CCOc4c(-c5ccccc5)cccc43)nc(O)c2c1 553050581 VYESKJXHQAHCTP-FQEVSTJZSA-N 414.421 4.846 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1ccc(F)c2ccccc12 558029436 PVOGZKXLYBPLCM-HNNXBMFYSA-N 423.444 4.533 5 20 HJBD COc1cc(C(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)cc([N+](=O)[O-])c1C 563246633 KCJXWRYTUKBWAW-UHFFFAOYSA-N 411.433 4.552 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1sc(Br)cc1[N+](=O)[O-] 579072060 YXWKKLIMKKRGOG-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD O=C(Nc1cc(-c2nnc3n2CCCCC3)ccc1Cl)c1csc([N+](=O)[O-])c1 603753353 RKUNALRLRJVNPF-UHFFFAOYSA-N 417.878 4.547 5 20 HJBD COc1ccc(Cl)cc1CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 603997266 IFLUHBOYMDWTMT-UHFFFAOYSA-N 417.874 4.870 5 20 HJBD CCCCN([C@@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 604494935 LABSLCMHGHSANE-ZDUSSCGKSA-N 400.884 4.702 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H](c2ccccc2)CC1 608968508 RXKPGSAKPQWMNG-NVXWUHKLSA-N 402.878 4.812 5 20 HJBD Cc1ccccc1CN(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609873430 PMMSQGXPRWKHQT-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCCN(C)c4ccccc43)cc2[N+](=O)[O-])C1 609974251 YMZOIJXJPYJUIK-QZTJIDSGSA-N 422.529 4.564 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(O)c(C)cc2C)cc1 613032040 YSLLIXOJJRFTLK-UHFFFAOYSA-N 408.410 4.970 5 20 HJBD CCOc1ccc2c(c1)[C@H](NCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CCO2 614100924 DCWFGMRVVODDHR-LJQANCHMSA-N 411.483 4.730 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711166 PDNHNIDKHRIGEW-UHFFFAOYSA-N 405.819 4.716 5 20 HJBD Cc1ccc(NC(=O)c2cccc(OC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])c2)cc1 727713206 ZDRUJKNYGPWQDX-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 730819824 KJUODDJTUDMRPJ-UHFFFAOYSA-N 417.259 4.938 5 20 HJBD Cc1ccc(C)n1C1CCN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])CC1 732567806 CDHTXIVEYSLQCD-UHFFFAOYSA-N 412.368 4.782 5 20 HJBD CC[C@H](C)[C@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 733141170 ZIZNWIQRQBRERC-STQMWFEESA-N 403.866 4.665 5 20 HJBD O=C(CC1CC1)Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744283036 FRIVPWNBAHORKR-UHFFFAOYSA-N 409.225 4.859 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C2(c3cccc(Cl)c3)CCC2)cccc1[N+](=O)[O-] 744536269 GMNRLQFPQJBMEM-CQSZACIVSA-N 416.861 4.549 5 20 HJBD C[C@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)Cc1cccs1 750890737 RKPADHQDUHXFDV-VIFPVBQESA-N 417.712 4.681 5 20 HJBD CN(C)C(=O)Sc1cccc(CSCc2cc([N+](=O)[O-])cc3c2OCOC3)c1 753135661 UBMKNUVUDBTYSW-UHFFFAOYSA-N 420.512 4.668 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Oc1ccc(-c2cscn2)cc1 755377066 SIIVYRNUYXWCKM-UHFFFAOYSA-N 419.256 4.629 5 20 HJBD CCCc1c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 759453604 YRYJRECJIUQTII-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764169168 RJYBUKOIZCALFH-HUUCEWRRSA-N 407.511 4.654 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CC[C@@H]2c2cccc(F)c2)c1=S 765037900 IALPCPODHDYVKO-GOSISDBHSA-N 413.478 4.553 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(O)(c3ccccc3Cl)CC2)cc1[N+](=O)[O-] 765071129 PHXBPKFUGSMONU-UHFFFAOYSA-N 416.905 4.670 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H](O)c1ccc(-c2ccccc2)cc1 767830242 ISWXFSNYWAQWAG-OAQYLSRUSA-N 405.454 4.734 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC[C@H](CSc2ccccc2)C1 782125397 XQMPKXNYSDAQOS-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cccc([N+](=O)[O-])c3Br)ccc2C)n1 782175039 PNYYLEVRQIRXQT-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C)[C@@H](C1)C2(Cl)Cl 791251809 JGQUMLYWVLSDRP-GDBMZVCRSA-N 403.331 4.753 5 20 HJBD O=C(Nc1ccccc1C(=O)OCCCCCF)c1ccc([N+](=O)[O-])cc1Cl 791599719 AZUXCSQVHVTNRJ-UHFFFAOYSA-N 408.813 4.797 5 20 HJBD O=C(Oc1cccc(Oc2cnccn2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 797027529 BCNYBBMPBQSRCN-UHFFFAOYSA-N 420.406 4.520 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2ccccc2F)c2ccc3nc(O)[nH]c3c2)cc1F 801525215 RKENXECDJADMAR-UHFFFAOYSA-N 410.380 4.662 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@@H](O)c1ccccc1C(F)(F)F 811476789 RAOCPMACPQQDKS-CQSZACIVSA-N 409.191 4.744 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(N2CCCOC2=O)cc1 814264534 VRJVABXSLJWVIE-LBPRGKRZSA-N 420.263 4.877 5 20 HJBD C[C@@H](N[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1)c1ccc(N)c([N+](=O)[O-])c1 815574717 AOVRHGUOVFGOET-VFNWGFHPSA-N 419.460 4.758 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 823328931 CLMYOBXDVJWGCZ-NSHDSACASA-N 407.398 4.736 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(C2(NC(=O)OC(C)(C)C)CCCC2)no1 904421955 CVVRZYYPTRFZFC-UHFFFAOYSA-N 402.451 4.556 5 20 HJBD CC(=O)c1ccc(N2CCc3[nH]c4ccc(Br)cc4c3C2)c([N+](=O)[O-])c1 914571822 JNUBIZSGDDEDRV-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CCc2ccc3ccccc3c2)cccc1[N+](=O)[O-] 919554286 ZGDVOGFWVBZYBR-MRXNPFEDSA-N 406.438 4.559 5 20 HJBD C[C@H](OC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 920266983 CMFROGZMSFRACH-NSHDSACASA-N 419.846 4.695 5 20 HJBD CCCNC(=O)[C@@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 1120716574 NSZMBRDNACTYSM-MRXNPFEDSA-N 414.505 4.578 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2COc3ccc(Br)cc32)n1 1321124799 RBALRFOBZQGXCZ-AWEZNQCLSA-N 416.231 4.548 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c([N+](=O)[O-])c1 1346261567 ZSRZQEZDTPRLQL-CQSZACIVSA-N 419.865 4.580 5 20 HJBD Cc1[nH]c2ccccc2c1C(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 7169039 QULLKWUNPAAQKB-UHFFFAOYSA-N 413.502 4.851 5 20 HJBD Cc1ccc(N2C(=O)/C(=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)cc1C 15256370 JQONKCQLUKSDQN-LDADJPATSA-N 419.462 4.734 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cccc([N+](=O)[O-])c2C)cc1OC 16011038 RGQYLLUIRAPKEW-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NCc1cccc(Cl)c1 16324984 WIVZHXIBCWRQSV-UHFFFAOYSA-N 402.859 4.672 5 20 HJBD Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374949 ZVNVGMYHMWGATL-ZIAGYGMSSA-N 412.467 4.541 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])C1 26956868 HIODAJSQACALAL-CQSZACIVSA-N 410.499 4.717 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c(NC(=O)c2cccs2)c1 60515395 HUCDKNHDPDQGMO-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC(C)(C)O1 65608065 AMDKDDQHTCYUMN-CYBMUJFWSA-N 404.850 4.680 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)CCn2cc([N+](=O)[O-])cn2)c2cccs2)cc1 72022801 ONXPSPMDFBIXCK-BTYIYWSLSA-N 412.515 4.662 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)[nH]n1 97570386 KLPHNJPJLPIERH-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD Cc1ccccc1CN1CCC(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 117204499 VYAKRBDJXXJDGG-UHFFFAOYSA-N 409.511 4.512 5 20 HJBD CN(C)Cc1nc(NCc2cccc([N+](=O)[O-])c2)c2c(-c3ccccc3)csc2n1 216484242 PCOAYTLBRBIJIH-UHFFFAOYSA-N 419.510 4.940 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 301357773 ZBKMYCVVHBCVBF-GOSISDBHSA-N 416.524 4.764 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)c(F)c1 301793988 PITQNIUSWLNAQZ-NSHDSACASA-N 424.454 4.523 5 20 HJBD Cc1nnc(Sc2ncc([N+](=O)[O-])cc2Br)n1[C@H]1CCC[C@@H](C)C1 301845604 SVHRFPIAMKIWPQ-KOLCDFICSA-N 412.313 4.555 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccc(OCc2ccccc2F)cc1 302184685 GXCADJJREHNEIV-UHFFFAOYSA-N 420.400 4.574 5 20 HJBD COc1ccc([C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 409662530 ZXPUXLDVPVTECU-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD O=C(CCSCc1sccc1Br)Nc1ccccc1[N+](=O)[O-] 409845972 CIILVGOIQVKZME-UHFFFAOYSA-N 401.307 4.681 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1sc(Br)cc1[N+](=O)[O-] 410424587 WXFHQMZFPGAJDY-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(Cc2ccc(Cl)cc2)s1 426319506 WPPPTJKZXYLZKA-UHFFFAOYSA-N 416.890 4.868 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1Cl 426701237 ZDBFAXVXBTVVGS-MRXNPFEDSA-N 414.845 4.541 5 20 HJBD COc1cc(CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)ccc1O 429985439 PSAHGPJUDLBWKC-UHFFFAOYSA-N 411.483 4.597 5 20 HJBD COc1cc([C@H](C)N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(OC)c1OC 433138119 WODJZGFBNGLBNM-UGSOOPFHSA-N 400.475 4.694 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436056282 CMNCTSRXBLSBSV-CQSZACIVSA-N 406.432 4.629 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437292179 UNCNVUWOSYJFBP-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COC(=O)c1cccc(-c2nc(CSCc3cccc([N+](=O)[O-])c3)cs2)c1 437296074 UPQGFBCGRYKRFL-UHFFFAOYSA-N 400.481 4.938 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@@H]1CCC[C@H](C(F)(F)F)C1 438539752 IQXAXIZJSHFMCW-CVEARBPZSA-N 416.443 4.640 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)CC2)c1 439386036 KBTKVQNEZVKZBN-UHFFFAOYSA-N 401.438 4.713 5 20 HJBD CCCN(C(=O)c1cncc(-c2ccc3c(c2)CCO3)c1)c1cccc([N+](=O)[O-])c1 441431367 BPUKROGXQKUBNU-UHFFFAOYSA-N 403.438 4.649 5 20 HJBD CC(C)(C)c1cnc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)s1 444090492 YFDPTNJRBPBQJN-UHFFFAOYSA-N 413.449 4.966 5 20 HJBD O=C(Cc1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1)Nc1cc(Cl)ccc1Cl 445342770 LUJCQZWBZIPLMU-QPJJXVBHSA-N 419.224 4.636 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccc(Cn2cnc3ccccc32)cc1 446485579 PBILFKIGMAAJSR-UHFFFAOYSA-N 415.453 4.623 5 20 HJBD C[C@@H](N(Cc1ccccc1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)C(C)(C)C 446902149 JCQJLJCXEPMLFP-OAHLLOKOSA-N 409.490 4.618 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)c2)n1 447596180 BUSXLEKZACUZQK-LJQANCHMSA-N 423.494 4.672 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1)CCC2 462603181 HTMVLKBEQGPEOR-CRAIPNDOSA-N 401.532 4.718 5 20 HJBD CC[C@@H](O)CCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467755879 BVIBMXJKTGLVQT-CQSZACIVSA-N 408.907 4.680 5 20 HJBD O=C(CCc1cnc2ccccc2c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 470727747 WHGFMITVGJPVHP-UHFFFAOYSA-N 404.451 4.838 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(Cl)cc2)C1 483024835 YJYSARRIRSPGDU-QGZVFWFLSA-N 406.891 4.574 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)Cc1ccc(F)cc1Br 484778382 TYZDPRVVFLAZOI-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC[C@H](c2nccs2)C1 484910352 XQZZPIYVDTXTBI-KRWDZBQOSA-N 422.510 4.683 5 20 HJBD Cc1cc(Cl)cnc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 485104167 ZJRFCLLFIOSIPT-CYBMUJFWSA-N 411.849 4.772 5 20 HJBD O=C(CCc1cc(Br)ccc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486094901 KWMBTUSRUHZBBO-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2n1 488212447 BVEXRPJLOCQQRW-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(C)(C)O)cc1 489201047 XXMUVHMEYNHROO-UHFFFAOYSA-N 418.515 4.745 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCC[C@@H](c2ccccc2)C1 489650139 ODTSAEKKRCAQAN-RTWAWAEBSA-N 410.517 4.997 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@@H]2CCCO2)c1 502715514 NHDYOJVHWJCULV-SFHVURJKSA-N 416.499 4.907 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 503647869 KXTNQUBJUGDVPX-NSHDSACASA-N 402.248 4.672 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)ccc1[N+](=O)[O-] 505116785 GESCAAXYVYZZSF-LJQANCHMSA-N 404.422 4.524 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(OCC(C)C)c(OC)c1 505241587 ZBZDSOXVQUJWGW-AWEZNQCLSA-N 417.462 4.530 5 20 HJBD COc1c(Cl)cc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 506289220 JLCFEWVZHLCBFQ-MRVPVSSYSA-N 419.648 4.970 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 507287768 ZESIGJOXDVSHKE-HXUWFJFHSA-N 422.510 4.831 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)N(C)C(C)C)ccc2Cl)c1 508523993 YSJRSDPKWFNXNN-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(-c4ccccc4Cl)o3)n2)c1 517444293 NBBQCIVQYLWSQE-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCCN3CCCCC3)o2)c(Br)c1 517541010 OKCBSPOVLHFIKA-UHFFFAOYSA-N 422.323 4.583 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3cccc(NC(C)=O)c3)o2)c([N+](=O)[O-])c1 520950689 COWCZSIVMGNVAK-CQSZACIVSA-N 409.442 4.673 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3scnc3C)cc2[N+](=O)[O-])n1 521290292 YKBOUZNWDNUGJQ-UHFFFAOYSA-N 420.541 4.548 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 524255100 FXSKMBGFBIBZLA-HNNXBMFYSA-N 403.276 4.513 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)sc2C)cc1 524681128 XBSWOPIHKQVVBE-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccccn2)cc1 524828742 ADEJEDDODGINPF-UHFFFAOYSA-N 406.442 4.927 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2c2cccc3ccccc23)cc([N+](=O)[O-])c1OC 525068485 IQNDAJPOOCRFSK-LJQANCHMSA-N 406.438 4.743 5 20 HJBD COc1ccc(CN[C@H](C)c2ncc(C)s2)cc1OCc1ccc([N+](=O)[O-])cc1 531170752 YIANEDHATKGJFH-OAHLLOKOSA-N 413.499 4.798 5 20 HJBD CC[C@@H](c1ccc(F)cc1F)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795294 OVAPHOOFAUUZLB-SFHVURJKSA-N 422.409 4.594 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 535631252 JOQSOJREECVNKP-OAQYLSRUSA-N 422.506 4.990 5 20 HJBD COc1cccc([C@H](C)CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 537146032 LYPKEYYHRQAIJV-CQSZACIVSA-N 411.483 4.884 5 20 HJBD C[C@@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1 537527005 BIVWNCRZJLFPKM-QGZVFWFLSA-N 401.466 4.900 5 20 HJBD C[C@H](NCc1cc(Br)ccc1OC(F)F)c1ccc([N+](=O)[O-])cc1 539080039 QYWYATLUHYSCGD-JTQLQIEISA-N 401.207 4.810 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 540206344 XLENOYYKDOSMBW-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD CC(C)CN(C(=O)c1ccn(C(C)C)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540454009 JRKQCIRYKZREIY-UHFFFAOYSA-N 413.503 4.799 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 540582157 OQTOOGGCKQNPIR-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCN(C2CCCC2)CC1 540772552 GTKRNICJIRIQJW-UHFFFAOYSA-N 405.564 4.578 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 541661642 SMMOYJLTGOSCEU-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD Cc1cccc(C(=O)NC2CCN(Cc3cc(Cl)cc(Cl)c3)CC2)c1[N+](=O)[O-] 542228849 VWGXLTDARSFYFI-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OC(F)F)c2ncccc12)c1ccc([N+](=O)[O-])cc1F 542541784 DSANCTDJFNZVEU-SNVBAGLBSA-N 405.332 4.626 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)Nc1ccc([N+](=O)[O-])cc1F 543691301 RXCUWEIPGYJRAQ-UHFFFAOYSA-N 400.391 4.692 5 20 HJBD COc1ccc(Cc2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)c(Cl)c1OC 545658538 GTFCQUUQGXNIEK-JTQLQIEISA-N 421.812 4.530 5 20 HJBD CC(C)c1nc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cs1 546445510 QSJWAFVFGFDYSV-UHFFFAOYSA-N 409.265 4.578 5 20 HJBD COc1cc(Cc2noc(-c3csc(-c4ccc(F)cc4)n3)n2)ccc1[N+](=O)[O-] 546471073 LCBCOJLBOJEQIC-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD COc1cc(-c2nc([C@@H](C)c3ccc(Br)cc3)no2)ccc1[N+](=O)[O-] 546544704 ZBPZLHLGLDVQIQ-JTQLQIEISA-N 404.220 4.568 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 550263642 RGWXROPBXVZANR-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)c1 554965426 NNCMBNUZSWOXBJ-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD NC(=O)c1cccc(NCC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1[N+](=O)[O-] 557651397 MZDAGUQIMFAVDA-UHFFFAOYSA-N 413.783 4.510 5 20 HJBD NC(=O)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1N1CCCCC1 559073124 XJHBQRBCLAHPFK-UHFFFAOYSA-N 420.469 4.556 5 20 HJBD COc1cc(OC)cc(Oc2ccc(CNCc3csc([N+](=O)[O-])c3)cc2)c1 560079135 VXOKRQHFDDDUOI-UHFFFAOYSA-N 400.456 4.756 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3ccccc3Cl)C2)cc1SC 566817060 MQNVNVPFQOPBJH-HAQNSBGRSA-N 406.891 4.655 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cc(OC5CCC5)ccn4)no3)cc12 577023943 FORUQCNZHACFIM-UHFFFAOYSA-N 410.433 4.725 5 20 HJBD Cc1nnsc1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 589939876 MKUINYZVUZIDNY-UHFFFAOYSA-N 408.842 4.629 5 20 HJBD CC(C)(NC(=O)c1cc2sc(N3CCCC3)nc2s1)c1ccccc1[N+](=O)[O-] 590453794 OCAIZCFYBBZDHY-UHFFFAOYSA-N 416.528 4.531 5 20 HJBD O=C(Nc1ccc(NC(=O)C2CC2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603734718 IZNVJALLMMIZQL-UHFFFAOYSA-N 417.421 4.988 5 20 HJBD O=C(NCc1ccccc1OCC(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2s1 604022711 UCDYAURBQVIEFM-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD CCOc1ccc(N(CC)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 604094829 OOTUCIGYSCKJSD-UHFFFAOYSA-N 411.502 4.897 5 20 HJBD COc1cc(CN[C@@H](C)Cc2ccsc2)ccc1OCc1ccc([N+](=O)[O-])cc1 604282938 SWGIUTZKHKTFGO-INIZCTEOSA-N 412.511 4.965 5 20 HJBD C[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 608820226 TYZVRZIRLHFBFG-WMLDXEAASA-N 417.893 4.548 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)ccc2Cl)c1 608945448 NWMJJNLTAQNERV-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 609192221 GMPUVALKLAQFIA-QFYYESIMSA-N 407.289 4.570 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 609193882 VNXSCRQUBZGHAL-BETUJISGSA-N 414.346 4.945 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccccc1[N+](=O)[O-] 609980562 PNHXYUJRLBRGGN-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)C[C@H]2CCCO[C@H]2c2ccccc2)cc1[N+](=O)[O-] 610596591 HZZXJPDVWVGEBX-WEYGHZABSA-N 411.502 4.774 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 611206469 ZBFRCTSUKVQMHV-QWRGUYRKSA-N 416.343 4.520 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCc1ccc(-c2ccccc2)o1 614968301 KAOPLHBDFKYYRF-UHFFFAOYSA-N 408.457 4.731 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)c1 729690397 IRLFFZATZIJEAX-UHFFFAOYSA-N 415.446 4.658 5 20 HJBD CO[C@@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 733330166 QJXJHIQFVXQEBW-INIZCTEOSA-N 404.772 4.707 5 20 HJBD Cc1cccc(-c2nc(CC(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cs2)c1 734889098 NYPGBVJXQBYVMZ-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2Br)no1 736681004 NRCSUDHFYQKYAL-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1oc2ccccc2c1CSc1ncccn1 742948254 OULFVAFJZMHJKO-UHFFFAOYSA-N 421.434 4.780 5 20 HJBD CC[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)c1ccc(NC(C)=O)cc1 749094653 SBODXIMWYJMHPS-INIZCTEOSA-N 415.496 4.917 5 20 HJBD CC[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1nc(C)cs1 749431988 YTFBTWNBTYXOGO-SNVBAGLBSA-N 418.700 4.657 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccccc2SC(F)(F)F)c(C)c([N+](=O)[O-])c1 754614314 IHVLVKPHRDLRMB-UHFFFAOYSA-N 414.361 4.554 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2cccc(Br)c2)C2CC2)cc1 763306437 KVQCFFUBVYNUDG-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764280685 WEHJARWQOJHKCS-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cccc1-c1ccccn1 770321065 ZCUBKEWNZFACOC-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(c3ccc(N(C)C)cc3)CC2)cc1[N+](=O)[O-] 773358123 HPNAFPMSBZFUDV-QGZVFWFLSA-N 410.518 4.619 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1coc(-c2ccccc2)n1 776140053 YZJOQJBOVBCRRA-HXUWFJFHSA-N 401.378 4.591 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 777833319 BWHYXBOVZWSRRQ-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1cccc([N+](=O)[O-])c1Br 781675336 UBMRJMJURRUSNJ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1nn2cc(-c3cccnc3)nc2s1 795251199 HFFXFZHAXAKYBG-UHFFFAOYSA-N 408.226 4.860 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cn1c(Cc2ccccc2)nc2ccccc21 800145265 SXKINCINLIVAGW-UHFFFAOYSA-N 418.428 4.622 5 20 HJBD CCN(CC)Cc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813413583 RYGVVNAIOUKABR-UHFFFAOYSA-N 410.301 4.673 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1NCc1ccccc1[N+](=O)[O-] 823666826 TUZNPQBUHRIXBA-QWFCFKBJSA-N 403.523 4.643 5 20 HJBD O=C(OCc1ccc(SC(F)F)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 918528352 BEWKUABILQIWSJ-UHFFFAOYSA-N 422.453 4.869 5 20 HJBD Cc1cc([C@H](C)Nc2ccc(S(=O)(=O)Nc3ccccc3)cc2[N+](=O)[O-])c(C)o1 1115907831 LPYYHSMTQPVALP-AWEZNQCLSA-N 415.471 4.779 5 20 HJBD Cc1ccc(OCc2nnc(-c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])o2)cc1C 1117200120 DXZXXSXKPMAUNL-UHFFFAOYSA-N 423.347 4.782 5 20 HJBD Cc1[nH]c(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])nc1Cc1ccccc1 4492376 WJWSWNRVEDYLSN-UHFFFAOYSA-N 416.890 4.601 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1ccc(Cl)c([N+](=O)[O-])c1 9765299 LWDJKNQYIRDXJM-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD COc1ccc(NC(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 24372374 OOCBHNAKUJIACE-UHFFFAOYSA-N 422.462 4.809 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1OC 58418176 TWPNFIPECJCYRR-CQSZACIVSA-N 411.458 4.755 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 58532934 CGJPLMMUDRGCIU-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2)cc1)c1cc2c(cc1[N+](=O)[O-])OCCO2 59814472 CHMRQKUQUCVHJO-UHFFFAOYSA-N 410.357 4.550 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)nc12 60612030 RLNCMQHAUNCEAB-UHFFFAOYSA-N 410.499 4.676 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OCC(F)(F)F 61112294 RFIWPXLCTPSUMA-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3ccc(C)cc3C)s2)c1 65084511 YRDZWAJXLBVEGS-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(NC3CCCCC3)cc2)c([N+](=O)[O-])cc1OC 109889644 IQKHLQFLIAJICQ-UHFFFAOYSA-N 413.474 4.999 5 20 HJBD CCCn1c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])nc2ccccc21 117207546 MUAYSABIFJVFMQ-UHFFFAOYSA-N 422.363 4.548 5 20 HJBD O=[N+]([O-])c1cc(CNc2cccc(N3CCOCC3)c2)ccc1Oc1ccc(F)cc1 237885392 ZNJBGHXQXZZTSE-UHFFFAOYSA-N 423.444 4.975 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H]3CCCO[C@H]3c3ccccc3)cs2)c1 238007357 UDCXJGMSFLEYQC-RXVVDRJESA-N 409.511 4.976 5 20 HJBD Cc1ccc([C@H](Nc2cccc(F)c2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301414825 ANKRLTLGSTXPKO-FQEVSTJZSA-N 414.458 4.647 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)c1 436160274 HZOZCHMQTILTOU-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1 436215869 CDBWKQVVGNWLQK-NSHDSACASA-N 409.255 4.651 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C(C)(C)c1ccccc1 436417694 VQVDQIKKIMKJAA-HNNXBMFYSA-N 402.469 4.917 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc(O)c([N+](=O)[O-])c1 436971389 OIEJIOGLGLRYRP-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD COC(=O)c1ccc(OCc2nc(C)c(-c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 440778804 CHVVOLVOVAKSJW-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444033247 RFQYCAJSYFQLNP-QHCPKHFHSA-N 414.530 4.920 5 20 HJBD Cc1c(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)oc2c1ccc1ccccc12 444638602 WJLWPBZJMCYGFD-UHFFFAOYSA-N 400.394 4.609 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 445270019 IYEFCUARAVCSAD-OAHLLOKOSA-N 422.485 4.998 5 20 HJBD Cc1ccc(NC(=O)N(C)[C@@H]2CCOc3ccc(Br)cc32)cc1[N+](=O)[O-] 446018682 FHHBHFMRCNTPSD-OAHLLOKOSA-N 420.263 4.653 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCc2nc(-c3cc4ccccc4o3)cs2)n1 447595076 KXMYHCKLUULTIX-WBVHZDCISA-N 423.498 4.935 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1 447690085 BBBJAFWIAGTGKT-UHFFFAOYSA-N 419.224 4.665 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC4(CCC4)[C@@H]3C3CC3)cc2[N+](=O)[O-])n1 460974138 RJXZVBYJEOEAGL-KRWDZBQOSA-N 415.540 4.916 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Oc2ccc(Cl)cc2)cc1 461346367 IIXPYXVAILBJEB-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD Cc1cc(NC[C@H]2CC[C@@H](c3nc(C4CC4)no3)O2)c(C(F)(F)F)cc1[N+](=O)[O-] 461958451 OEVPFRXAGRTDQB-ABAIWWIYSA-N 412.368 4.515 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n(C)n1 462081337 RQNKQYOAUQDHHX-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 462611232 GDURXSRALBXKSP-KWOQKUFVSA-N 423.557 4.675 5 20 HJBD C[C@@H](O)C[C@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 468557462 YNPYUQGDSFTKBP-RHSMWYFYSA-N 418.877 4.806 5 20 HJBD C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 470012169 PTARTMIPGOWMCZ-KRWDZBQOSA-N 416.481 4.748 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 470402691 BDOQZGSHVCBRBW-LBPRGKRZSA-N 407.854 4.923 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c1 475354560 HMXSHZQSRRIIBV-UHFFFAOYSA-N 405.410 4.510 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3nc(C)ccc3[N+](=O)[O-])CC2)n1 478054501 PYOTZVKXHVTKDJ-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)ccc2OCC(F)(F)F)c1[N+](=O)[O-] 485751694 FNHOFRVMOXVZFM-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD CNC(=O)c1ccc(Nc2ccc(OCc3cccc(F)c3)c(F)c2)c([N+](=O)[O-])c1 488013774 JMHQPNFUMCWIMO-UHFFFAOYSA-N 413.380 4.555 5 20 HJBD CC[C@H](NC(=O)NCc1ccc(-c2csc(C)n2)o1)c1cccc([N+](=O)[O-])c1 489136206 JBRYSJCUIAFGOU-INIZCTEOSA-N 400.460 4.570 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)CCCNc2ccc([N+](=O)[O-])cc2)CC1 490328100 FGYBZPFSQTYCFX-UHFFFAOYSA-N 420.513 4.983 5 20 HJBD Cc1csc(C2(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CCCC2)n1 495006275 FTVQSFCUGMCCON-UHFFFAOYSA-N 414.512 4.683 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cc2)n1 498294774 RCLDWLDRCCGUKI-UHFFFAOYSA-N 403.398 4.569 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCc2c1cccc2C(F)(F)F 499665489 WWPCVMMINVTLSS-UHFFFAOYSA-N 404.266 4.835 5 20 HJBD COc1cc(C(=O)N[C@H](C)Cc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 500208773 VBWLFCYYRUBLGT-CYBMUJFWSA-N 422.428 4.689 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 501116073 IMMOYCDPELKNGJ-UHFFFAOYSA-N 420.896 4.523 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](c2ccccc2)C2CCCC2)cc1[N+](=O)[O-] 502720725 CWELDMBDAILNOS-JOCHJYFZSA-N 414.527 4.884 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2)cs1 504143804 FWLPGILJDDDGJC-CQSZACIVSA-N 410.499 4.929 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3C)s2)cc1 505126274 XMKYURQRJUQXPE-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCc1nc2ccccc2[nH]1 505949329 ZXXQZYMOEHZBFO-UHFFFAOYSA-N 412.515 4.678 5 20 HJBD CCN(Cc1cccs1)Cc1cc(OC)c(OCC(F)(F)F)cc1[N+](=O)[O-] 506027192 OKLCGPNBSOVGHU-UHFFFAOYSA-N 404.410 4.628 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 508226552 YSKWKYAAJMEPIE-XOBRGWDASA-N 420.444 4.719 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccco3)c(-c3ccco3)s2)c1 516334446 PFKLXSYSHSDFAS-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD Cc1c([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cnn1C 520206979 BFBLGFMBEVOMSJ-NSHDSACASA-N 419.279 4.510 5 20 HJBD CN(C)CCOc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 523324446 PYLPWODIULCTRW-UHFFFAOYSA-N 420.469 4.531 5 20 HJBD COc1ccc(OCc2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 530730015 IYIBNVQKJMQRMQ-OAHLLOKOSA-N 413.499 4.798 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCC[C@H]4OCC[C@@H]34)o2)c(Br)c1 532120104 MRLMHVGAYAVCBW-CGTJXYLNSA-N 421.291 4.665 5 20 HJBD CCc1cnccc1[C@@H](C)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 537995624 OBGKZJVBNBBWJC-MRXNPFEDSA-N 404.470 4.655 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)cs1 538180231 MKHOEBHOSSDQGE-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1Cl 538255060 ZJXCCGWAAMCDBU-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cccc(CCN4CCCC4)c3)cs2)c1 538780727 NIPBZNBEJRVAGW-UHFFFAOYSA-N 408.527 4.969 5 20 HJBD CC(C)CN(C(=O)CC[C@@H]1CCOC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356766 LNPOZIKYSIOOQZ-OAHLLOKOSA-N 403.504 4.524 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540588257 AFDRDQIBNPEJIB-UHFFFAOYSA-N 418.434 4.738 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)nn1-c1ccc(Cl)c(Cl)c1 540733686 UOUKNHJLDOZNFP-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD COCc1ncsc1C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 550319190 IAEBHSLKQYKSOH-UHFFFAOYSA-N 407.498 4.663 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1c[nH]c2cccc([N+](=O)[O-])c12 552744569 CRNPKXFQGGILEU-UHFFFAOYSA-N 418.425 4.506 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 555776884 IWUJRLCPRZOQEL-AEFFLSMTSA-N 411.502 4.581 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2F)ccn1 564437502 CDKKZFNPQDMCCS-AWEZNQCLSA-N 424.432 4.762 5 20 HJBD Cc1ccc(-c2noc([C@H]3CN(Cc4ccccc4)CCC3(F)F)n2)cc1[N+](=O)[O-] 578398619 JPVXJSZGAWBHRO-QGZVFWFLSA-N 414.412 4.578 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 578924572 ODINNMDAQRTMLS-UHFFFAOYSA-N 407.879 4.787 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 580765982 JWCJOJQWFKFLAC-LJQANCHMSA-N 411.483 4.950 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 588937127 UECKMCZFHKHDLG-FUHWJXTLSA-N 417.387 4.557 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)s2)cc1 603565612 NENSVKQDPSVDMA-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)CCCNc4ccc([N+](=O)[O-])cc4)CC3)c[nH]c2c1 603674509 IRTTWODCDLGVIT-UHFFFAOYSA-N 418.497 4.893 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](c2ccc(CC(C)C)cc2)C(C)C)cc1[N+](=O)[O-] 603705805 ZZVVZVOFCCTNID-OAQYLSRUSA-N 411.502 4.554 5 20 HJBD COc1ccc(C23C[C@H]4C[C@@H](CC(C(=O)NCc5ccc([N+](=O)[O-])cc5)(C4)C2)C3)cc1 603999273 BYTZNODVFBPLFB-WWVRUBFXSA-N 420.509 4.758 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1cccc([N+](=O)[O-])c1C 604493819 WFAHBQWHXIMRHV-CYBMUJFWSA-N 416.421 4.694 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)NCCOc1ccc([N+](=O)[O-])cc1 609455325 VHRYSKMHXWMEMB-UHFFFAOYSA-N 423.903 4.550 5 20 HJBD O=C(c1cnn(-c2ccc(Cl)cc2Cl)c1)N1CCCc2ccc([N+](=O)[O-])cc21 609860828 VKTNFKHQNWBWMK-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD CSCC[C@H](C)N(C)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 609870798 GVUBRZCIOVKWEC-LBPRGKRZSA-N 411.574 4.725 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(OCCC(C)C)c2)cc([N+](=O)[O-])c1OC 610175690 CZNXWOSUJSCIPR-UHFFFAOYSA-N 402.447 4.598 5 20 HJBD O=C([C@H]1CCSc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610223760 JEYUZHXOZPSGNN-HNNXBMFYSA-N 410.417 4.765 5 20 HJBD COc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1NC(=O)c1cccc(F)c1 610224404 PVDLIFHMQOZUCF-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610340690 HRTQJUXUZGBJNS-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccccc1Cl 611400301 FQCYHJOMKRAOEP-KRWDZBQOSA-N 408.907 4.866 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)c2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 728499955 BYUHWJYXXACLNW-HNNXBMFYSA-N 422.460 4.967 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](O)c2ccc(Cl)cc2Cl)o1 732230257 LEOPDLPHDXSWMO-GOSISDBHSA-N 407.253 4.985 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cc(Br)cnc1Cl 735642669 FKEFIRXWVAKPKP-UHFFFAOYSA-N 406.019 4.587 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)c2ccco2)c1 735802612 GPUJJTBVOWFNKE-UHFFFAOYSA-N 413.817 4.963 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 736517305 PJEVXXYKDOHEGP-LLVKDONJSA-N 411.683 4.714 5 20 HJBD Cn1cc(CCNc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)cn1 740873088 NCGNQEVQGILWBT-UHFFFAOYSA-N 405.380 4.745 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC[C@@H]1c1ccccc1 741973378 IECHPXBJKWQYNZ-BBRMVZONSA-N 403.276 4.623 5 20 HJBD COc1ccc(/C=C/C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1OC 743789738 SVZDCYQBHNYSBV-RVDMUPIBSA-N 418.449 4.859 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1)[C@H](Cc1ccc(F)cc1)C(C)C 744378219 LFSCPVLZOHZXRH-GOSISDBHSA-N 417.437 4.680 5 20 HJBD CSC[C@@](C)(O)CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746287835 LLEZSYSWOXHXLA-VWLOTQADSA-N 419.546 4.777 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])cc1 749060612 YJOPJEHMFMXOFZ-OAHLLOKOSA-N 410.426 4.626 5 20 HJBD CCC[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccccn1 750864704 RXQULBGPTZLOOD-ZDUSSCGKSA-N 412.671 4.677 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(CC(C)C)C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 753034873 DGVGWSWTURUBAC-KKSFZXQISA-N 417.481 4.505 5 20 HJBD CC(C)(CCc1ccccc1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754996638 NGFOBZXOPZSWED-UHFFFAOYSA-N 409.255 4.638 5 20 HJBD C[C@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1ccccc1[N+](=O)[O-] 757663925 JYZBNADSPIZAAM-HNNXBMFYSA-N 415.494 4.536 5 20 HJBD COc1cc(C(=O)OCc2nc3ccccc3c3ccccc23)ccc1OC(C)=O 772008518 PJYLWUBWXFKKOK-UHFFFAOYSA-N 401.418 4.679 5 20 HJBD CC(=O)c1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c(C)nc1C 776798375 BIYBRFHAVHEDLH-UHFFFAOYSA-N 401.850 4.519 5 20 HJBD CSc1cccc(C(=O)OCC(=O)N[C@H](C)c2cccc3ccccc23)c1[N+](=O)[O-] 777075856 ZUYICBCBPAJCRR-CQSZACIVSA-N 424.478 4.504 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)C(F)(F)c1ccc([N+](=O)[O-])cc1 777977599 NMQFZWGLNKCJEH-UHFFFAOYSA-N 414.393 4.702 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(C(F)(F)F)cn1 798669240 KTGKQFHKEHDYAE-QMMMGPOBSA-N 408.254 4.945 5 20 HJBD O=C(OCc1ccc(Cl)nc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 800156045 GENIIGOKZYOTKM-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccc(O)cc1)Cc1ccco1 809914273 CSPFFDVNDVPKBV-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD CCS(=O)(=O)Nc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1C 811469607 IAQLUKPCVDLTEL-UHFFFAOYSA-N 418.302 4.584 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2ccccc2)no1 815512537 ZGKBOGAMELTNOC-JTQLQIEISA-N 407.304 4.582 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NCc2ccc(Cl)cc2[N+](=O)[O-])C1 864003428 ACWKZQWRBHXTAO-HUUCEWRRSA-N 401.894 4.978 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCN2c2ncnc3sccc23)n1 1117547052 FVUHEUSDDVDILQ-HNNXBMFYSA-N 422.470 4.608 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCOc3ccc(Cl)cc3Br)o2)c1 1326972208 HLKRUNBCVYOFNI-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD COc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1Br 1343664484 XJHRACORFJLMAO-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1c(-c2nnc(-c3cc4c(s3)CC[C@H](C)C4)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1348950032 AMIRCMAOGJMKON-VIFPVBQESA-N 400.416 4.715 5 20 HJBD COc1ccc(Nc2nnc(Sc3ccc(C(C)=O)cc3[N+](=O)[O-])s2)cc1 7272064 GYZMZTPVLRTKOM-UHFFFAOYSA-N 402.457 4.552 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCCc3ccc(C(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 14942464 FYWSQTNVJHAEID-UHFFFAOYSA-N 420.513 4.552 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1[N+](=O)[O-] 15409770 WYVBCDYIFLHMBN-UHFFFAOYSA-N 415.349 4.561 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1c(Cl)cccc1C(F)(F)F 28451592 JJLBXEHYJWZDGP-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3oc(C(C)(C)C)nc3c2)cc1OC 43773682 YIJLJRMEGHXSPA-UHFFFAOYSA-N 413.430 4.693 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cccc(C)c3[N+](=O)[O-])c2)c1 47409151 PYPVEKYIADPSKR-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2cccnc12 49638472 KJCDUNQBBDFSCL-UHFFFAOYSA-N 405.332 4.645 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 55593294 KTLQQMUROYGAIT-HUUCEWRRSA-N 409.486 4.582 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2ccc(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 56227819 WKBUYGLVKVQBKJ-CYBMUJFWSA-N 412.396 4.718 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 57555124 CLKIJUSMEPWGRV-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccccc1)C[C@@H]1CCCO1 64934674 BRNAFSQBEJFFAO-IBGZPJMESA-N 423.494 4.540 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 65309092 QKBOBYDGCWFOOP-UHFFFAOYSA-N 422.388 4.945 5 20 HJBD C[C@@H]1CCC[C@@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 71861592 GKLBBGUYBYYKMG-CZUORRHYSA-N 413.440 4.525 5 20 HJBD CN(Cc1nnc(-c2cc3c(s2)CCCC3)o1)Cc1cc([N+](=O)[O-])ccc1Cl 217380319 BHMMWYLXOPMXGE-UHFFFAOYSA-N 418.906 4.871 5 20 HJBD CCOc1ccc([C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 236848551 FDCIEVWHSKZMSF-AWEZNQCLSA-N 413.499 4.976 5 20 HJBD O=C(Cc1ccc(NCc2ccccc2[N+](=O)[O-])cc1)N1CCCc2ccccc21 238117011 JVVKQBMSMXGIRW-UHFFFAOYSA-N 401.466 4.729 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N1CCC(F)(c2ccccc2OC(F)(F)F)CC1 410172073 ZJMXPQMLNIUWNT-UHFFFAOYSA-N 412.339 4.594 5 20 HJBD C[C@@H](CCCC(F)(F)F)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 427800095 RPDWUBRKRGBSBC-JTQLQIEISA-N 412.358 4.810 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(CCc3ccccn3)Cc3cccnc3)o2)cc1 430873062 OQJGHPJVVLTXMG-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD C[C@@H](OCCCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 435939986 PKDLQMSUJSYKFQ-MRXNPFEDSA-N 418.468 4.718 5 20 HJBD Cc1c(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cccc1NC(=O)c1ccccc1 438897170 PLRXLQNPWOEROW-UHFFFAOYSA-N 403.438 4.645 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@H]1c1nc2ccccc2o1 439880767 ALKRFNUPTUIHKD-KRWDZBQOSA-N 410.430 4.894 5 20 HJBD O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)C1(Cc2ccc(C(F)(F)F)cc2)CC1 440475297 SSLCSBPINKDRHS-UHFFFAOYSA-N 404.388 4.521 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 440900511 BDUMZNTUAQYBJB-UHFFFAOYSA-N 419.268 4.709 5 20 HJBD COc1cc(CSc2ccc(C(C)=O)cc2OC)c([N+](=O)[O-])cc1OC(F)F 441965957 ZNCNGQUCEUGGCW-UHFFFAOYSA-N 413.398 4.708 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1c(Cl)cccc1[N+](=O)[O-] 443937241 MYHORIXOYJUYDY-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD O=C(N1CCc2ccc([N+](=O)[O-])cc2C1)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 446431949 DSXNSNRNFORSPJ-LWYUSKRHSA-N 408.420 4.628 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2Nc2cccc(-c3ncc4n3CCCC4)c2)n1 447566961 XYZIXKXAGVIATP-WOJBJXKFSA-N 406.490 4.587 5 20 HJBD COCc1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])ccc1F 447669958 LCIYEKHRUWMPBG-UHFFFAOYSA-N 409.286 4.542 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(-c2ccccc2)n1 462605571 VYUSXKBZHKEJQP-KRWDZBQOSA-N 409.511 4.646 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463849220 IXVREJIWOPSBID-AWEZNQCLSA-N 412.383 4.894 5 20 HJBD Cc1noc([C@@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n1 466877038 KDLQTGZVQLZKNQ-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD C[C@@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 471446451 ISMSGDSYSWXFPV-WBVHZDCISA-N 407.495 4.812 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCCc2cccc(-c3ccccc3)c2)cc1OC 472200765 SYCIRXGULMXLPU-UHFFFAOYSA-N 420.465 4.642 5 20 HJBD COc1cccc(C=C2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 473018444 ADGMCWHZJMJDQC-UHFFFAOYSA-N 419.481 4.980 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 474962310 IGWFWLCOZYGCMA-LLVKDONJSA-N 415.833 4.711 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)ccc1OC(C)C 475563173 UYKDGRZIEGWWLD-HNNXBMFYSA-N 401.463 4.890 5 20 HJBD Cn1cc([C@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccc(F)cc2)cn1 479423751 MMFLQTYDEAKTSL-JOCHJYFZSA-N 406.417 4.607 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)Nc2cccc(COC(C)(C)C)c2C)c1[N+](=O)[O-] 480223869 KUHDOPODBZMFEH-UHFFFAOYSA-N 406.504 4.636 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccccc2F)C1)c1ccc(Br)cc1[N+](=O)[O-] 482885221 GZLLDUQQPZNQKA-YPMHNXCESA-N 407.239 4.563 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486407564 MQWCLIIZFWTMFO-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 489362044 UJIYGOHMQAALQF-GFCCVEGCSA-N 404.850 4.631 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3ncoc3-c3cccc(C(F)(F)F)c3)n2)cc1 490353771 HPJUGMHATHIVAH-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1ccc([N+](=O)[O-])cc1F 491184897 YFZBBJQQGDGGHB-UHFFFAOYSA-N 400.237 4.501 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497872012 BFKITFOIPHOBFY-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2ccc(NC(=O)c3cccs3)cc2)cccc1[N+](=O)[O-] 501979946 HINKNDTYSCPOAS-AWEZNQCLSA-N 424.482 4.656 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)oc12 503191412 HZYJPUGIVHNVMN-LBPRGKRZSA-N 400.456 4.953 5 20 HJBD O=c1c2sc(-c3ccc(Cl)cc3)cc2ncn1Cc1c(F)cccc1[N+](=O)[O-] 504506617 CBWVRDJYXKDUBY-UHFFFAOYSA-N 415.833 4.874 5 20 HJBD CN(C[C@H]1OCCc2ccccc21)C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 506320009 DJNROJQXUSNHMG-HSZRJFAPSA-N 417.465 4.724 5 20 HJBD COCCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 513564078 QHEMKVQMKMKZAV-CQSZACIVSA-N 409.845 4.719 5 20 HJBD COc1cc(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)ccc1[N+](=O)[O-] 523380869 AMKURGJZMJWZAN-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(C(F)(F)F)cc2Cl)cc1[N+](=O)[O-] 523590287 YJMTVYHGTKAKNI-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccc(Br)cc1Cl 524228567 SVASRQLGIIRRCZ-UHFFFAOYSA-N 418.074 4.523 5 20 HJBD CN(C(=O)c1cc(C(F)(F)F)ccc1Br)c1cccc([N+](=O)[O-])c1 532826986 FLJMAIZZCHBQIM-UHFFFAOYSA-N 403.154 4.653 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(-c2ccncc2)cc1 538239970 KNKVRGLNUZPFDH-HNNXBMFYSA-N 401.426 4.558 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@H](C)c1nc(-c2ccccc2)no1 539052465 HWFMETMWPMHFKR-DOTOQJQBSA-N 412.515 4.909 5 20 HJBD CC[C@@H](NCc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cc(F)ccc1F 540444403 GFNQQTZOUNGRAC-CQSZACIVSA-N 402.344 4.724 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 545765744 XWATUORGZZDJGR-WTKPLQERSA-N 402.204 4.586 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2ccc(OCc3c(C)noc3C)cc2)n1 546400959 WXLAXYCGWQMUIP-UHFFFAOYSA-N 420.425 4.728 5 20 HJBD Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1[C@@H]1CCC[C@@H](C)C1 550824088 DBQFXKOTKUBUNC-MLGOLLRUSA-N 414.491 4.588 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(c2ncnc4sc([N+](=O)[O-])cc24)C3)cs1 553181245 ZKUKKDFOCWHTBK-UHFFFAOYSA-N 415.525 4.656 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2nc3ccccc3cc2Br)cc1[N+](=O)[O-] 564683071 MHRZQIYYAKPAQK-LBPRGKRZSA-N 414.259 4.705 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 570241510 IRZKXIVLJMVEHK-UHFFFAOYSA-N 400.385 4.766 5 20 HJBD Cc1cc(NC(=O)c2nc3ccccc3cc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 572172019 XMWIXSAZPYZKMI-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CN(c1ccccc1)c1ccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)cc1[N+](=O)[O-] 583506651 UFVNLUXDIYFKLH-FQEVSTJZSA-N 423.435 4.642 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c12 603966673 GZQKGCDOMNOUBS-CYBMUJFWSA-N 421.501 4.771 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469527 FVKAVZSJKAHPOS-FQEVSTJZSA-N 422.441 4.501 5 20 HJBD CC(C)Oc1ccc([C@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])cc1 604473132 KZGZPSYZCWEBLQ-HNNXBMFYSA-N 408.458 4.520 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1Cl 609855086 BBSJPSAKQFXSGG-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD CSCC[C@@H](C)N(C)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 609870797 GVUBRZCIOVKWEC-GFCCVEGCSA-N 411.574 4.725 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(F)cc1F)c1csc([N+](=O)[O-])c1 609907516 DPJNJRPPMSCENL-UHFFFAOYSA-N 416.365 4.633 5 20 HJBD O=C(NC1CCC(CO)CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613525416 FVAJBVKNNWTWKO-UHFFFAOYSA-N 420.918 4.680 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(C(F)(F)F)n3)cc2[N+](=O)[O-])C1 726148369 OVVLBRTZGKVQQI-CHWSQXEVSA-N 422.407 4.743 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711454 YIUGRUBGXXTTDN-UHFFFAOYSA-N 418.862 4.793 5 20 HJBD Cc1nc(-c2ccccc2F)sc1[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 734735967 QUTGPVAJMQNNEC-ZDUSSCGKSA-N 416.430 4.849 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 745912800 OMFDRVAMIMBULK-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCc1nsc(N2CCCN(C(=O)c3c4ccccc4cc4ccccc34)CC2)n1 746528987 HHBRFZUPSOPDKB-UHFFFAOYSA-N 416.550 4.759 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1cccc(F)c1)C[C@H]1CCCO1 747969842 ZDECTOFKTKVSEU-MRXNPFEDSA-N 407.829 4.600 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1c(F)cccc1F 750842946 FJDBZZAEKDEIHN-SSDOTTSWSA-N 419.609 4.780 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(F)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 752056341 UZNFKKXCYKENQL-ZDUSSCGKSA-N 417.437 4.880 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)C(=O)Nc2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 752559307 UHYWMTLKUTWZTP-VIFPVBQESA-N 415.204 4.533 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1cccc([N+](=O)[O-])c1Br 753802256 WCSWTSDZYZUKAI-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755025438 NGPXIMRLHODLHM-CYBMUJFWSA-N 410.293 4.960 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N(Cc1ccco1)Cc1cccs1 756967499 PVJZCFIUOZMIBX-UHFFFAOYSA-N 405.863 4.815 5 20 HJBD O=C(Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-])c1cnc([C@H]2CCCO2)s1 760996125 YMFOJQVRPLBXKW-GOSISDBHSA-N 410.451 4.713 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2noc(CCc3cccc([N+](=O)[O-])c3)n2)cc1 762286295 JNGBJDGWSQIMNC-UHFFFAOYSA-N 424.457 4.701 5 20 HJBD O=C(OC[C@H]1CCO[C@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 769361077 GVLFMYZTPFXYLM-APWZRJJASA-N 410.451 4.653 5 20 HJBD COc1cccc(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)c1[N+](=O)[O-] 774066774 WELNEUKQZPOUAS-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccncc2)cc1Cl 783609080 VDYMEKPAOMIFFV-UHFFFAOYSA-N 419.872 4.786 5 20 HJBD Cc1nnc(SCc2ccc(Br)c([N+](=O)[O-])c2)n1Cc1ccccc1 800830342 STNFJZUDZARAIF-UHFFFAOYSA-N 419.304 4.598 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1 808057178 TUUKJVIFTDIPPW-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD CC(C)(CCc1noc(-c2ccc3ncn(-c4ccc(Cl)cc4)c3c2)n1)[N+](=O)[O-] 812811056 LXLOOBGWIPXUJR-UHFFFAOYSA-N 411.849 4.717 5 20 HJBD CCc1ccc(-c2nc(C(C)(C)NC(=O)c3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 904597959 SQXFIVMGAYQZOC-UHFFFAOYSA-N 414.849 4.526 5 20 HJBD O=C(Nc1cccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1ccccc1 917057141 PFKZIPDTVGNVPH-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2ccc([N+](=O)[O-])cc2)c2ccccc2)ccc1O 919169970 MPIHZOVIBJVBBF-MOSHPQCFSA-N 405.406 4.593 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(NC[C@H](c3cccs3)N3CCCC3)c2c1 1323600464 LWUYPAWPEOOMNC-OAHLLOKOSA-N 403.895 4.502 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2c(-n3cccc3)sc3c2CCC3)o1 1331878315 XLKBFLCZUKJSDJ-UHFFFAOYSA-N 408.439 4.565 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2N)cc1 1344707269 PODVMOPPZDDAJP-UHFFFAOYSA-N 422.279 4.507 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cc2cccc([N+](=O)[O-])c2[nH]1 56274723 LWJRSUCSRCTIFI-AWEZNQCLSA-N 415.833 4.540 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 59812682 ANVJQQOOWCAPCX-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(Br)cc1F)c1ccc([N+](=O)[O-])o1 72012128 WYZOJAKAQGAYCC-KRWDZBQOSA-N 419.206 4.609 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1SC 302952971 DTQNTYPKHAXERU-UHFFFAOYSA-N 402.516 4.895 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccccc2-c2ccccc2)cc1OC 303166045 VYQDDGXRIDVPFU-UHFFFAOYSA-N 420.465 4.941 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(c2cccc(Cl)c2)CC1 303822684 PNKOKNJGLVHJMX-UHFFFAOYSA-N 416.908 4.656 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1cc(Cl)ccc1Br 429871995 WQNNAAKPNWKHRD-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD COc1cc(CNC[C@@H]2CCC(F)(F)C2)c([N+](=O)[O-])cc1OCc1ccccc1 430042083 PFJFRIFUIYIFRO-MRXNPFEDSA-N 406.429 4.707 5 20 HJBD COc1ccc(N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)C(C)C)cc1F 432682685 SBYDPHUXMKMNQJ-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD CC(=O)c1ccc(OCc2ncc(-c3ccccc3Br)o2)c([N+](=O)[O-])c1 440817748 QQVVQIJKGGMKMH-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD Cn1c(SCC(=O)Cc2ccccc2Cl)nc2cc3ccccc3cc2c1=O 443489729 WRJKUUOIUHNYEI-UHFFFAOYSA-N 408.910 4.644 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443852632 LLEUPNJKWOWYOY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc2c(cc1Br)CCC2 443868844 OPLSPCTZSHGSEI-UHFFFAOYSA-N 407.289 4.577 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)[C@@H](C)CO1 444091566 XJRDLJMRXIRAMU-XDHUDOTRSA-N 412.489 4.592 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](c2ccccc2)c2cccnc2)nc1OCc1ccccc1 462499941 JHUDHTZKVDYDHR-HSZRJFAPSA-N 412.449 5.165 5 20 HJBD C[C@H](Sc1nnc(N2CCCCC2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463252062 XRIHLEKAPICWHJ-HNNXBMFYSA-N 413.503 4.671 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463454925 KQWADPYIIRBBFR-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CC(C)C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465470560 RMFQGJPWESZCHG-QFIPXVFZSA-N 411.502 4.935 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 474880865 GOPWCRNBBJTHGY-OLZOCXBDSA-N 413.861 4.766 5 20 HJBD COc1cccc(Oc2ncccc2CNC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c1 475546539 LSFWLQIJYGVHHE-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2Cl)c1)c1c(Cl)cccc1[N+](=O)[O-] 475771490 WNXUTDRMPIUUAV-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)cc1C 478599354 ZOCHLFQGKXFDEP-UHFFFAOYSA-N 408.404 4.837 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)c1ccccc1 482133757 JSLWHNDKEJXIFH-XMSQKQJNSA-N 409.530 4.739 5 20 HJBD CCCOc1cc(NC(=O)N2CCSCC[C@@H]2C)c([N+](=O)[O-])cc1OCCC 483584086 NEJAQLAZBGRGFY-AWEZNQCLSA-N 411.524 4.532 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1cc(F)cc2c1OCOC2 485278121 SAIOBKGSPLJIEO-UHFFFAOYSA-N 400.225 4.655 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)N3CCCCCC3)cc2)c1[N+](=O)[O-] 485761407 DFNGJFIVJCBKEP-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Br)c(C)cc2C)cc1OC 486604292 OCYVFCPFQVIHNB-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD C[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nc2ccccc2[nH]1 493159665 SEONLALCGGPRRN-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)ccc1[N+](=O)[O-] 498241614 GELVHDPRUFMBHA-IBGZPJMESA-N 424.432 4.500 5 20 HJBD COc1cc(C(=O)Nc2ccccc2NCc2ccccc2C)c([N+](=O)[O-])cc1OC 499129164 YERDYCUOCDFVOR-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 501964146 NMQWNYUKLIMECZ-MAUKXSAKSA-N 402.520 4.559 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 504161835 GULHSEZANYDCCJ-UHFFFAOYSA-N 418.453 4.732 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 504370182 CNPBTWFKJDXSCJ-QZTJIDSGSA-N 418.497 4.780 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccc(C)cc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 504919610 LSNPQLUOYDSKQO-YLJYHZDGSA-N 414.502 4.711 5 20 HJBD CN(Cc1ccc(-c2ccccc2[N+](=O)[O-])o1)[C@H](c1cccc(F)c1)c1cnn(C)c1 513093695 CVFNWHQGVHOCMI-HSZRJFAPSA-N 420.444 4.949 5 20 HJBD O=C(NC1CCN(CCc2ccccc2)CC1)c1cc2ccccc2c2cccnc12 515171928 ODXPOQATUHKHSR-UHFFFAOYSA-N 409.533 4.825 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(F)F)cc1 516587997 KREPIFKIJCOAHU-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(SCCOC)cc1 517228527 VTQAZYDPAIBEDF-AWEZNQCLSA-N 403.504 4.778 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521157608 YOSATLXHYWSUEF-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 521443431 MAKANSUDPKTFKP-IAGOWNOFSA-N 424.501 4.801 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(-c3nc4ccccc4s3)o2)cc([N+](=O)[O-])c1 522867561 INISQNANDCKZTL-UHFFFAOYSA-N 423.406 4.503 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 522876635 YHSNUUMUMUTCSG-CQSZACIVSA-N 411.845 4.713 5 20 HJBD O=C(c1ccnc(Oc2ccccc2)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525598966 QTDRHGBFHVKVOV-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)c(C)c2c1 531244661 ZTFNYRLSFRSWEW-UHFFFAOYSA-N 417.421 4.972 5 20 HJBD O=C(N[C@H]1CCOC2(CCCCC2)C1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 531761066 KEZTVMPLTOWTGZ-FQEVSTJZSA-N 423.513 4.819 5 20 HJBD CN(C(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150723 ODQOUMCXAAWGIH-KGLIPLIRSA-N 415.421 4.763 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3nnc(CCCc4ccccc4)o3)cs2)c1 537490552 NSZYQRRJWCCYFL-UHFFFAOYSA-N 421.482 4.889 5 20 HJBD C[C@@H](C(=O)Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1F 541605164 GMKPDOKECKTDOF-GFCCVEGCSA-N 401.419 4.512 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 542438061 JJFCOQIIOKGNQQ-LBTNJELSSA-N 418.877 4.634 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(CSc3nccn3C)c2)n1 547108247 ZFDICYGPTWJVPU-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc21 549623363 NGAPDYMLWCHFFD-KUGGDMIFSA-N 409.467 4.648 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])Nc1cc(C2CCCC2)nn1-c1ccccc1 553703202 JXAOIVGNCKCTSA-UHFFFAOYSA-N 423.498 4.564 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(c4noc5cc(F)ccc45)CC3)co2)cc1 554946702 WNBRXXLXNMOMLG-UHFFFAOYSA-N 422.416 4.910 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3cn4cc(C)ccc4n3)c([N+](=O)[O-])c2)cc1 557323601 BAZNWAJAFVYRAB-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD C[C@H](C(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1ccc([N+](=O)[O-])cc1F 557986059 UQRXPEHWFWOWSS-ZDUSSCGKSA-N 413.424 4.864 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCOC[C@@H](C2CCC2)C1 561736692 KSMUMMUGTBQOKX-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2cccc([N+](=O)[O-])c2OC)n1 564282503 LFGMAOARKKWVAR-HNNXBMFYSA-N 417.849 4.865 5 20 HJBD CCSCc1ccc(-c2nc(Cc3cc(OC)cc(OC)c3[N+](=O)[O-])no2)cc1 564526801 FZMMFSTWXBKXGG-UHFFFAOYSA-N 415.471 4.506 5 20 HJBD O=C(Nc1cccc(NCC(F)(F)F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 566764023 JGJPAAMIZFZRPX-UHFFFAOYSA-N 422.388 4.945 5 20 HJBD COc1ccccc1O[C@@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 571900299 LYABBYWMIZBMBE-MRXNPFEDSA-N 412.467 4.502 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C(C)C)cc1OCC 604474721 ADPFROUHWFYCBO-NRFANRHFSA-N 415.490 4.589 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608945630 SUZMYMLKWAHPNV-GOSISDBHSA-N 408.376 4.746 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cnn(Cc3ccccn3)c2)cc1[N+](=O)[O-] 608982720 ORZBTMMGIKEALY-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)COc3ccccc3[N+](=O)[O-])cc2)cc1 609375780 DYEFZCUSUJVUML-UHFFFAOYSA-N 422.437 4.594 5 20 HJBD CSCc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 609454533 YIKDMXBBMKELAO-UHFFFAOYSA-N 400.378 4.651 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1CO 615894760 UPFKDYIWQJVQLB-UHFFFAOYSA-N 400.500 4.991 5 20 HJBD CC[C@H](C)Oc1cc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)ccn1 685333012 XVROMNTWQKGDSB-AWEZNQCLSA-N 412.449 4.971 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCCCOc2ccccc2Cl)cc1SC 728770170 OXYAPBDLQGORHA-UHFFFAOYSA-N 411.863 4.605 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C/C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729871767 IGVOQKKNZWPCIK-NRMKIYEFSA-N 423.425 4.579 5 20 HJBD CC[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 733185050 KJIRUBKFIGLSRD-ZDUSSCGKSA-N 404.822 4.808 5 20 HJBD COc1ccc(CC(=O)O[C@H](Cc2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 733948439 UCJOBMNESNLIBE-MRXNPFEDSA-N 417.767 4.516 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1C(=O)c1cccs1 734975171 QZGBISQTDUZIHG-UHFFFAOYSA-N 401.827 4.898 5 20 HJBD O=C(Cc1ccccc1OC(F)F)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 735632506 CJJXYMHUPSUFDK-UHFFFAOYSA-N 410.804 4.835 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@](C)(O)c3ccsc3)c([N+](=O)[O-])c2)cc1 740869882 BNTHPJGVVZRGIA-OAQYLSRUSA-N 411.483 4.537 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 743757657 USUSISCQOQQTNK-UHFFFAOYSA-N 423.425 4.598 5 20 HJBD C[C@H](c1ccccc1)N(Cc1ccccc1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746178330 LGZIQMXTOWFACH-MRXNPFEDSA-N 414.458 4.686 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc(Cl)ccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 747283915 DQWCVOYIZRUMDH-SECBINFHSA-N 422.224 4.567 5 20 HJBD CCOc1ccc(-c2nc(COc3cc(C=O)ccc3[N+](=O)[O-])cs2)cc1OC 748150853 OOACBYRPPJDCAL-UHFFFAOYSA-N 414.439 4.517 5 20 HJBD O=C(O[C@@H](c1cccc(Cl)c1)C(F)(F)F)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 750218425 QJRJOKJQNJITOM-ZDUSSCGKSA-N 404.684 4.617 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1cccc([N+](=O)[O-])c1Br 753810341 RZOWSICEJDDQSC-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)o2)cc1C 755061757 GMSLSIUXYDECTG-KGLIPLIRSA-N 413.405 4.809 5 20 HJBD CSc1ccc(Cl)c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760462668 ANQAAVVTPOFJHB-UHFFFAOYSA-N 404.831 4.982 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3cc(Cl)cc(Cl)c3)no2)C1 761558539 KVLGEGXHAPFCCI-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD Cc1cc(Cc2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)ccc1[N+](=O)[O-] 762301274 YXOFVJJCRBROQF-UHFFFAOYSA-N 423.410 4.576 5 20 HJBD O=C(NC[C@@H]1COc2ccccc2C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763433775 YZFKRIAZMKUSBV-OAHLLOKOSA-N 412.511 4.611 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1cc([N+](=O)[O-])ccc1SC1CCCC1 764271415 LUQNLNPLBWVTNC-UHFFFAOYSA-N 415.471 4.510 5 20 HJBD O=C(OCc1ccc(C(F)F)cc1)c1cc([N+](=O)[O-])c(Br)cc1F 764552618 PLOLNOBGCNXFLY-UHFFFAOYSA-N 404.138 4.791 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)o2)cc1C 766219761 IDBMPFOHDPWWDR-AWEZNQCLSA-N 418.409 4.728 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc(-c2ccc(Cl)cc2)no1 766233135 SSQPOUFWOVGOEC-LLVKDONJSA-N 424.800 4.764 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN(CCCOc1ccccc1)C1CCOCC1 766931045 YTDRXXIHEFQKKM-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD O=Cc1cc(Cl)c2ccccc2c1OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 774664460 YICCTRNTSCQBBO-UHFFFAOYSA-N 409.785 4.843 5 20 HJBD O=C(NCCCc1ccccc1F)c1cc(Br)cc([N+](=O)[O-])c1Cl 794261460 APQSNMHZNQTUSQ-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD CCC(=O)c1ccc(N2CCC(C(=O)c3cc(F)ccc3F)CC2)c([N+](=O)[O-])c1 795071370 ANYPEJVKLCOJQR-UHFFFAOYSA-N 402.397 4.565 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809913455 KLDIMACAOHVSOV-UHFFFAOYSA-N 413.865 4.775 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 810318090 PAEJQGYAPWJQJB-FCHUYYIVSA-N 405.454 4.754 5 20 HJBD O=C(Cc1cncn1Cc1ccccc1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 810554793 WSFHWMDUYLCMSS-UHFFFAOYSA-N 413.433 4.655 5 20 HJBD Cc1cnc(Br)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 813003648 LOPRRWZFIYNKFT-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD COc1cc(CCNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 819166820 IHLNZVYCCPZNGS-UHFFFAOYSA-N 403.649 4.536 5 20 HJBD Cc1ncsc1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 917609518 BFMKYAQIGUFEHP-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 919371364 NOORBCCMCISXJX-CYBMUJFWSA-N 412.423 4.633 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 1320014498 XIYLJGUJXCZKJF-AWEZNQCLSA-N 423.425 4.557 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)/C=C\c2cc(Cl)cc(Cl)c2)cccc1[N+](=O)[O-] 1321512928 UIAYOVQKNJTFHC-ZHRWSRJISA-N 423.252 4.794 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)c(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 5670009 YTWVNQYCMWZXAF-UHFFFAOYSA-N 405.621 4.825 5 20 HJBD C[C@@H](Sc1ncccc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 11033606 RQLUKXIDBXXJGR-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2ccc(-c3ccccc3)cc2)c1 16196233 BQZXCSPMCFMOBB-UHFFFAOYSA-N 422.462 4.779 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 28293513 UPPLZNMJEUJLTH-OAQYLSRUSA-N 420.421 4.530 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2cccc(Oc3ccccc3)c2)cc1OC 32362457 QLZQKEFIGCVLGZ-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50553297 AXUOESJOYZNJLM-UHFFFAOYSA-N 410.480 4.600 5 20 HJBD CC(C)(C)OC(=O)NC1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 53775634 QZOMPNKSMVVFKT-UHFFFAOYSA-N 405.498 4.517 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1csc(-c2cccc(F)c2)n1 56288080 GPUJIKAOFYIXMY-UHFFFAOYSA-N 413.474 4.837 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCc2ccccc2F)cc1 64716918 HIYXHMVRMSLRTO-UHFFFAOYSA-N 409.417 4.677 5 20 HJBD CN(C(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1Cl 72023817 ZLIZQMSKKZLWFT-UHFFFAOYSA-N 415.696 4.766 5 20 HJBD CC(C)(C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2I)cc1 72068328 DMBPFFUZENYIBB-UHFFFAOYSA-N 424.238 4.749 5 20 HJBD Cc1nc(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cs1 237964064 RGQIIYBGIDSNJZ-UHFFFAOYSA-N 419.510 4.545 5 20 HJBD O=C(c1cc(N[C@@H]2CCCOc3cc(Cl)ccc32)ccc1[N+](=O)[O-])N1CCCC1 302018933 MUXJBDIYTMFWHI-GOSISDBHSA-N 415.877 4.810 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 303652763 KWIZGYYUDMFNBG-NDEPHWFRSA-N 404.463 4.568 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2COc3cc(F)c(Br)cc32)c2ccncc12 409536024 ONVWKXIQZYKXKK-OAHLLOKOSA-N 404.195 4.590 5 20 HJBD COc1cc(C(=O)Nc2ccc(C(C)(C)C(F)(F)F)cc2)cc([N+](=O)[O-])c1OC 428423600 QWQCQJMMLNILKK-UHFFFAOYSA-N 412.364 4.704 5 20 HJBD Cc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)noc1-c1ccccc1F 433918354 JJUJMWQWPBTLKT-UHFFFAOYSA-N 412.421 5.140 5 20 HJBD Cc1ccc(C)c(OCc2nnc(SCc3nc4cc([N+](=O)[O-])ccc4o3)o2)c1 434794300 KSEZIJFQWHRIFM-UHFFFAOYSA-N 412.427 4.607 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCCc1ccccc1[N+](=O)[O-] 435451210 BQTPMBJFIPDGGZ-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD O=C(Nc1cccc(-n2ccnn2)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441067440 QTISMFFEDOWFPE-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CCOc1cc(NC(=O)N(C2CC2)[C@H](C)c2ccco2)c([N+](=O)[O-])cc1OCC 444494841 BXBVWCYEEVSPSO-CYBMUJFWSA-N 403.435 4.743 5 20 HJBD CN(CCCCCO)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 447645830 DPBPAKJSBRQWSL-UHFFFAOYSA-N 408.907 4.634 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CN(c1ccccn1)C2 463974326 NWYFAHBPPZGYLZ-UHFFFAOYSA-N 403.442 4.771 5 20 HJBD CC[C@@H]1CCCC[C@H]1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464154852 BTYYACZPCBLKHP-RDTXWAMCSA-N 403.504 4.667 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@H](c2cccc(Br)c2)C2CCOCC2)cc1 467413650 NZJOEFQKDYFOJY-FQEVSTJZSA-N 419.319 4.657 5 20 HJBD CC(=O)Nc1cccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1C 467898402 BDDYTYMAHJVPJT-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(C)[C@H](C)c1sc(-c2ccccc2)nc1C 468905106 IYPQGXVXUTWFDW-MRXNPFEDSA-N 424.526 4.967 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 470841693 PTYPNXVRHXRDRW-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Nc1ccc(OCc2noc(C3CC3)n2)cc1 473517245 HRFHURVTDSPARV-UHFFFAOYSA-N 403.398 4.726 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc(Br)cc1[N+](=O)[O-] 478233540 ULARXJIRLZWTOX-IUODEOHRSA-N 407.239 4.563 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cncc(Cl)c1Cl 480825341 BZFOWEUIBSRWOR-UHFFFAOYSA-N 423.178 4.756 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)C(C)(C)C)cc1SC 482730900 HGZQYKNXZNPQLH-SFHVURJKSA-N 406.479 4.982 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCc2c(Br)ccc(Cl)c21 484335960 ZAFQIYAEUWDZIL-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3c(C)cccc3[N+](=O)[O-])s2)cc1 485556257 VHKDOFLOYLXEDL-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2c(F)cccc2F)CC1 485564317 ZYWCHNAFNFXBAP-INIZCTEOSA-N 402.441 4.848 5 20 HJBD CCOc1cccc(NC2CCN(C(=O)[C@@H](CC)c3ccccc3)CC2)c1[N+](=O)[O-] 485831004 GGTCFTRFJQJGGG-IBGZPJMESA-N 411.502 4.590 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCC[C@@H](c2ccccc2)C1 489629179 BFZQLANSOXGBEV-QZTJIDSGSA-N 414.527 4.790 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498146690 MIIKBKZOLQNJTQ-LMMKCTJWSA-N 422.428 4.991 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)cc1OC 499113058 SQMGZJSQRBLFEF-UHFFFAOYSA-N 420.465 4.960 5 20 HJBD Cc1cc(Br)ccc1NC(=O)CNCc1ccc(C(C)C)c([N+](=O)[O-])c1 500043548 VXPQDBQJPYVSET-UHFFFAOYSA-N 420.307 4.517 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCC(F)(F)F)cc2)c1 502155691 MVCIPUPMFJLBMX-LLVKDONJSA-N 414.405 4.749 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1ccc([N+](=O)[O-])c(C)c1 502321830 YIPLITMJOHHABW-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD COc1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c(C(F)(F)F)c1 503081052 HCKUOVVYLFSJFX-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD Cc1c(C(=O)N(C)[C@H]2CCSc3ccc(Br)cc32)cccc1[N+](=O)[O-] 504551498 DDONXWVQINXDRN-INIZCTEOSA-N 421.316 4.975 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1F)c1nccn1C 504926264 HEXUPYQOALVKKU-YWZLYKJASA-N 414.506 4.717 5 20 HJBD O=C(CSc1nnc(-c2ccco2)n1C1CCCCC1)c1ccc([N+](=O)[O-])cc1 510427075 HNIVTJDSSVIHLU-UHFFFAOYSA-N 412.471 4.927 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2nc(Cc3cccc(Br)c3)no2)cc1 513818150 WTJDSMPKDWFYJW-UHFFFAOYSA-N 420.288 4.765 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)s1 517360232 CAYZMAKICBPWNZ-HNNXBMFYSA-N 408.439 4.987 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)C2CCC2)c([N+](=O)[O-])cc1OC 520623236 DFBQUWBDKXBYPY-IBGZPJMESA-N 404.850 4.537 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2cc([N+](=O)[O-])ccc2CC)ccc1OC(F)F 521694958 CORJONCUINOLCN-UHFFFAOYSA-N 423.416 4.821 5 20 HJBD C[S@](=O)CCCNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 522631128 MFGQXCAMDGQFQN-NDEPHWFRSA-N 404.532 4.543 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(-c2ccncc2)cc1 537134809 KMVLBRUVBKXGCP-HNNXBMFYSA-N 401.426 4.558 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2c[nH]c3ncccc23)CC1 537146967 PTHATDXAZSBFKW-UHFFFAOYSA-N 422.510 4.901 5 20 HJBD Cc1c(NC(=O)CCN[C@H](CC(F)(F)F)c2ccc(F)cc2)cccc1[N+](=O)[O-] 538179408 HZLBSYVIAWUPSV-MRXNPFEDSA-N 413.371 4.654 5 20 HJBD C[C@H](NCC(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 539303990 RIZKTNRPHIIRDV-INIZCTEOSA-N 423.444 4.602 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](C[C@H]3CCOC3)c3ccccc3)o2)c1 539325154 FPBRMHYBVNJVDM-DYESRHJHSA-N 407.470 4.550 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cccc(NC(=O)[C@@H](C)n3cccn3)c2)cc1[N+](=O)[O-] 540739201 OUZXWGGVCJYJJY-HZPDHXFCSA-N 423.473 4.563 5 20 HJBD COc1cc(C(=O)Nc2ccccc2NCC2CCCCC2)cc([N+](=O)[O-])c1OC 542987798 BINORURJGMVCKU-UHFFFAOYSA-N 413.474 4.857 5 20 HJBD C[C@H](C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1F 544427239 HKEJEKDUYGGXLG-VOJFVSQTSA-N 408.376 4.633 5 20 HJBD Cc1ccc(O[C@@H](C)c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cc1 545005103 YSTBTCKUEFMGNC-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 553159282 MUGGTVAATFAPDU-QGHHPUGFSA-N 409.339 4.739 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cccc2[nH]c(-c3cccs3)nc12 554824268 OBKUUBAPOMJDBM-UHFFFAOYSA-N 406.467 4.514 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCCC[C@@H]3c3nnc([C@H]4CCCO4)o3)o2)c1 564312572 FPTQUNGQKSOIHY-UYAOXDASSA-N 424.457 4.817 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(Br)cc1F 565562200 YCCLHGWUCPPSNR-SNVBAGLBSA-N 422.254 4.562 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 575858564 SHUFFYJDQHAWLR-IERDGZPVSA-N 406.486 4.993 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(F)c2ccccc12 583716671 LOUQFSMCSZQSJI-AWEZNQCLSA-N 411.433 4.575 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 603986692 OQFLGKUDLRQMQE-INIZCTEOSA-N 406.438 4.673 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604458603 LRBCEUXSIJABKV-CQSZACIVSA-N 420.469 4.509 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCCC[C@H]1c1ccco1 609909175 QYZASTWXCJKKMV-INIZCTEOSA-N 412.364 4.886 5 20 HJBD COc1cc(C(=O)N(Cc2ccc(F)cc2)C2CCCCC2)cc([N+](=O)[O-])c1OC 610168614 JZPLTGFEDQYMOA-UHFFFAOYSA-N 416.449 4.726 5 20 HJBD CCc1nc2ccc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)cc2nc1CC 610234035 ZOUBEYKXSBZTIO-QFIPXVFZSA-N 422.510 4.551 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Br)cc1Cl 613526068 AZHPINLQOXIVBK-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD O=C(O)c1ccccc1C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 615166983 ODHRFKRTZQGKCI-UHFFFAOYSA-N 410.451 4.528 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(Br)cn4)no3)cc12 685353052 UXKLSNIUGUYBFL-UHFFFAOYSA-N 419.238 4.556 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCC[C@@H]3c3ccccc3C(F)(F)F)n2)cc1 728048903 APAKRPZUZGBOFY-GOSISDBHSA-N 417.391 4.667 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)c1 728155403 RFNVMFNENALTGX-OAQYLSRUSA-N 422.412 4.888 5 20 HJBD Cc1c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1ccccc1 728165624 MFVFFNBZTYQDFP-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccc(Br)c(F)c1 732740416 WHTAUWOBMFSNPG-MRVPVSSYSA-N 418.602 4.523 5 20 HJBD O=C(OCc1cc2ccccc2nc1O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 733402368 ZBLNSOOFNKSAGF-UHFFFAOYSA-N 415.405 4.949 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)O[C@H]1CCCc2nc(-c3ccccc3)sc21 741195737 QOWDBJXDXSEPMT-SFHVURJKSA-N 410.451 4.718 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745586060 UGKZUMXRAPFUAW-UONOGXRCSA-N 413.405 4.657 5 20 HJBD COc1cc(N2CCC(OC(=O)CCCc3sccc3C)CC2)ccc1[N+](=O)[O-] 748845528 IXSJSTGYOFHPOI-UHFFFAOYSA-N 418.515 4.508 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)c1cc(C)n(-c2nccs2)c1C 750948240 BGGATRBQUDZAMZ-UHFFFAOYSA-N 417.512 4.584 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCCC1)c1cc([N+](=O)[O-])ccc1Br 751087900 XNMGAGFBGZYBGH-UHFFFAOYSA-N 421.266 4.738 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccc(Oc2ccncc2)c(Cl)c1 751128896 GWKYOICBYCJKDJ-UHFFFAOYSA-N 417.780 4.592 5 20 HJBD C[C@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC[C@@H]1c1ccccc1 753826403 XAFMVTVCCCMHPU-ZFWWWQNUSA-N 403.276 4.623 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C(C)(C)c1ccccc1 754969948 ZHEZYDSFHLFMFL-NSHDSACASA-N 409.255 4.593 5 20 HJBD O=C(NCc1ccc2ccccc2c1)c1cc(F)cc([N+](=O)[O-])c1Br 754975434 BCHKVGWGJNOLCC-UHFFFAOYSA-N 403.207 4.580 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)o2)cc1C 758933446 RTFBGMSKJLMFST-CYBMUJFWSA-N 407.382 4.926 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC[C@@H](O)c1ccc(Cl)c(Cl)c1 760130941 JIFLRUBQJGOYKF-QGZVFWFLSA-N 412.273 4.764 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1 760240148 SZHHQPIQXFNCKV-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD C[C@H](C(=O)OCc1cc(Br)cc2cccnc12)c1cccc([N+](=O)[O-])c1 763402589 KLONDMQMBOHALW-LBPRGKRZSA-N 415.243 4.752 5 20 HJBD COCCNC(=O)C1CCN(Cc2c3ccccc3c(Cl)c3ccccc23)CC1 768237714 NMYZWNQJXNUBIW-UHFFFAOYSA-N 410.945 4.621 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])CC2 776404800 SYDUUNGBTLSILX-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD COc1cccc([C@@H](OC(=O)c2cccc(SC)c2[N+](=O)[O-])c2ccncc2)c1 776904541 VUPGGZSDRXRDMH-FQEVSTJZSA-N 410.451 4.667 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccc(-c4cn5c(n4)CCCC5)cc3)CC2)cc1 777653586 ZTJWZAPNZXQJDF-UHFFFAOYSA-N 417.513 4.876 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2cccc(F)c2)o1 780282711 JYRPYUSEKSYOPD-UHFFFAOYSA-N 417.418 4.643 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cc(-c2ccncc2)nc2ccccc12 785427729 POGZNPHBWULPHA-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCCCC2CCOCC2)cc1[N+](=O)[O-])c1ccccn1 789544641 NYBRFUCHOGOJCL-MRXNPFEDSA-N 413.474 4.527 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nc(C(F)(F)F)nc3ccccc23)c([N+](=O)[O-])c1 789890124 SMDPOQWNSJDUEK-UHFFFAOYSA-N 410.333 4.757 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)cc2NC(=O)c2ccco2)c1F 790291261 HZKNWJRLBVKZAO-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 797225217 DMGLHHRDNRGPPO-GAJHUEQPSA-N 415.449 4.913 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(c2c[nH]c3ccc(F)cc23)CC1 808109891 KENZBORGVDZFFN-UHFFFAOYSA-N 424.432 4.556 5 20 HJBD COc1cc(C(C)=O)c(OC)cc1OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809960335 YFQOVYOXUYJJKM-UHFFFAOYSA-N 400.214 4.700 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812743960 YEPGAUVKIOFRFO-JLMWRMLUSA-N 422.529 4.577 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)Nc1cccc([N+](=O)[O-])c1Cl 813247252 PVBLBSUEQSQVAW-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD O=[N+]([O-])c1c(Cl)cccc1OS(=O)(=O)c1c(Cl)cccc1C(F)(F)F 815056255 JNIGMYCLSPTCCV-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD CC(C)(C)Oc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])nc1 816005212 CZRSVRDLKBQPIO-HXUWFJFHSA-N 407.426 4.509 5 20 HJBD Cc1cc(F)ccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)CC1 816787903 LCVSUVPVDBAGPS-UHFFFAOYSA-N 411.477 4.662 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(S/C=C\c3ccc([N+](=O)[O-])o3)s2)c1 913398958 WEXAZMJICNXAEA-FPLPWBNLSA-N 403.445 4.504 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1ccccc1OC(F)F 914862823 RBDQHPJODQJPQR-UHFFFAOYSA-N 407.251 4.735 5 20 HJBD COc1ccccc1[C@@H](CNc1ccc([N+](=O)[O-])c(C)c1)NC(=O)OC(C)(C)C 917089747 XBPHSZFSFWZOCE-QGZVFWFLSA-N 401.463 4.590 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2Cl)s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116140247 AWAQMNGRUHICDG-UHFFFAOYSA-N 414.874 4.843 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCCc3ccc([N+](=O)[O-])cc3)ccc2OC)cc1 1317949293 DAFDWXAXRSUXAY-UHFFFAOYSA-N 421.453 4.643 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc2cccc(OC)c2o1 1320770704 MVYLSSUYCYPTPM-UHFFFAOYSA-N 400.456 4.782 5 20 HJBD Cc1c(-c2nnc(-c3ccc(Cl)cc3Cl)o2)nnn1-c1cccc([N+](=O)[O-])c1 1323119689 VJUOFHDXGWMPBS-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1cccc(Cl)c1Cl 10665301 NPSHDPFSPPKSEX-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1ccc(-c2nc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1OC 25844224 FVZJWEODMZIGNV-LBPRGKRZSA-N 414.439 4.654 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(F)cc1C(F)(F)F 46781448 AGVSMAFBGHXMOO-SNVBAGLBSA-N 402.369 4.550 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCc2sccc2[C@@H]1c1cccs1 50507980 OOWDOGOCWUOYGL-HXUWFJFHSA-N 413.524 4.612 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)c1 57806491 HHNRWBBGFGCHKK-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 62271423 OXBAMPWMGGFQBY-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3ccccc3o2)cc1 65440179 WHUFOTCQAWJAEG-UHFFFAOYSA-N 402.410 4.767 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cc(F)ccc1Br 127458969 RVFQTBVDCGGMIA-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(CS(=O)(=O)Cc3ccccc3)c2)c(F)c1 301324868 XZMBSSPRQHAUQE-UHFFFAOYSA-N 400.431 4.593 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 301416551 CEYVQRSDGCPZDC-UGKGYDQZSA-N 411.502 4.621 5 20 HJBD CCOc1cc(NC(=O)Cc2c(Cl)cccc2Cl)c([N+](=O)[O-])cc1OCC 302895838 NTILXWQYFMDIJQ-UHFFFAOYSA-N 413.257 4.880 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 409790444 OHTGSJLWUAJSGB-OAHLLOKOSA-N 424.419 4.763 5 20 HJBD CC1(C)C[C@@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccc(F)cc2O1 426850689 ZRPNEPVIDJCECH-LJQANCHMSA-N 413.449 4.710 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])oc2ccccc12 429868293 NXHUKRJRMBDGPX-LLVKDONJSA-N 403.232 4.903 5 20 HJBD CCn1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(C(F)(F)F)n1 435455666 XPTLGPLNUSOQQO-UHFFFAOYSA-N 420.347 4.875 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)C2)cc1 437583872 YGAONLPVZLFQDJ-OAHLLOKOSA-N 403.866 4.743 5 20 HJBD O=C(Nc1ccnn1-c1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443964613 QGLWBNOAUGQQCC-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cn1ccnc1[C@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 444909127 ZUGOXYZCANXOJF-INIZCTEOSA-N 421.457 4.532 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C/c3ccc(OCc4ccccn4)cc3)n2)s1 445478690 RVEUOGPLCHVILJ-UXBLZVDNSA-N 406.423 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)Cc1c(C)nn(-c2ccccc2C)c1C 446491494 YSFWHTZGGWHWMP-UHFFFAOYSA-N 407.474 4.678 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 446854138 ZFTVFYAJOLJMJK-KSSFIOAISA-N 409.408 4.904 5 20 HJBD C[C@H](N(Cc1ccccc1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)C(C)(C)C 446902148 JCQJLJCXEPMLFP-HNNXBMFYSA-N 409.490 4.618 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1 448105664 VISDGBWWOLGFFX-VIFPVBQESA-N 422.438 4.511 5 20 HJBD CCCc1ncc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)s1 460122061 WSBZTZBLIUSEDI-UHFFFAOYSA-N 423.454 4.702 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OC3CCCC3)c(F)c2)c([N+](=O)[O-])cc1OC 460429342 UKQWETXZSYTJIL-UHFFFAOYSA-N 418.421 4.715 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H]4CCC(=O)c5cccc3c54)cc2[N+](=O)[O-])CC1 464463037 TVEFEAABMDIGDT-QGZVFWFLSA-N 419.481 4.552 5 20 HJBD CCCCCOc1cc(C)ccc1CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 465049180 UKFHSWARQXUJLV-UHFFFAOYSA-N 423.469 4.656 5 20 HJBD Cc1ccc([C@H](C)Nc2ccc(Cc3nnc4n3CCCCC4)cc2)cc1[N+](=O)[O-] 467526111 AXDKJMLIEDXVOE-KRWDZBQOSA-N 405.502 4.985 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)N1CCCCO1 468178771 PLIFDKRWSPBSAU-DTQAZKPQSA-N 409.467 4.689 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2cccc(F)c2F)nc1 471148169 KTHKKPYNWQQBMO-UHFFFAOYSA-N 415.352 4.711 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCc2c(F)ccc(F)c21 472531859 FLDZGZOPUTVXCI-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD C[C@H](NC(=O)C1(Cc2ccc(OC(F)(F)F)cc2)CC1)c1cccc([N+](=O)[O-])c1 473654251 MQVNEDDPHFIUQA-ZDUSSCGKSA-N 408.376 4.694 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480460914 ILQDIMJAENPIGA-UHFFFAOYSA-N 417.849 4.606 5 20 HJBD Cc1ccc(-n2c(SCc3ccccc3[N+](=O)[O-])nnc2-c2ccccn2)cc1 481380370 XACNUMAMZKWYAY-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD Cc1nc2ccccc2n1C1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 482907805 ZAWOMFBSQWXOLN-UHFFFAOYSA-N 417.469 4.745 5 20 HJBD Cc1c(NC(=O)N(C)Cc2ccc(Br)cc2Cl)cccc1[N+](=O)[O-] 483625318 YIUMSPDGXGMYII-UHFFFAOYSA-N 412.671 4.983 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)(F)F)cc1 484893939 XEPFRGXBWKAVGT-RYUDHWBXSA-N 422.363 4.955 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1F 485397384 VLSGLDUIGABBBS-NRFANRHFSA-N 421.407 4.870 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)c1[N+](=O)[O-] 485751289 JCQOSXZDYJRHPX-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccn(-c2ccccc2)n1 486168122 VVICQYIPAVJZOM-UHFFFAOYSA-N 424.526 4.501 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(F)cc2-c2nc(C3CC3)no2)c1[N+](=O)[O-] 486413991 CGQWZUVKYSUHFC-UHFFFAOYSA-N 414.418 4.636 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 488812509 DYAHAEPEIUEXEH-HNNXBMFYSA-N 417.531 4.629 5 20 HJBD COc1ccc(-c2ncc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)s2)cc1 492979257 ZJJNNQJJKOXETI-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CC[C@@H](c1ccccc1)N(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 500108489 GVOPJXUOVIDBAH-HNNXBMFYSA-N 408.401 4.818 5 20 HJBD CCc1ccc([C@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N(C)C)cc1 500271870 XUNZXWCHJWKAAV-NRFANRHFSA-N 410.543 4.673 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)Cc2ccccc2C)c([N+](=O)[O-])cc1OC 500639548 HNUIKTAAJJPDDR-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@H](C)N(C)C(=O)c3c([N+](=O)[O-])cnn3C)c2)cc1 501508238 CWZOGRNTRDNTOV-INIZCTEOSA-N 422.485 4.616 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H]2CCCC23CCOCC3)c2ccccc2)c([N+](=O)[O-])c1 503411566 HLUOFHVVCCYJHX-FGZHOGPDSA-N 423.513 4.522 5 20 HJBD COc1ccc(-c2nc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)cs2)cc1OC 505604597 SJKHPJFVTUQJHN-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD COc1cc(CN(C)Cc2sccc2C)c([N+](=O)[O-])cc1OCC(F)(F)F 505999752 NGSKSKCTLFLDFP-UHFFFAOYSA-N 404.410 4.546 5 20 HJBD O=C(N[C@@H]1CCOc2c(F)cccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 507510005 NCIHDNCAVDCDEN-LJQANCHMSA-N 421.428 4.600 5 20 HJBD CCN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1ccc(OC)cc1OC 508639912 XGCGQRODYJRQHM-UHFFFAOYSA-N 413.499 4.758 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2ccoc2C)c([N+](=O)[O-])cc1OCCC 510448941 AXBZDDSKAXTGJB-UHFFFAOYSA-N 405.451 4.738 5 20 HJBD COc1ccc(CSc2nnc(-c3ccccc3F)n2CC(C)C)cc1[N+](=O)[O-] 514548526 IDVQXFVYKSGNET-UHFFFAOYSA-N 416.478 4.949 5 20 HJBD CC[C@@H]1CCc2sc(-c3nnc(Sc4ncccc4[N+](=O)[O-])n3C)cc2C1 514652644 ACDBXAFZLMYTRS-LLVKDONJSA-N 401.517 4.513 5 20 HJBD C[C@@H](C(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cccc([N+](=O)[O-])c1 520484837 YELFQJOYHZTEGW-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD CC(C)(C)c1cc(NC(=O)NCc2cccc([N+](=O)[O-])c2)n(-c2ccc(F)cc2)n1 522238141 LTOWEKPPWYVWPC-UHFFFAOYSA-N 411.437 4.539 5 20 HJBD CC(C)[C@H]1CCCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522894717 VXFLMLORFFAWKG-MRXNPFEDSA-N 411.289 4.738 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(C1CC1)[C@H]1CCc2ccccc21 523508067 FWKNPLXDLMXONY-SFHVURJKSA-N 401.260 4.649 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nnc(-c4ccc(Cl)s4)o3)c1)OCOC2 525519728 NNDLICMHZDYXOB-UHFFFAOYSA-N 411.848 4.519 5 20 HJBD C[C@@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)Cc1cccs1 525532193 DEPBKXRYAIUHFB-HZPDHXFCSA-N 424.526 4.833 5 20 HJBD CC1CCC(OC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)CC1 532553202 KAAKCMZHJVOJQX-UHFFFAOYSA-N 413.518 4.852 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)s2)cc1 532683178 HQNZKMCEJJWJCZ-UHFFFAOYSA-N 423.494 4.840 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)CC(=O)N(C)Cc2cccc(Cl)c2)cc1[N+](=O)[O-] 536909337 JKWNSPFFVGGJHF-JKSUJKDBSA-N 403.910 4.644 5 20 HJBD CCC[C@@H](Nc1cccc(CS(=O)(=O)N[C@@H](C)CC)c1)c1cccc([N+](=O)[O-])c1 539727294 UGUPFVYDFHNMRZ-HRAATJIYSA-N 419.547 4.766 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](Cc1ccccc1)c1cccs1 541595446 PJXGVBWEDDVBTR-HXUWFJFHSA-N 409.511 4.867 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1ccc([N+](=O)[O-])cc1F 542525109 FPDVOHFZDDVNQL-LSDHHAIUSA-N 420.868 4.808 5 20 HJBD COc1cc(CN(C)[C@H](C)c2cccnc2)ccc1OCc1ccc([N+](=O)[O-])cc1 542690926 NXKZUEFTMPJUJL-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cccs1 543124283 WKGVGVXKWXPFCJ-IBGZPJMESA-N 402.472 4.793 5 20 HJBD CCOc1cc(C(=O)NC2CCC(c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC 543789987 DNLZDVOMYBDZLA-UHFFFAOYSA-N 416.449 4.597 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1F 544397491 DOCOTLQKSOAYOK-JTQLQIEISA-N 414.368 4.543 5 20 HJBD COc1ccc(OC)c(CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C(C)(C)C)c1 549274114 CMNNMFGEEITGRI-HNNXBMFYSA-N 400.475 4.543 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1 557076802 VVALNUWQGMJAQR-UHFFFAOYSA-N 409.511 4.579 5 20 HJBD CC(C)CN(C(=O)[C@@H](C)C(F)(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 557571959 JMZJILSYTREZIB-LLVKDONJSA-N 401.410 4.906 5 20 HJBD CC(C)CN(C(=O)[C@H](C)C(F)(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 557571963 JMZJILSYTREZIB-NSHDSACASA-N 401.410 4.906 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@@H]1c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 557967316 CAHXDYBWZWKQSW-CABCVRRESA-N 403.276 4.512 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3)c(C(C)=O)s2)c1 558761313 FRCHBMMRDLHLPF-UHFFFAOYSA-N 411.439 4.572 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 571717556 BETUKXKMVTTXNZ-DNVCBOLYSA-N 406.442 4.502 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N(Cc1ccccc1C(F)(F)F)CC1CC1 574461265 NBNVHSMQVDAILD-UHFFFAOYSA-N 407.392 4.735 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 581569559 ITNYGFYHDDDXFK-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1 589376913 XAVHABNFGGZDFF-UHFFFAOYSA-N 410.405 4.805 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3c2ccn3C)cc1[N+](=O)[O-])c1ccccn1 608882484 NTGKXNDJEFHOIW-HNNXBMFYSA-N 415.453 4.907 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 609263147 JQFSOWOBEMHSDC-FQEVSTJZSA-N 423.513 4.574 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(Oc3ccc(CO)cc3)cc2)cc1[N+](=O)[O-] 609394363 NXANSVDUOJHLIU-UHFFFAOYSA-N 408.410 4.530 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)cc1F 609491479 ISUHERHKKDNZGE-NRFANRHFSA-N 411.408 4.910 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610964014 VEWCROJJOVLLLF-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD Cn1cc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 611259297 ZYZGISMBNDYHSP-IBGZPJMESA-N 415.497 4.856 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2noc(-c3cccs3)n2)c([N+](=O)[O-])c1 618895079 QVXMADVELSVWNJ-UHFFFAOYSA-N 406.423 4.549 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 619525414 TXATVANKMQZDMU-UHFFFAOYSA-N 407.411 4.702 5 20 HJBD CC(C)[C@@H]1c2ccccc2CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 675686599 DQQXAGGEXOGNEU-AREMUKBSSA-N 410.517 4.937 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1)c1ccc(F)cc1 728270175 GVRIOJQRHWIQPH-UHFFFAOYSA-N 419.368 4.687 5 20 HJBD Cc1cccc2c1CCN(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 729415178 PSUATKQWHJAGCR-QGZVFWFLSA-N 416.481 4.670 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)OCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 729823261 JZAIDAHYCLAGQV-JOCHJYFZSA-N 418.449 4.508 5 20 HJBD CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(C)c1 733111208 WMHBKDUFCNGFJN-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](Cc1ccc(Cl)cc1)C(F)(F)F 733945861 BDGSWOQIWFARRH-HNNXBMFYSA-N 403.740 4.587 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1ccc([N+](=O)[O-])cc1Cl 734358694 LLVMIQSDWVTSDB-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CSc2ncnc3c2cnn3-c2ccc(F)cc2)c1 735414652 QSIZEVOPTBJNJV-UHFFFAOYSA-N 415.837 4.809 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744367009 JGOUAOYMJAHHMM-SFHVURJKSA-N 408.376 4.511 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccccc2)cc1 745385823 JWNNSBQANDLYPR-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(OCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 747859120 ZDAYXONAAAVASO-UHFFFAOYSA-N 421.837 4.613 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1ccc([N+](=O)[O-])cc1F 749391523 HDDROTMFYNOBHA-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1ccc(Br)cc1[N+](=O)[O-] 750966859 ZYZBCHJOUFCRHB-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cc(F)c(Br)cc1F 753321612 DVAIGEPPBJTYDP-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])c1C 759844809 XLZGBBANIWMXRE-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 761699201 RXDJQZNPOFINCX-CYBMUJFWSA-N 415.446 4.693 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(CCn4cc(Cl)cn4)n3)cc2)cc1 761856746 DHXSBNIQKLOQFD-UHFFFAOYSA-N 411.805 4.530 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770054843 JQNUQNRLMWDJIR-UHFFFAOYSA-N 404.291 4.933 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)C1(F)CCCC1)c1ccccc1 772789212 CJJLHQHPFZXHGJ-KRWDZBQOSA-N 420.824 4.754 5 20 HJBD C[C@@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 773606427 SQCXFZOROYLKJV-SECBINFHSA-N 423.244 4.992 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H](c2ccccn2)C1 798717907 MKHGAJHNIPZUKM-QGZVFWFLSA-N 411.527 4.902 5 20 HJBD C[C@@H](CC1CCOCC1)OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 803010991 NHTZXLKBSBCJJI-HOTGVXAUSA-N 413.474 4.525 5 20 HJBD COC[C@@H](C)[C@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 812834160 MIBKGOODVSIKHV-KGLIPLIRSA-N 406.866 4.684 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812919024 FYTQDATZXLDSMS-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD O=C(N[C@@H]1c2ccccc2CC12CCOCC2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813284665 FBYHQEDANJTMPF-GOSISDBHSA-N 421.280 4.726 5 20 HJBD Cc1c(C2CCCCC2)noc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 813383860 VOJVWYBIYHAFDR-UHFFFAOYSA-N 408.252 4.954 5 20 HJBD O=C(NC[C@H](O)c1ccc(Cl)cc1Cl)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813482893 PULAFQYBLCLFKY-ZDUSSCGKSA-N 424.067 4.672 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2cc(Cl)ccc2F)cc1[N+](=O)[O-] 815406376 FSJLWLLLMPXYJP-VIFPVBQESA-N 415.204 4.533 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 825487348 AKJOYGFOAPUVTD-FQEVSTJZSA-N 424.359 4.580 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 915295293 QBSLDSPOPAKZNM-SNVBAGLBSA-N 417.676 4.981 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 917163823 CDVPADQQANRQOE-KRWDZBQOSA-N 419.268 4.615 5 20 HJBD C[C@@]12CC3CC(C(=O)OCc4nc(-c5ccc([N+](=O)[O-])cc5)no4)(C1)C[C@](C)(C3)C2 918809887 LILGVTOXLWOOKB-BGCCBAISSA-N 411.458 4.685 5 20 HJBD C[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)[C@H](C)c1ccccc1C(F)(F)F 1324659741 PBUUXCNMCJOMJL-STQMWFEESA-N 407.392 4.831 5 20 HJBD COC(=O)Cc1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1325052472 BYIWGFKWPGISRJ-UHFFFAOYSA-N 400.818 4.836 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)c1 1327871533 AOZJZICLBYDVHZ-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)O[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 5563139 HSOWHRZOVGACFQ-CQSZACIVSA-N 410.451 4.816 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50356576 UHVNWUDJQMREJF-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Cl)c([N+](=O)[O-])cc1OCc1cscn1 57180861 LWIIITAEXLCBRP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cn2cnc3ccccc32)cc1 63985086 ZWFXFDZSRYLNEF-UHFFFAOYSA-N 418.478 4.724 5 20 HJBD CN(CCOc1ccc(Cl)cc1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64894638 MLTBNLWOWCXGCN-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cn1nc(-c2ccccc2)oc1=S)CC(F)(F)F 71966572 CAZAVSIYHMUBPJ-UHFFFAOYSA-N 424.404 4.803 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 301726417 APWNWLVKSPCSSA-AWEZNQCLSA-N 406.628 4.667 5 20 HJBD C[C@H](Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1c(Cl)ccc(F)c1Cl 301885701 MCVVRUSJFJQBHZ-QMMMGPOBSA-N 407.250 4.617 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3c(ncn3CCc3ccccc3)C2)c(C(F)(F)F)c1 302143800 KECZVUMKZRZNGB-UHFFFAOYSA-N 416.403 4.616 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1F 303811693 NQRNFRPEKOHUFF-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@H]1c1cccc(F)c1 426274596 AFKLOEDVKZCASF-KRWDZBQOSA-N 411.355 4.799 5 20 HJBD C[C@H](N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 436301355 YRBZPRJSNFGHKN-BBWFWOEESA-N 413.543 4.765 5 20 HJBD CCc1nn(C)c(CC)c1CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441042925 GHYIMYNQFNBRAH-UHFFFAOYSA-N 424.526 4.534 5 20 HJBD COCc1nc(CN[C@@H](C)CCc2ccccc2[N+](=O)[O-])c(-c2ccccc2)s1 442851023 DITDJWTWMCGEET-INIZCTEOSA-N 411.527 4.976 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 445264709 TZFYKBSEQNOAPA-SNVBAGLBSA-N 413.293 4.651 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 445996307 PQNDVBDBVAXFSN-DLBZAZTESA-N 421.419 4.786 5 20 HJBD Cc1ccc(Br)cc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460355489 XHWHRCYFLJEHTE-KRWDZBQOSA-N 407.289 4.554 5 20 HJBD Cc1c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cccc1C(F)(F)F 471852664 NFEXCFHDRBAHIV-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD O=C(NCC1(C(F)F)CC1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 473291839 GABVCSCPZUZRLR-UHFFFAOYSA-N 409.820 4.635 5 20 HJBD O=C(O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c(F)c1 479458208 PSSJRKATTWVMMT-UHFFFAOYSA-N 412.398 4.836 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 480999408 PIVSUSSJLUCEOB-HOTGVXAUSA-N 406.442 4.904 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccccc2F)C1)c1ccc(Br)cc1[N+](=O)[O-] 482885220 GZLLDUQQPZNQKA-WCQYABFASA-N 407.239 4.563 5 20 HJBD CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484408060 ONIKWHNARGLDHY-BEFAXECRSA-N 418.877 4.664 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 485777185 YIZBHVRUJPDAOJ-UHFFFAOYSA-N 407.426 4.608 5 20 HJBD CSc1cccc(C(=O)N[C@@H]2CCCc3cc(OC(F)F)ccc32)c1[N+](=O)[O-] 486227068 FHMFZLLHMUMUTQ-OAHLLOKOSA-N 408.426 4.726 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(c2ccsc2)CC1 486629705 SZLHANXOZUCLCS-UHFFFAOYSA-N 402.519 4.622 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(-c2ccc[nH]2)cc1 488597518 PCYHEAFUFIITOZ-UHFFFAOYSA-N 404.348 4.930 5 20 HJBD O=C(CSc1nnc(CCC2CCCCC2)o1)Nc1ccc([N+](=O)[O-])cc1Cl 488776348 DTSPIJAIAFQHFT-UHFFFAOYSA-N 424.910 4.875 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCC[C@@H](c1ccccc1)C(F)(F)F 490895396 ABNRBURJPABFCR-HNNXBMFYSA-N 400.784 4.643 5 20 HJBD COc1cc(CN2CCCCC[C@H]2c2ccncc2)c([N+](=O)[O-])cc1OCC(F)F 491838946 WDMMOWMMKIDTAU-KRWDZBQOSA-N 421.444 4.760 5 20 HJBD CC[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 500632266 LLNJZPRUCDOFJA-LRDDRELGSA-N 410.442 4.944 5 20 HJBD CN(C)Cc1cc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)ccc1Cl 500748488 HTKPLGGVOSAALY-UHFFFAOYSA-N 423.875 4.788 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2OC)ccc1OCCC(C)C 501466038 HSTSXYCWROJZBY-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD Cc1cc(C(=O)Nc2cccc(COCCOc3ccccc3)c2)ccc1[N+](=O)[O-] 502709987 BIBSAYZBSRVUIV-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD C[C@@H](O)C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 504889713 QZVQRJITMGYASG-HIFRSBDPSA-N 420.918 4.775 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(Cc2cccc([N+](=O)[O-])c2)n1 508665434 LUPZQODASWDJFE-GOSISDBHSA-N 401.850 4.781 5 20 HJBD COc1cc(OCC(=O)N(Cc2ccccc2Cl)C2CCCC2)ccc1[N+](=O)[O-] 511922966 NZLNQBSKYJABMC-UHFFFAOYSA-N 418.877 4.607 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1CN(C)C1CCCCC1 515816330 JHYFMNBFOOAQJT-UHFFFAOYSA-N 424.545 4.701 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(Br)c2Cl)c1[N+](=O)[O-] 515875212 WILPGHGVVRQTGA-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nc(-c2ccccc2OCc2ccccc2)no1 521048299 QQCFCLMILOZJHB-UHFFFAOYSA-N 416.437 4.878 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1 524974789 IBZCYQCHHGIRIU-UHFFFAOYSA-N 404.264 4.553 5 20 HJBD CNC(=O)c1cccc(C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)c1 525117721 BNXZNOOUSJEIDI-UHFFFAOYSA-N 408.461 4.561 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc(Cl)cc([N+](=O)[O-])c1 525626620 CSQPQAMKVJGLOR-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@H](C)c3cc([N+](=O)[O-])ccc3C)c2)cc1 531947120 FJXQCNZOAZOFLJ-LJQANCHMSA-N 417.509 4.992 5 20 HJBD COc1cc(C(=O)N(C)[C@@H]2CCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 535192124 TVZISSNVLFQSNH-CQSZACIVSA-N 422.890 4.627 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1cccc(F)c1 535506346 BOQSATLNBAUUOU-GFCCVEGCSA-N 401.419 4.649 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@H]1c1nc2ccccc2o1 536220319 KFXLBSUARJLIHF-IBGZPJMESA-N 404.426 4.514 5 20 HJBD COCC1(C)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 536920051 HETJIJLOPMJZDE-UHFFFAOYSA-N 418.877 4.929 5 20 HJBD CC[C@H](CSC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 538055492 AMGVPXUNKRKALG-CQSZACIVSA-N 404.488 4.609 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 539338381 OHHWWWFBDRQREN-KRWDZBQOSA-N 404.470 4.645 5 20 HJBD Cc1cc(Cl)ccc1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352144 GADDZIAIRVQZKF-UHFFFAOYSA-N 417.874 4.722 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 539771016 ZXAUKUBAPPANHW-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)CCC)c1 541863632 IEOCYUOHVMDDCA-UHFFFAOYSA-N 415.515 4.766 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 545436400 AMHDQZRNRQDCDJ-LJQANCHMSA-N 421.419 4.833 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1nnc(-c2ccc(Cl)cc2)o1 547308496 GDSIXFHIAYDUPW-UHFFFAOYSA-N 424.638 4.948 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CSc2nnc(-c3cccnc3)n2C2CC2)c1 549341599 XJAFWJXLLSDRHV-UHFFFAOYSA-N 421.404 4.894 5 20 HJBD CC(C)c1cc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])n(-c2ccccc2)n1 555005119 HMYIZDDPBSOJLS-UHFFFAOYSA-N 407.474 4.610 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1Sc1nnc2ccc(Br)cn12 558993289 YFRCFEBYFWETFG-UHFFFAOYSA-N 419.182 4.570 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@@](=O)Cc4ccccc4)c3)ncnc2s1 561231673 HGIKXYIQWUDQLW-LJAQVGFWSA-N 424.507 4.792 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 569286141 LWGJZJDQEKCCOV-JTQLQIEISA-N 416.833 4.819 5 20 HJBD O=[N+]([O-])c1cnc(NCc2nc(-c3ccccc3F)cs2)c(Br)c1 570441717 AJOOUBGWBRTMKA-UHFFFAOYSA-N 409.240 4.627 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1=O 578257255 MOXPYKXWYKZNQV-UHFFFAOYSA-N 423.444 4.628 5 20 HJBD COc1cc(CN[C@@H](C)c2ncc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OC(F)F 583532950 YGCOVFAKDWYZOH-LBPRGKRZSA-N 419.384 4.711 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 583601606 MFGDAUMICXCOBP-CQSZACIVSA-N 411.845 4.964 5 20 HJBD CC(C)(C)c1cc(NC(=O)CCOc2ccccc2[N+](=O)[O-])n(Cc2ccccc2)n1 603952736 CKKJPQQNQLGDRY-UHFFFAOYSA-N 422.485 4.545 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3cccc(-c4nnco4)c3)c([N+](=O)[O-])c2)cc1 608972881 KNTZBVCQZWUGQX-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD O=C(CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NCc1cccc(Cl)c1 609765374 STIQIGLXLQWWIB-UHFFFAOYSA-N 411.845 4.769 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncccc1OCC1CCCCC1 609785125 IPAOFBZXROQKCP-UHFFFAOYSA-N 403.866 4.784 5 20 HJBD COc1cc(CN2CCS[C@@H](C)[C@@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 609829421 JKIYQFIYWQSRHE-ORAYPTAESA-N 424.469 4.883 5 20 HJBD O=C(NCCn1cnc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609845605 BVKHVDREXNNUFF-UHFFFAOYSA-N 417.425 4.559 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1)c1ccc([N+](=O)[O-])cc1F 613015472 XGPMKVIUOHYCSK-AWEZNQCLSA-N 411.433 4.559 5 20 HJBD O=C(COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)c(Cl)c1 736806761 HVRLPOXMBUPZCW-UHFFFAOYSA-N 420.805 4.551 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 739557219 ZAFACMCSQBFKRO-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD O=C(NCC[C@@H](O)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 742826201 LUMHGXRGABNXIA-HXUWFJFHSA-N 408.479 4.600 5 20 HJBD Cc1nc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])sc1Cc1ccccc1F 750181160 YKSXYJAOLBGOJR-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1Br 751072781 ORSHSOOUSVQIFY-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD CC(=O)Nc1cccc([C@H](C)OC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 753536844 BSZVTBVXLJXHFF-NSHDSACASA-N 421.247 4.542 5 20 HJBD Cc1nc(COC(=O)CCc2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 757086840 SJPHFUKKQCCRLC-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD C[C@H](OC(=O)c1ccc2sccc2c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 761304081 JLEPWXAWXNQNND-JTQLQIEISA-N 404.831 4.647 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=S)Nc2ccc([N+](=O)[O-])cc2)c1 767697103 IDDVVDUJFKNWOL-QFIPXVFZSA-N 421.522 4.836 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)sc2C)cc1 769470489 GPNUOVNHDCXIHG-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1nc(COc2ccc(N[C@@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])cc2)no1 777687332 ZFIFIZIUCSHLAD-OXJNMPFZSA-N 408.458 4.689 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 777831002 NOBBHKWHDOKFSX-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 783655224 JWMXNIAMEDAIDE-UHFFFAOYSA-N 417.465 4.777 5 20 HJBD CN(c1ccccc1)C1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813045642 ZHCYAWQQXOOMPH-UHFFFAOYSA-N 408.285 4.643 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cccc(NC(=O)c2cccs2)c1 813244357 YLCSYTJOZLKYMO-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CN(Cc1ccc(Br)o1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813409791 MOVNOWZHYBBFBG-UHFFFAOYSA-N 408.035 4.529 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 813629494 YFIUWBZUSSZZMM-BFUOFWGJSA-N 410.392 4.726 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1C1CC1 813739760 YHGSQGJDINRPAK-UHFFFAOYSA-N 411.506 4.567 5 20 HJBD COc1ccc(C[C@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 815574198 HXZRHAVHTYNEAT-IERDGZPVSA-N 421.497 4.829 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)c(F)c2)s1 875023570 XYBQOESUAFJWQJ-XBXARRHUSA-N 401.394 4.572 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 914466334 RWCRIQGIRKYILE-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD C[C@H](OC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 915417333 VHUNDSBMTTUUDI-ZDUSSCGKSA-N 415.833 4.925 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)c2)cc1 921155286 HZLSJTLCEMUYJO-NTEUORMPSA-N 418.449 4.972 5 20 HJBD Cc1ccc(Cn2ncc3c(C(=O)Nc4cc([N+](=O)[O-])ccc4C)cc(C)nc32)cc1 1317720262 UZZLUZNOWIWZRZ-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD CCN(Cc1ccccc1F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1317828582 BAGKPNRQOWRQHF-UHFFFAOYSA-N 416.396 4.894 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(CCNc2ccccc2[N+](=O)[O-])o1 1326151684 RMKJXFPBFDEWMT-OAHLLOKOSA-N 404.426 4.926 5 20 HJBD CC[C@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccc(C)cc1 8025724 DRBOHNFYAVNCFG-NRFANRHFSA-N 406.486 4.646 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(Cl)cc3F)cc2)c(C)c1[N+](=O)[O-] 8075641 LKKNPMQSRIJAAV-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(CC(c1ccccc1)c1ccccc1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 10963855 KBUPFYOHZUYBAE-UHFFFAOYSA-N 418.449 4.917 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccc(-c3csc(C)n3)s2)c1 12397124 ALZDOWVPHOGVHP-UHFFFAOYSA-N 419.553 4.783 5 20 HJBD COc1cc(C(=O)N2CCC(C)CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 17355470 MKEIOLNNWKCMPY-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](Cc1ccccc1)c1ccccc1 26923696 ZQNHISXYHONIJX-NRFANRHFSA-N 419.481 4.506 5 20 HJBD CCOc1ccc([C@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 47068978 OWAUHZKSWSBHMD-HSZRJFAPSA-N 419.481 4.925 5 20 HJBD CN(C)CCC(=O)Nc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 65754907 YRUIGSYUCIYRJZ-UHFFFAOYSA-N 412.493 4.531 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 107794037 GQURFACBGBKAMZ-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 109217417 HPFAKHREBJOBQI-IBGZPJMESA-N 410.861 4.931 5 20 HJBD CCCC[C@@H](NCc1csc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 236862254 AQHGYTPWWIVOBH-LJQANCHMSA-N 416.547 4.544 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cc(Br)ccc3F)cs2)c1 237729215 JLFGCKMGKDBKMW-UHFFFAOYSA-N 422.279 4.910 5 20 HJBD Cc1c(NC(=O)CCN2CCCC[C@H]2c2cc3ccccc3[nH]2)cccc1[N+](=O)[O-] 303415682 WIVXVVAHGWKNBF-QFIPXVFZSA-N 406.486 4.940 5 20 HJBD Cc1cn2c(-c3noc([C@@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)c(C)nc2s1 426552925 MYLXGCFPIZPLND-LLVKDONJSA-N 401.473 4.824 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3[C@H]4CC[C@@H](C4)[C@@H]3C(=O)O)cc2[N+](=O)[O-])cc1 426823331 IJOMQGAPRIRMIE-LRGYGIHKSA-N 412.511 4.643 5 20 HJBD COc1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])ccc1C(F)(F)F 426918675 MMGFVZCAGYECHJ-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD CCOc1cc(NC(=O)C[C@H](C)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 427400908 XIIALYHWLAGSSO-AWEZNQCLSA-N 402.447 4.533 5 20 HJBD CC(C)(NCc1cccc(Br)c1OC(F)F)c1ccccc1[N+](=O)[O-] 429230098 JPPBVJXSSZSAJQ-UHFFFAOYSA-N 415.234 4.984 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 429953777 LYIBQBPRFXDSGX-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c2C)c1 433285213 GTPBUVXQGZDRCJ-UHFFFAOYSA-N 407.474 4.763 5 20 HJBD CN(C)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)cn1 435445053 CFONFTQPNWJOEM-UHFFFAOYSA-N 400.485 4.521 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1OC 436075523 MUZJFJIVCRPQTA-UHFFFAOYSA-N 424.403 4.619 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@]3(O)CCC[C@@H](C(F)(F)F)C3)s2)cc1 436419520 ZHVHCUJWKKMGBJ-KDOFPFPSSA-N 414.449 4.897 5 20 HJBD C[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1ccc(Br)s1 436470306 RIVHHTYVLXESSC-LURJTMIESA-N 407.087 4.749 5 20 HJBD Cc1ccc(CCN(C[C@@H]2CCCO2)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 437578113 FXZSLKVLVMYNOH-SFHVURJKSA-N 417.893 4.812 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 438385285 LMJJLZFFJRVHBJ-QFBILLFUSA-N 403.841 4.617 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCOc1ccc(Br)cc1F 444741260 XYUHTYJHIVQQMG-UHFFFAOYSA-N 411.227 4.521 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(C1CC1)[C@@H]1CCc2ccccc21 446023826 JSOXZCDDVFKIFA-CYBMUJFWSA-N 407.289 4.711 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](NCCCOC1CCN(Cc3ccccc3)CC1)CCC2 447589147 WSPKGVVTCLCEHY-VWLOTQADSA-N 423.557 4.633 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 462495088 NEBNRLNWLSAHSQ-SFHVURJKSA-N 423.444 4.806 5 20 HJBD Cc1nc(-c2ccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc2)n[nH]1 465432934 YRSNPOJMGKQVSB-UHFFFAOYSA-N 415.409 4.733 5 20 HJBD O=C(Nc1cccc(COCCOc2ccccc2)c1)c1ccc(F)cc1[N+](=O)[O-] 466312383 PPDYXDIQZYHZFI-UHFFFAOYSA-N 410.401 4.582 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)Nc3ccc([N+](=O)[O-])cc3Br)ccc2NC1=O 467007371 PEQRFCLMGRTNTI-QFYYESIMSA-N 420.263 4.640 5 20 HJBD COc1cc(CNCc2c(C)oc3ccccc23)c([N+](=O)[O-])cc1OCC(F)F 468673920 HRRUUKUPFOIOJJ-UHFFFAOYSA-N 406.385 4.592 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)c2ccccc2)cn1 477914215 IHXBBQDABQZSSN-UHFFFAOYSA-N 422.466 4.606 5 20 HJBD Cc1cc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c(C)c1O 478998298 VXYQHCSLXVAFPH-UHFFFAOYSA-N 411.380 4.685 5 20 HJBD CCC(CC)N(CC(C)C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 481236624 WPVBRBYOHIXZDG-UHFFFAOYSA-N 400.523 4.743 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 482984881 MFSHMFXDVNLDGU-UHFFFAOYSA-N 410.474 4.776 5 20 HJBD CC(C)(C)Oc1cc(NCc2cccc(C(=O)NCc3ccco3)c2)ccc1[N+](=O)[O-] 484892310 JHCUSXCNYBEGIF-UHFFFAOYSA-N 423.469 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1csc(-c2ccccc2F)n1 485329663 GUOPDTNVSDPOIL-ZDUSSCGKSA-N 420.487 4.842 5 20 HJBD CCc1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1C(CC)CC 486730220 WOSKOUZLVYQKFK-UHFFFAOYSA-N 412.412 4.664 5 20 HJBD O=C(CSc1nnc(-c2ccncc2)n1C1CCCCC1)c1cccc([N+](=O)[O-])c1 488900097 PNNYLDFLZSNCIW-UHFFFAOYSA-N 423.498 4.729 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CC3 491522576 XDGQJWPQOUNGRF-ZDUSSCGKSA-N 417.387 4.691 5 20 HJBD CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1cnccn1 491843922 FUVZIDSKZGRBTD-LJQANCHMSA-N 403.269 4.913 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2)cc1[N+](=O)[O-] 493074605 DMMHYNUWSQVLBF-IRXDYDNUSA-N 424.501 4.801 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 498144491 MECCLJLXOXGEHR-GFCCVEGCSA-N 403.360 4.523 5 20 HJBD O=C(NCc1ccccc1N1CCCCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 498258777 KBQWUMQJKUGCJC-UHFFFAOYSA-N 407.392 4.534 5 20 HJBD CCOc1cc(NC(=O)Cc2cn(CC)c3ccccc23)c([N+](=O)[O-])cc1OCC 498317086 VMIZOHNVAUMPSX-UHFFFAOYSA-N 411.458 4.548 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NC(=O)c2cccc([N+](=O)[O-])c2)cc1OC 499212961 OPADLXAHBGKADP-OAQYLSRUSA-N 420.465 4.634 5 20 HJBD Cc1ccccc1[C@@H](OC[C@@H](O)CN(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 501824461 HVBRFHMQAQFSNU-DHLKQENFSA-N 420.509 4.502 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCCO)N1Cc2ccccc2-c2ccccc2C1 502070771 ITBDZVKMPAEWJL-UHFFFAOYSA-N 420.490 4.502 5 20 HJBD C[C@H](NC(=O)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 502293589 OSTBUZUEGJUNFH-BTYIYWSLSA-N 424.888 4.987 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)nc(/C=C/c1nccn1CC(F)(F)F)n2-c1ccccc1 502816252 ALXMGIJSDLODDM-CMDGGOBGSA-N 413.359 4.863 5 20 HJBD CCCCCC(=O)Nc1ccc(C(=O)Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)cc1 504538288 IGWCBYXMOXQPSB-UHFFFAOYSA-N 420.469 4.860 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2/C=C/c2ccccc2)cc1[N+](=O)[O-] 505351719 JRXFUOMRJPVWEY-SINXNMPMSA-N 412.511 4.541 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 506175907 NEFMEMLIVHSXKL-HNNXBMFYSA-N 422.437 4.895 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(OC)c([N+](=O)[O-])c1 507281238 PIZCYUBVNOMEAJ-CQSZACIVSA-N 418.471 4.512 5 20 HJBD O=C(c1ccccc1OCC1CC1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 508926728 ZKXFTKZSGCNEKM-UHFFFAOYSA-N 408.376 4.588 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)Nc1cccc(Cl)c1Oc1cccnc1 511208744 ZNHLCIDACARMCU-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c2o1 512954663 JAKGWGNGPWIEPW-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD C[C@@H](Oc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)C(F)(F)F 519565939 WITLQQAKEIXQAB-LLVKDONJSA-N 408.336 4.587 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3ccco3)n2Cc2ccccc2)cc1 520601891 FPDCREGFRDLXBC-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 521435719 VCAUHBWHNMFQTA-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(C)[C@H](C)c1ccc(Cl)c(Cl)c1 521772749 LDNXBIQHLATLAY-LLVKDONJSA-N 403.287 4.592 5 20 HJBD CN(C)c1ccc(Cl)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 524050575 QPHMJAPLSOVHSY-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD Cc1nnc(-c2cccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)c2)o1 525687196 GIVQLGCICSPSMP-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C[C@@H](C)O1 534317503 WNAHDSBOOMNFET-MIFYACCESA-N 417.893 4.546 5 20 HJBD CC(C)Cn1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(C(F)F)n1 536801543 KAHONHGPGWSVNV-UHFFFAOYSA-N 407.421 4.581 5 20 HJBD COc1cccc([C@@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 538129337 MLGFWBCITMPDIA-UZUQRXQVSA-N 419.481 4.942 5 20 HJBD Cc1cccc2[nH]cc(CC(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)c12 538253243 SFQWWCGKOKXMNJ-UHFFFAOYSA-N 406.467 4.714 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NC/C=C\c2ccccc2[N+](=O)[O-])cc1 538834589 HCUTZVSWWHWEGW-VURMDHGXSA-N 408.502 4.535 5 20 HJBD CCc1cnccc1[C@@H](C)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 539096413 GHQWJMFYRBNZKH-CVEARBPZSA-N 419.485 4.611 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(-c4ccc5c(c4)CCO5)c3)n2)cc1 540078446 VDKCHJXNEQBLKV-UHFFFAOYSA-N 414.421 4.859 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 541295299 BRZOAFMAINIKPO-GFCCVEGCSA-N 424.906 4.625 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc2c1 542943776 XOAJEARCUKXSFU-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD CCC(=O)Nc1cccc(-c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 546976853 OVKONRYHLGHCPY-LLVKDONJSA-N 416.821 4.787 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4ccc(OC)cc34)CC2)c1 549083826 YFRYXUUTLYXGBW-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD CCCNC(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Cl 551451836 ZOPOVESZDBDQRR-UHFFFAOYSA-N 413.808 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@@H]2Cc2ccccc2Cl)c1 559504297 MGYCVNZWHBPAMI-QGZVFWFLSA-N 402.878 4.559 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)c1cccc([N+](=O)[O-])c1 560154159 KQZZCXGNTQKIIC-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccnc(-c2ccc(Cl)cc2)n1 584372613 DQGIDPQRBBPLMQ-UHFFFAOYSA-N 420.759 4.559 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCc3nn(-c4ccccc4)c4c3CCC4)o2)c1 608836123 PNDRREWHVICZAN-UHFFFAOYSA-N 414.465 4.819 5 20 HJBD C[C@H](NC(=O)N(CCc1ccccn1)Cc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 608893186 SHSVWCGQQJWRRG-KRWDZBQOSA-N 422.460 4.644 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608935702 DTDKENJYIGOWPK-JTQLQIEISA-N 411.241 4.506 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 609665147 AIJXMBHGHDSITB-UHFFFAOYSA-N 409.486 4.816 5 20 HJBD CCC(CC)N(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CC1 609855171 NKSOCQHBAHRNEX-UHFFFAOYSA-N 411.289 4.881 5 20 HJBD CCOC(=O)c1ccnc(N[C@H](C)c2sc(-c3ccccc3)nc2C)c1[N+](=O)[O-] 609962697 ZIAKMZUDCDBCFP-GFCCVEGCSA-N 412.471 4.772 5 20 HJBD CCOC(=O)c1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1Cl 610962671 PUXBKCDGSMUVTM-UHFFFAOYSA-N 417.849 4.621 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 613296892 BOJRCFAWLGTFMK-PHIMTYICSA-N 413.318 4.806 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CC3 613860481 HWTLAXAJRDVWCC-UHFFFAOYSA-N 418.375 4.994 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1)c1cccc([N+](=O)[O-])c1 617769739 WMCGSYSQRXCFSD-QVKFZJNVSA-N 422.460 4.981 5 20 HJBD COCCCOC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 733422225 PMJKIISRDCPQSF-SSZFMOIBSA-N 412.467 4.715 5 20 HJBD COc1ccc(CNC(=S)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735241967 MBEVCMWVPVYHPJ-UHFFFAOYSA-N 409.467 4.882 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(N2CCOC2=O)cc1 735251413 LNUGDWGBFACDAR-UHFFFAOYSA-N 419.393 4.596 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 735435252 RLZONNRIQVDYIN-UHFFFAOYSA-N 424.240 4.796 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2ccsc2)cc1)c1ccc([N+](=O)[O-])cc1 739719802 CBKNWOOZTSPRTQ-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD CC(C)(C)OC(=O)N1CCCN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccccc21 741737654 BJEAILHVLLZBFH-KAMYIIQDSA-N 423.469 4.786 5 20 HJBD COc1cc(CNCc2c(C)cccc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 742381162 ZDIHRTCITTUWRO-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Oc2cccc3[nH]ccc23)cc1[N+](=O)[O-])c1ccccn1 744274649 SYXWSMWFXHROQW-CQSZACIVSA-N 402.410 4.863 5 20 HJBD COc1ccc(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 748021362 KQONPKOOEPKMSA-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1c(C(=O)N2CC(C)(C)c3c(Cl)cccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748638779 JMHSPDKZAPCYOU-UHFFFAOYSA-N 403.822 4.711 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 752945378 UPEPMACLYGNFJW-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@@H](Cc1cccc(Cl)c1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 756342313 DSDOGQUNXXCEDS-QWHCGFSZSA-N 415.833 4.781 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757434057 ASSZMWWURVAKMU-IBGZPJMESA-N 416.886 4.686 5 20 HJBD O=C(Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F 763428964 AEAJMMDUJDROPK-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775526135 GMFSIIPQOWEQGZ-HUUCEWRRSA-N 424.888 4.988 5 20 HJBD CCn1cc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc21 776136140 VRGBCVUUSVHTBR-XMMPIXPASA-N 415.449 4.840 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2sc(C)nc2c1 781223499 VJGCWAMTLDJZFX-UHFFFAOYSA-N 403.485 4.504 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC=C(c2cccc(Cl)c2)CC1 795599439 ZEQRUPWLBNSEOH-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 798289380 FDTVRXHHKQYUCB-UHFFFAOYSA-N 422.466 4.683 5 20 HJBD Cc1c(C(=O)OCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)oc2c1ccc1ccccc12 801914182 TVFLKDWILXDQPN-UHFFFAOYSA-N 418.405 4.622 5 20 HJBD C[C@H](C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccc(Br)s1 803374859 OWKHXNDXQPDFLA-ZETCQYMHSA-N 406.204 4.544 5 20 HJBD O=C(NCc1ccc(F)cc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812929085 RNMSKEHZEZLZLM-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD CCOC(=O)c1cc(F)c(F)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813025306 LOASXDVJQJGAID-UHFFFAOYSA-N 419.167 4.609 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H]1CCN(Cc2ccccc2)C1 813153406 UPNWLKHZVZRTAG-HIFRSBDPSA-N 422.312 4.542 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 1321123430 LYUKEJWGSNHQED-NSHDSACASA-N 418.793 4.963 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)[C@@H]2CC[C@@H](SC)C2)cc1 1329776818 XPNVEQWHPJFRAM-RDTXWAMCSA-N 416.499 4.752 5 20 HJBD O=C(COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccccc1-c1ccccc1 5656109 PHNSZIOVFXBBOS-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 55673203 IKEZCLYCMBYDJP-GFCCVEGCSA-N 418.375 4.505 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc(C)c([N+](=O)[O-])c4)CC3)c2c1 56520191 OCKOYDGWYMCMSJ-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 59021030 PPASUMYSXXHILD-SFHVURJKSA-N 422.529 4.822 5 20 HJBD CCOc1cc(NC(=O)CSCc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC 61124945 JJRRAFMNIOVDAZ-UHFFFAOYSA-N 424.906 4.918 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(OCc2cccc(F)c2)c1 65450674 HSVSUSDZXMLJES-UHFFFAOYSA-N 409.417 4.677 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccnc3N3CCCCC3)n2)cc1[N+](=O)[O-] 107785660 YRLSOBKHHPYBJU-UHFFFAOYSA-N 423.498 4.664 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1nccn1C 109689188 JYRWPLUMICRHTJ-ZDUSSCGKSA-N 414.512 4.599 5 20 HJBD C[C@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)c1cc(F)c(Cl)cc1Cl 195613656 FKWNKRJQXGYVMD-JTQLQIEISA-N 400.237 4.644 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(Oc2ccc(F)cc2F)CC1 195641336 RUXBQTQZFZOXNV-UHFFFAOYSA-N 418.421 4.771 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 247333759 PTYDEICDSQZISH-UHFFFAOYSA-N 408.502 4.828 5 20 HJBD O=[N+]([O-])c1cnc(N2CCCC[C@H]2c2cc3ccccc3[nH]2)c(Br)c1 301685846 WVZWDXNHERITLM-KRWDZBQOSA-N 401.264 4.965 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@H](C)c1cccc(C(F)(F)F)c1 302957374 FVKHIZWLWYCKNM-LLVKDONJSA-N 406.364 4.713 5 20 HJBD CC1CCN(c2ccc(C(=O)N(Cc3ccco3)c3ccccn3)cc2[N+](=O)[O-])CC1 302986052 WGRAMFGMSGROMG-UHFFFAOYSA-N 420.469 4.666 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCc3nccn3C(F)F)cc2[N+](=O)[O-])cc1 303060068 LNIRRKARDVYRRU-UHFFFAOYSA-N 418.425 4.576 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 303623837 PSVQQALMCSDMBZ-OAHLLOKOSA-N 418.877 4.929 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1ccc(F)cc1[N+](=O)[O-] 392977632 TXZCJDODOBKKNY-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 435447759 RCFLYAKMFXJHJB-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)C1C2CC3CC(C2)CC1C3 436098375 CWSOXSRIDUUPBZ-UHFFFAOYSA-N 422.475 4.856 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H](c2ccccc2)c2ccccc21 436301472 ZBABNUOCWHDCKA-OAQYLSRUSA-N 410.433 4.573 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437103458 KKPCARJZFDQGLZ-UHFFFAOYSA-N 423.513 4.662 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)n1 437780056 XMLBWSPATBANQD-UHFFFAOYSA-N 421.457 4.712 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)c([N+](=O)[O-])cc1OC 439123613 LRXNIYZFGMVBKS-UHFFFAOYSA-N 418.755 4.561 5 20 HJBD Cc1ccnc(Oc2cc(N[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])ccc2C)c1 439613958 XINRTCBXCIXYAO-MRXNPFEDSA-N 406.442 4.838 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2csc([N+](=O)[O-])c2)n1 439659123 RPGKRRLEUAPUII-UHFFFAOYSA-N 406.851 4.811 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N(C)Cc3cnc(C(C)C)nc3)c([N+](=O)[O-])c2)cc1 440668432 GOKIUFMIVLFGAA-UHFFFAOYSA-N 419.485 4.705 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)cc(F)c1Br 443528898 KNLJNFCPEHDHMC-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD O=C1CCCc2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc21 444189867 VMANMERMIMWJPJ-UHFFFAOYSA-N 400.409 4.592 5 20 HJBD Cc1ccccc1[C@H]1CN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)[C@H](C)CO1 444197795 SBDYQKRRGWPNTR-VGOFRKELSA-N 407.470 4.563 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](COc3ccccc3F)c3ccccc3)[nH]c2c1 444689054 MAEMTPGXYVAMIZ-HXUWFJFHSA-N 406.417 4.520 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@@H]1c1cccc(N(C)C)c1 448025845 FZTPXGIJLHIPSD-FOIQADDNSA-N 407.474 4.609 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)Cc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 461768538 VHFKXZLVWHHHSN-UHFFFAOYSA-N 408.454 4.608 5 20 HJBD Cc1cc(N[C@H]2CCN(C(=O)Nc3ccccc3)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461967621 SMQYJXDUKUYCRH-AWEZNQCLSA-N 408.380 4.640 5 20 HJBD Cn1c(SCc2nnc(-c3ccccc3)s2)nc2cc3ccccc3cc2c1=O 463796723 FYHCJYZDFAXWRW-UHFFFAOYSA-N 416.531 4.898 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)ccc(F)c2C1 463951141 OAQSUXWULXHDOD-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD CO[C@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(C)(C)C 465217002 XGJXRVVFGNFRQP-GOSISDBHSA-N 406.866 4.831 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)Nc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2NC1=O 467006706 WHZCUZPJHABOIP-HWPZZCPQSA-N 409.364 4.896 5 20 HJBD C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 467909158 FLDWFNOROICJBY-SECBINFHSA-N 413.327 4.626 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 471604652 YRBDHHHJDBZVML-JTQLQIEISA-N 419.800 4.628 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cn1 473788081 OGUCPNILZJBUMF-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCc1ccccc1[N+](=O)[O-] 478712258 WTNKKWJVZWTIGH-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1cccc(F)c1F)C(F)(F)F 482374290 COJUHYYVMLKCPA-AWEZNQCLSA-N 423.725 4.629 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2C)CC1 482561386 RKUNFQSFRNQQGN-ROUUACIJSA-N 414.525 4.596 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cc(CSCCO)ccn2)s1 482601004 FMUFKVBIWNOFFR-UHFFFAOYSA-N 401.513 4.556 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2)n1 484216529 DMJXKILQUAWHGN-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OC(C)C 485729453 CGUFRWPUPWFATF-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2sc(C)nc2cc1OC 487044733 RYOIQYBNQXOWAS-UHFFFAOYSA-N 403.485 4.886 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1Cl)C1CCCCC1 488668679 IAXQTNDWTIONNY-UHFFFAOYSA-N 421.906 4.967 5 20 HJBD C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@H](c2cccc(C(F)(F)F)c2)O1 488688182 SDEOHHQGMDICIU-ZUZCIYMTSA-N 401.772 4.628 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 489877999 AKQWWPUFYFKWLY-STQMWFEESA-N 417.416 4.769 5 20 HJBD CCOc1cc(CSCc2ccc(S(=O)(=O)C(C)C)cc2)ccc1[N+](=O)[O-] 492151368 MDSBUKUHLVGKSD-UHFFFAOYSA-N 409.529 4.609 5 20 HJBD COc1cc(CNc2cnn([C@H](C)C3CC3)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 494394863 GHTMTAQEZBGXNH-MRXNPFEDSA-N 422.485 4.962 5 20 HJBD CCOc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OCc1cccnc1 498272395 PUQRSHRQIXIIDO-UHFFFAOYSA-N 421.453 4.837 5 20 HJBD CCOc1cc(NC(=O)Cc2cc(Cl)ccc2Cl)c([N+](=O)[O-])cc1OCC 498317566 YLTKFUFLPIBPLV-UHFFFAOYSA-N 413.257 4.880 5 20 HJBD COc1ccc2c(c1)CCCCN2C(=O)Cn1c2ccccc2c(=O)c2ccccc21 498745514 USJLOYBCDZMHII-UHFFFAOYSA-N 412.489 4.533 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1ccc(C)c([N+](=O)[O-])c1 502308408 GWJUMUKLOXLMGD-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(/C=C\c3ccc(OCc4ccccn4)cc3)n2)c1 504991554 NDDBEKLHGOCIKD-XFXZXTDPSA-N 400.394 4.789 5 20 HJBD CCc1c(C(F)(F)F)noc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 505943007 UXHFKCMFIFQELG-UHFFFAOYSA-N 412.349 4.540 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)C2)cc1F 506303849 DNKBZCKGLGKXAR-QAQDUYKDSA-N 416.452 4.505 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 507833452 MFQRIZIZCVGWAY-NSHDSACASA-N 411.683 4.762 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CC2CCCCC2)c2ccccn2)cc1SC 507971307 YHMVNGCXRRAWBU-UHFFFAOYSA-N 415.515 4.947 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 509996316 SOLDLWFZRVETBO-HNAYVOBHSA-N 423.494 4.616 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(Br)c1 510000995 XZVWPURTNGYTIL-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCCSc1ccccn1 511218003 RGWLKZSJFCIDKG-UHFFFAOYSA-N 405.545 4.650 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c1 513897190 MDQZAUURIQLQIA-AWEZNQCLSA-N 420.918 4.901 5 20 HJBD CCn1c(S[C@H](C)C(=O)C2(c3ccccc3)CC2)nnc1-c1ccc([N+](=O)[O-])cc1 517228427 PHQXLBXBSHGRNH-OAHLLOKOSA-N 422.510 4.655 5 20 HJBD CC[C@H](C)[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 518279094 BAOYNEGZGXAMQP-SBUREZEXSA-N 424.545 4.646 5 20 HJBD Cc1cccc(COc2cccc(NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)c2)c1 518425530 VJCLKPXFJQLTGA-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD CC(C)(C)Cc1nc2cc(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3Cl)ccc2o1 519516618 UEVJQMHFOIKDPR-UHFFFAOYSA-N 423.878 4.779 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3C[C@H](c4ccccc4)[C@H]4COCC[C@@H]43)cs2)c1 519792441 PUBNSLJTJGXNSD-VSKRKVRLSA-N 421.522 4.723 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC2CCOCC2)cc1 522944116 UJYHMBGVMHOQRF-OAHLLOKOSA-N 416.499 4.520 5 20 HJBD O=[N+]([O-])c1ccc(OCc2csc(Cc3ccccc3)n2)c(Br)c1 523108492 UMNABGCGLIQGEM-UHFFFAOYSA-N 405.273 4.984 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)ccc21 524537848 CFLIUNKYEIYUID-UHFFFAOYSA-N 410.327 4.936 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Br 525644817 QCVXRVKALCYLPO-PBFPGSCMSA-N 403.276 4.764 5 20 HJBD Cc1csc(C2(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])CCCC2)n1 539422975 APMQLKCJWFPFSI-UHFFFAOYSA-N 408.483 4.621 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 541074092 JLRUNRXDAFUNSD-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD Cc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cccc1OCC(F)(F)F 542217172 FXZYYBYQLFRITM-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(OCC(F)(F)F)c1 542611314 MBAJOSYVVBPIJJ-LLVKDONJSA-N 400.328 4.726 5 20 HJBD O=C(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1cccs1 542650610 XIYNWIWPNFLULT-UHFFFAOYSA-N 404.513 4.551 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1cccc(Cl)c1)c1ccccn1 544626703 RTWOLPWZUYDJQC-SFHVURJKSA-N 400.797 4.693 5 20 HJBD C[C@H](CC(=O)c1ccc(Cl)cc1)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 545669131 IZEBBFRKEQENFM-CYBMUJFWSA-N 414.849 4.662 5 20 HJBD CSc1ccc(-c2nc(-c3ccc(OC4CCOCC4)cc3)no2)cc1[N+](=O)[O-] 546496701 YWAGUAXCGNAPIN-UHFFFAOYSA-N 413.455 4.592 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 577895319 DINDFWZZNAXCBJ-VHSXEESVSA-N 413.218 4.616 5 20 HJBD C[C@@H](C(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 581861226 SSRILVACVNDUBF-MRXNPFEDSA-N 409.486 4.534 5 20 HJBD O=C1CC[C@@H](C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c2ccccc21 583072921 YTERZPUJRVYYTI-JOCHJYFZSA-N 400.434 4.888 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(OC(F)F)cc3)cc2[N+](=O)[O-])CC1 599699981 RNXXVSCDNYBGJN-UHFFFAOYSA-N 405.401 4.685 5 20 HJBD Cc1cccn2c(NC(=O)CCOc3ccccc3[N+](=O)[O-])c(-c3ccccc3)nc12 603950736 VWHXAKYAVRWEBU-UHFFFAOYSA-N 416.437 4.626 5 20 HJBD C[C@@H](CN1CCCCC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608836422 WTQPGRRWTDZQRX-AWEZNQCLSA-N 422.323 4.581 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])o1 610050235 CHVOJPLPDUHDDG-MRXNPFEDSA-N 402.447 4.525 5 20 HJBD Cc1ccc(Br)cc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610093243 CZFJFFPDFXEKOE-UHFFFAOYSA-N 406.280 4.810 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610290528 AGGIAXOWJARJJU-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD Cn1cc(C(=O)Nc2ccc(COC(C)(C)C)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 610624611 LJJXBDCSVTZZQH-UHFFFAOYSA-N 408.458 4.563 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCCCOC1CCN(Cc2ccccc2)CC1 610830740 KRTWCVWTGFFYTH-FQEVSTJZSA-N 411.546 4.625 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 611279071 CAISPPRBLDZMBU-VXGBXAGGSA-N 412.330 4.723 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc2nc(O)[nH]c2c1 611477483 RKBDRDMHMAECAY-GFCCVEGCSA-N 414.487 4.560 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1cc([N+](=O)[O-])ccc1OC(F)F 611523264 YIMHDLHHRKAQIK-UHFFFAOYSA-N 409.364 4.578 5 20 HJBD C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO[C@@H]1C1CC1 613439195 PKPKSSRBWVCRGQ-TZIWHRDSSA-N 404.532 4.565 5 20 HJBD CCC[C@H]1CCc2c(sc(=O)n2CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)C1 728044639 MGVZEJYUDXEVTQ-INIZCTEOSA-N 403.548 4.591 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1NCc1cccc(Cl)c1Cl 732001702 UWNVGWZFWHAXDR-UHFFFAOYSA-N 411.213 4.510 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccncc3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 732640576 DMYOIOMLCDPUOP-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1cc(/C=C2\N=C(c3ccc([N+](=O)[O-])c(C)c3)OC2=O)ccc1Oc1cccnc1 732726700 PAVRPSNQHLYRBV-UNOMPAQXSA-N 415.405 4.743 5 20 HJBD CCc1nc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)sc1C 749421385 IQKXPVMPLGYOFG-UHFFFAOYSA-N 404.673 4.590 5 20 HJBD CC(C)(C)OC(=O)N1CCCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)c2ccccc21 751483196 QLVIVCHYGWVRPR-UHFFFAOYSA-N 424.457 4.888 5 20 HJBD C[C@H](C[C@@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 752284174 KXOVELOKXASMJJ-RDTXWAMCSA-N 412.467 4.691 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cccc(Cl)c1 754980281 HRRONFIZNZBIME-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n1[C@H]1CCC[C@@H](C)C1 758170693 ZJXKCDYITVEZGL-YPMHNXCESA-N 423.926 4.630 5 20 HJBD CC(C)(C)OC(=O)N1CCC(N(Cc2ccc([N+](=O)[O-])c(Cl)c2)C2CC2)CC1 761507752 VQUHBDSAXBQGPE-UHFFFAOYSA-N 409.914 4.612 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H](c3nc4ccccc4o3)C2)ccc1Br 768245323 OKTNJOJNUCOVBA-AWEZNQCLSA-N 416.275 4.878 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc2ccccc2n1C(F)F 770010169 ZFXQYZMNECTNRP-SECBINFHSA-N 409.780 4.876 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 773251144 ISVVMORKDZTGAD-GOSISDBHSA-N 413.474 4.806 5 20 HJBD Cc1nc(C2CCCCC2)sc1C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 775121198 CMVNDGXNBOPUPJ-CYBMUJFWSA-N 417.487 4.591 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1Br 775957243 NXVIEYZFUOBHQI-UHFFFAOYSA-N 418.291 4.543 5 20 HJBD CSc1ccc(O[C@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133112 GGKIHYTZDJRHDG-QVKFZJNVSA-N 424.478 4.812 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)C1CCC1 781630193 QZUXVSPEADFGJI-HXUWFJFHSA-N 417.849 4.747 5 20 HJBD CC(C)c1ccc(C(=O)OCc2ccccc2NC(=O)c2ccco2)cc1[N+](=O)[O-] 784522173 XZHANYAGGOUEGG-UHFFFAOYSA-N 408.410 4.921 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cc(Br)c(F)cc1F 786168319 ZHWWGWSTNXQXCA-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD COc1cc(C)ccc1Oc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N 786822772 BMJTWQLABIXOKN-UHFFFAOYSA-N 411.389 4.678 5 20 HJBD Cc1cnc(COC(=O)C23C[C@@H]4C[C@H](C2)CC(C(F)(F)F)(C4)C3)c(C)c1[N+](=O)[O-] 789445432 RYJIRSIAAONVQY-BAUKFBFWSA-N 412.408 4.799 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2cc(C)ccn2)cc1[N+](=O)[O-] 791258695 WWMLIIMWAGWRCD-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3cc(Cl)ccc3[N+](=O)[O-])s2)c1 804342417 JMUSRUYNHPTZSE-UHFFFAOYSA-N 421.891 4.953 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 914507761 IYPWAEUFBGKSTJ-UHFFFAOYSA-N 409.801 4.656 5 20 HJBD O=C(OCc1nc(-c2ccccc2)cs1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 917949577 AMYCELZKGPLOJG-UHFFFAOYSA-N 423.494 4.678 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C\c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 917949649 GKKHDEFCUJQEAO-IZUALYBUSA-N 422.462 4.901 5 20 HJBD COc1ccc(-c2nnc(-c3ccc([N+](=O)[O-])c(Cl)c3)o2)cc1Br 1329082481 QFIXALKWQOWMSB-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCCC2)cc1 6108009 PIJXBPFSUIUZCS-AWEZNQCLSA-N 403.866 4.645 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 71885634 VQMNKGZYJYLCRM-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 106233442 AFMYTIQDGFKLTA-CQSZACIVSA-N 404.488 4.902 5 20 HJBD COC(=O)c1ccc(OCc2csc(-c3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 115316884 VVJHXTGDYPZCTN-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3nc(Cl)ccc3Cl)n2)cc1[N+](=O)[O-] 247989098 LJCMNNXGKZPPAO-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)C2CC2)cc1 301326255 AXRHOMUXOSCEMG-NSHDSACASA-N 404.264 4.879 5 20 HJBD CC(C)(C)[C@@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)CC(=O)O 426656667 JLVAGICEQUFOPY-OAHLLOKOSA-N 404.438 4.753 5 20 HJBD Cc1c(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cnn1-c1cccc(Cl)c1 427603277 JSYNHXXPRBFXLV-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 430661181 AAAAQXNAZKSCRC-PUAOIOHZSA-N 414.480 4.734 5 20 HJBD C[C@H](OC[C@@H]1CCCCO1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435444146 UUXQHCZCZIWKLQ-ZFWWWQNUSA-N 422.528 4.720 5 20 HJBD O=C(NCCc1ccc(Cl)cc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 435813174 DVIKXSDANAEFPD-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c12 437095803 SHYFYLJMVHPQGA-UHFFFAOYSA-N 420.469 4.766 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Nc1ccc([N+](=O)[O-])cc1 443809100 ZDEMMUVGJAPMAK-UHFFFAOYSA-N 406.261 4.538 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1c1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444009720 DDLZUCZEZDPRSI-IERDGZPVSA-N 400.453 4.887 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446417032 BBHHYIWCDVSEAK-HNNXBMFYSA-N 417.303 4.511 5 20 HJBD Cc1cccc(N(C)C(=O)c2cc(C(=O)N(C)c3cccc(C)c3)cc([N+](=O)[O-])c2)c1 460681371 WAANNAQGUWDBBS-UHFFFAOYSA-N 417.465 4.765 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CC)c1ncc(-c2ccccc2)[nH]1 462923275 ADTJCPYDVZMFRH-YLJYHZDGSA-N 406.486 4.821 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@H](CSc2ccccc2)C1 464341520 WBAJDYVGVUFJID-HNNXBMFYSA-N 420.918 4.658 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 466582009 SRRLXVYSQBOGIY-UHFFFAOYSA-N 412.248 4.896 5 20 HJBD COc1cc(C(=O)N2CCC(F)(c3cccc(Cl)c3)CC2)cc([N+](=O)[O-])c1C 466873472 PZCMQQGLEUCIKT-UHFFFAOYSA-N 406.841 4.666 5 20 HJBD Cc1cccc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 467903569 HCPXUORMOMFHIX-UHFFFAOYSA-N 411.845 4.716 5 20 HJBD O=C(c1cc(F)ccc1-c1ccoc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 470976628 RXXYZZNDCFFTOV-UHFFFAOYSA-N 410.401 4.678 5 20 HJBD C[C@@H](C(=O)NCC1(c2ccc(C(F)(F)F)cc2)CC1)c1ccc([N+](=O)[O-])cc1F 474141642 KKBQGPSBPFCAOA-GFCCVEGCSA-N 410.367 4.704 5 20 HJBD O=C1CCC[C@H]1[C@@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 478510042 RZMZLEUYWGHZLH-PMACEKPBSA-N 410.495 4.720 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](c2ccccc2F)C1 482905041 SPUCDEKDUFBQAK-GJZGRUSLSA-N 418.490 4.539 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1F 486978037 RIDZAJTZBUUHGI-UHFFFAOYSA-N 418.368 4.744 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](Oc2ccccc2Cl)C1 489135468 ZCBBHOIQQDVLNL-CQSZACIVSA-N 406.891 4.654 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccccc1[N+](=O)[O-] 490561148 XSINIKWQBOPUKV-SNVBAGLBSA-N 421.335 4.546 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)N[C@@H](c1ccccc1)c1cccs1 490830084 WFJWVKAVAGHWSU-QHCPKHFHSA-N 421.522 4.527 5 20 HJBD C[C@H](C1CC1)n1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cn1 490936861 JOBUCXSBAAHOET-SNVBAGLBSA-N 414.409 4.512 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 491346969 SLMXUQNUTRVLRZ-UHFFFAOYSA-N 422.510 4.635 5 20 HJBD CCOc1cc(CSc2nnc(COc3ccc(C)cc3)o2)ccc1[N+](=O)[O-] 491983452 URXSVVGARWNUBC-UHFFFAOYSA-N 401.444 4.556 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1OCCc2sccc21 492109752 SNDRMJXOLLGWRG-KPZWWZAWSA-N 401.513 4.572 5 20 HJBD CC(C)c1nnc(CCNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)s1 492415604 GWANMTGXUPWUNI-UHFFFAOYSA-N 411.531 4.670 5 20 HJBD CN(Cc1cccc2[nH]ccc12)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 495123234 ZFNKXRTUFTUFCB-UHFFFAOYSA-N 423.416 4.846 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1 496478264 YXAWDEGWWBSTPH-QFIPXVFZSA-N 407.445 4.943 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 499511939 CKCAJZJEZMVXBD-INIZCTEOSA-N 406.426 4.663 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccccc2)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 502711733 JTCWFHNWDAIAPY-LJQANCHMSA-N 420.412 4.866 5 20 HJBD CCn1c(SCC(=O)c2ccc3c(c2)COC3)nc2cc3ccccc3cc2c1=O 506660557 KTZKPKLCXFJAQT-UHFFFAOYSA-N 416.502 4.575 5 20 HJBD CCn1c(SCc2cccc(OC(C)(C)C)n2)nnc1-c1ccc([N+](=O)[O-])cc1 506831619 DYUIGRPSDPHKMF-UHFFFAOYSA-N 413.503 4.738 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 509946757 UBCBNIIULWBKCM-OCCSQVGLSA-N 410.396 4.526 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc2cc(F)c(F)cc2s1 512026148 QXXXXCWLBZXOTB-SSDOTTSWSA-N 413.789 4.542 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 518405628 JGWFUPZZYMNWSD-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(N[C@H](C)c3ccccc3)cc2)ccc1[N+](=O)[O-] 519992097 KDOLHNPMHGGQRD-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 524685800 ZGIICUAIBPIKMI-UHFFFAOYSA-N 405.241 4.659 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)[C@@H]3CCc4c(Cl)cccc43)co2)cc1 534060639 IYENBHUPPCSJCP-DNOBIOAJSA-N 402.859 4.839 5 20 HJBD C[C@@H]1C[C@@H](NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCN1C 539070428 JSWNJSMXLCXRST-HIFRSBDPSA-N 407.873 4.752 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(SC)c1C 539347061 MVDQGJCDADVKTM-UHFFFAOYSA-N 420.512 4.533 5 20 HJBD Cc1c([C@H](C)NCc2cc([N+](=O)[O-])ccc2OC(C)C)cnn1-c1ccc(F)cc1 540464417 UXFQFPORCGHZGV-HNNXBMFYSA-N 412.465 4.866 5 20 HJBD COc1ccc(NC(=O)CCSc2ccnc3cc(Cl)ccc23)cc1[N+](=O)[O-] 541067030 OTQKZUBIUQNTGQ-UHFFFAOYSA-N 417.874 4.926 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@H](c1cccc(Cl)c1)c1ccccn1 544091798 VAMARHNTPRUQDN-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@H]2c2cccc(Br)c2)n1 546947471 IPNLWWUAMXSRRQ-GJZGRUSLSA-N 400.232 4.602 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1cn(-c2ccccc2)nc1-c1cccnc1 555340077 RESMOBZAMMEAGM-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559204680 ZPHYQKRULLSHFT-KBXCAEBGSA-N 408.376 4.511 5 20 HJBD CSc1ccc(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 561261689 BJARJZKMOSCALO-INIZCTEOSA-N 409.389 4.831 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cncc(Cl)c1Br 581907915 LJJHQHNIHMLROO-LLVKDONJSA-N 412.671 4.613 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc(-c2ccco2)nc2ccccc12 589573409 GJLOTNGAALJELX-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD O=C(Nc1nc(-c2cc(F)ccc2F)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 603728721 JDRGMHXDOGDXGJ-UHFFFAOYSA-N 401.350 4.995 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N4C[C@@H](C)C[C@H](C)C4)c([N+](=O)[O-])c3)cc2o1 603860835 ZPOZGJNHSIQSNA-KBPBESRZSA-N 408.458 4.779 5 20 HJBD CCNc1ccc(C(=O)N[C@H](c2ccccc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 603926748 AFCXETCWQYERGT-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD O=[N+]([O-])c1cc(CNc2cnn(Cc3ccccn3)c2)ccc1Oc1ccc(F)cc1 608982647 BMDGZUJINCGUDB-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCCOC(C)(C)C3)cc2[N+](=O)[O-])cc1 609755191 CKKBPCHJKILVKO-UHFFFAOYSA-N 400.500 4.696 5 20 HJBD O=C(C[C@@H](C(=O)O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609960370 OZRRMAVKAJZNST-HXUWFJFHSA-N 406.394 4.584 5 20 HJBD COc1ccc(Cl)cc1[C@@H](CC(C)C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609978088 YGNSETBGRXGILD-QGZVFWFLSA-N 420.893 4.712 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccc(Br)c1 610046702 YIVVTQYRRMBOFK-RBUKOAKNSA-N 417.303 4.600 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3c3cn(C)nc3C)c([N+](=O)[O-])c2)cc1 610726469 USEYBGKDRZMDJB-HXUWFJFHSA-N 419.485 4.539 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccccc3OC(F)(F)F)cc2[N+](=O)[O-])CC1 612038303 CGWSALPUHXUPLS-UHFFFAOYSA-N 423.391 4.982 5 20 HJBD Cn1nc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c2ccccc21 612692114 YAYIVYMAQYUOFK-UHFFFAOYSA-N 406.417 4.703 5 20 HJBD CC(C)c1ccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 615191986 RPLFVZGRFACURO-RYUDHWBXSA-N 412.330 4.921 5 20 HJBD CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 726036078 POHBAQWTRPDLGD-ZWKOTPCHSA-N 404.510 4.716 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(SC(F)F)cc2)cc1SC 728453858 QAGGKOADGLGTKE-UHFFFAOYSA-N 400.428 4.892 5 20 HJBD Cc1sc2ncnc(SCC(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 729110355 CQXFXROIVOJZNB-NSHDSACASA-N 403.485 4.613 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 729246050 YHYLSNQYUATIBC-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD Cc1cc(C(=O)Nc2cc(-c3ccccc3Br)no2)cc([N+](=O)[O-])c1 736679811 NRKUPIUQYQJKBZ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)=CCSc1ccccc1C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-] 741369998 KPIQSFZSQUNGGF-UHFFFAOYSA-N 414.483 4.757 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 742399427 RDEKLFCTRXXUGC-CZUORRHYSA-N 407.348 4.538 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(Cl)cc2)no1 744485694 CTKOOBTVDKVRKN-ASJRZHAZSA-N 413.817 4.540 5 20 HJBD C[C@H](OC(=O)C1(c2cccc(F)c2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744538453 WEKZETWWNSBWCL-ZDUSSCGKSA-N 411.389 4.510 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1csc(-c2ccc(Cl)s2)n1 751649960 PMHQGDNUWKHOEO-UHFFFAOYSA-N 421.843 4.931 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)c1ccc([N+](=O)[O-])cc1F 755055532 OVNLUVWRLQINHE-WDEREUQCSA-N 419.796 4.845 5 20 HJBD Cn1c2ccccc2c2cc(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)sc21 762196994 VHMQTZQHORCKNH-UHFFFAOYSA-N 424.434 4.525 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 763405577 QMBGMHWVXZFIHI-IAGOWNOFSA-N 418.449 4.936 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3cccs3)n2Cc2ccco2)o1 768412810 SKFKCGPCNKIDPB-YFHOEESVSA-N 400.441 4.912 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2Cl)s1)c1cc(F)c([N+](=O)[O-])cc1F 769470207 UEROZTXNIDFINW-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 787415986 ZIUYHMWAUJLKTK-UHFFFAOYSA-N 423.444 4.627 5 20 HJBD C[C@@H](NCC1(c2cccc(C(F)(F)F)c2)CCOCC1)c1ccc(N)c([N+](=O)[O-])c1 788563116 NIUFJPMILIAZBG-CQSZACIVSA-N 423.435 4.595 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798670333 TYQPTOBUZKOKLY-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 803060479 YCXJZSSCAIAFHN-QMMMGPOBSA-N 408.254 4.945 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](O)c2ccc(C(F)(F)F)cc2)o1 808426074 LAXUNJWTCRZCOY-GOSISDBHSA-N 406.360 4.697 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCn2c(cc3cc(Cl)ccc32)C1 809919643 MTGYGKWLOMRSIS-UHFFFAOYSA-N 405.241 4.904 5 20 HJBD CC(=O)c1c(C)cc(C)c(COC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1C 844242520 COAOVTCSPLPXCT-UHFFFAOYSA-N 424.497 4.682 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@H](C)C(=O)N[C@H](C)c1ccc(Cl)cc1 861872657 QHCWGOSLHZCMRZ-CHWSQXEVSA-N 408.907 4.756 5 20 HJBD O=C(Oc1ccc(-c2nnco2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 915813754 UGFSUCOYYVRQQK-UHFFFAOYSA-N 403.350 4.656 5 20 HJBD O=C(OCCc1ccc([N+](=O)[O-])cc1)C1=NN(c2ccccc2)[C@@H](c2ccccc2)C1 918946348 YUCFFQQIOYIEOA-HSZRJFAPSA-N 415.449 4.688 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)OCc3ccccc3[N+](=O)[O-])c12 920684332 ZHNRIYDJNPFCSC-UHFFFAOYSA-N 407.382 4.701 5 20 HJBD C[C@@H](OC(=O)c1cn(-c2ccccc2)nc1-c1cccnc1)c1cccc([N+](=O)[O-])c1 1356003158 HPGYGKDPNDSEPV-MRXNPFEDSA-N 414.421 4.761 5 20 HJBD CCCCCN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 21818267 SHGIJKVLBXJYKZ-SFHVURJKSA-N 420.307 4.817 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)N1c2ccccc2Sc2ccccc21 22443328 SRJYGQHDJKPCHW-AWEZNQCLSA-N 420.446 4.970 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(Cl)cc1Cl 32800430 SJQDUCJLWNNRRG-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)cc2F)s1 213980658 QHZFWVQNPMDYFT-BAQGIRSFSA-N 401.394 4.572 5 20 HJBD CN(C)CCOc1ccccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 237191969 WEOZOHWSDXQZAS-UHFFFAOYSA-N 411.527 4.554 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NCc3ccc(OC)c([N+](=O)[O-])c3)s2)cc1 237473459 QEESSVWCGLISSD-CYBMUJFWSA-N 413.499 4.895 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1ccc([N+](=O)[O-])cc1 425927884 VCDZADBTIVEXQW-GFCCVEGCSA-N 401.875 4.593 5 20 HJBD C[C@@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)NC2CC2)cc1 433145618 IBIXKRCSEGRUJG-SZNDQCEHSA-N 408.502 4.952 5 20 HJBD Cc1c(C(=O)N2CCC(c3ccccc3Br)CC2)cccc1[N+](=O)[O-] 439026196 KXMVMIKMVRHKHE-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C[C@@H](c1ccccc1)[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444097962 ORTAWUAAJBOOIA-ZIAGYGMSSA-N 406.432 4.882 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](Cc3cccc(F)c3)c3cccc(F)c3)[nH]c2c1 444687534 GRSXITCMTRUOTI-HXUWFJFHSA-N 408.408 4.823 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 444721666 WUTFIEFCHPUWSV-VLIAUNLRSA-N 413.861 4.865 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 445246877 FPSHEHWGIJNNIY-UHFFFAOYSA-N 405.657 4.642 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1cccnc1 448103917 YLYIJSSYYVEQJH-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 466693752 CGDGPIPIHCYODA-QFIPXVFZSA-N 407.495 4.956 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(OC(F)F)c(C(F)(F)F)c1 477605839 DCGPGXRXUUJATG-UHFFFAOYSA-N 408.254 4.535 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(=Cc2cccc(F)c2)CC1 487780700 IBJPOSTZLYAIPY-UHFFFAOYSA-N 406.360 4.655 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc2c(n1)CCC(C)(C)C2 488446554 ZSOFGFHLJFWGCX-UHFFFAOYSA-N 400.504 4.654 5 20 HJBD C[C@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 489673542 COPICLMSGLRGSX-NSHDSACASA-N 410.411 4.510 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 493037774 YTGIODMQGSPRHC-AWEZNQCLSA-N 408.414 4.631 5 20 HJBD CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1ccccn1 498335871 LNWHGKRWMDOTMP-QFIPXVFZSA-N 424.888 4.693 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 499505060 BEVDNNODDQOLRT-AWEZNQCLSA-N 409.202 4.695 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@](C)(c3ccccc3)C2)cc1SC 499906198 PFPWBPRHIPHDPK-NRFANRHFSA-N 400.500 4.519 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 500854367 ABZLYKMNORCYGH-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnn(CC(C)C)c2C)cc1 501354896 NMHNNIYPNZMKMT-UHFFFAOYSA-N 424.457 4.809 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1ccc(Br)cc1[N+](=O)[O-] 506703597 TVPUMCXDOINHKY-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD C[C@H](NC(=O)Nc1ccc(F)cc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 506834606 AABXQONXLMHBJI-JTQLQIEISA-N 401.316 4.558 5 20 HJBD O=C(Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1)c1ccc([N+](=O)[O-])c(O)c1 508440225 VLPWFFKNNRCUBE-UHFFFAOYSA-N 408.801 4.749 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)Cc1cc([N+](=O)[O-])cc2c1OCOC2 508529404 AOMDKQKKFYXWOZ-UHFFFAOYSA-N 410.392 4.891 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1nnc(-c2ccc(Cl)cc2Cl)o1 511061307 AAEHQZCKSAZXFH-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 517652495 XSGOUKVFFDKUKZ-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD COc1ccc(OC)c(NC(=O)[C@@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 518725189 KGISSCWWDZWUBE-OAHLLOKOSA-N 422.437 4.685 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1nc(-c2ccc(-c3ccccc3)cc2)cs1 520963176 PPPGQXYWEYIXNB-UHFFFAOYSA-N 419.466 4.529 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 522252338 YIZPDFXRHAVIBM-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD O=C(NCCc1ccncc1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 532185492 YWUQIKMKLZBFRV-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1ccc(Br)cc1)C(C)C 533249393 PVZVBHALMWYURL-UHFFFAOYSA-N 420.307 4.515 5 20 HJBD COc1ccc(CNCCc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 536162924 KBSIZQCWQKQBAJ-UHFFFAOYSA-N 403.891 4.713 5 20 HJBD CSc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)ccn1 536810330 JZEUBZNFRKCSBI-UHFFFAOYSA-N 411.508 4.793 5 20 HJBD COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1-c1ccccn1 541068703 XFPFLDWUZKMGRF-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD Cc1ccc(CN(CCO)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 541177474 GKIAMAHJBDHCLB-UHFFFAOYSA-N 421.453 4.722 5 20 HJBD COc1ccc(NC(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)cc1[N+](=O)[O-] 542964906 QRQVMWBLYNFYLZ-CYBMUJFWSA-N 401.316 4.558 5 20 HJBD COc1ccc(NC(=O)[C@H](C)c2cccc(C(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 543856617 KFCMIBZIHSRMOL-OAHLLOKOSA-N 404.422 4.577 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(-c4ccc(COCC(F)(F)F)cc4)no3)c[nH]c2c1 546338629 NLNXXPLXVVEFCJ-UHFFFAOYSA-N 418.331 4.872 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(Cc3csc(-c4ccccc4)n3)no2)cc1 546950057 BUVQNPROVFRKSZ-UHFFFAOYSA-N 414.393 4.832 5 20 HJBD Cc1c(Cc2noc(CSCc3coc(-c4ccccc4)n3)n2)cccc1[N+](=O)[O-] 547195088 FLQOIDDGTCXBJY-UHFFFAOYSA-N 422.466 4.965 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccnn1[C@H](C)CC(C)C 551209309 QGOXKGFPUAELTR-LLVKDONJSA-N 409.284 4.722 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)c3n[nH]c(C(C)C)n3)c([N+](=O)[O-])c2)cc1 551802882 WQUDVZDOIUOUCU-CQSZACIVSA-N 408.462 4.570 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)ccc1-c1ccncc1 552898168 FVZYXAQLHSWBMS-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(C2(c3cccc(C(F)(F)F)c3)CC2)no1 554848302 GGTLIDRWWAUZBX-UHFFFAOYSA-N 405.332 4.656 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]2[C@H](CCCN2Cc2ccccc2)C1 558510754 FWGLCFLBDKEWLQ-OFNKIYASSA-N 422.529 4.676 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(C4(c5ccccc5F)CCCC4)no3)c2c1 559986657 GXQWQAUFMGSIQE-UHFFFAOYSA-N 420.400 4.898 5 20 HJBD CN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CC[C@@H](C(F)(F)F)CC1 566360249 KXDAASPYAKCVKO-BETUJISGSA-N 413.421 4.911 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(C4(C5CC5)CCOCC4)n3)cc2)c1 566999750 UPPWVZXBQQVGAA-UHFFFAOYSA-N 407.426 4.895 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CNC1(Cc2ccc(F)cc2Cl)CCOCC1 567182925 AJNSWXVGRDSMGQ-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD O=C(Nc1ccc(F)c(N2CCCNC2=O)c1)c1cc2ccccc2c2cccnc12 576787182 WLCLFPVWOLAQLU-UHFFFAOYSA-N 414.440 4.699 5 20 HJBD O=C(Nc1cccc(Cn2cccn2)c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 603575301 CJHIKUJVLUNBED-UHFFFAOYSA-N 413.437 4.836 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)Cc3ccsc3)cc2[N+](=O)[O-])n1 604200499 NDOCXGRTIWMCPM-NSHDSACASA-N 419.553 4.933 5 20 HJBD COc1cc(C(=O)NC[C@H](C)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 604396277 UJKUDWSHGDLOOT-LBPRGKRZSA-N 404.850 4.825 5 20 HJBD CCCc1ccc([C@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c2cccs2)cc1 604518776 ABIXZPPOXHZGKJ-NRFANRHFSA-N 424.478 4.857 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccc(OC(F)F)cc3)cs2)c1 609598481 PEPLJBBUSWFKHY-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCS[C@@H](c2ccccc2)C1 609789876 IJXUOLIPCWVMSS-GOSISDBHSA-N 411.508 4.649 5 20 HJBD O=C(NC1(c2ccccc2)CC1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609857269 NOACIQVWTHCFBU-UHFFFAOYSA-N 417.252 4.506 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3ccc4ccccc4n3)cc2[N+](=O)[O-])C1 610228828 VUPRVTQWMMKJLQ-IRXDYDNUSA-N 418.497 4.902 5 20 HJBD CCCCn1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(C)n1 611350613 DMPNQUGHQFMBGR-UHFFFAOYSA-N 416.547 4.865 5 20 HJBD COc1ccc2c(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)n[nH]c2c1 618541538 CPMXNMOBOVCKJG-UHFFFAOYSA-N 412.471 4.767 5 20 HJBD Cc1cc(OC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)ccc1C(C)C 726495309 MNNUBVHVGGWYOG-OAQYLSRUSA-N 410.470 4.545 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1)c1ccc(F)cc1 728270838 NPEWRMQVUJDJAH-UHFFFAOYSA-N 419.368 4.687 5 20 HJBD Cc1cc2cc(C(=O)O[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)oc2cc1C 745581553 YUEKLIPLEFLNLK-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 746078571 IKNKPZFJUYNGTE-NSHDSACASA-N 421.331 4.890 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)c1[N+](=O)[O-] 760321308 CXWJUBLNOBGBBQ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccn1 760725251 GLMSGVBUNNIZRH-LJQANCHMSA-N 412.833 4.563 5 20 HJBD C[C@@H](OC(=O)c1ccc2sccc2c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 761304083 JLEPWXAWXNQNND-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1cccc(N2CCOC2=O)c1 768096461 JNYPPARFSTWLRO-WBVHZDCISA-N 415.515 4.773 5 20 HJBD CCCN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)C1CCN(C(=O)OC(C)(C)C)CC1 768950542 FFZZXGKXCXSUPP-UHFFFAOYSA-N 420.510 4.547 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cc(F)c([N+](=O)[O-])cc1F 769486248 GQSDNDFYTSWYAV-UHFFFAOYSA-N 412.392 4.520 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@@H]([C@H]2CCOC2)C(F)(F)F)cc1[N+](=O)[O-] 778013185 MHOABCUHJCXWOO-BBRMVZONSA-N 421.437 4.857 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ccccc1NC(=O)OC(C)(C)C 790653484 KJQZXBWBMIXFKH-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD O=C(NC1CCC(C(=O)OCc2nc3ccccc3c3ccccc23)CC1)C1CC1 795955291 IIQDTGPUEOECSY-UHFFFAOYSA-N 402.494 4.516 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1cccc([N+](=O)[O-])c1Br 796349346 KGJSLBFPTMSFML-AULYBMBSSA-N 409.667 4.687 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c(Cl)c1 796443883 RTIKCOKGGDJENF-CQSZACIVSA-N 418.877 4.713 5 20 HJBD CCc1ccc([C@H](NCc2cccc(NC(=O)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 797072981 OSFLDDLCWDOIHW-OAQYLSRUSA-N 409.442 4.808 5 20 HJBD O=C(Nc1ncc(C2(O)CCCCC2)s1)c1cc2cc([N+](=O)[O-])ccc2s1 809775898 XGEQUAQXIULFKP-UHFFFAOYSA-N 403.485 4.670 5 20 HJBD CC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc(C(F)(F)F)cs1 809917399 GQBIBFGUPCMTGH-SSDOTTSWSA-N 408.789 4.996 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1C 892730501 RPDCPKGLIVBUAJ-GASCZTMLSA-N 424.501 4.596 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc([N+](=O)[O-])c3nsnc3c2)o1 1117242602 PXMAJGNHIOEJCH-UHFFFAOYSA-N 422.451 4.748 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2C(C)C)cc1 1255747616 UVKHABKQCHXOGI-UHFFFAOYSA-N 405.458 4.760 5 20 HJBD Cc1ccc2nc(COc3cccc(C(=O)Nc4cccc([N+](=O)[O-])c4C)c3)cn2c1 11611250 QKSGSIQYKIAUOD-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(Nc1ccc(Br)cc1Cl)c1ccc(NC2CC2)c([N+](=O)[O-])c1 14785195 NQSGRENFUCAXOE-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD O=C(Nc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1)c1ccc(Cl)cc1 54256271 NZVHRPZSMDBXLV-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61022388 HKCFNHYBOGERHP-UHFFFAOYSA-N 408.785 4.523 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCc2nccn2C(F)F)cc1 237680550 LDKGQPZSAVWLOY-UHFFFAOYSA-N 408.792 4.922 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@H](CCO)c2ccccc2)ccc1Oc1ccc(F)cc1 238193755 JPUNKPXVYLFLGH-IBGZPJMESA-N 410.445 4.782 5 20 HJBD CCc1nc(Sc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)c2ccsc2n1 301822332 BWJJXSXGRNEELF-UHFFFAOYSA-N 412.500 4.592 5 20 HJBD O=C(c1cc(N[C@@H]2CCSc3ccc(F)cc32)ccc1[N+](=O)[O-])N1CCCC1 302466570 SROYVJDKYYZMQE-QGZVFWFLSA-N 401.463 4.619 5 20 HJBD Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1OC(F)F 303553510 JZPCZLZSYFVSEW-UHFFFAOYSA-N 408.404 4.703 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccccc2)c2ccc3c(c2)CCCO3)cccc1[N+](=O)[O-] 410048392 ULZMROSFJRGQPX-QHCPKHFHSA-N 402.450 4.748 5 20 HJBD Cc1nc(CCCNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)sc1C(=O)O 426709474 RGHKIZWVMXZJMK-UHFFFAOYSA-N 417.512 4.509 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)c2ncc(C)s2)cc1 427038228 KUEKXHSXTIXKKZ-CYBMUJFWSA-N 413.455 4.652 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(CC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 430517153 CVNCGLPHDSXXPR-UHFFFAOYSA-N 411.502 4.564 5 20 HJBD Cc1c(NC(=O)N(Cc2ccc(Cl)cc2)Cc2cnn(C)c2)cccc1[N+](=O)[O-] 431430383 CIGAXHIOMKNIDY-UHFFFAOYSA-N 413.865 4.524 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432767065 RNTYSPUSEOGOKV-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(-c3noc(C4CC4)n3)c2)cc1[N+](=O)[O-] 434051202 DPIHEUXUDSPMRG-CYBMUJFWSA-N 407.430 4.713 5 20 HJBD Cc1ccn(C2CCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)CC2)n1 435059176 WVWWXIMQIPUBSU-UHFFFAOYSA-N 400.866 4.850 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436061558 QHAYANADRBXKRF-UHFFFAOYSA-N 417.440 4.811 5 20 HJBD CC1(C)[C@H]2OCCC[C@H]2[C@H]1NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438480405 FIGVFROMNLMYFF-ZCNNSNEGSA-N 411.458 4.712 5 20 HJBD Cc1cc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)ccc1NC(=O)CC(C)C 439156795 WSGUTWASNWACJV-UHFFFAOYSA-N 421.457 4.530 5 20 HJBD COc1ccc(SCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 440603024 PJWUQYSQKUNBRA-UHFFFAOYSA-N 408.479 4.929 5 20 HJBD O=C(Nc1cccc2c1OCCC2=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441286683 OQTTTYYIPPKQMH-UHFFFAOYSA-N 420.446 4.964 5 20 HJBD CC(C)(CNC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccccc1Cl 445190745 KWOMVKDNQQKTOH-UHFFFAOYSA-N 417.712 4.780 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(-c4nc5ccccc5s4)s3)n2)n1C 445621706 WMABFKQCQFFKJG-UHFFFAOYSA-N 424.467 4.692 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)CCc3cccc([N+](=O)[O-])c3)C2)nc2ccccc21 446098923 IVRNWCVUDWYGSI-LJQANCHMSA-N 420.513 4.864 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 446499436 QQDSTTLBPYHFRY-NSHDSACASA-N 414.409 4.790 5 20 HJBD Cc1ccc([C@@H](OC[C@@H](O)CSc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1 448046680 BDNLBDAWIMNNID-IRLDBZIGSA-N 410.495 4.557 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)N[C@H](C)c1ccc(Cl)cc1Cl 448111315 XYQOZEBVYJLXPC-NXEZZACHSA-N 400.287 4.655 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cc1OC 460553101 PJZIVGVNQYEIFE-NRKGENKXSA-N 418.877 4.783 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCC3)[C@H]2[C@H]2CCCO2)cc1[N+](=O)[O-] 461300610 XUNDRJVBLFMNCG-UYAOXDASSA-N 418.559 4.907 5 20 HJBD COc1cc(Cc2nc(O)c3cc(-c4ccccc4)sc3n2)c([N+](=O)[O-])c(OC)c1 462500983 BZBDNGVINZODMR-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OCCN1CCCC1 465059373 JRXNGKCQVYOQRL-UHFFFAOYSA-N 418.881 4.675 5 20 HJBD CCOc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ncccc12 467312156 AWAOCVDMGPGTQI-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD Cc1ccc([C@@]2(C)CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)cc1 469357681 UDKPETTZNXJDJR-QFIPXVFZSA-N 407.495 4.831 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(CCCO)[C@@H]3CCCc4ccccc43)o2)c1 471040492 UHWAJVWWKGVYDX-JOCHJYFZSA-N 421.497 4.554 5 20 HJBD Cc1cc(NC(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)ccc1[N+](=O)[O-] 473782715 QWCSVTMIMIJZER-AWEZNQCLSA-N 400.504 4.650 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(NCc2ccccc2[N+](=O)[O-])cc1 475158282 XJMCFYDMPREKFM-UHFFFAOYSA-N 412.467 4.793 5 20 HJBD O=C(NCc1cc(-c2ccccc2)no1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475221133 UATZXDGNABNBKD-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD O=C(Nc1ccc(OCC(F)F)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 480353113 BOUVAKLKKWTCFO-UHFFFAOYSA-N 405.382 4.615 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1C 482765883 ILRXYOWDZIWEOI-LLVKDONJSA-N 410.424 4.688 5 20 HJBD CCCOc1cc(NC(=O)N2CCSCC[C@H]2C)c([N+](=O)[O-])cc1OCCC 483584088 NEJAQLAZBGRGFY-CQSZACIVSA-N 411.524 4.532 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 484638663 MWFHNGKXNOFFCI-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)cc2s1 484671354 LCMDCSYMPSITCH-UHFFFAOYSA-N 400.847 4.760 5 20 HJBD CSc1cccc(C(=O)Nc2cnc(N3CCCC[C@@H]3C)c(C)c2)c1[N+](=O)[O-] 485486701 BNQNGIUKGBSMEJ-AWEZNQCLSA-N 400.504 4.651 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 485488589 IUFAOMMGWULLPL-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@H]1c1ccccc1 485964101 CPSQQTGJAWMPOF-JXFKEZNVSA-N 400.500 4.605 5 20 HJBD COc1ccc(-c2ncc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)s2)cc1 486046708 RMYVJTLXRLPQHV-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD O=C(NC[C@@H]1CCCC[C@@H]1C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486177471 OMGOSLURHWQGCU-YUMQZZPRSA-N 415.231 4.517 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cn1 488031693 DWNSEZFSPIYIPB-KRWDZBQOSA-N 405.454 4.677 5 20 HJBD CC[C@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 489504087 ZCEJOXZTYPRYAZ-JQWIXIFHSA-N 416.425 4.890 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)CC3 491573455 IDONTUAPXJLTSQ-CABCVRRESA-N 409.511 4.539 5 20 HJBD CC[C@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1c(Cl)cccc1[N+](=O)[O-] 491770775 ZLCSJQLUHSMDPW-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD CC[C@H](C[C@@H](C)CO)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 494689013 VHCOYCMZZMMBHC-CZUORRHYSA-N 406.866 4.567 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2Sc2nccn2C)c1 502713326 WEOHCDQDAZTMED-UHFFFAOYSA-N 418.475 4.593 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@@](C)=O)c1C 503135869 ZOWDXRIXGVMPQC-HHHXNRCGSA-N 406.529 4.536 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(-n2cccn2)cc1 503406028 WFBQRLUOGIVAIN-OAHLLOKOSA-N 410.499 4.774 5 20 HJBD CCOc1ccc(Br)cc1CN(C(=O)c1ccccc1[N+](=O)[O-])C1CC1 504781125 AEQJBHDKPJZEMV-UHFFFAOYSA-N 419.275 4.561 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2c(F)cc([N+](=O)[O-])cc2F)n[nH]1 505460937 GWAXCGCNVFXOHW-UHFFFAOYSA-N 412.805 4.612 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)c1cc(Cl)sc1Cl 511927529 QTAUYRYIRDLNTA-UHFFFAOYSA-N 409.316 4.562 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 512749416 OFAIDQYHUCEFSS-SFHVURJKSA-N 402.859 4.838 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3ccc(C)c([N+](=O)[O-])c3)s2)cs1 515132429 XGHIQDKITDAYSI-UHFFFAOYSA-N 402.501 4.761 5 20 HJBD C[C@H](Nc1ccccc1OC[C@@H]1CCCCO1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 516838790 TUNQAPZFRXKWJZ-YJBOKZPZSA-N 424.457 4.766 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCc1cc(C)nc(N2CCCC2)c1 516896127 BGQXZJHJXYNUNV-SFHVURJKSA-N 400.548 4.559 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N1CCc2sccc2C1 522715396 IMPSLEZXIWBJMI-HNNXBMFYSA-N 423.494 4.834 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)C[C@H]3CC[C@H]2C3)c1 522741145 AKXUJFAOCMRMJY-LRYGAUQLSA-N 408.498 4.830 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1ccc([N+](=O)[O-])cc1Br 523507707 MASWMPNUYWKQOL-UHFFFAOYSA-N 405.248 4.536 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 523792856 WLMFYFVZVHNBJP-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD O=C(NCc1cccc(NC(=O)Nc2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1 523977292 IWUOVQYNSQDBTE-UHFFFAOYSA-N 405.414 4.561 5 20 HJBD CC(C)(C)[C@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 525171405 SZPRNOLUBRPZNM-ZDUSSCGKSA-N 415.334 4.509 5 20 HJBD C[C@@H](Cc1ccncc1)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 530913320 QZQCKAWTYXXKBA-SFHVURJKSA-N 413.481 4.563 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 533449784 DARLBQVPQLHUEV-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD C[C@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 534874008 VCUQIBVPYUXVIL-KRWDZBQOSA-N 402.450 4.588 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)c1cccc(C(=O)c2ccccc2)c1 534907668 MQZYBYQPKPNBRK-OAHLLOKOSA-N 404.422 4.577 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)nn1[C@@H](C)C1CC1 538500504 JNTHUAOFSFFNAO-HNNXBMFYSA-N 418.453 4.554 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@H]4CCCCO4)CC3)s2)cc1 538761294 VWEVJMGDPRBAMY-HXUWFJFHSA-N 416.543 4.873 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)N[C@@H](c2ccccc2)c2cccs2)cc1[N+](=O)[O-] 539379588 WRBOGSAWNJTEJZ-ZHRRBRCNSA-N 409.511 4.521 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 539793317 YVCJPHBIRVTRHO-HOTGVXAUSA-N 412.795 4.729 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)c2cc3c(cc2Br)OCCO3)cc1[N+](=O)[O-] 540191993 WPRWBEXYCLDKMR-OLZOCXBDSA-N 421.291 4.849 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OCC(F)F 541292320 KTDIPZHOULTAAD-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD CN1CC[C@@H](CNCc2ccc([N+](=O)[O-])cc2Cl)[C@H]1c1ccc(Cl)c(F)c1 542484227 VDLHYRAMIVSWML-IFXJQAMLSA-N 412.292 4.823 5 20 HJBD CC[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 550656733 HBOODGIYJVVBGM-HNNXBMFYSA-N 406.404 4.767 5 20 HJBD C[C@H](Nc1ccc(-c2nc(CCC3CCOCC3)no2)cc1[N+](=O)[O-])c1ccccn1 556415814 GBFYARRTAXCWQO-HNNXBMFYSA-N 423.473 4.572 5 20 HJBD CN(C)C(=O)Nc1ccc(Cl)c(C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 556467472 JJZRQZDNLOJCKK-UHFFFAOYSA-N 418.881 4.892 5 20 HJBD Cc1c(CNC(=O)N(C)[C@@H](C)Cc2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 558015283 MFOQIVQMDSZHOZ-ZDUSSCGKSA-N 409.408 4.695 5 20 HJBD C[C@H](C(=O)Nc1ccccc1CN(C)C(=O)c1cccs1)c1cccc([N+](=O)[O-])c1 562400538 KRAUNBMPUOMACI-HNNXBMFYSA-N 423.494 4.671 5 20 HJBD CCC[C@@]1(CO)CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 569177278 BTVQRXKJUGSUIO-JOCHJYFZSA-N 414.527 4.679 5 20 HJBD COc1cc(CN(C)C2CCC(F)(F)CC2)c([N+](=O)[O-])cc1OCC(F)(F)F 570249014 RUUZXFIVNNATQP-UHFFFAOYSA-N 412.355 4.554 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 571717555 BETUKXKMVTTXNZ-BEFAXECRSA-N 406.442 4.502 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)ccc1F 572563611 IDBHULNCOBILQF-CQSZACIVSA-N 414.437 4.730 5 20 HJBD CSC1(CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CCN(C)CC1 583816859 MQVRCNVULXAVQE-HNNXBMFYSA-N 405.589 4.801 5 20 HJBD CC(C)[C@@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 590525631 STNDVIMUABBBMF-NRFANRHFSA-N 406.548 4.500 5 20 HJBD CC(C)c1c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 603568938 BGDBVJOMUACMNT-UHFFFAOYSA-N 412.877 4.830 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ncc(Cl)cc2Cl)cc1 603705499 JBTQOYZLHCGLGL-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD CCCN(Cc1ccccc1Br)C(=O)CCOc1ccccc1[N+](=O)[O-] 603956083 AWBYPUJZQACKRH-UHFFFAOYSA-N 421.291 4.565 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)s2)c(C)c1 604449639 ZZNUJYCWQWFSKW-CYBMUJFWSA-N 414.512 4.849 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(OCCc2ccccc2)CC1 604471550 MQLUKPTZMBYBKX-UHFFFAOYSA-N 410.495 4.673 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)NCc1cccc([N+](=O)[O-])c1 608782735 LXUJXKFIIVEIBJ-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@H](c1ccccc1)c1ccc(F)cc1 609304406 DUNUEJMFMCNLOW-JOCHJYFZSA-N 408.429 4.994 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1)C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 609860055 OJUCDKOYEMEFDF-GFCCVEGCSA-N 421.316 4.817 5 20 HJBD COc1cc(C(=O)N2[C@H]3C=CC[C@H]2CC3)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 611057575 SYYJBQZCOJSTAG-HOTGVXAUSA-N 414.845 4.982 5 20 HJBD COc1cc(CNc2ccc3c(c2)OCCO3)c([N+](=O)[O-])cc1OCc1ccccc1 732817748 JBLASMUPDJQKLF-UHFFFAOYSA-N 422.437 4.566 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cc(C)nc21 746073922 VVAMQRDJJGAKMF-LBPRGKRZSA-N 402.838 4.629 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@@](O)(c2ccc(Cl)cc2)C(F)(F)F)c2ccncc12 748536703 HBXQUWRVUDRFHX-QGZVFWFLSA-N 411.767 4.658 5 20 HJBD O=C(Cc1cc2ccccc2nc1O)Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 749069625 XKDQFZCAEUEHEJ-UHFFFAOYSA-N 414.417 4.588 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1cccc([N+](=O)[O-])c1Br 759088868 UTSKBBVGJXUVOT-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD C[C@]1(c2ccc(Cl)c(Cl)c2)NC(=O)N(c2ccc([N+](=O)[O-])cc2Cl)C1=O 761030528 OFQYRZTXVQTVIG-MRXNPFEDSA-N 414.632 4.527 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cc(F)ccc3C(F)(F)F)no2)cc1F 761633659 PTCPKHVKSQCACN-UHFFFAOYSA-N 401.247 4.521 5 20 HJBD CCOC(=O)Nc1ccc(Br)cc1NCc1cc([N+](=O)[O-])ccc1OC 763369307 FCQLPAVLNWDYKM-UHFFFAOYSA-N 424.251 4.546 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc(F)c([N+](=O)[O-])cc1F 763464519 NBVKISSDBNQEKV-JOCHJYFZSA-N 424.403 4.717 5 20 HJBD O=C(NCC(F)(F)F)C1CCN(Cc2c3ccccc3cc3ccccc23)CC1 768781227 QIGUWFKXMOAXCF-UHFFFAOYSA-N 400.444 4.884 5 20 HJBD CC1CCN(c2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)CC1 770511867 DCHTXOFQGWEVAO-UHFFFAOYSA-N 405.502 4.844 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cnoc2C2CC2)cc1 773480481 CSYMIAGQZNBGAE-UHFFFAOYSA-N 410.382 4.618 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)c1cc(F)ccc1[N+](=O)[O-] 775404663 GEMALSXDUCHLIW-AWEZNQCLSA-N 409.255 4.590 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777936346 LBBLRCSJNSWXEP-NSHDSACASA-N 402.319 4.925 5 20 HJBD Cc1nc(CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cs1 777943868 WCJWGRGGTFUCAT-UHFFFAOYSA-N 421.400 4.824 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1cccc(Cl)c1 784836725 BKFRFJKKWUQOBP-CYBMUJFWSA-N 405.837 4.763 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1C 785950292 XOEPKNQYJWPEKQ-CYBMUJFWSA-N 415.833 4.762 5 20 HJBD C[C@@H](Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc(N)c([N+](=O)[O-])c1 788737214 QUIIOSNYRHOAGX-OAHLLOKOSA-N 403.442 4.577 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791173751 GBDSVPCCFFVXLE-CQSZACIVSA-N 417.303 4.744 5 20 HJBD CC[C@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cc(F)cc(Br)c1 792878851 ACVCRKZPTRLHCW-HNNXBMFYSA-N 412.215 4.646 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(CCc3ccccc3)o2)ccc1Br 800835116 OIIBBOQGBZDMED-UHFFFAOYSA-N 420.288 4.818 5 20 HJBD Cc1cc(=O)oc2cc(N(C)C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc12 805347486 CYFATHANTJPDKD-UHFFFAOYSA-N 412.467 4.787 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1Cl 805439496 CGRMEXPNROLGEJ-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD O=C(NCc1cc2cc(Br)ccc2[nH]1)Nc1cccc([N+](=O)[O-])c1Cl 809915094 SCBRDYNECMKKBZ-UHFFFAOYSA-N 423.654 4.814 5 20 HJBD COc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CC2)cc1 812480992 GYMCJTOTQWMUET-IBGZPJMESA-N 410.392 4.572 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(N2CCCNC2=O)cc1 812801339 QXJUDZNVTACECH-INIZCTEOSA-N 420.469 4.625 5 20 HJBD Cn1ccnc1COc1ccc(CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1 917419964 INGPVBMFWYDCMC-UHFFFAOYSA-N 412.496 4.809 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 919511623 MREDXCNLIBYQQQ-LLVKDONJSA-N 419.846 4.522 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CCCN(CC(F)(F)F)C1 920651000 MVRAFBKYOIXSEV-OAHLLOKOSA-N 402.416 4.706 5 20 HJBD Cn1c([C@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 920752340 CVMDXFAVVKGNKP-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD O=C(CCOc1ccc(Cl)cc1Br)Nc1cc([N+](=O)[O-])ccc1F 921276536 MLOURBHGWFHONV-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD Cn1cc([C@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc2)cn1 1256426450 ATJVIJKMWMYBTQ-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD Cn1nc([C@@H]2CCCOC2)cc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1322338423 KEBFLEUQAWIHLE-CYBMUJFWSA-N 416.865 4.748 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 5966147 FWGGVNAALLCAFS-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)NCCC1=CCCCC1 7169130 NDMUXZOLEXEVAR-INIZCTEOSA-N 421.566 4.574 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC 26782280 AXQBOMGTYVRKHT-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD O=C(Nc1nc(CN2CCCCCC2)cs1)c1cc2cc([N+](=O)[O-])ccc2s1 29636645 OMDJKYDKGZQABF-UHFFFAOYSA-N 416.528 4.894 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 45714510 YYVOVVSSJOEKKN-UHFFFAOYSA-N 414.936 4.600 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)CCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 52932541 ALDCRHCLWISMDN-MSOLQXFVSA-N 418.497 4.914 5 20 HJBD CCCNC(=O)c1ccc(Cl)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 59774838 WHTQCTFASJFKSX-LBPRGKRZSA-N 421.906 4.507 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2ccc(F)cc2)n[nH]1 63582630 MTKONDAHXHBBDB-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1)c1ccc(Cl)cc1 65142033 PSOVKDDSVCBMJQ-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD C[C@H](NC(=O)c1cc(-c2ccco2)nn1-c1ccccc1)c1cccc([N+](=O)[O-])c1 108283633 AQYRRQMTHNTLHR-HNNXBMFYSA-N 402.410 4.532 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 142536046 BEBPLRCXGUWNCD-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD Cc1noc(C)c1[C@H](C)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 237609399 DSOYOIOAZKECLZ-HNNXBMFYSA-N 417.469 4.903 5 20 HJBD COc1cc(NCC2(c3cccc(Br)c3)CCOCC2)ccc1[N+](=O)[O-] 301346919 HNWCUTPYZQDPEK-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD O=C(N[C@H]1CCSc2ccc(Cl)cc21)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 302857397 PXQFNTDKYFEMCS-INIZCTEOSA-N 417.918 4.815 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@@H]1c1c(F)cccc1Cl 303905258 TTZGZBAQFJQDCQ-STQMWFEESA-N 400.797 4.520 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)Nc2cccc([N+](=O)[O-])c2)cc1 409670815 IHHOKTOODGIKBE-UHFFFAOYSA-N 422.441 4.893 5 20 HJBD O=C(O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 426800135 MTCREVWQROCVHN-SFHVURJKSA-N 400.818 4.814 5 20 HJBD C[C@@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1csc([N+](=O)[O-])c1 430490162 PFYLIYYPITVORZ-OAHLLOKOSA-N 421.478 4.629 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 431395327 BQSWZAIGYUMDTO-MRXNPFEDSA-N 424.501 4.666 5 20 HJBD Cc1sc(NC(=O)c2occc2Br)nc1-c1cccc([N+](=O)[O-])c1 433872727 AJAZQCNINPDEPF-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](C)Oc3ccc(Br)cc32)c1[N+](=O)[O-] 436360116 MZKRBWRVJVHPRV-SNVBAGLBSA-N 423.288 4.507 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1 437523739 INLRNXZDKTVNND-CZIWCDLHSA-N 406.404 4.954 5 20 HJBD C[C@@H](Nc1ccc(CC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439611245 OBADHOAUFWHULL-SNVBAGLBSA-N 401.772 4.792 5 20 HJBD CNc1c(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cccc1[N+](=O)[O-] 462761538 NMQHLUSOHUWGAF-UHFFFAOYSA-N 415.409 4.624 5 20 HJBD COc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1OC(C)C 464256250 GGGZELFNSHZJBM-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])sc2C)cc1 466424423 WGOQDEJDHKIVGN-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2NC(C)(C)C)cc1[N+](=O)[O-] 466496548 KECWNQHFOZFMDP-UHFFFAOYSA-N 403.504 4.686 5 20 HJBD Cc1c(C(=O)N2CCC(c3cccc(Br)c3)CC2)cccc1[N+](=O)[O-] 466793420 AXGPITFMVYJSDV-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)Nc3ccc([N+](=O)[O-])cc3Br)ccc2NC1=O 467007370 PEQRFCLMGRTNTI-MGPLVRAMSA-N 420.263 4.640 5 20 HJBD CC[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 467022333 LRGJSEWOUVGWKJ-LLVKDONJSA-N 414.409 4.526 5 20 HJBD O=C1NCCN1c1cc(N[C@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)ccc1Cl 467406053 RTTQJEFWZPFOOI-OAQYLSRUSA-N 422.872 4.979 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2cc(C)c(F)cc2F)cs1 470973864 GTZUVNLARMMUDY-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Sc1nnc(-c2ccco2)n1Cc1ccco1 473530575 KLKKDASNGHBOSS-UHFFFAOYSA-N 419.422 4.787 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2cc3cccc(F)c3o2)cc1[N+](=O)[O-] 475071693 XDDIMDXXVPHMIK-LBPRGKRZSA-N 418.446 4.599 5 20 HJBD O=C(N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cc2cc([N+](=O)[O-])ccc2o1 477878675 MWAQTCLMCCEBHG-MRXNPFEDSA-N 402.353 4.750 5 20 HJBD Cn1cc(C(=O)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 479439743 LMNWTOYHARBEBE-LJQANCHMSA-N 420.444 4.500 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(NC(=O)c3ccccc3)cn2)cc1[N+](=O)[O-] 479535999 JEGBJSXWRZDVFR-HNNXBMFYSA-N 406.442 4.814 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nn1-c1ccc(Cl)cc1 479622083 MJNMJQHFEHHBCO-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 485489551 LAEDCHQFCCQHHL-NSHDSACASA-N 417.712 4.823 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC[C@H](c2nnc3ccc(C(F)(F)F)cn23)C1 487735586 ZAYUTGWVMSJCKR-KBPBESRZSA-N 419.407 4.597 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)c2)n[nH]1 488264566 CBVUJPWQVXNVLK-UHFFFAOYSA-N 411.487 4.689 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccc(C)cc2)C[C@H]1C 490014856 QRXYDLLYUAVXGJ-SJLPKXTDSA-N 414.527 4.660 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC(c2c[nH]c3ccc(F)cc23)CC1 490796532 MPRYVXWNCYLWSF-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1OCCc2sccc21 492109759 SNDRMJXOLLGWRG-SGTLLEGYSA-N 401.513 4.572 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)CCCc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] 492939188 YJSIGEYVHPYCBJ-QGZVFWFLSA-N 422.485 4.893 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cs2)cc1 497882314 QHIQKBXDBOCBJH-UHFFFAOYSA-N 411.483 4.915 5 20 HJBD COc1cc(Br)cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 498792285 JHZWLYLVJFSIDU-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1cccc(Oc2ccccc2)c1 505584674 HVVMUIFGBINKTH-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3sccc3[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 506060903 XYBQIYNJXYZTQI-LSDHHAIUSA-N 422.510 4.984 5 20 HJBD O=C(c1ccc(CCC(F)(F)F)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 507526437 GCDBTWXGDFFLLH-GOSISDBHSA-N 410.417 4.978 5 20 HJBD CCOC(=O)c1cc(C(=O)N(CC)[C@@H](C)c2cc3ccccc3o2)cc([N+](=O)[O-])c1 507938782 SJGXZTZHUQNELZ-AWEZNQCLSA-N 410.426 4.741 5 20 HJBD Cc1nn(C)c(C(=O)N(Cc2ccc(-c3ccccc3F)s2)C(C)C)c1[N+](=O)[O-] 511545357 LHZZNHDYOFXDHQ-UHFFFAOYSA-N 416.478 4.555 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 521040280 VKODCIZTEHGORN-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD Cc1nc(CN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c2ccc(F)cc2)cs1 522894710 VMHUFBIFSLSKPS-UHFFFAOYSA-N 405.838 4.999 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1nc2ccccc2s1 524325181 PMGQMYNXECXAFQ-UHFFFAOYSA-N 404.451 4.922 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 530726938 JPHHSNBXYQIWKN-UGSOOPFHSA-N 418.291 4.965 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1Br 534339679 ZTVHWNADHBZTBX-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(c1cccc(COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)N1CCCCC1 535666990 XPDGZUDQXCKNJT-UHFFFAOYSA-N 408.376 4.819 5 20 HJBD COc1ccc(Oc2cc(CNC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)ccn2)cc1 539841840 WPNCOCFPKYVUIG-UHFFFAOYSA-N 421.453 4.646 5 20 HJBD C[C@H]1Cc2cc(F)ccc2[C@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 539897906 XSYUULYYWGUOFE-IRXDYDNUSA-N 414.480 4.828 5 20 HJBD CCN(c1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 541191352 WVHOXLYZCROBKW-JTQLQIEISA-N 422.450 4.666 5 20 HJBD CC(C)(C)NC(=O)c1cccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 541286391 MRZNEPHETSOWFZ-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD CN1CC[C@@H](CNCc2ccc([N+](=O)[O-])cc2Cl)[C@@H]1c1ccc(Cl)c(F)c1 542484229 VDLHYRAMIVSWML-LIRRHRJNSA-N 412.292 4.823 5 20 HJBD Cc1cc(N(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 542554881 HPLNKQJLPWJLCC-NHCUHLMSSA-N 422.460 4.856 5 20 HJBD CCc1ccc([C@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccc([N+](=O)[O-])cc2)o1 543411217 RGUQVEVRQGYAMT-HSZRJFAPSA-N 420.444 4.954 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 544070028 ZSLFFOSXNIOIDE-NRFANRHFSA-N 416.437 4.533 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N[C@H](c1ccc(Cl)cc1)c1cccs1 545384479 DJEUTDOQSQALMM-GOSISDBHSA-N 422.915 4.519 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(CCSc2ccccc2Cl)n1 546383833 UQOGAVVFCLKKPA-UHFFFAOYSA-N 404.879 4.621 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(F)(F)c2ccc(Cl)cc2)cc1SC 552363968 POHWETVAXIXBFD-UHFFFAOYSA-N 416.833 4.501 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC[C@H]1c1cc[nH]n1 553188074 BAXJCAXJBCRUPL-FQEVSTJZSA-N 408.483 4.837 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](c3ccccc3)[C@H]3COCC[C@H]32)nc1-c1ccccc1 553264407 LKKIIAQSEBGZDR-NJDAHSKKSA-N 401.466 4.666 5 20 HJBD C[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 557540102 YWRXQQKVXPXQLO-CQSZACIVSA-N 410.861 4.746 5 20 HJBD COc1cc(C(=O)N2CCC(C)(C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 563297725 JAPLEFSZDPEKMZ-UHFFFAOYSA-N 406.385 4.533 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)cc2)o1 563978568 IPXWFPVSRRBSQZ-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 572037530 MHROFWUIFNQWMR-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H]1CCSc2ccc(Cl)cc21 576601050 UKQXCYQCPXPUOO-KRWDZBQOSA-N 405.907 4.669 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Oc3ccc(C)c(C)c3)nc2)cc1[N+](=O)[O-] 609011381 TZNAFUYGPWGFNF-UHFFFAOYSA-N 407.426 4.589 5 20 HJBD C[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 609139472 MOAQLUVABQRJBH-INIZCTEOSA-N 423.444 4.873 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)cc1[N+](=O)[O-] 609189168 XICIKGWRFLUGLZ-UHFFFAOYSA-N 412.364 4.591 5 20 HJBD CCN([C@@H](c1ccccc1)c1ccc(F)cc1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 609315650 WERUCJYYNLTYCL-NRFANRHFSA-N 414.458 4.534 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)NCc1ccco1 609804199 DHPJZXZNNZJDRP-UHFFFAOYSA-N 419.796 4.772 5 20 HJBD CC(=O)N(Cc1ccc(Nc2ccc(Br)cc2[N+](=O)[O-])cc1)C1CC1 609894646 VBNTXXRQNWSVEU-UHFFFAOYSA-N 404.264 4.612 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C(C)(C)C)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 610247541 YFMVJBOGHRRGEY-KPZWWZAWSA-N 424.423 4.870 5 20 HJBD O=[N+]([O-])c1cc2c(cc1CNc1ccc(C(F)(F)C(F)(F)F)cc1)OCCO2 613657805 XRGVHUIGLABRLU-UHFFFAOYSA-N 404.291 4.632 5 20 HJBD Cc1cccc(C)c1NC(=O)N1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 727586807 SUAOHCZUVWPPLS-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(Cc1cccc(Cl)c1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 727661896 JSFNIKPVIRUNCA-UHFFFAOYSA-N 410.813 4.649 5 20 HJBD CC(C)NC(=O)CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 728152252 ZFBWIZRBGJCUSL-UHFFFAOYSA-N 414.505 4.578 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)[C@@H](O)c3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 732053306 LEDJXHRXEMEULR-IVZQSRNASA-N 423.444 4.829 5 20 HJBD O=C(CC1CCCC1)NC1CCC(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])CC1 734898615 KWSRCEXUOWDHNN-UHFFFAOYSA-N 422.909 4.547 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CSc1ccc(C(F)(F)F)cn1 735643034 LCVLRISUWAELND-UHFFFAOYSA-N 406.769 4.668 5 20 HJBD COc1cc(N2CCC(OC(=O)C3(c4cccc(C)c4)CCC3)CC2)ccc1[N+](=O)[O-] 739381933 UCBJLSMZUFNUCK-UHFFFAOYSA-N 424.497 4.546 5 20 HJBD COc1ccc(OC(=O)c2ccc(C)c(NC(=O)Nc3ccccc3)c2)c([N+](=O)[O-])c1 741173016 DAOMKEULLZTCBG-UHFFFAOYSA-N 421.409 4.775 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 741199823 HKWDSKHZZPESNC-WCIBSUBMSA-N 415.287 4.561 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@H]1c1ccccc1C(F)(F)F 744396844 STDHDSWADOIZIJ-SFHVURJKSA-N 407.392 4.698 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 748686410 GAMRCJTXWJKDLA-UHFFFAOYSA-N 405.410 4.602 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CCCC1)c1cc([N+](=O)[O-])ccc1Br 751106873 FNENCCGBCCPWMJ-UHFFFAOYSA-N 407.239 4.696 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(COc2ccc(OCc3ccccc3)cc2)o1 753837041 LAXXBVUHEFQYOO-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD C[C@@H](C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1F 754484228 XUWKSUVPRUXDDT-PSLIRLAXSA-N 413.232 4.784 5 20 HJBD CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cccc(Cl)c1 754977030 MJCLIADUSYCHLH-UHFFFAOYSA-N 415.646 4.815 5 20 HJBD C[C@@H](C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1)c1ccc([N+](=O)[O-])cc1F 755052958 GEYIPYZBKGLYCJ-SNVBAGLBSA-N 406.316 4.514 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757080608 OABWTGSEZJGBQR-LJQANCHMSA-N 422.462 4.779 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 757996036 GGMGEPUFQXRPSQ-QFBILLFUSA-N 423.282 4.849 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)c1ccc(F)cc1[N+](=O)[O-] 758112532 ADDYIJVODDJCIU-UHFFFAOYSA-N 402.422 4.776 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)C[C@H](O)c3ccco3)c([N+](=O)[O-])c2)cc1 759246706 NFERZCAPMVAWPH-QRWLVFNGSA-N 409.442 4.673 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1 764166963 DVNXIFSZEUSFPK-GHXNOFRVSA-N 400.456 4.535 5 20 HJBD CS[C@H](C)CC(=O)O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 766915362 GTCXPRPAUSCKNP-KZULUSFZSA-N 422.890 4.613 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(=Cc2ccc(F)c(F)c2)CC1 768921347 XSGBAQCSZVROCA-UHFFFAOYSA-N 415.396 4.513 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138463 LAMAMAZLTBWBNC-VDZCTEQFSA-N 417.446 4.946 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F 790904578 FWGNGWSXUMNAHU-OAHLLOKOSA-N 415.465 4.539 5 20 HJBD Cc1ccc([C@H](NCc2ccc(Br)c([N+](=O)[O-])c2)c2ccccn2)cc1 793248645 HWISNCRVOCPXMK-FQEVSTJZSA-N 412.287 4.940 5 20 HJBD C[C@@H](OC(=O)CCSCc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 795844862 MHPXOTSZLJXHJP-CQSZACIVSA-N 413.455 4.573 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(-c3ccco3)n2C2CCCCC2)nc2ccccn12 800010358 NGJHOTLILUOHEL-UHFFFAOYSA-N 410.459 4.750 5 20 HJBD Cc1nc(COc2cccc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c2)cs1 800152278 ZNRYDZGSKKAGQR-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ccc(C(F)(F)F)nc1 803064092 CXEHPJGQOULPMF-ZJUUUORDSA-N 418.755 4.734 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 914483271 QBELHPKCTSAMRC-UHFFFAOYSA-N 409.801 4.656 5 20 HJBD O=C(OCC[C@@H]1CCCCN1C(=O)CCCc1ccccc1)c1cccc([N+](=O)[O-])c1 918636590 XOMFESOKZKZBOJ-NRFANRHFSA-N 424.497 4.546 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1 1117190785 XPWYJAQUMOMZTG-UHFFFAOYSA-N 409.833 4.692 5 20 HJBD O=C(NCc1cccc(C(F)F)c1)Nc1ccc(Br)c([N+](=O)[O-])c1 1320541352 SJOXZJBHKMSCNZ-UHFFFAOYSA-N 400.179 4.617 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c(C)o1 1322871732 IMOMHFGBPFJKMR-MRVPVSSYSA-N 401.644 4.712 5 20 HJBD Cc1ccc(Nc2nn(CN3Cc4ccc([N+](=O)[O-])cc4C3)c(=S)s2)cc1C 1329968118 ZCCUBACTXCBBPK-UHFFFAOYSA-N 413.528 4.916 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)C[C@@H]1CCCO[C@H]1c1ccccc1 1338311637 KODPCIIYPJGNHK-PXNSSMCTSA-N 419.319 4.957 5 20 HJBD Cn1c(SCC(=O)c2ccc(Cl)s2)nc2cc3ccccc3cc2c1=O 7168759 KSTWMLUVLRXGMU-UHFFFAOYSA-N 400.912 4.777 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(C(F)(F)F)cc1 7496432 PMAQMSAMXHRILA-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD CC(=O)c1ccc(Sc2nc3sc(C)c(C)c3c(=O)n2C(C)C)c([N+](=O)[O-])c1 8199513 XJQMRHDVADMLJN-UHFFFAOYSA-N 417.512 4.918 5 20 HJBD COc1cc(C(=O)OCc2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 10275445 IGLXXYBJJOCQDE-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Cc2nc3ccccc3s2)cc1 11708109 QWNGAKLXDCHTDL-UHFFFAOYSA-N 403.463 4.977 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccncc2)n1-c1ccccc1 16209012 LOQMHHCYRNIYBY-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD O=C(CN(Cc1ccco1)Cc1cccs1)Nc1ccc([N+](=O)[O-])cc1Cl 19534083 KUYDRYHRUOPZIO-UHFFFAOYSA-N 405.863 4.544 5 20 HJBD COc1c(C)ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 27171661 YGTWACJPXQGAJG-GFCCVEGCSA-N 411.380 4.678 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(C(C)=O)cc2)cc1 28362397 MRFSQNDWWZRUSX-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD Cc1nc2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccc2n1-c1ccccc1 32377405 FHHJQUDAWSVVEW-UHFFFAOYSA-N 400.438 4.514 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2o1 33215625 COBJTLLNDHULPP-UHFFFAOYSA-N 422.485 4.889 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)c(C(F)(F)F)c1)c1ccoc1 44584049 IZQLLSQADIPZIL-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1ccc([N+](=O)[O-])s1 59850072 DCVAAYLGIHEOQW-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD COc1ccc(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 105911285 IQLZAEJRJSLRRA-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(-c4ccccc4)no3)n2)cc1[N+](=O)[O-] 106203743 QVDWSDHECXZICV-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CC2)oc1C 301434867 IVQSSDALOISQJT-UHFFFAOYSA-N 412.412 4.543 5 20 HJBD Cc1cc(N2CCC[C@H]2C[C@H](O)c2ccc(F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301764944 GZBZTBVOCBMMNP-SFTDATJTSA-N 422.460 4.592 5 20 HJBD O=C([C@@H]1[C@@H](c2ccccc2)C1(F)F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 426321701 FGENAWLXEUZTMU-CVEARBPZSA-N 414.330 4.535 5 20 HJBD C[C@@H]1CSc2ccccc2N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 430657825 WREUJUHCSYTAAG-MRXNPFEDSA-N 400.503 4.682 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)Nc1cc([N+](=O)[O-])ccc1O 436154121 MWZCIYAMYRHVDI-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 436356186 UWHKLBHFKOIJHQ-HNNXBMFYSA-N 418.443 4.898 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436366612 KFPBNJXDFIYLTK-UHFFFAOYSA-N 420.871 4.935 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCC[C@@H](C(F)(F)F)C1 439581142 LGRBELDZMNENMB-JKSUJKDBSA-N 401.429 4.884 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2c(F)cc([N+](=O)[O-])cc2F)cn1 440591099 XLRUTXOEDTVVTG-UHFFFAOYSA-N 417.368 4.690 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444040031 VXKFMPUMUAPJMI-FQEVSTJZSA-N 414.358 4.854 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1nc(Cc2cccc(F)c2)no1 447296152 SHVHXLBEDOJPFC-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CC(=O)c1cc(F)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(F)c1F 461100398 WOKXLHGZIWTGHJ-UHFFFAOYSA-N 421.356 4.591 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(F)c1)c1ccccn1 462615047 NLNFRPHSOBFOBR-JTSKRJEESA-N 407.445 4.603 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 463957195 GFSNINBMZYZEHD-SFHVURJKSA-N 423.391 4.836 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3cc(Br)ccc3CO)o2)c1 466385440 FNZHFVBOEOJODQ-UHFFFAOYSA-N 403.232 4.722 5 20 HJBD COc1c(C(=O)N(Cc2cccnc2)c2cc(C)ccc2Cl)cccc1[N+](=O)[O-] 466615905 BMHFCVUFMQVBTJ-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD CC(C)C[C@H](CCO)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 467694977 CVVGOTHGTHUKJM-INIZCTEOSA-N 401.463 4.553 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@H](c4cccc(Cl)c4)C3)cs2)c1 468689956 WLWXLUTZERSRCE-IBGZPJMESA-N 415.902 4.945 5 20 HJBD COc1cc(C(=O)Nc2ncc(-c3ccc(C)cc3C)s2)cc([N+](=O)[O-])c1OC 470341486 BSPNYCBGHRMXFE-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)cc(NCC(F)(F)F)c2)c1 473250357 QQUGQWJFGAWYSC-UHFFFAOYSA-N 403.357 4.682 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 474744789 YZQWNQWLYSTWJP-CABCVRRESA-N 412.877 4.698 5 20 HJBD COC(=O)c1cnc(S[C@@H](C)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])c1 478278042 IUVVBUDNZUNNIC-VIFPVBQESA-N 402.350 4.528 5 20 HJBD CC[C@@H](C)c1ncc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 478280802 NBBPVZNMSRGEAE-CABCVRRESA-N 420.473 4.719 5 20 HJBD CC1(C)C[C@@H](N[C@H](CO)c2ccccc2OC(F)(F)F)c2cc([N+](=O)[O-])ccc21 479527240 HIIGYILPYCKSBY-IAGOWNOFSA-N 410.392 4.539 5 20 HJBD COc1ccc(CNC(=O)Nc2cnn(-c3ccc(C)cc3)c2C(C)C)cc1[N+](=O)[O-] 484839784 USNTZYJTRGFWIO-UHFFFAOYSA-N 423.473 4.543 5 20 HJBD Cc1c([C@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)oc2ccccc12 485000970 ASJXNHQWRGOHEZ-QWHCGFSZSA-N 401.850 4.981 5 20 HJBD O=C(NC1(c2cccc(F)c2)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485506434 PSBXUYPCEBGFHF-UHFFFAOYSA-N 406.413 4.732 5 20 HJBD Cc1nn(C)c(NC[C@@H](OCc2ccccc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 488679976 YKOWBIHQHTZOQM-GOSISDBHSA-N 400.866 4.660 5 20 HJBD CCOc1cc(CN2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 492531014 FPPPSRGQXKPBFA-ZDUSSCGKSA-N 415.437 4.848 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccccc2OC[C@H]2CCCCO2)cc1[N+](=O)[O-] 493238761 QGUFHCKRBUIIKL-FUHWJXTLSA-N 413.474 4.734 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1ccccc1[N+](=O)[O-] 494654450 HSHWXKQTMUXILN-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1C[C@H](CO)c2ccccc21 498262160 OCABIMDLMGVNAG-OAHLLOKOSA-N 424.840 4.777 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)CCNC(=O)c2ccc([N+](=O)[O-])cc2)c(C)c1 498450238 BXLJJJRDZVGCDN-HNNXBMFYSA-N 415.515 4.552 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2ccccc2F)C1 502156723 RHXPSUMCNIEIRK-CYBMUJFWSA-N 422.406 4.728 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])cc1OC 504704111 SVKLQWILUJOPMC-CQSZACIVSA-N 418.515 4.994 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)Nc3ccc(C)c([N+](=O)[O-])c3)c2)cc1 511276149 GZDUNUYDXRWBAT-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2c[nH]c3cccc(Br)c23)cc1[N+](=O)[O-] 513936779 YJCARTKGJWZTJP-LBPRGKRZSA-N 416.275 4.567 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(NC(=O)Nc2ccccc2)cc1 515607274 CYFUOKMLNLXSOF-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 516343783 VNOHMWIWIYATBX-NSHDSACASA-N 415.298 4.816 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(Cc1ccc2ccccc2c1)C1CC1 517909568 CMUIFWIAMYDJRO-UHFFFAOYSA-N 416.886 4.755 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520387736 IBLDJEVPJCYNRE-KBXCAEBGSA-N 418.877 4.778 5 20 HJBD COc1ccc(NC(C)=O)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 524464915 YERMPZQRFNASOP-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(CC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 531459968 CVALSIRPZQUDPY-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD O=C(CCn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1cccc(C(F)(F)F)c1 535121839 USZIIKOVJIPBTO-UHFFFAOYSA-N 404.348 4.506 5 20 HJBD O=C(N[C@@H]1CCCOCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 537334998 ZLOINEWJRVVZQX-CQSZACIVSA-N 406.891 4.698 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 537732647 WMDIPERXIKAWPZ-UHFFFAOYSA-N 407.426 4.705 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCCCC[C@H]2/C=C\c2ccccc2)s1 541268735 FQNBQEGHDXZJBA-JNSOCZORSA-N 406.529 4.522 5 20 HJBD CCN(Cc1ccc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1)C1CC1 545142906 DIGHINKGWMQNQL-UHFFFAOYSA-N 417.469 4.701 5 20 HJBD Cc1nc(-c2ccccc2)ccc1-c1nc(CCNc2ccccc2[N+](=O)[O-])no1 545669279 MRADNNIUCKENNK-UHFFFAOYSA-N 401.426 4.670 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2ccccc2Oc2cnccn2)no1 547092249 MKPJHOAOHUOZEJ-VURMDHGXSA-N 405.345 4.537 5 20 HJBD CC(C)c1noc(-c2ccccc2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)n1 549806159 QVOWFGMVSBVWKE-UHFFFAOYSA-N 418.356 4.622 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])c1nc(O)c2c(-c3ccco3)csc2n1 552082606 XZOWVLNEZZFJMI-SECBINFHSA-N 400.441 4.813 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 555731897 SWOGMWWJDLRKAE-UHFFFAOYSA-N 410.392 4.859 5 20 HJBD CCOc1ccc([C@H](C)NCCc2ccc(OC)c(OC)c2Cl)cc1[N+](=O)[O-] 559879109 UIPJPVRZNTWZDX-ZDUSSCGKSA-N 408.882 4.557 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2c[nH]c3ccc(OC)cc23)CC1 562111359 WJUIZKZELOOAPB-UHFFFAOYSA-N 420.469 4.968 5 20 HJBD CCCc1c(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 564060347 WEXMZJODNUPMIZ-UHFFFAOYSA-N 412.421 4.523 5 20 HJBD CC(=O)c1cc(N[C@@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)ccc1[N+](=O)[O-] 571786639 GCSLKHVPNZQIKS-QGZVFWFLSA-N 407.392 4.503 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 589373170 LFCAVMCHQZIJEZ-PHIMTYICSA-N 413.318 4.752 5 20 HJBD C[C@@H](C1CC1)N(Cc1ccccc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603475470 CFMBMUATBXADGJ-SFHVURJKSA-N 407.514 4.639 5 20 HJBD CCN(C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)c1ccc(F)c(F)c1 603531358 UYBNHPMYWSMKIC-UHFFFAOYSA-N 403.429 4.776 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)cc2)cs1)c1csc([N+](=O)[O-])c1 603750543 RVUKHQCMMSWBLE-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 604010174 PKBPRDCOBHTZAS-QGZVFWFLSA-N 421.419 4.621 5 20 HJBD COCCN(C(=O)CC1=CCCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 609296131 GVOYRCDHLBGZFY-UHFFFAOYSA-N 401.488 4.588 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(c3ccc(C(F)(F)F)cc3)C2)c2ccncc12 609670957 UZFMWMBLRNHXMS-AWEZNQCLSA-N 402.376 4.853 5 20 HJBD Cc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c(Br)c1 609723450 VPOBMQIQNFRZCN-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc2cc(F)c(F)cc2s1 609724355 MAYBQVPVJKGLMD-UHFFFAOYSA-N 413.789 4.544 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cc(Cl)cc([N+](=O)[O-])c1 609856726 FLPWWFWESVFYFD-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 610199241 FZHQGDRUZHLNLI-CRAIPNDOSA-N 402.878 4.996 5 20 HJBD CCNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611199084 QARRVMVJOOOHFP-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 611203354 JZQMWNMRHCJDEL-HXUWFJFHSA-N 417.550 4.780 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H](c1ccc(Br)cc1)C(F)(F)F 731147057 GHZKWBSQQODLJT-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)cc1 731791922 CPMGYJAGYHHSBA-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)ccc21 735328734 DQZSPYBQTRGSQI-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD O=C(OC1([C@H]2CCOC2)CC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 735909997 LYMMXVHYPDZOQQ-INIZCTEOSA-N 416.861 4.586 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3nc4ccccn4c3[N+](=O)[O-])C2)nc2ccccc21 736602377 LOLIUGXKVQUAEZ-INIZCTEOSA-N 404.474 4.557 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc2ccccc21 741988288 JPZAHJUBVQPPEU-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD CCC(CC)(CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])SC 742845366 VZZDEVHALWDWRS-UHFFFAOYSA-N 410.483 4.744 5 20 HJBD Cc1ccc([C@H](C)C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)o1 744368979 ORTAIVMWUHOWDT-AWEZNQCLSA-N 406.398 4.684 5 20 HJBD CC(C)CCc1nc(COC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cs1 744470744 MWSFAVJJCDSLAF-UHFFFAOYSA-N 417.531 4.600 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])OCc1ccc(Oc2ccc(Cl)cc2)nc1 749081087 YVIWGMQZMFYLTO-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD CC[C@@H](C)NC(=S)/C(=C(/O)c1ccc([N+](=O)[O-])cc1)[n+]1ccc(C(C)(C)C)cc1 749885753 FMAJYBKQXJBZDM-OAHLLOKOSA-O 414.551 4.779 5 20 HJBD CC(C)[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 750542113 REHGZHTUGTWPHZ-INIZCTEOSA-N 411.241 4.722 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1Cl 751093044 JTCXYVOYOYUXNB-GFCCVEGCSA-N 419.840 4.654 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 752111685 HEWAUWVAMCPEAA-VGOFRKELSA-N 407.470 4.585 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnn1-c1cccc(F)c1 753523163 SLNVTVWKFCHMND-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1cccc(Cl)c1[N+](=O)[O-] 755825750 WEIPYNMUOJRFIV-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 757997582 RVLVHYWHJJYTIG-DNVCBOLYSA-N 423.282 4.849 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1cccc([N+](=O)[O-])c1Br 758300849 GGTQMJGAOFRAEX-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)o2)cc1C 758739040 LKZGBAWNULGYCF-AWEZNQCLSA-N 420.425 4.590 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)cc1Cl 761504896 AATYHBVFQSLGIJ-OAHLLOKOSA-N 416.840 4.817 5 20 HJBD Cc1oc2ccccc2c1CC(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767727265 DGMJDWPWCDAZLJ-ZDUSSCGKSA-N 407.382 4.546 5 20 HJBD COC(=O)N(C)c1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 774653952 OTTAFJAWGMMUMC-UHFFFAOYSA-N 420.294 4.590 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132057 HUUBXOPIAXISDC-MZNJEOGPSA-N 420.465 4.900 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] 777219844 BNWJTKSGRPXCKQ-GUDVDZBRSA-N 409.442 4.610 5 20 HJBD COc1cc(CNc2cnc(Cl)c(C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 777519996 WDYDFGIHSNQSJH-UHFFFAOYSA-N 405.760 4.513 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(N2CCOC2=O)c1C 777699432 BPPMFGZIUHCLLQ-OAHLLOKOSA-N 401.488 4.843 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H](C)c2ncccc2F)cc1[N+](=O)[O-])c1ccccn1 791803031 HVOLKNUGECJFCT-UONOGXRCSA-N 410.405 4.615 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792958026 CMHXHQSUHJROPQ-UHFFFAOYSA-N 423.922 4.594 5 20 HJBD COc1c(C(=O)O[C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 796310212 SERNDZDBSBGLMD-LLVKDONJSA-N 402.790 4.830 5 20 HJBD CC(F)(F)c1ccc(-c2noc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 810318551 FJYSYLHOBABJCA-MRXNPFEDSA-N 400.341 4.622 5 20 HJBD CN(c1ccc(F)c(Cl)c1)S(=O)(=O)c1c(Cl)ccc([N+](=O)[O-])c1Cl 821191485 NZWFIWXEAYCTEQ-UHFFFAOYSA-N 413.641 4.519 5 20 HJBD CCc1ccc(CCC(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 917205454 CLNCCNSDEOBPAP-UHFFFAOYSA-N 422.485 4.577 5 20 HJBD Cc1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2ccc(Br)cc2n1 917609967 DIUJDCFNUQQLNP-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1cc([N+](=O)[O-])ccc1Cl 921158516 BZNBQTYQVVTDJA-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cnn(-c4ccccc4)c3)o2)cc(C(F)(F)F)c1 1333077425 MFUKQTGKLDWILY-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H]2OCCC[C@H]2C1 1342374772 MXUATTGEFHPLME-LPHOPBHVSA-N 404.532 4.518 5 20 HJBD C[C@@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccc(C(F)(F)F)cc1 1345740182 LPRVVXRVSDQQOU-LBPRGKRZSA-N 407.348 4.922 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1cc(Cl)ccc1Cl 8799309 JZQLUCDJJPLHKR-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1cccc2c(=O)n(CCC(=O)OCc3nc4ccccc4c4ccccc34)cnc12 26233129 FIXARUGZIBFVDT-UHFFFAOYSA-N 423.472 4.540 5 20 HJBD COc1cc([C@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1OC1CCCC1 58329568 WOXDVYMRHFZVIX-AWEZNQCLSA-N 423.469 4.897 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCNC(C)(C)c1cccc(C(F)(F)F)c1 60377537 VKCCEDDXNCYLEG-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD CCCCNC(=O)c1ccc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 60859197 KAKHGMDTPLQXLE-UHFFFAOYSA-N 419.485 4.502 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1Cl 66031299 GJLCTHURVBYPJD-UHFFFAOYSA-N 415.877 4.697 5 20 HJBD Cc1ccc(-c2nnc(Sc3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)n2C)cc1 301121492 QVIUWVCYWCPVPW-UHFFFAOYSA-N 418.482 4.615 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3nc4sccn4c3[N+](=O)[O-])C2)nc2ccccc21 301355566 XXHFNQCCNUARTL-CQSZACIVSA-N 410.503 4.619 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C 303154268 UAGBCZKWNUXTOJ-QGZVFWFLSA-N 419.275 4.652 5 20 HJBD COc1cccc(Oc2ncccc2CNc2ccnc3c([N+](=O)[O-])cccc23)c1 432324107 TVRBYGPOMOGWSH-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435934175 IDLQXNBPXPYKIA-DEOSSOPVSA-N 405.457 4.596 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ncc(-c2ccccc2)o1 437969084 KQGBAJHRVVNXQV-HNNXBMFYSA-N 406.442 4.685 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1 439935459 USASOFSTQAGAQW-UHFFFAOYSA-N 414.849 4.718 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])CC2)n[nH]1 442882452 LZYJMJRHDSVQBS-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD O=C(Cc1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1)Nc1cccc2ccccc12 445348319 JCGURUZSNBIWEZ-UHFFFAOYSA-N 422.828 4.556 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@@H]3c3cccc(C(F)(F)F)c3)n2)c1 445580226 PTNVAUIYSRUCQN-CVEARBPZSA-N 419.359 4.867 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1coc(-c3ccc(Br)cc3)n1)CC2 446736142 NFZOXYNSSARCTA-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(-c2ccccn2)ccc1Cl 466286730 JBCDKSRZNFIHSP-UHFFFAOYSA-N 402.237 4.894 5 20 HJBD O=C(NC[C@@H]1CC2c3ccccc3C1c1ccccc12)c1ccc(F)cc1[N+](=O)[O-] 466315371 ZAHJLNUBKPDAPQ-AWUOCFJBSA-N 402.425 4.761 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 466512431 FHUYRFURCCLBEO-UHFFFAOYSA-N 421.331 4.920 5 20 HJBD CC(C)[C@H](c1ccc(F)cc1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 468122216 XAYXAYJGSPURKP-JOCHJYFZSA-N 413.493 4.806 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])ccc1OCC(F)(F)F 476134170 DTJPGKGOWGVYCM-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC=C(c2cccc(Cl)c2)CC1 477370645 CQUOQFGZYXXTHT-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD COc1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(OC2CCCC2)c1 477567549 XHTXQYFEOKWXMF-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Sc2nc(-c3ccccc3)cs2)c([N+](=O)[O-])c1 480449127 PPQKYVHUEAWCQB-UHFFFAOYSA-N 422.491 4.834 5 20 HJBD CCn1c(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)nnc1-c1ccc(C)cc1 481334500 AMOKGUCPDMVGLO-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 482128543 HZFZAMJDBLYCIF-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD COc1c(C(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 483837307 GJRVWPHCJFFRAT-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)c1cccnc1 488078610 IOADXYANDXDDFF-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD CC[C@H](NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1)c1cccc([N+](=O)[O-])c1 489951586 HBPMFJJRWAKTOZ-LBPRGKRZSA-N 416.425 4.756 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)N(CC)CC)c(Cl)c2)ccc1[N+](=O)[O-] 492508511 FQZUPWJKEKYNFB-UHFFFAOYSA-N 405.882 4.741 5 20 HJBD O=C(c1csc(-c2ccc(F)cc2)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498141157 VHYMAAUHTSCIED-LJQANCHMSA-N 415.471 4.745 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cc(OC)c(OC)cc1F 503062636 XDYMOROQTNZWTC-LBPRGKRZSA-N 422.478 4.744 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 503634537 PXBSDKGAXYJKOB-LBPRGKRZSA-N 403.360 4.523 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccc(F)cc2)cc1 513078632 YRIWCPCGYZJTBY-NRFANRHFSA-N 409.417 4.962 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 513133965 RCGVJJAQEUCXEG-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)CSCc2ccc([N+](=O)[O-])cc2)cc1 513814936 KDKKCJXEFUFFCQ-HXUWFJFHSA-N 400.500 4.590 5 20 HJBD CCNC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1C 517497647 OHZOJKOPLOHMAM-UHFFFAOYSA-N 416.503 4.797 5 20 HJBD O=C(Cc1csc(COc2ccc(Cl)cc2)n1)Nc1ccc([N+](=O)[O-])cc1F 521111358 FDIKYYUVRFPEEO-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 521768631 UETNHLVPMDASQY-INIZCTEOSA-N 416.524 4.917 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 521782561 MIHQKJRSVTXKDR-CYBMUJFWSA-N 406.280 4.650 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)Nc2cc([N+](=O)[O-])ccc2O)cs1 534067031 FGSLTMQHXZHPHU-UHFFFAOYSA-N 401.469 4.610 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NCC)cc1C 537427551 RGVNGNZLQYQGIE-UHFFFAOYSA-N 415.515 4.797 5 20 HJBD CCN(CCC1CCN(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)CC1CC1 540012221 JBXNQGOBTFBHRM-GOSISDBHSA-N 416.566 4.508 5 20 HJBD COc1cccc(-c2nnc(NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)o2)c1OC 541819713 SDTWQAGNXAGRDJ-UHFFFAOYSA-N 422.397 4.534 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)s1 544004354 KRSGNQUOEWCZAW-MGCOHNPYSA-N 421.327 4.725 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)C1CC1 545566047 YEOJJEQUTCQFOZ-UHFFFAOYSA-N 406.423 4.875 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc1 547264718 LBAAOFYFKIKDAI-AWEZNQCLSA-N 424.482 4.562 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549708884 GJGMNGGIGXJHFJ-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccc(F)cc2)c2ccc(Br)o2)cc1 550681113 UYDSOMVZMPTCDW-SFHVURJKSA-N 405.223 4.969 5 20 HJBD Cn1ccnc1[C@H](NCc1cccc(Oc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 556858165 ORFISMJLSBWQQB-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)cc1 558303492 OUOPEUMLHNXEDQ-GOSISDBHSA-N 407.495 4.910 5 20 HJBD COc1cc(OCc2nc(C(C)(C)c3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 564288873 OHHIOEWOXCASIM-UHFFFAOYSA-N 403.822 4.545 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1cn(-c2ccccc2)nc1-c1ccncc1 564312852 TWLJCPZERYHFAP-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD Cc1ccc(OCc2ccccc2)c(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c1 571844625 HKAKUWQWBLDDOI-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD COc1ccccc1-c1nnc(Sc2cc(C)c(C(F)(F)F)cc2[N+](=O)[O-])n1C 579256297 JHPRLTKXJUWHHT-UHFFFAOYSA-N 424.404 4.877 5 20 HJBD Cc1ccc(CN(CCC(=O)Nc2cccc([N+](=O)[O-])c2C)Cc2ccco2)s1 604460385 BHHPLRQDSCTNJZ-UHFFFAOYSA-N 413.499 4.897 5 20 HJBD CS(=O)(=O)c1ccc(-c2nc(CSCc3ccccc3[N+](=O)[O-])cs2)cc1 609238278 QSYCQGHDBBUJKH-UHFFFAOYSA-N 420.537 4.555 5 20 HJBD CC(C)n1c(CCNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc2ccccc21 609885824 RNFGREKUJHJZTA-UHFFFAOYSA-N 408.483 4.713 5 20 HJBD C[C@@H](Cc1cccc(C(F)(F)F)c1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609970450 GYMOXQHXXCVHLS-ZDUSSCGKSA-N 418.375 4.617 5 20 HJBD Cc1cc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)ccc1[N+](=O)[O-] 609978363 WPVDUEBXCJURGU-UHFFFAOYSA-N 401.466 4.960 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2C2CCCCC2)cc1[N+](=O)[O-])c1ccccn1 610191620 ZOBVVNCQNUTVQR-UQASEVPWSA-N 408.502 4.862 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(CCc3ccccn3)Cc3ccc(F)cc3)nc2c1 610581402 SSRQURUFPTUJJR-UHFFFAOYSA-N 406.417 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)co2)cc1 611170495 SKWOWVHDXFTUHX-VXGBXAGGSA-N 423.313 4.813 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@H]1CCCCO1 611471781 LKZFNLGKTTYFCL-SJKOYZFVSA-N 409.280 4.665 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl 727657655 SJRMKNIBHBRTFS-UHFFFAOYSA-N 417.632 4.775 5 20 HJBD CCOC(=O)Nc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 727953166 YSFADVHQDSSOEC-UHFFFAOYSA-N 412.427 4.539 5 20 HJBD Cc1c([C@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])oc2ccccc12 731977526 MKYCHVCSAYIHCX-NSHDSACASA-N 410.398 4.819 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1sc(Cc2ccccc2)nc1C 739588678 CDYUBNANQFXTAQ-CQSZACIVSA-N 412.467 4.877 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 742890746 WVSHAFSNYSRJMX-KEQVLUGWSA-N 411.458 4.832 5 20 HJBD CS[C@@H]1CC[C@@H](N[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 743484949 ULGQOFSOLCWYNA-OGWOLHLISA-N 419.934 4.802 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1 743781743 GAFSELZVYWDBPZ-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD COc1ccc(-c2ncc(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])s2)cc1 745556651 IBWWZAPKQDYNAL-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Nc1c(Cl)c(Cl)nc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1Cl 746060473 YRIBEYKOVAGFNP-UHFFFAOYSA-N 411.028 4.543 5 20 HJBD COc1ccc(NCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])cc1OC 747099093 OQCQKUVOSRGUMO-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)c1ccc(Cl)nc1Cl 747635496 QACLFTNBNLNSEP-UHFFFAOYSA-N 416.220 4.780 5 20 HJBD Cc1ccc(N2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[C@@H](C)C2)cc1C 747969981 PPAPZLGZCBSSJZ-ROUUACIJSA-N 421.501 4.533 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c1C 749508106 DXVOZIIHBVSIKC-UHFFFAOYSA-N 401.419 4.769 5 20 HJBD CCCn1c(SC[C@@H](O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(Cl)cc1 749640681 UDKKULQNDSKHLE-QGZVFWFLSA-N 418.906 4.742 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2cc([N+](=O)[O-])ccc2Br)c1 751080021 RIDOTPCIBZBPNN-UHFFFAOYSA-N 421.291 4.664 5 20 HJBD O=C(Nc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)cc1)c1ccc(F)cc1 761531632 GQLYNIRANSYGNX-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD CC(C)(C)SCCCc1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 762261313 LXJICMRLKCWPTH-UHFFFAOYSA-N 414.325 4.795 5 20 HJBD C[C@H](C[C@H](O)c1ccc(F)cc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774670916 LCPJUIBHCBXOBG-OTYXRUKQSA-N 423.313 4.707 5 20 HJBD c1ccc2c(CNc3cnn(Cc4nnc5n4CCCC5)c3)c3ccccc3cc2c1 775035247 YBOZDZLYDGNBLQ-UHFFFAOYSA-N 408.509 4.778 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cccc1N1CCOC1=O 775490093 DGGBCCCJRBACEP-UHFFFAOYSA-N 415.471 4.613 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cccc(SC)c2[N+](=O)[O-])cs1 777074060 GQALMBIGQOFZMN-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD CSc1cccc(C(=O)OCCCC(=O)Nc2c(C)cc(C)cc2C)c1[N+](=O)[O-] 777080925 XMJXQSRZOWRPNK-UHFFFAOYSA-N 416.499 4.818 5 20 HJBD CC(C)(C)OC(=O)N1CC=C(CNC(=O)c2cc3ccccc3c3cccnc23)CC1 778120841 NRGOAECPMMDVGD-UHFFFAOYSA-N 417.509 4.685 5 20 HJBD Cc1cc(COC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)ccc1[N+](=O)[O-] 781171589 MTCBGXNRBYIOTE-UHFFFAOYSA-N 404.422 4.537 5 20 HJBD CC(C)(C)C(=O)Nc1ccccc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 787606259 PNBFKDPESRSTMP-UHFFFAOYSA-N 423.391 4.528 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC[C@H](O)c2cccc([N+](=O)[O-])c2)c(Cl)c1 790139033 KGPMBYUTCKWJRG-INIZCTEOSA-N 408.838 4.708 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C2CC2)o1 790535671 MXJQSMIUWUZYGI-ABAIWWIYSA-N 406.841 4.837 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCC3(CCN(C)CC3)C2)cc1[N+](=O)[O-] 799030506 BUHFCJVNENCFOS-UHFFFAOYSA-N 419.591 4.681 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F 799973804 ZMZUPCWGYAHJTJ-UHFFFAOYSA-N 405.735 4.765 5 20 HJBD C[C@@H]1Cc2ccc(Br)cc2CN1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918395 YOYAEHBWTZEXNG-SNVBAGLBSA-N 424.682 4.989 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN(Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 810017580 DCAMBKRWMWSKMA-SWLSCSKDSA-N 413.326 4.902 5 20 HJBD Cc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1NC(=O)Nc1ccccc1 812813631 OCLFJDSMBCSRJZ-UHFFFAOYSA-N 409.446 4.677 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)c1 813460957 OLJBRARBQOUXBY-UHFFFAOYSA-N 408.285 4.927 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC([C@@H](O)c3ccc(F)cc3)CC2)c1 815043514 XBJHYALLKLJLMV-IBGZPJMESA-N 412.383 4.698 5 20 HJBD C[C@@H](N[C@@H](c1ccccc1)c1nc2ccccc2n1C)c1ccc(N)c([N+](=O)[O-])c1 815573132 YWAAWIPIYRGQBX-QRQCRPRQSA-N 401.470 4.504 5 20 HJBD COc1cc(N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)c([N+](=O)[O-])cc1OC 820596267 SQORGKWXJYKWAK-MRVPVSSYSA-N 405.760 4.852 5 20 HJBD CC(C)Sc1ccccc1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 844167117 QCKJNWVXBLRXBO-GFCCVEGCSA-N 422.890 4.933 5 20 HJBD CN(Cc1cccc(NCc2ccc(Cl)cc2[N+](=O)[O-])c1)Cc1cscn1 864012121 RSJWETWYAWXHEW-UHFFFAOYSA-N 402.907 4.949 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914797167 LDEXNAGDNUPCPU-LLVKDONJSA-N 419.846 4.522 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1OCC(C)C 914871733 FJLXOVKWQJZGIW-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc([N+](=O)[O-])ccc4N(C)C)CC3)c[nH]c12 918678364 YAZXVGLSJQXJNM-UHFFFAOYSA-N 420.513 4.724 5 20 HJBD Cc1c(CC(=O)OCc2nc3ccccc3c3ccccc23)nnn1-c1ccccc1 919610132 JJFMKFMVZDKWSK-UHFFFAOYSA-N 408.461 4.563 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)s2)cc1 1328231552 JVJJWSKQNRMSQW-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD CCC1(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CCC1 1785750799 SDHVLFXJBXKHKK-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccccc2F)cc1[N+](=O)[O-] 24376409 IGBURUBTHZWBQI-UHFFFAOYSA-N 410.426 4.939 5 20 HJBD COc1cccc(NC(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)c1 29507815 SWKVMACGKWPCSH-UHFFFAOYSA-N 422.462 4.809 5 20 HJBD O=C(NCCOc1ccccc1F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 31390670 MFPYQRLLNHAYAA-UHFFFAOYSA-N 412.442 4.694 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2cccc([N+](=O)[O-])c2C)cc1 59309053 DLAJILRBBHMXGG-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(-c2ccc3c(c2)CCO3)cc1)c1cccc([N+](=O)[O-])c1 64256353 JSDMIFOTOVSJHS-MRXNPFEDSA-N 417.465 4.757 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 71961627 LJYRBANVPWLAQJ-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)NC1CCN(Cc2ccc(Cl)c(Cl)c2)CC1 303342596 LWADOXQMGMDDOU-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)o1 303806703 MRBVIZRACOAOSR-HXUWFJFHSA-N 408.458 4.693 5 20 HJBD O=C(CCSc1ncc(C(F)(F)F)cc1Cl)Nc1ccccc1[N+](=O)[O-] 409878621 GPSYLJWXRJNLDP-UHFFFAOYSA-N 405.785 4.783 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OC 429825392 DHIFCULMLPXAPC-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@@H](C)CO1 437031197 HLVZDCHRLNOQQQ-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD COCc1nc(CN[C@@H](Cc2ccccc2[N+](=O)[O-])C2CC2)c(-c2ccccc2)s1 442858524 SCCVOSNDKHBLBU-IBGZPJMESA-N 423.538 4.976 5 20 HJBD Cc1cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2cccnc2c1C 443925102 HWCAMYQRVJVLJR-UHFFFAOYSA-N 419.359 4.953 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cnn1C1CCCC1 444477973 JZDOMSXTJGBZIR-UHFFFAOYSA-N 411.506 4.703 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4ccc(C(C)C)nc4)no3)cc2)c(C)c1[N+](=O)[O-] 445505954 VBXYFIWGGIZPPT-UHFFFAOYSA-N 418.457 4.692 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 447867881 ZJPYHPKGLUQJOF-GFCCVEGCSA-N 418.837 4.692 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc([N+](=O)[O-])s1 464243554 VLKBCLRNFKYUHR-LBPRGKRZSA-N 403.460 4.726 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1ccc(F)cc1[N+](=O)[O-] 466301870 ZHQPJQQWVIHMER-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])NCc1nc(-c2cc3ccccc3o2)cs1 470609733 CPVVPFZTYWSVLJ-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)C(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc2C1 482338523 ZVVRIRGCWSWSIS-MRXNPFEDSA-N 413.783 4.620 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482717308 PRLKSWNLFKNIJO-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD COC[C@H](C)n1c(S[C@@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484488585 LDVWBETXIUDLQU-GJZGRUSLSA-N 413.499 4.674 5 20 HJBD O=C1CCCN1C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1 485397668 XWESHURFLCIIGL-LJQANCHMSA-N 420.444 4.572 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)c1[N+](=O)[O-] 485737498 LPAGIRNEQMFVHT-HNNXBMFYSA-N 423.494 4.782 5 20 HJBD COc1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1OC(F)F 487149040 FQQCJVKSLPJGIH-UHFFFAOYSA-N 406.307 4.513 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)N1CCc2ccc([N+](=O)[O-])cc21 499849554 IPPYHYAGTWUWGC-UHFFFAOYSA-N 421.400 4.745 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cnc(C3CC3)o2)cc1 500051890 SHTKHUDUACHJGX-UHFFFAOYSA-N 406.442 4.958 5 20 HJBD O=C(Nc1cccc(-n2ccnc2)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 500746707 KRHUXZJVCHNJQP-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)ccc2Sc2nccn2C)cc1[N+](=O)[O-] 503610840 HUKFYMGGKLODOQ-UHFFFAOYSA-N 418.475 4.593 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])CC2)cc1 513784365 PYQPPEWFCBGKGQ-REWPJTCUSA-N 421.541 4.509 5 20 HJBD CCOc1cc(NC(=O)N(Cc2cccc(F)c2)C(C)C)c([N+](=O)[O-])cc1OCC 513953635 REKOGDBURIZFSG-UHFFFAOYSA-N 419.453 4.974 5 20 HJBD COc1ccc(C[C@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 518632847 YDFIMYIENHOGMQ-SJLPKXTDSA-N 422.485 4.669 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 521770547 OVNWRKZISMEFDL-JTQLQIEISA-N 422.812 4.649 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)nnn1-c1cccc(Cl)c1 521867504 QMVCHUBKJQSJEI-UHFFFAOYSA-N 413.865 4.651 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1C[C@@H]1c1c(F)cccc1Cl 525336912 YAKBUTCWWXJHSE-LPHOPBHVSA-N 420.871 4.620 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)Cc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 537621025 QKKJVYGGGKBIGM-UONOGXRCSA-N 422.469 4.651 5 20 HJBD Cc1cc(C)cc(-n2nc(NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cc2C)c1 540737776 PNXRJOFCXIZLSD-UHFFFAOYSA-N 403.442 4.973 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 541509858 OWSTZTVNOUYEQG-JLTOFOAXSA-N 423.494 4.950 5 20 HJBD CC(C)c1nc(CCNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cs1 542514448 GUXPQNHIPTWHNF-UHFFFAOYSA-N 402.398 4.558 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 542568620 IWRHTKXXYFGXIP-LPHOPBHVSA-N 418.490 4.838 5 20 HJBD C[C@H](C(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543459774 XAFQSDLZAAIWBC-VXJOIVPMSA-N 406.282 4.535 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(COc4ccccc4C(F)(F)F)n3)c2c1 545078317 HVDHSBITSTXDSG-UHFFFAOYSA-N 418.331 4.648 5 20 HJBD C[C@H](Oc1cccnc1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545078884 VBRIDVHOAUPEKR-AWEZNQCLSA-N 404.382 4.972 5 20 HJBD COCc1c(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)oc2ccccc12 545851361 MXHWEUVIGRNQHO-UHFFFAOYSA-N 404.382 4.610 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(SCc3ccccc3)cn2)c1 549346490 JZXAYFHQVRRJGX-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cccc1-c1ncco1 553056290 YNDSFUSLFRQJEN-LBPRGKRZSA-N 401.806 4.618 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3ccccc3OC[C@H]3CCCCO3)co2)cc1 556277535 BEXLHEDJUGLGRU-LJQANCHMSA-N 409.442 4.810 5 20 HJBD CSc1ccc(-c2nc(C3(NC(=O)c4ccccc4)CCCC3)no2)cc1[N+](=O)[O-] 558387504 MSHXLSMSLCQKJE-UHFFFAOYSA-N 424.482 4.566 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2c(C)cc(C)cc2C)no1 578555009 HDVNWGXKNPTFJJ-SFHVURJKSA-N 422.485 4.821 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CC[C@@H](CC(N)=O)C3)cc2[N+](=O)[O-])c1 589209771 SNMHKPAORRZYTP-SFHVURJKSA-N 411.502 4.516 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(F)cc1 603736739 ULAFCBZZLPDLCD-INIZCTEOSA-N 412.339 4.652 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(OCc2cccnc2)cc1 603855134 GPOAWPZLNCSZOV-OAHLLOKOSA-N 418.453 4.627 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(CN3CCCC[C@@H]3C)cc2)c([N+](=O)[O-])c1 603857599 CTIUKQWZYHUBHM-SFHVURJKSA-N 424.545 4.720 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCn2c1nc1ccccc12 603953256 INSCJILDLYDPKF-UHFFFAOYSA-N 416.462 4.756 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc(OCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 604044495 QUOUNKSDJQSVMO-ZWKOTPCHSA-N 419.481 4.852 5 20 HJBD CCOCc1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 608807522 WOCMBZGYLWWFKX-INIZCTEOSA-N 420.469 4.952 5 20 HJBD COc1ccccc1[C@@H](CO)NCc1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 609708896 YAYYRDRGRWPOTC-HXUWFJFHSA-N 424.522 4.886 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1OC 609783975 DSGROWXPACGHPM-CQSZACIVSA-N 420.893 4.856 5 20 HJBD CN(CC1CCCCC1)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609873803 GIRFHPZTOWCFNV-UHFFFAOYSA-N 411.289 4.740 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](C)Cc2ccc(C)s2)c([N+](=O)[O-])cc1OCC 610014177 VXWZONDJMKMKEM-LBPRGKRZSA-N 407.492 4.515 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc2ccccc2[nH]1 611203147 CHZNOQBWFILWQQ-CYBMUJFWSA-N 410.499 4.997 5 20 HJBD O=c1c2cc3ccccc3cc2ncn1C[C@@H](O)COc1ccc(-c2ccccc2)cc1 648436811 FISYIIQQIFSOAW-HSZRJFAPSA-N 422.484 4.657 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CCSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 736061223 RZYQBUCNJRUHRN-LBPRGKRZSA-N 424.906 4.921 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744983665 NQMQCBQXOIDZPD-JTQLQIEISA-N 422.224 4.793 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 749184583 SUTXXPAOHLAFHY-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)Nc1cccc(Cl)c1Cl 750949805 MUXCXWUMQGSPER-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)N[C@@H](C)c1ccc2ccccc2c1 750949854 OYSMNLDGCXLYGC-HNNXBMFYSA-N 410.495 4.867 5 20 HJBD CC1([C@H]2CCCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)C2)OCCO1 753596866 UKGHQKPORWJGOA-KRWDZBQOSA-N 416.449 4.501 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760510702 KPVCDSLNVROJGF-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H]3C[C@H](O)c3cccs3)cs2)c1 770293510 XTQZQRCELSNNAU-AEFFLSMTSA-N 415.540 4.868 5 20 HJBD O=C(Nc1ccc(OC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1)c1ccccc1 777878380 AFCRUCCARYTXPX-UHFFFAOYSA-N 412.348 4.545 5 20 HJBD CC(C)[C@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 789762358 CWBDONSEVJLYPA-HNNXBMFYSA-N 422.457 4.665 5 20 HJBD CCOc1cc(NC(=O)c2cc3c(F)cccc3s2)c([N+](=O)[O-])cc1OCC 790627901 SQWQJLFTMKBPEP-UHFFFAOYSA-N 404.419 4.998 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2csc(Cl)n2)cc1[N+](=O)[O-])c1ccccn1 793234738 DEJHITGSVLKBTE-NSHDSACASA-N 418.862 4.630 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 808628475 KXSDQIGYBRNURE-LBPRGKRZSA-N 414.259 4.723 5 20 HJBD CC(C)(CCc1noc(-c2ccc(CSc3nc4ccccc4[nH]3)cc2)n1)[N+](=O)[O-] 812815840 BJVCFSLTUVHTQV-UHFFFAOYSA-N 423.498 4.893 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812994763 QQFQVMQHVMHMQS-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc([N+](=O)[O-])ccc1Cl 813305433 DYLZNFOLCFXNKX-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)n1 815405244 OOLTYDWZXGMAOT-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD CCC[C@H](C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866539849 QIMQJNAPMJEWEX-UGSOOPFHSA-N 404.850 4.907 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(-c2cccnc2)n(C2CC2)c1=S 919949994 AMQQRZAARSXSSZ-UHFFFAOYSA-N 424.530 4.587 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cc(Cl)ccc3[N+](=O)[O-])o2)c1 1322343055 OAGNJIGRWHHWJN-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD COc1cc(-c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)ccc1OC(C)C 1328323604 ANSRDORUXQSKDX-UHFFFAOYSA-N 401.444 4.733 5 20 HJBD O=C(NC1(C2CC2)CCOCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 1338037017 FCXDCHMKMTZGAU-UHFFFAOYSA-N 416.861 4.730 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(c1ccccc1)c1ccccc1 5611719 DIFQFQOFGGFAAT-UHFFFAOYSA-N 415.405 4.520 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])s2)nc1-c1ccc(OC(F)F)cc1 10955642 YHDOCLKCTVWLST-UHFFFAOYSA-N 411.411 4.942 5 20 HJBD Cc1c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)cccc1[N+](=O)[O-] 11397791 YGMZBYDMVZKSKA-INIZCTEOSA-N 404.422 4.754 5 20 HJBD CC(=O)Nc1nc(COC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cs1 24165552 KXJFNIFJFRQRHM-UHFFFAOYSA-N 423.516 4.505 5 20 HJBD COc1ccc(C(C)(C)C)cc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 25924820 BSOAXBWPZKRHGK-LBPRGKRZSA-N 405.882 4.993 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1 26759072 BNFMOKFFNDYFAP-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD Cc1ccc(C=C(C(=O)Nc2ccccc2)C(=O)Nc2ccccc2)cc1[N+](=O)[O-] 28700020 UVRRBGKFTLCLLG-UHFFFAOYSA-N 401.422 4.564 5 20 HJBD C[C@H](N(C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 30423394 JHVOAMBWYWBHIP-ZDUSSCGKSA-N 415.456 4.723 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N(C/C=C/c1ccccc1)c1ccc2c(c1)OCCO2 36773826 SPCBOCWBTRVPTP-RMKNXTFCSA-N 416.433 4.726 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 47140561 WOZXFBANCWRKDB-LBPRGKRZSA-N 404.854 4.555 5 20 HJBD Cc1c(NC(=O)CCOc2ccccc2[N+](=O)[O-])cccc1NC(=O)c1ccccc1 55803995 JJAKCTPZIHVSJK-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc3nc(C4CC4)sc3c2)c([N+](=O)[O-])c1 63890446 RKBFBEPBTJVMRK-UHFFFAOYSA-N 412.471 4.531 5 20 HJBD CCN(Cc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)C(C)=O 237016621 CFXDSULDKCMLMP-UHFFFAOYSA-N 410.499 4.699 5 20 HJBD CC(C)n1c(Sc2ncc([N+](=O)[O-])cn2)nnc1-c1ccc(Cl)cc1Cl 302159001 OEGLMAWCQJOFDI-UHFFFAOYSA-N 411.274 4.682 5 20 HJBD COc1cc(CNc2ccc(-c3cnco3)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 432673796 WYZWQAKFYADFJH-UHFFFAOYSA-N 423.347 4.812 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](c2cccc(Cl)c2)C2CCCC2)cccc1[N+](=O)[O-] 433898148 RILUOTGLTXQOSO-LJQANCHMSA-N 415.877 4.543 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(Cc4cccs4)n3C3CC3)nc2c1 434813655 KVCJPFZMTJXAOM-UHFFFAOYSA-N 413.484 4.607 5 20 HJBD COc1cccc(C(=O)N[C@H](C)c2ccccc2OCc2ccccc2)c1[N+](=O)[O-] 435489576 BXSPRURHOJPBBU-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD O=C(NCCCc1ccc(Cl)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436306048 NTWSVKLNIHLSIU-UHFFFAOYSA-N 408.860 4.836 5 20 HJBD O=C(N[C@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436374516 USXPJFRWKWOFHL-KKSFZXQISA-N 422.406 4.986 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](C)c3ncn(C)n3)cc2[N+](=O)[O-])c1 436398373 FJWMUCZPHDLHIB-INIZCTEOSA-N 409.490 4.798 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(OC(F)(F)F)cc1 437924828 FYIKLNUMILNTMV-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2ccccc21 444255166 XXYVACTYYLYSPJ-FQEVSTJZSA-N 400.453 4.973 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@H](c2c(F)cccc2F)C1 445209201 DQCCMXGPSPJZMM-AWEZNQCLSA-N 404.416 4.589 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445246208 CSJDROATZFDQKH-ZETCQYMHSA-N 405.220 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 446260191 KJBPIXCGBCOVDS-QZTJIDSGSA-N 424.284 4.640 5 20 HJBD CCN([C@H](C)c1ccc(F)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447028244 HESXYFQDURCMQJ-LLVKDONJSA-N 420.384 4.525 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](C)c1ccc(Cl)c2ccccc12 462467065 IXQQEMKIWJYPRU-ZDUSSCGKSA-N 413.861 4.699 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1csc(CCc2ccccc2)n1 462771243 AMOLLBYERIYBPZ-IBGZPJMESA-N 423.538 4.722 5 20 HJBD O=C(Nc1ncc(Cl)cc1C(=O)Cc1cccc(F)c1)c1csc([N+](=O)[O-])c1 464705681 PFCCAKIDJQNFGJ-UHFFFAOYSA-N 419.821 4.522 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3c(F)cccc3NC3CC3)cc2[N+](=O)[O-])CC1 469120135 WKCBKTDPZWKVCQ-UHFFFAOYSA-N 412.465 4.797 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(CC)s2)cs1 470751781 PWRMFWUSRWFVTJ-UHFFFAOYSA-N 403.485 4.993 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccs1 471294671 BZDPSLXXJMVCPR-GOSISDBHSA-N 402.859 4.594 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NCc1nnc(-c2ccccc2Br)o1 471663514 QCKYVDWFWAZGGQ-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 474188785 JZZPZVBYRMPMBU-IBGZPJMESA-N 422.403 4.951 5 20 HJBD CSc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1Cl 474774267 OWYHDXKGKZQFFP-UHFFFAOYSA-N 405.907 4.825 5 20 HJBD CC1(C)C[C@@H](N[C@@H](CO)c2ccccc2OC(F)(F)F)c2cc([N+](=O)[O-])ccc21 479527246 HIIGYILPYCKSBY-SJORKVTESA-N 410.392 4.539 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 479879872 CGLYQGZMQGFRAR-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@H](n3cnc4ccccc43)C2)nc1-c1ccc(F)cc1 485420389 WECMRTWOHNNRCC-KRWDZBQOSA-N 403.417 4.597 5 20 HJBD CCCc1nc(C)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 485601839 STUYSSKQCPJYGQ-UHFFFAOYSA-N 403.382 4.506 5 20 HJBD Cc1nc(-c2ccccn2)nc(NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 486031268 SMDWSEQGYYKQGV-UHFFFAOYSA-N 403.364 4.695 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1ccc([N+](=O)[O-])s1 487647946 INCOXBZXTJUHLL-UHFFFAOYSA-N 413.402 4.975 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](C)c1ccc(F)c2ccccc12 487959578 RODXSFWBEKCWSQ-KGLIPLIRSA-N 411.433 4.574 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccco1 487985095 CUTSNYYWHSKPIE-OAHLLOKOSA-N 407.426 4.548 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(NC(=O)C(C)(C)C)ccc2F)cc1[N+](=O)[O-] 488035694 PEBQPGWXAJNNNN-CYBMUJFWSA-N 401.438 4.518 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CC2(CCC2)[C@@H]1c1ccccc1 495443401 CEOKHBUWESDLJH-FQEVSTJZSA-N 400.862 4.771 5 20 HJBD CCNc1ccc(C(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 498760933 WGUVDAWJUGURLK-UHFFFAOYSA-N 401.513 4.992 5 20 HJBD O=c1ccccn1C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccccc1 500463519 PORQTSPBVFOMBO-JOCHJYFZSA-N 415.449 4.548 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2csc([N+](=O)[O-])c2)cc1 501692918 ULSCOZFTJCYCCQ-UHFFFAOYSA-N 413.455 4.562 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 521772143 CELWHJAORNEEHR-UHFFFAOYSA-N 421.277 4.640 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@H](c3ccc4c(c3)OCCO4)C3CC3)o2)c1 521818199 AXKYFUVCSMPYPL-QHCPKHFHSA-N 406.438 4.867 5 20 HJBD O=C(CCn1cnc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522670344 KKKLNGPRPHYUTC-UHFFFAOYSA-N 402.410 4.766 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Br)c1cc(Cl)cc(Cl)c1 523501645 KECVYHOONSNZDY-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD Cc1c(Br)cccc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 524831036 OYEGDCKUMSLUOA-UHFFFAOYSA-N 406.280 4.810 5 20 HJBD COc1cc(C(=O)NC2(C3CC3)CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 531867032 RQHRRLRUYFZTST-UHFFFAOYSA-N 402.834 4.722 5 20 HJBD CN(C(=O)c1cc(COc2c(Cl)cccc2Cl)on1)c1cccc([N+](=O)[O-])c1 532827413 ORYRSNUINBHIDD-UHFFFAOYSA-N 422.224 4.745 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1NS(C)(=O)=O 532890497 BCMYRFQCHCIVLY-UHFFFAOYSA-N 417.512 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@H](c2nc3ccccc3s2)C1 533334757 LANZEADSFIARHO-INIZCTEOSA-N 424.526 4.721 5 20 HJBD COc1ccccc1[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 533945347 WEKAXXUIHXJMRL-XMMPIXPASA-N 415.449 4.533 5 20 HJBD O=C(CCC(=O)c1ccc2ccccc2c1)NCCc1ccc(Cl)cc1[N+](=O)[O-] 537598424 FRDBTCFJKWXXJO-UHFFFAOYSA-N 410.857 4.723 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc([C@@H]3CCCO3)o2)ccc1Oc1ccccc1Cl 540791815 VQECGCSVYWHAEA-KRWDZBQOSA-N 416.821 4.887 5 20 HJBD C[C@H](C(=O)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 541681385 CQDFHACXMBYMRI-ZDUSSCGKSA-N 411.408 4.774 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 542514402 CJHLJZRBCGERGL-MRXNPFEDSA-N 409.364 4.991 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)cc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543479685 FIADZLHPVYHFKT-SECBINFHSA-N 404.291 4.556 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2ncc(C)s2)cc1OC 543510981 YTFXHDWZIYRNMX-ZDUSSCGKSA-N 407.536 4.818 5 20 HJBD CC(C)NC(=O)Nc1ccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 544854101 CLGUVUOFVCKVJU-UHFFFAOYSA-N 407.386 4.588 5 20 HJBD CC(C)(C(=O)Nc1ccccc1OC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F 545832448 RIQSFSXXMXFWOR-MRXNPFEDSA-N 416.449 4.598 5 20 HJBD Cc1ccc(OCCCCNC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 553214401 PIUKPJQGJGJULA-UHFFFAOYSA-N 405.454 4.554 5 20 HJBD Cc1cc(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c2[nH]c(C)c(C)c2c1 560526013 CHNCQUVMCUUZQU-JOCHJYFZSA-N 403.486 4.614 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 561265032 KBQMESACJZTWNQ-KRWDZBQOSA-N 409.389 4.588 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CCOCC2)cs1 561390316 NFXLATHFBHLXOE-UHFFFAOYSA-N 407.517 4.698 5 20 HJBD C[C@@H](C(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 561740645 WXZUJWZMZMZTSM-CHWSQXEVSA-N 412.383 4.946 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)C2)cc1 564738756 OBJHAAIHFJINSB-SJLPKXTDSA-N 414.527 4.565 5 20 HJBD COc1ccc(-c2ocnc2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 573133518 ZUPGPYMKINGBPX-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD O=[N+]([O-])c1cc(CN(CCCn2ccnc2)Cc2c(F)cccc2Cl)cs1 589190845 FDBULMBJRXYNKZ-UHFFFAOYSA-N 408.886 4.738 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cccnc1Oc1ccc(Br)cc1 603637460 KYRWALUJXWRRNF-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)Oc1ncccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 603760971 JHYRZTJLDOEJLV-UHFFFAOYSA-N 423.494 4.858 5 20 HJBD Cc1ccc(CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)o1 603814064 MNSNAJMGEFOGEG-UHFFFAOYSA-N 411.380 4.508 5 20 HJBD Cc1nc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)sc1Cc1ccc(F)cc1 603975076 BFWZTDOHKIMJLK-UHFFFAOYSA-N 417.487 4.820 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccccc2)cc1 604104564 WPLQJCFZQVWDGX-HSZRJFAPSA-N 415.449 4.994 5 20 HJBD O=C(Nc1ccccc1Sc1ccccc1)c1cc([N+](=O)[O-])cc2c1OCCO2 608933499 HWOWWYOIDKIHFS-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609020405 KYDHGMWNXISTQI-UHFFFAOYSA-N 415.437 4.763 5 20 HJBD CC[C@H](Cc1ccccc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 609033256 OJNVRMJIVQSUMZ-YLJYHZDGSA-N 418.497 4.914 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(C(F)(F)F)ccc1-n1cccn1 609783291 JQCARYUKFIIGRW-UHFFFAOYSA-N 424.766 4.634 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)NC1CCCC1 609805917 OCTHAVAFUOITRF-UHFFFAOYSA-N 407.829 4.921 5 20 HJBD COC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 609826018 JNTBCAMBPJOPKN-HNNXBMFYSA-N 417.771 4.776 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1 609980525 MDAHEBDORZLDSV-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD COC(=O)C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(C(C)(C)C)cc1 610014298 IPUGMUBKXBHJRO-GOSISDBHSA-N 413.474 4.627 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610730493 GOIZRCNAODAMCC-OAQYLSRUSA-N 421.457 4.798 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@H](C[C@@H]2CCOC2)C1 611355697 GZVORGSTYZIPAF-MSOLQXFVSA-N 410.470 4.666 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 681973546 XNUFFZLBBLDVSA-UHFFFAOYSA-N 414.505 4.988 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1 727389222 JSVBBFZTJIEGPS-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD CC(C)(C)OC(=O)N1CCC(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 729680439 MSISYTDRYMQEPX-UHFFFAOYSA-N 417.531 4.812 5 20 HJBD O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc2c(c1)Cc1ccccc1-2 730642978 JNNRMFYNOWBOIW-UHFFFAOYSA-N 407.809 4.859 5 20 HJBD COc1cc(C=O)c(Br)cc1Oc1nc2ccc([N+](=O)[O-])cc2s1 731208663 CPKGHXOZONKQHX-UHFFFAOYSA-N 409.217 4.580 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)OCC(F)(F)F 735509787 OGBYJABCOFJNNL-UHFFFAOYSA-N 422.718 4.968 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(OC(F)F)cc1 740421604 FYVBZLIMXQKEDN-VIFPVBQESA-N 416.174 4.877 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)o1 750841548 HXCVCLZCZUSHLW-KWQFWETISA-N 413.655 4.657 5 20 HJBD C[C@H](c1ccc(Br)cc1)c1noc(COc2ccc([N+](=O)[O-])c(F)c2)n1 762344394 YYXRBWSAAINTKT-SNVBAGLBSA-N 422.210 4.610 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)CC2)cc1 769140094 AJHHWJZWMOJXDB-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)O[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F 769888653 NRWXWNCAXYSMSI-ZDUSSCGKSA-N 424.375 4.723 5 20 HJBD Cc1nc(Br)ccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 774060421 KEFLZGDNKNRAJI-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 775491749 IWXNWPFXHLULHR-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(CCC(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1 782513292 QIWOZGRMOQTIGT-UHFFFAOYSA-N 422.460 4.642 5 20 HJBD Cc1c(C(=O)Nc2cccnc2-c2ccc(Cl)s2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 782958264 CYXGMILRUSJUDF-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1c(Cl)cccc1[N+](=O)[O-] 783551499 HKLHPAWXIYLXCK-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD Cc1c(C(C)C)c(=O)c2ccccc2n1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 786876247 SWTHDZUTNSRVAW-UHFFFAOYSA-N 413.861 4.634 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1CCC(Nc2cccc(Br)c2)CC1 788568593 OPMUDGZCXXJTRA-UHFFFAOYSA-N 420.307 4.585 5 20 HJBD CC(C)CCSc1ccc(C(=O)OCc2nnc(C(C)(C)C)o2)cc1[N+](=O)[O-] 914797752 RIPBMXIAECAWLI-UHFFFAOYSA-N 407.492 4.771 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c2Cl)cc1 916667006 ABQZNTYFRIIZCY-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1Cl 917760501 DNCWUUOWGFLPGE-GHXNOFRVSA-N 415.877 4.819 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 918836223 MYZJNUSJGPLSMJ-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD COc1ccc(C)cc1COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 920543339 BCWOACSLSQRSAS-INIZCTEOSA-N 421.453 4.837 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CCCc2nc(-c3ccc(F)cc3)no2)n1 1116595436 WFWKZJGAUFRVKL-UHFFFAOYSA-N 423.404 4.626 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(COc2ccccc2OCC(F)(F)F)n1 1321126303 WUXBARPGRBUOAX-UHFFFAOYSA-N 423.347 4.782 5 20 HJBD Cc1noc([C@@H]2CCCN(Cc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)C2)n1 1341539955 OERPVCGRLRDHEV-CYBMUJFWSA-N 402.838 4.579 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 7108104 WSLDUVSVNLIPFN-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 11251091 GGDYGWNWSFWJOQ-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 47255604 BWVIKGARHMLMIL-MRXNPFEDSA-N 418.453 4.659 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1csc(Cc2ccccc2)n1 56288737 KHTVMTSCUHZSIZ-UHFFFAOYSA-N 409.511 4.622 5 20 HJBD CCC[C@@H]1CCc2nc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])sc2C1 97547987 CJRNKPANSKTAGW-LLVKDONJSA-N 421.544 4.939 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H]3CCCc4c3cnn4Cc3ccccc3)sc2c1 111193644 KOVABYIHAZMIEI-QGZVFWFLSA-N 405.483 4.939 5 20 HJBD Cn1ncc2c1CCC[C@@H]2NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237814114 OJRJEIWLACVENQ-KRWDZBQOSA-N 412.877 4.941 5 20 HJBD Cc1cc(C(=O)N2CCC(c3ccc(Br)cc3)CC2)ccc1[N+](=O)[O-] 410395065 KSRDFGPMSAWZHF-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccco1 426982799 UOVICLQQKRHNOL-XOBRGWDASA-N 412.467 4.583 5 20 HJBD Cc1c(NC(=O)N(C)[C@@H](c2ccc(Cl)cc2)c2cnccn2)cccc1[N+](=O)[O-] 429223153 QHZMKLJLCHVWDF-IBGZPJMESA-N 411.849 4.600 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])c2)oc1C 429866662 AUSCTAVVEKKEQC-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 430529676 IWTPXMKBHQUCRM-UHFFFAOYSA-N 409.511 4.929 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCCCC[C@@H]1c1ccncc1 432645934 WJKIIPDCTRRSPC-QGZVFWFLSA-N 404.264 4.510 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@@H](C)c3cccc([N+](=O)[O-])c3)CC2)n1 433168531 SJCWYSWYXBHLOP-HNNXBMFYSA-N 408.458 4.594 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)c(F)c2)c1[N+](=O)[O-] 433985765 PCWMCRICOSRAPU-ZDUSSCGKSA-N 412.421 4.864 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120816 VCWMBZGSOKKDJN-QZTJIDSGSA-N 415.287 4.652 5 20 HJBD CCCN(C(=O)COc1ccc(Oc2ccccn2)cc1)c1cccc([N+](=O)[O-])c1 441432606 XZKOZANRTOKCDA-UHFFFAOYSA-N 407.426 4.604 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444010694 QKVOHLHFSCGMSA-UHFFFAOYSA-N 418.493 4.875 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCc2ccc(COCc3ccccc3)cc2)n1 447550110 LYBDIPYIGMOGRM-XZOQPEGZSA-N 420.513 4.782 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1C[C@H]2CC[C@H]1C2 448072860 WXHYZMRJLJYNRO-YOEHRIQHSA-N 414.893 4.601 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CNc1ccc([N+](=O)[O-])c2cccnc12 462878917 UWMLKSSNNKTBMI-UHFFFAOYSA-N 402.454 4.980 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1ccccc1[N+](=O)[O-] 467972536 BKMBOFUESVRUNO-ZDUSSCGKSA-N 415.902 4.636 5 20 HJBD Cc1cnn(Cc2ccccc2F)c1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 470183777 DGNPXPRWKKUMKG-UHFFFAOYSA-N 422.338 4.558 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](c2ccc(F)cc2)C1 471505144 AGQWGBNTXDVXAH-RDJZCZTQSA-N 418.490 4.539 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c(SC)cc1OC 473854660 YOZBGICJACAEEY-UHFFFAOYSA-N 418.515 4.730 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)cc1[N+](=O)[O-] 475061774 ONMHIGDNYFUFOK-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2C(=O)Nc2ccc3oc(C)c(C)c3c2)ccc1[N+](=O)[O-] 478998366 WHOBEOSXGXHVFB-HXUWFJFHSA-N 421.453 4.510 5 20 HJBD Cc1c([C@H](C)N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)cccc1[N+](=O)[O-] 479526526 NETYJGLIOIXPKH-ZBEGNZNMSA-N 402.800 4.960 5 20 HJBD CN(C[C@@H]1CCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 487942229 GHBRVVOUPLIOAW-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2cccnc2Oc2cccc(F)c2)cc1[N+](=O)[O-] 489877663 UYXNMYZHRNMMGD-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 504912368 VEXORYIRYAQAOX-VLIAUNLRSA-N 414.893 4.821 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1F)c1nccn1C 504926259 HEXUPYQOALVKKU-FOIQADDNSA-N 414.506 4.717 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 509053327 HPNUEEYQPOIORQ-AWEZNQCLSA-N 424.432 4.953 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)ccc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 510771368 VOVGVYNFOOZMQN-SNVBAGLBSA-N 401.316 4.558 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccc(Br)cc2)C(C)C)cc1[N+](=O)[O-] 513459946 KSQDBXDYADSBIW-UHFFFAOYSA-N 421.291 4.807 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 516903923 OATKJFYIQRUIKD-INIZCTEOSA-N 400.438 4.735 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 518659650 BSAFLMLREBWFII-SECBINFHSA-N 423.705 4.937 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520692003 MBWBMBWZFZYDIW-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(F)cc2nccnc12 522670586 WHUULGRQWFCLNJ-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3ccc(F)cc3)C3CCCC3)o2)cc1 531549249 KLFNASQJCSCGDE-UHFFFAOYSA-N 410.449 4.771 5 20 HJBD CN(C(=O)Cc1csc(Cc2cccc(Cl)c2)n1)c1cccc([N+](=O)[O-])c1 532827229 ITYSBMJUXCSMJR-UHFFFAOYSA-N 401.875 4.501 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)Cc3csc(C4CCCC4)n3)co2)cc1 534060407 ADQJUEXHTUNADA-MUUNZHRXSA-N 417.512 4.813 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 535491610 HRQJZZNTSHKBDQ-HNNXBMFYSA-N 418.453 4.926 5 20 HJBD C[C@H](NCc1ncc(-c2ccc(Br)cc2)o1)c1cccc([N+](=O)[O-])c1 538179073 JTPQWECYSIGSER-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(c1ccccc1)c1ccccc1 541627992 BFPOZDVAZPYESS-KRWDZBQOSA-N 414.465 4.955 5 20 HJBD C[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1nccs1 541782324 SHXMFPOSXMWPJI-NSHDSACASA-N 422.304 4.967 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccs1 544286892 PMBKPYRMTYARSE-ZDUSSCGKSA-N 424.931 4.666 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](c2cccc(F)c2)c2ccccn2)c1Br 544393365 LMBIOBAIFMCWAX-QGZVFWFLSA-N 417.238 4.796 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544603188 ATSMYNXOHDMISJ-IEBWSBKVSA-N 401.438 4.735 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)CC2)n[nH]1 544816366 ASEJRBORJVDUBH-UHFFFAOYSA-N 416.547 4.784 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc4ccccc4n3)n2)c(Br)c1 547149439 LJCUTIDFJWBNMQ-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548976727 DYBXUMWVENDYPJ-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549397724 OEHZQNUQTUHCBP-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD CC(C)c1ccc(CN[C@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 558141493 YHAQQJGQTARHCB-INIZCTEOSA-N 405.445 4.688 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cl)c2OCC(F)F)c1 558291224 JEHKCKUUKOKYDM-UHFFFAOYSA-N 400.765 4.543 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)c1 558761094 WUGWLVVQKDDJMD-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD COCCC[C@H](CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(Cl)cc1 560974940 WYCQBZLQJMVCMR-MRXNPFEDSA-N 415.877 4.670 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1ccc([N+](=O)[O-])cc1Cl 561460092 GKJWGUYIIUEASV-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCc1onc(-c2ccccc2)c1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 564269606 SRKGMPZAHFLIAE-UHFFFAOYSA-N 415.409 4.934 5 20 HJBD COc1cc(CN2CCCC[C@H]2c2cc[nH]n2)ccc1OCc1ccc([N+](=O)[O-])cc1 569290865 IVKYYVXMZMISTJ-NRFANRHFSA-N 422.485 4.633 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 572223176 BMGPFYZWSMNPAY-IRXDYDNUSA-N 417.465 4.828 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(Oc2cccnc2)cc1 582321156 GNQRBLOTGLIENM-OAHLLOKOSA-N 414.421 4.821 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])c2cccnc12 582861085 SEQJBQDJGYKAKD-UHFFFAOYSA-N 424.869 4.850 5 20 HJBD COc1ccc([C@@H](Nc2ccc(C(=O)NC3CC3)cc2[N+](=O)[O-])c2ccccc2)cc1 589797542 HQMCCCQUVSYKIS-QHCPKHFHSA-N 417.465 4.697 5 20 HJBD COc1cc(Br)cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600857418 MZROCBVFXRBZKG-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1csc([N+](=O)[O-])c1 603755792 ATEBXXBBZMICTL-RYUDHWBXSA-N 421.906 4.965 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 603952621 FWPXFCKLFIRIEA-LLVKDONJSA-N 408.863 4.529 5 20 HJBD Cc1sc(NC(=O)c2cc(-c3ccccc3)on2)nc1-c1cccc([N+](=O)[O-])c1 603997228 FCZHHJWNIPNJBV-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD O=C(NC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 604433824 JLQIDZOPBIFEMN-HNNXBMFYSA-N 406.891 4.698 5 20 HJBD C[S@](=O)Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1Cl 609655463 FLFLARUGHIFCTP-SANMLTNESA-N 408.888 4.594 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1NC(=O)c1ccco1 609784768 YZTKFZFVCMKOPY-UHFFFAOYSA-N 413.817 4.583 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c1 611253927 YQHOMORFLMAFIC-CYBMUJFWSA-N 403.385 4.717 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC([C@@H]2CCOC2)CC1 612346569 OHTXWVOTEUFUAY-GOSISDBHSA-N 412.511 4.635 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 618398108 VFEJTEMPRFMBDJ-UHFFFAOYSA-N 413.293 4.977 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(CCc2ccccn2)Cc2ccc(F)cc2)cc1 726907591 ZGJAZPLMWYNMFQ-UHFFFAOYSA-N 410.474 4.571 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(-c3nc4c(Cl)cccc4[nH]3)cc2)cc1[N+](=O)[O-] 727398391 PWRZCVSIIANPMR-UHFFFAOYSA-N 407.817 4.626 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CNC(=O)OC(C)(C)C)c2)c1 727868286 JNAAFFXNNKMLHN-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CCOc1ccc(-c2nc(C(=O)OCc3c(F)cccc3[N+](=O)[O-])cs2)cc1 728991488 HKCORGUDNJXHFM-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD Cc1cc(C2=N/C(=C/c3ccc(OC(F)F)c(Cl)c3)C(=O)O2)ccc1[N+](=O)[O-] 731490731 RTDPHBLUELQFFU-MDWZMJQESA-N 408.744 4.503 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)CCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 731593500 XENVTUVIMKPMPX-MRXNPFEDSA-N 422.460 4.617 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccccc1N(C)C(=O)c1ccco1 735791580 SZDFBNMLLPFLSF-UHFFFAOYSA-N 414.801 4.645 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 737504893 ANKAPMSYZIIGLD-UHFFFAOYSA-N 407.304 4.551 5 20 HJBD Cc1c(C(=O)Nc2nc3ccccc3n2C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 740808874 RJSGOFVDFOBPOD-UHFFFAOYSA-N 409.402 4.529 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)Nc1cccc(CSC2CCCC2)c1 740812842 FARAJVHLLIRCDU-UHFFFAOYSA-N 410.492 4.711 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 742097079 BDBWJKBHFSNNNP-UHFFFAOYSA-N 411.414 4.502 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 742963065 MNORKEMUMZVOTQ-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD COc1cc(C(=O)OCCC2CCCCC2)c([N+](=O)[O-])cc1OCc1cscn1 744247344 MGDJXJXSDSKAGK-UHFFFAOYSA-N 420.487 4.766 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)c1 745389846 UBWUSXFHOWANST-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745586056 UGKZUMXRAPFUAW-KBPBESRZSA-N 413.405 4.657 5 20 HJBD Cc1ccc2nc(C3CC3)cc(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)c2c1 747048222 IKNBZCHESHIOBJ-UHFFFAOYSA-N 420.421 4.552 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CC=C(c2ccc(Cl)cc2)CC1 752760755 LDYQVJIYBYHJOE-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 754221518 OFIHATCDLYZYEQ-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)[C@@H](O)c1c(Cl)cccc1Cl 754454586 NLKLNXPUHQJIOG-PIGZYNQJSA-N 412.273 4.762 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 755951052 FOBHZDBGRLMXMY-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cccc([N+](=O)[O-])c1Br 758418613 AYDUPFCZYJDQLJ-AAEUAGOBSA-N 407.239 4.515 5 20 HJBD C[C@H](C(=O)N(c1ccc(N(C)C)cc1)[C@@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 763219424 JOXWROGAPORKOR-ROUUACIJSA-N 418.497 4.954 5 20 HJBD C[C@H](NCc1ccc(-n2ccnc2)nc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 764816469 CGJBQHXBZWIYMH-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1nnc(-c2ccc(Br)s2)o1 768497862 SWOXYTBRPRNWTK-UHFFFAOYSA-N 409.265 4.698 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(O)(c3ccccc3C(F)(F)F)CC2)ccc1Cl 769839542 UDIUFBPQUUJTBS-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 776390488 LTWBJTICEOZWED-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD O=C(OCc1cccc(F)c1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781258454 RYJVHUVHODVFLK-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781604787 SMHNBBCSHVLBFL-UHFFFAOYSA-N 423.828 4.667 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1cnc(-c2cccs2)o1 784025307 GVWKMCJNLANFBZ-UHFFFAOYSA-N 410.860 4.800 5 20 HJBD COc1ccc(C(=O)N2CCCC2)cc1N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 788598220 MBWSGNILHYCCFD-FQEVSTJZSA-N 409.486 4.674 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 789091815 WPJQMJZRVUDWRJ-WDEREUQCSA-N 413.323 4.671 5 20 HJBD Cc1c(C(=O)N(c2cnc3ccccc3c2)C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 791029000 ROUFQTHCTPWCLF-UHFFFAOYSA-N 408.414 4.723 5 20 HJBD CC(C)O[C@H](COC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccc1 795311597 PTUSBUDKZNLWAR-XMMPIXPASA-N 415.489 4.864 5 20 HJBD COc1cc(F)c([C@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 812996983 HKGIGQIIKUTDHE-QMMMGPOBSA-N 417.220 4.549 5 20 HJBD COc1ccc(/C=C2/N=C(C(C)(C)C)OC2=O)cc1OCc1ccc([N+](=O)[O-])cc1 834717636 KOJUGKLQDKVTKJ-GZTJUZNOSA-N 410.426 4.525 5 20 HJBD C[C@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 845070883 FRJUUUZFMFOGPO-NSHDSACASA-N 423.252 4.504 5 20 HJBD CCCc1[nH]nc(-c2nc(-c3ccccc3OCc3ccccc3)no2)c1[N+](=O)[O-] 904465124 QIGPNCOLLWMEIK-UHFFFAOYSA-N 405.414 4.566 5 20 HJBD C[C@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 916149148 UIDGIYSLIYQETH-JTQLQIEISA-N 401.772 4.556 5 20 HJBD CC(C)OC(=O)C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 1116675741 OFCKCBZSFDJJAD-SFHVURJKSA-N 421.291 4.530 5 20 HJBD CCc1ccc([C@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c2cccc(F)c2)cc1 1317724370 RTTZHZXFHVCFSK-QFIPXVFZSA-N 422.412 4.544 5 20 HJBD Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1N1CCCC1=O 1318524087 LBYWISINNNGJEQ-UHFFFAOYSA-N 408.483 4.766 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1319714653 CLVMSZRVBIMVRJ-NDEPHWFRSA-N 418.902 4.878 5 20 HJBD COc1ccccc1-c1nnc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 1320273045 TYUWKQAJQFTKBR-UHFFFAOYSA-N 424.438 4.742 5 20 HJBD C[C@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 1322922903 AMUYXOGVHAIFQB-FQEVSTJZSA-N 420.918 4.634 5 20 HJBD O=C(CC12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)N1Cc2ccc([N+](=O)[O-])cc2C1 1330115637 VDIXTOYMMMVSJS-RCRDTURJSA-N 419.319 4.561 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1 5672193 LNIPEGSRGLSIOD-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCc1ccc(C(=O)[C@@H](C)OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 11277416 FJQRFOHORNFUNF-QGZVFWFLSA-N 413.473 4.532 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])NCc1ccc(-c2nc3ccccc3s2)o1 11365365 UFXJZXLUAZTQHR-UHFFFAOYSA-N 422.466 4.583 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccccc1Oc1ccccc1 23344501 GWAUKQXFIVZCRZ-ZDUSSCGKSA-N 412.423 4.633 5 20 HJBD Cc1cccc(-n2ccnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1C 31844727 QLQBEEHEANIFHO-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)n2)c(C)c1 33227719 MWNQOTAEXVYBKP-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc(Cl)cc2)cc1[N+](=O)[O-])c1ccccn1 52917002 KWQZDGVLMVIGAV-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD C[C@H](NC(=O)Cc1csc(-c2ccc(Cl)s2)n1)c1cccc([N+](=O)[O-])c1 57395895 CZCKBJHPOBCLLO-JTQLQIEISA-N 407.904 4.853 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H]2CCCc3cc(OC(F)F)ccc32)c([N+](=O)[O-])c1 58555737 KMLPQOYNIAEJHH-QGZVFWFLSA-N 419.428 4.824 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 59253221 OZUKWNXUCMKJOT-UHFFFAOYSA-N 421.297 4.522 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(F)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC 117264546 MRVFSJWLGYZKKJ-NRFANRHFSA-N 416.449 4.803 5 20 HJBD Cc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1Br 159145150 TXRDJACJVWRFST-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(=O)c1ccc(NCc2cccc(NC(=O)c3ccc(C)cc3)c2)c([N+](=O)[O-])c1 301087964 MBTFFFMSJKUEGJ-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD O=C(CCN1CCC[C@H]1c1cccc(Br)c1)Nc1ccccc1[N+](=O)[O-] 409874789 PDQGXOUFUAEYBC-KRWDZBQOSA-N 418.291 4.523 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(C)c1 426113970 PEQXHBVODPDSDI-INIZCTEOSA-N 424.501 4.628 5 20 HJBD O=C(CSc1nccc2ccccc12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 427595193 CHYWZNDOPUSOJV-UHFFFAOYSA-N 421.400 4.570 5 20 HJBD Cc1sc(NC(=O)Cc2cc(F)c(F)cc2F)nc1-c1cccc([N+](=O)[O-])c1 429017675 FZTYJGNOUGAMFJ-UHFFFAOYSA-N 407.373 4.625 5 20 HJBD CN(Cc1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1)c1ccccc1[N+](=O)[O-] 438738011 OEUIGYHPFXOBHT-UHFFFAOYSA-N 421.388 4.608 5 20 HJBD CC(=O)N(c1nc(/C=C\c2ccc([N+](=O)[O-])cn2)cs1)c1ccc(F)cc1F 439513675 SYEJBHWTUNGHKU-ARJAWSKDSA-N 402.382 4.580 5 20 HJBD O=C(NCc1cccc(COCC(F)(F)F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 442005992 ULFBINZQHGALRX-UHFFFAOYSA-N 424.400 4.818 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCSC[C@@H]1c1ccccc1 444034627 PRAHIJFIVZXXSE-JOCHJYFZSA-N 400.503 4.612 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(Cl)cc4Cl)no3)c2c1 445462180 JIBKJYSXNFYTBH-UHFFFAOYSA-N 403.181 4.872 5 20 HJBD Cc1cc(F)ccc1CCNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 446205752 LOULDGSSOONICZ-INIZCTEOSA-N 422.460 4.583 5 20 HJBD COc1cc(CN[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)ccc1OCC(F)(F)F 447535541 GUMZJOOTTLVELD-QGZVFWFLSA-N 410.392 4.712 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc2ccccc2n1C 461308231 MVCUSYKGCSQIQH-UHFFFAOYSA-N 402.410 4.550 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccnc1)c1ccc(F)cc1 462438055 UTFXYZQCOJMTMZ-IBGZPJMESA-N 407.445 4.931 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C(F)(F)F)(C(F)(F)F)C1 462614434 MIWYODDCXXXXNN-GFCCVEGCSA-N 412.330 4.507 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1OC 463103758 XCIUIJNTXFSODG-NSHDSACASA-N 412.364 4.512 5 20 HJBD CO[C@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(C)(C)C 465021409 SSJQYAFYEXQARL-OAQYLSRUSA-N 408.502 4.598 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 470023991 HLXOABHVJLLQQE-FCHUYYIVSA-N 411.502 4.744 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(Br)cn1 479534741 XPWDCPRLYXFTRL-PMUMKWKESA-N 404.308 4.814 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(OCCOc3ccccc3)nc2)cccc1[N+](=O)[O-] 481308926 BZIROMBKDQRRSZ-GOSISDBHSA-N 407.470 4.607 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 482795991 PDEOELIGRNHCNC-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1c(NC(=O)NCC2(C(F)(F)F)CCC2)cc(Br)cc1[N+](=O)[O-] 483347162 CJGFZEQTGZASKC-UHFFFAOYSA-N 410.190 4.520 5 20 HJBD O=C(Nc1ccc(Br)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 495164889 PMJSUWVNOQQKBB-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CC(C)(C)[C@@H]1C[C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 497388636 OLFGZUUBYZRXGJ-NQIIRXRSSA-N 411.502 4.530 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 505081801 MTQAYUSMEKQXGK-GJZGRUSLSA-N 420.556 4.629 5 20 HJBD CC[C@@H](C)OCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 505551437 SJCXYUBOJWLNMV-GFCCVEGCSA-N 410.829 4.611 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1c[nH]c2ccc(F)cc12 506230863 LTZDTVBASNURJD-UHFFFAOYSA-N 401.463 4.690 5 20 HJBD O=C(CC[S@](=O)Cc1ccccc1Oc1ccccc1)Nc1ccccc1[N+](=O)[O-] 507698063 NGRASGVASQELRT-PMERELPUSA-N 424.478 4.665 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1ccccc1Sc1ccccc1)c1cccc([N+](=O)[O-])c1 516049350 YYDMRWPXQQYHMM-OAHLLOKOSA-N 421.478 4.562 5 20 HJBD CC(C)N(CC(=O)N(Cc1ccccc1)Cc1ccco1)Cc1ccc([N+](=O)[O-])cc1 516735735 VPKBEWJMNOVOPM-UHFFFAOYSA-N 421.497 4.627 5 20 HJBD COc1cc(C(=O)NCc2ccccc2Oc2cccc(C)c2)c([N+](=O)[O-])cc1OC 518559290 WKDFSDULFGYKBR-UHFFFAOYSA-N 422.437 4.643 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)ccc1[N+](=O)[O-] 519132405 SYLSATMUZIGWDR-LLVKDONJSA-N 415.446 4.665 5 20 HJBD CC(C)Oc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 520992619 GKXIVLNRTYRQNP-UONOGXRCSA-N 405.882 4.713 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1[N+](=O)[O-] 521018565 PHJBOWKWSUQRII-INIZCTEOSA-N 422.485 4.649 5 20 HJBD CC(C)OCCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522732283 CJWNANJQWNBIDI-UHFFFAOYSA-N 410.829 4.611 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2c(ccc(Cl)c2Cl)C1 523206196 SJLJTZNUFPTBIN-LLVKDONJSA-N 411.310 4.967 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](c3ccccc3)CC2)c([N+](=O)[O-])cc1OC(F)F 524170305 CWPQIYIQVMVSKT-OAHLLOKOSA-N 420.412 4.615 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)COc2cccc([N+](=O)[O-])c2)cc1 525533590 MHZCUFFVYVIUJE-KRWDZBQOSA-N 420.465 4.869 5 20 HJBD O=C(COc1cc(Cl)ccc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525618482 SIVLANQJFAHAAU-QGZVFWFLSA-N 413.282 4.555 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 531504792 GBNDISCWFDFILQ-ZDUSSCGKSA-N 421.291 4.564 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)C1CCN(Cc2cccc([N+](=O)[O-])c2)CC1 533072659 WRRKXFXMEXWQFD-UHFFFAOYSA-N 408.285 4.752 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2ccccn2)cc1OCc1ccc([N+](=O)[O-])cc1 534332054 MJQFKFFMPQVFAR-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 534625073 HPOLOJGGQNXNOA-INIZCTEOSA-N 406.486 4.632 5 20 HJBD CC(C)[C@@H]1C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536757393 UEFCCPZFGKGONV-YJYMSZOUSA-N 423.307 4.911 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(OCC(F)(F)F)c2C)c1 540612638 MFXHAKODPOOHGK-UHFFFAOYSA-N 412.364 4.560 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1sc(C2CC2)nc1-c1ccccc1 543189386 QIXKZAXZNUJJEA-UHFFFAOYSA-N 409.467 4.534 5 20 HJBD Cc1cc(C)c(C[C@@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C(F)(F)F)c(C)c1 545071196 FXWHGQKGRGDZQO-KRWDZBQOSA-N 420.391 4.777 5 20 HJBD COc1ccc(-c2ncc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)s2)cc1 545078427 NHFTZMIGAAGXTD-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD Cc1nnc([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 545350440 WBOOJKVOGWJIED-VIFPVBQESA-N 423.292 4.628 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1 545534801 KNKBAEAPWFQPGE-UHFFFAOYSA-N 415.409 4.880 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@H]2c2c(F)cccc2Cl)n1 547084964 KLEINWXDJZJKSW-VXGBXAGGSA-N 402.813 4.696 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ccc(OC3CCOCC3)cc2)no1 547203396 BCJLWQHKRNKBMZ-UHFFFAOYSA-N 401.806 4.523 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3ccccc3F)s2)cc1SC 549315709 HGNTULHITKFIBX-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cccc(F)c4Br)n3)c2c1 551031842 XUAROVJRSWYUIP-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cc1ccc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1C 553219699 IFEFBJOGYXTYJY-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(NC1(c2cccc(C(F)(F)F)c2)CC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 555981180 JVNVSYSNAWJWTH-UHFFFAOYSA-N 419.403 4.633 5 20 HJBD COc1ccc(-c2csc3ncnc(NCc4cc([N+](=O)[O-])ccc4OC)c23)cc1 559574901 OULWJJLODXAMNK-UHFFFAOYSA-N 422.466 4.896 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cccnc2)c([N+](=O)[O-])cc1OCc1ccccc1 564315831 XKBCVELKQVITBY-NRFANRHFSA-N 419.481 4.915 5 20 HJBD COC(=O)COc1ccc(CNc2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 571440136 OXKJQYCQCMJSEX-UHFFFAOYSA-N 408.410 4.551 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 571714863 WCJNLKPMYRGBAZ-JOCHJYFZSA-N 414.505 4.773 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc([C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 574079270 HQUUBGJDNRTWLJ-PXNSSMCTSA-N 422.485 4.985 5 20 HJBD C[C@H](NC(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 581854288 TZUZQTASDLJIFM-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD O=C(c1ccc(C(F)(F)F)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 586779778 MJRWHRZKSZLKJQ-UHFFFAOYSA-N 412.311 4.880 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H](c1cccs1)C1CC1 588672390 MSKGKZLFDSNLOS-KRWDZBQOSA-N 413.421 4.745 5 20 HJBD Cc1c(NC(=O)NC[C@@H](c2ccccc2Cl)N2CCCC2)cccc1[N+](=O)[O-] 603645560 AWSSDBKJHJQHCQ-IBGZPJMESA-N 402.882 4.515 5 20 HJBD O=C1CCc2cc(F)c(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2N1 603738226 KEBXIHPRGLNEMP-UHFFFAOYSA-N 421.384 4.663 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H]2CCCN(Cc3ccccc3)C2)o1 608837152 TXERTHALSZUUJU-HXUWFJFHSA-N 405.498 4.857 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccco2)c(-c2ccco2)s1 610946123 SKKRZJYCVJXFLO-UHFFFAOYSA-N 411.395 4.589 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1csc(-c2ccccc2)n1 672332961 YGSUTDMEOMFONH-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2ccncc2)cc1 733396965 RKXZBPNYSXNLOF-INIZCTEOSA-N 424.478 4.793 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877760 ZSAHZTGBDIQRMF-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD CC(C)C[C@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 741591668 WGDVUBSQUKNQIS-SFHVURJKSA-N 400.866 4.502 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@H](CSc2ccccc2)C1 743836699 BTSKJGFHCRXPIX-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745481908 BLSOLELZLHLMOX-XSNHNAGMSA-N 422.359 4.587 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc2ccccc21 746010862 MJGWMRDFYIOFEM-SZRHCGQDSA-N 404.470 4.902 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749999136 DWKJNVUAEZSZDV-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD Cc1ccccc1C1(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CCCCC1 750147124 LUTHKDBUKXAZIA-UHFFFAOYSA-N 421.453 4.899 5 20 HJBD Cc1ccc(CCCC(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)s1 750973950 LRWLIEMFQMRCJB-GFCCVEGCSA-N 410.879 4.511 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccc(Br)c1 751259079 LOGNGODVBPOACB-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC(c2ccc(F)cc2)CC1 752458157 LCKBCQMQUIQHEH-UHFFFAOYSA-N 411.477 4.744 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1cccc([N+](=O)[O-])c1Br 753812703 DVGJGOCZKUSSOU-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 759199148 ZUUNMRJADHLCPG-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc4c(cc3Cl)OCCCO4)co2)cc1 760288297 ZZUUISBWJGCTIN-UHFFFAOYSA-N 401.806 4.677 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1Oc1cccnc1 760507748 FJIBTRFKNKLLHO-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD Cc1ccc(-c2noc(-c3cnc4c(c3)c(C)nn4-c3ccccc3)n2)cc1[N+](=O)[O-] 761639516 VIDGLRAZAKCHPX-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 763004441 WFJOPPUIYARLKW-QHCPKHFHSA-N 404.466 4.832 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(SC(=O)N(C)C)c1 764235453 PXUSHUFHWGANEX-UHFFFAOYSA-N 405.501 4.733 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767134894 FMLVZVKAAKNNLD-AWEZNQCLSA-N 415.371 4.792 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc2ccccc2n1C(F)F 770010172 ZFXQYZMNECTNRP-VIFPVBQESA-N 409.780 4.876 5 20 HJBD Cc1nc(COC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)s1 770333273 DJDODVHDSHHAJS-UHFFFAOYSA-N 406.407 4.522 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1sc(Br)cc1[N+](=O)[O-] 772654660 BKEKRLQUTLCPQW-GWCFXTLKSA-N 422.304 4.660 5 20 HJBD O=C(CCCOc1cccc(F)c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135375 RCEIQVSCNTVUSI-QFIPXVFZSA-N 410.401 4.621 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(-n3ccnc3)nc2)ccc1Oc1ccc(F)cc1 777513982 MVRWBZXNIYPMJS-UHFFFAOYSA-N 405.389 4.719 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 779976486 ICHGAXLYXGMNQJ-UHFFFAOYSA-N 412.427 4.806 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nc(C2CC2)cs1 781180663 SAGQOVGDKWZKAW-NSHDSACASA-N 408.501 4.585 5 20 HJBD CC[C@H]1CC[C@H](C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 782400803 YLBXLDBSDTZMCB-JHJVBQTASA-N 416.396 4.700 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)c1 791364366 MPDXFXZAYSTJJO-UHFFFAOYSA-N 403.357 4.531 5 20 HJBD CC(C)(NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)C(=O)N1CCCC1 793393843 BDQRJLFYIHKESM-UHFFFAOYSA-N 417.893 4.531 5 20 HJBD CCC(=O)c1ccc(N2CCC(COc3ccc(Cl)nc3)CC2)c([N+](=O)[O-])c1 795171219 KKGNAZCVPMFKDU-UHFFFAOYSA-N 403.866 4.531 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 798033255 TWBHAWNOWUYZHA-NNUKFRKNSA-N 414.330 4.768 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 808843240 CVXHBWGRBXSGJX-FCHUYYIVSA-N 405.454 4.808 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H](O)c1c(F)cccc1Cl 813490232 BMVLOTHDUWQIIV-KWKYVRJSSA-N 421.639 4.546 5 20 HJBD Cc1ccc([C@@H](C)N[C@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cc1[N+](=O)[O-] 813878225 LVXRXDJQGAQGMJ-AEFFLSMTSA-N 403.523 4.876 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)C1CCN(c2noc3ccc(Cl)cc23)CC1 815914218 SWNCEXVJTXATPD-UHFFFAOYSA-N 415.833 4.520 5 20 HJBD CC(C)[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 915369996 RPVVOOWHUAHEMC-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NC2(c3ccc(Br)cc3)CCC2)cc1 917591384 PTTDSNHIBXPJSP-UHFFFAOYSA-N 406.305 4.723 5 20 HJBD Cn1c(CSCc2c(F)cc([N+](=O)[O-])cc2F)nnc1-c1ccc(Cl)cc1 1321826647 FZPUGLKFEMYNGM-UHFFFAOYSA-N 410.833 4.755 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(CCOc2ccccc2[N+](=O)[O-])o1 1326974738 DHMMXHJXVBTKAK-UHFFFAOYSA-N 417.849 4.573 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(COc3ccc4ccccc4c3)o2)cc1OC 1341521822 YKLIZPDXQPURCN-UHFFFAOYSA-N 421.409 4.784 5 20 HJBD CC1CCN([C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2cccs2)CC1 14515391 ISHWCSVHYORTEX-QGZVFWFLSA-N 407.923 4.513 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1F 19198977 WLRHGACTJSWIIZ-UHFFFAOYSA-N 405.735 4.847 5 20 HJBD CC[C@H]1CCCCN1C(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1CC 22178310 AIWZAINCHVFEJG-APWZRJJASA-N 423.582 4.841 5 20 HJBD O=C(Nc1nc(CN2CCCCC2)cs1)c1cc2cc([N+](=O)[O-])ccc2s1 22289705 YOGSXWVHAHNVHM-UHFFFAOYSA-N 402.501 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 59996440 VYISKNNUEAVJON-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD O=[N+]([O-])c1cccc(CSCc2nc(-c3cc(Br)cs3)no2)c1 60512328 BFJIMHAXEGDAFF-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD CC(C)COCCCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 61453689 QNRZKPBNYYTSQT-UHFFFAOYSA-N 406.866 4.833 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)CNc2ccccc2[N+](=O)[O-])cc1F 65894161 MAUILPZYGRYXCI-UHFFFAOYSA-N 411.389 4.585 5 20 HJBD CCOc1cc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)ccc1OC 236869121 POIWFIJDUKZZAF-UHFFFAOYSA-N 412.442 4.561 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccnc(N4CCCCC4)c3)s2)cc1 238002732 UCHOIAJORXCLRJ-UHFFFAOYSA-N 408.527 4.998 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCC1(c2cccc(C(F)(F)F)c2)CCCC1 304066035 STORWWLFJLUOHA-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Oc2cc(C)ccc2Cl)c([N+](=O)[O-])cc1OCC 410176205 IUCFNONHCQVRNS-ZDUSSCGKSA-N 422.865 4.760 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cc3ccccc3s2)cc1OC 410310241 WBRJSFVNJJVYLB-GFCCVEGCSA-N 400.456 4.708 5 20 HJBD CCCN(CCOc1ccc([N+](=O)[O-])cc1)Cc1nnc(-c2ccccc2Cl)o1 426436343 QQTQJWSVOBUDBI-UHFFFAOYSA-N 416.865 4.589 5 20 HJBD COc1cc(C(=O)N2c3ccccc3S[C@@H](C)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 430636532 JNVGXFBCQSRRRY-MNOVXSKESA-N 424.425 4.734 5 20 HJBD CCc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 435837579 VTVVXYMPAIQZQV-UHFFFAOYSA-N 406.432 4.794 5 20 HJBD COc1ccc(OCCSCc2nc(-c3ccccc3)oc2C)c([N+](=O)[O-])c1 437282206 BIHDYKDVGDCPAH-UHFFFAOYSA-N 400.456 4.879 5 20 HJBD CO[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@H](C)C1 443069015 OBXSRLGOZJJJIF-OCCSQVGLSA-N 409.280 4.617 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1 444034790 PZMUPKLGOSESMR-UHFFFAOYSA-N 422.431 4.547 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 460426208 YJGHNYYWNSAIPS-IBGZPJMESA-N 406.486 4.572 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(Oc2ccc(Cl)cn2)cc1 462190638 SFPJNQZJMWIPHB-UHFFFAOYSA-N 412.833 4.795 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CC[C@H](CSc2ccccc2)C1 464331089 DXJZVAOWWWGWLJ-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD CCCCOc1ccc(CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1Cl 466488523 LCQNURYSDRLGLM-UHFFFAOYSA-N 405.882 4.769 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2cc(Cl)ccc2OC(F)F)c([N+](=O)[O-])c1 466648420 NLIZSRXCXADKBF-UHFFFAOYSA-N 413.808 4.600 5 20 HJBD CCC[C@@](C)(O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467760941 VWECYMNJXAJCAJ-LJQANCHMSA-N 408.907 4.680 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1CC(=O)c1ccco1 469131870 XTTACHOKWSSAEK-WBVHZDCISA-N 416.499 4.713 5 20 HJBD CCN(CC1CCC1)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 478278360 JSODFVDFFLSZCO-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD O=[N+]([O-])c1ccccc1N[C@H](CO)c1ccc(Br)cc1C(F)(F)F 481058634 MXFORIYANKFWKH-CYBMUJFWSA-N 405.170 4.522 5 20 HJBD Cc1nc2ccc(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2o1 483366186 QHEAVLVOWXEEDW-UHFFFAOYSA-N 411.361 4.794 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)NCc4ccccc4[N+](=O)[O-])cc3)o2)cc1 485143991 KCDOMVWLZSBYKV-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 485466605 IFXUJWIISHMYNL-ZDUSSCGKSA-N 400.431 4.865 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 487537136 KYUOOIVWCTXMBV-GFCCVEGCSA-N 406.280 4.653 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2)cnc1C 489144722 AXNUXDKHMBHXSU-UHFFFAOYSA-N 423.494 4.956 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2F)nc1)N1CCc2ccc([N+](=O)[O-])cc2C1 490139615 MLLSEYHEVDHTGE-UHFFFAOYSA-N 408.389 4.511 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 491187788 OSYYGJLURXTNEX-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)[C@H]1c1ccccc1 495348581 NOJWLBAVDOARNB-AUUYWEPGSA-N 400.862 4.769 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)Cc2ccccc2[N+](=O)[O-])cc1 496613965 XGTQXYMRXCYMJB-DEOSSOPVSA-N 403.482 4.733 5 20 HJBD Cc1ccc([C@@H](C)N(C)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)c1 499465439 XNEYXZZSIZGUGZ-GOSISDBHSA-N 420.513 4.906 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1 502565286 ZLYLLBMNMIAVRS-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(NC(C)=O)c1 502710707 DOJFXTKYDCDZPU-ZDUSSCGKSA-N 401.488 4.546 5 20 HJBD CCOC(=O)c1cc(C)sc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 506973968 QPSFYHOMVFEECY-UHFFFAOYSA-N 405.476 4.584 5 20 HJBD Cn1ccnc1[C@H](NCCc1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 513756712 ZSJQLLDVGCONCK-GOSISDBHSA-N 405.285 4.557 5 20 HJBD Cc1c(OCC(=O)N(C)[C@H](c2ccccc2)c2ccc(F)cc2)cccc1[N+](=O)[O-] 515572809 FRRFHHJZGOIEOH-HSZRJFAPSA-N 408.429 4.669 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(CCN2CCCCC2)cc1 517098553 QKMAHDZGPLKRDA-QGZVFWFLSA-N 413.543 4.743 5 20 HJBD Cc1c(NC(=O)N(C)CCCc2cc(-c3cccc(F)c3)n[nH]2)cccc1[N+](=O)[O-] 517309179 FEVAVMXASGVLKQ-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)c1ccccn1 521275763 SFOLCEWOOCZGNG-HNNXBMFYSA-N 411.845 4.644 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522743930 RWXQXYYMHUOOLR-OAHLLOKOSA-N 404.894 4.715 5 20 HJBD CCOc1cc(NC(=O)N2CCc3c(Cl)cccc3C2)c([N+](=O)[O-])cc1OCC 523551959 KSMSSPDTVSLHLR-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 524970501 HPENZVNTANWERM-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD Cc1cnccc1CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 533178245 ARFAVGHWUAHOON-UHFFFAOYSA-N 416.275 4.653 5 20 HJBD CCc1onc(C)c1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 535482566 DGLMIDZAAKHCQR-UHFFFAOYSA-N 414.849 4.971 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3noc(-c4cccs4)n3)co2)cc1 535506570 CYAMYIYMYQUIFD-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1Cl 537089643 PVTMOICBXHABMQ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 543257263 XUBXAMAPYQOYFG-UHFFFAOYSA-N 414.874 4.744 5 20 HJBD O=c1c2ccccc2n(Cc2nc(CCCc3ccsc3)no2)c2ccccc12 545805829 PJQGXXPVVHPCAO-UHFFFAOYSA-N 401.491 4.823 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Cl)cc2OC(F)F)c([N+](=O)[O-])cc1OC 545819670 KRHMFUWJJOAUCO-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cnc(-c3ccccc3)nc2)no1 547084013 YRGARWADZYTCIX-LBPRGKRZSA-N 423.816 4.895 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cc(OC4CCC4)ccn3)no2)c1 551395412 FTZQYNBOXNRNLA-UHFFFAOYSA-N 406.320 4.657 5 20 HJBD COc1cc(C(=O)NCC(C)(C)c2ccc(C)cc2C)c([N+](=O)[O-])cc1OC(F)F 553301093 ZHWKSJYDCMIEPK-UHFFFAOYSA-N 422.428 4.529 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 554738212 UAWNWWZIGDSPRX-UHFFFAOYSA-N 403.394 4.581 5 20 HJBD CSc1cccc(-c2nc(-c3ccc(OC4CCOCC4)cc3)no2)c1[N+](=O)[O-] 554806074 TXKMDWMZQFKPLN-UHFFFAOYSA-N 413.455 4.592 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC1CCN(Cc2coc(-c3ccccc3)n2)CC1 555190370 CCGVQDDNNHUYQH-POHAHGRESA-N 418.497 4.517 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 557632062 TUEINHFRWJYEBX-IBGZPJMESA-N 420.391 4.688 5 20 HJBD O=C(Nc1ccc(-c2ccccn2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 572329469 MOYUFIDBBZHSNJ-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1c(F)cc(C(F)(F)F)cc1F 573613168 SFCWYRPWIXYYHO-UHFFFAOYSA-N 402.319 4.645 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nc(C(F)(F)F)cn3C)C2)c2cc([N+](=O)[O-])ccc2n1 579627536 XZCYWAJBEMHEPT-CYBMUJFWSA-N 419.407 4.588 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NCc2ccc(C)s2)c([N+](=O)[O-])c1 603862633 XURQPFJOFASOHI-UHFFFAOYSA-N 410.451 4.594 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)c2)cc1 604465125 GABJNJBCGWQUCI-UHFFFAOYSA-N 410.401 4.712 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609453537 HSQBRJLFTZUFSC-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1Cl)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785874 MDMJMLPTIXIEID-JTQLQIEISA-N 401.677 4.845 5 20 HJBD Cc1sc(NC(=O)c2cc(O)nc3cc(F)ccc23)nc1-c1cccc([N+](=O)[O-])c1 610041451 QYHQXSDHNBJTMQ-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD COc1ccc2c(c1)OCC[C@@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 610234140 ISUWKRBSCPWMNU-FQEVSTJZSA-N 420.421 4.649 5 20 HJBD O=C1NCCCN1c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 610911606 TUDYNGNELYPXQL-UHFFFAOYSA-N 408.483 4.855 5 20 HJBD COCc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611200178 HRMPHUHMIMGTRR-UHFFFAOYSA-N 400.500 4.706 5 20 HJBD CCc1nc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cs1 611202122 BSXHWRVYTLIEEY-UHFFFAOYSA-N 405.545 4.921 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 619638751 AKSLZOSKWWCFSA-DYESRHJHSA-N 413.474 4.625 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828524 LUQPVAFCRFGASW-SECBINFHSA-N 423.705 4.937 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 728835407 PXQUPKJDDDLONG-CYBMUJFWSA-N 403.460 4.723 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)cn1)c1cc([N+](=O)[O-])ccc1N1CCCC1 729381697 KCUUMBXOSBTRMV-UHFFFAOYSA-N 422.416 4.774 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@H]1CCC[C@H](C(F)(F)F)C1 739580029 KVAYCNPWWGQRAY-ONGXEEELSA-N 410.186 4.769 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1cc([N+](=O)[O-])ccc1Br 740806286 UQYQEVJNTVWJJC-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2cnc3ccccc3c2)c([N+](=O)[O-])cc1OC(F)F 747351766 OKBFSBHPTGWVIG-LLVKDONJSA-N 418.352 4.671 5 20 HJBD C[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc2cc(Cl)ccc2n1C 750220689 JNASBVAKMYACGO-LLVKDONJSA-N 405.863 4.531 5 20 HJBD Cc1cc(C)c(OC(=O)C2CCC(NC(=O)CC3CCCCC3)CC2)c([N+](=O)[O-])c1 751063965 NVRGNUJGTXFRDW-UHFFFAOYSA-N 416.518 4.762 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 759505853 QPFCSZXYCZEYJB-IFXJQAMLSA-N 411.414 4.614 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762899413 SREGJVKWVUDXNN-CQSZACIVSA-N 409.442 4.692 5 20 HJBD CC(=O)c1sc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 777881353 BLKKCGSRPMBVDV-UHFFFAOYSA-N 417.393 4.651 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777989101 IRRJIHFIQFNMJM-CQSZACIVSA-N 415.396 4.620 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)cc1 779067690 ZRAWCMRBSMXAGJ-NHCUHLMSSA-N 400.837 4.800 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3ccc(Oc4ccc(Cl)nn4)cc3)ncnc2s1 804457099 OMTIOUPSNQVGTA-UHFFFAOYSA-N 400.807 4.579 5 20 HJBD CCSc1cc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccn1 811216184 FUJOZNFERSDFER-UHFFFAOYSA-N 401.469 4.582 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC(c2noc3cc(F)ccc23)CC1 811603716 JPTIFNMLNUSBPN-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 813629500 YFIUWBZUSSZZMM-YJYMSZOUSA-N 410.392 4.726 5 20 HJBD C[C@@H](Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1)N(C)C(=O)OC(C)(C)C 904657083 QUDHEPGXXXUBRB-ZDUSSCGKSA-N 418.494 4.740 5 20 HJBD O=C(COC1CCCC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 916040287 YUBYALQFCDLGQQ-UHFFFAOYSA-N 404.850 4.725 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCc4sccc4[C@H]3c3cccs3)n2)c1 917421429 TXUZWJPTKUFQRQ-IBGZPJMESA-N 423.523 4.582 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cs1 1323792739 SYILGMXFJBGDOY-GFCCVEGCSA-N 411.458 4.859 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nnc([C@H](C)Oc2cccc(Br)c2)o1 1326150372 LVBYSISFUFKCHP-NSHDSACASA-N 404.220 4.856 5 20 HJBD O=C(Nc1cccc(-n2cnnc2)c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 1339388998 QJNLWJGAKKTRAW-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD COc1cc(-c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)ccc1OC(C)C 1341472254 YUSXYSLYNAGXEE-UHFFFAOYSA-N 401.444 4.830 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@@H](C)CC1CCCCC1 1350743534 KIPGRVBSAXASLW-INIZCTEOSA-N 414.506 4.988 5 20 HJBD COc1ccc(NC(=O)CSc2nc(C)c(C)n2C2CCCCC2)c([N+](=O)[O-])c1 6973283 RUSQNJCFPUYKHU-UHFFFAOYSA-N 418.519 4.653 5 20 HJBD CCOc1cc(NC(=O)Cc2coc3cc4c(cc23)CCC4)c([N+](=O)[O-])cc1OCC 10962430 KCUKZYLLCQXXDW-UHFFFAOYSA-N 424.453 4.808 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3ccc(OC)c(F)c3)cs2)cc1[N+](=O)[O-] 18706560 DQKHKBKAJSNKKK-UHFFFAOYSA-N 416.434 4.550 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)N1c2ccccc2Sc2ccccc21 22445448 XGHVYANNPSGRAH-GFCCVEGCSA-N 410.407 4.563 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)COc3ccccc3[N+](=O)[O-])s2)c1Cl 26036972 DLFHLRJYIGZRSP-UHFFFAOYSA-N 417.874 4.621 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Cl 50346711 IGRNQWMFNRAXLJ-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD COc1ccc(C)cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 52934071 RUEJBMSRZHBMBH-HNNXBMFYSA-N 406.442 4.732 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc3c(c2)Cc2ccccc2-3)c([N+](=O)[O-])c1 60465798 HGKDUMVGYWPKAT-UHFFFAOYSA-N 403.438 4.615 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 72024047 HUNFHJRYDVVFAH-GFCCVEGCSA-N 409.305 4.809 5 20 HJBD CC(C)Oc1ccc(CNc2cc3ncnc(O)c3cc2[N+](=O)[O-])c(C(F)(F)F)c1 301184942 OVNANMZSJUUCQM-UHFFFAOYSA-N 422.363 4.662 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc2ncccc2c1[N+](=O)[O-] 301750760 ULSRMWKPMXICOW-INIZCTEOSA-N 419.485 4.572 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)Nc3cc(C)nc4c([N+](=O)[O-])cccc34)ccc2NC1=O 301998275 IFXICHHGXJLEPI-ORAYPTAESA-N 406.442 4.734 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2cccc(OC)c2)CC1 410229484 ONKVGOOPFAHIDC-UHFFFAOYSA-N 418.490 4.816 5 20 HJBD Cc1cc(CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2[nH]c(C)c(C)c2c1 426302491 RYROULUHPDKLAL-UHFFFAOYSA-N 406.486 4.876 5 20 HJBD CCCO[C@H]1CCCN(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CC1 426347998 XAAYGBVYRLZPFA-IBGZPJMESA-N 412.486 4.595 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 437007992 YOGJLPFAZFQUFA-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 439163386 RRRMSSXFRDJDNP-UHFFFAOYSA-N 407.801 4.697 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H]2CCC[C@@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 443900016 VTIFAGYCFXHQEX-MJGOQNOKSA-N 412.486 4.719 5 20 HJBD CCCCO[C@@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(C)C 444103472 BKUKJVCXSILUAO-FGZHOGPDSA-N 406.526 4.737 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@H]3c3ccc(C(F)(F)F)cc3)n2)c1 445583836 OVMQZQULXZEVHP-HOTGVXAUSA-N 419.359 4.867 5 20 HJBD O=C([C@@H]1CC=CC[C@H]1c1nc2ccccc2s1)N1CCc2ccc([N+](=O)[O-])cc2C1 446889814 ZPFUNCAGDMYISK-RTBURBONSA-N 419.506 4.839 5 20 HJBD CCSc1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])ccn1 447672252 PELDQVKFHTVOHZ-UHFFFAOYSA-N 408.352 4.763 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@@H](COc2ccccc2)C1 447678218 YRIZTOXORYGZPV-GFCCVEGCSA-N 417.334 4.549 5 20 HJBD Cc1c(F)ccc(Cl)c1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 448511192 FFNWDAPROUWEIQ-UHFFFAOYSA-N 406.719 4.889 5 20 HJBD O=C(c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)N1CC2(CCC2(F)F)C1 461278137 KKIAYABJPOSOJF-UHFFFAOYSA-N 421.831 4.732 5 20 HJBD CO[C@@H](CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(C)(C)C 465400710 FLQFUENKACOQFY-OALUTQOASA-N 419.909 4.579 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])c1ccon1 466548841 UNDOBNXGDQEIKJ-NSHDSACASA-N 403.847 4.878 5 20 HJBD COc1ccc(C2CCN(Cc3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])CC2)cc1 466725897 AUKJCBCNHNCLAQ-UHFFFAOYSA-N 422.428 4.593 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](c3ccc(F)cc3)C2)cc1SC 471509288 VNHHKGPGLRXRBM-DZGCQCFKSA-N 404.463 4.531 5 20 HJBD CCOc1cc(C(=O)N[C@H]2CC=C(c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC 479356390 XPJVLJJPFVGILG-KRWDZBQOSA-N 414.433 4.507 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCSc2ccc(F)cc21 485682822 GQXNVAGIUUFREV-UHFFFAOYSA-N 417.281 4.701 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N(c1ccccc1)[C@@H]1CCOC1 485689322 CZNQKWYCXZNWEK-HXUWFJFHSA-N 418.449 4.610 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3noc(C(F)(F)F)n3)cc2)c1[N+](=O)[O-] 486227108 BDSHFZCPZQXMMT-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD CSc1cccc(C(=O)NC[C@H]2CCCO[C@H]2c2ccc(C)cc2)c1[N+](=O)[O-] 486401609 DPSGRFSHLOHXQF-UZLBHIALSA-N 400.500 4.523 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1c(Cl)cccc1[N+](=O)[O-] 488215758 WUEWHRPNDVQERR-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD CC[C@@H](C)[C@@](C)(O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 489052379 JEOXPPHVGOCIIF-XIKOKIGWSA-N 411.296 4.504 5 20 HJBD CCOc1ccccc1-c1nnc(SCc2ccc([N+](=O)[O-])c(OCC)c2)o1 492211421 SLIRMITZWHBVIY-UHFFFAOYSA-N 401.444 4.735 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(Cc2ccccc2)CC2CCOCC2)cc1[N+](=O)[O-] 493184935 XMMHNSCIFNLTKX-SFHVURJKSA-N 411.502 4.603 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(NC(=O)NC2CC2)cc1 494526296 LTIXUDXZCLAZLW-GFCCVEGCSA-N 422.407 4.748 5 20 HJBD O=[N+]([O-])c1cccc([C@H](Nc2cc(F)cc(N3CCOCC3)c2)c2ccccc2)c1 495185872 WLYRRQIFYOSNIS-HSZRJFAPSA-N 407.445 4.772 5 20 HJBD CCn1c([C@H](C)NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 501391435 CMQBBULQAMTUOS-ZDUSSCGKSA-N 421.482 4.579 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cccc(Br)c1Cl 506177598 MVTFJFUNRMNGNO-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD C[C@@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])c[nH]1 512256880 COKTZYBTUZLGBR-ZDUSSCGKSA-N 403.891 4.911 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 512370737 WQAAHKPXGISQOH-UHFFFAOYSA-N 405.292 4.684 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 514528535 UECFLOVKECLWPW-KGLIPLIRSA-N 410.499 4.705 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cnccc1Oc1ccccc1 522639691 XLQSHEOOLGMJHA-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD CN(C)[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 531333304 GIVKJHHIBAGBIP-IBGZPJMESA-N 416.934 4.764 5 20 HJBD C[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)no1 535543617 RNUIZATZFUUDEE-CQSZACIVSA-N 421.482 4.662 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc([S@](C)=O)cc1 535888873 PMFOOQSPLCVLIK-WNNBVUDTSA-N 408.479 4.736 5 20 HJBD COc1cccc(COc2cccc(NC(=O)Cc3ccccc3[N+](=O)[O-])c2C)c1 535974070 PPUOSECHNCLQIP-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)c1ccc(OCc2cccnc2)cc1 536706190 IWXRQAJRABBESS-GOSISDBHSA-N 419.481 4.985 5 20 HJBD CN1CCc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc2C1=O 537506725 CGPRUVNNUYBZOB-UHFFFAOYSA-N 411.845 4.748 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 538276439 QRELSWJPKRKKIV-LLVKDONJSA-N 415.799 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(Cc2ccco2)Cc2cccs2)c1 538401629 QYZLNBNPCCAIPE-UHFFFAOYSA-N 400.456 4.556 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 538896024 XUYZJBPBJMTBRM-UHFFFAOYSA-N 405.479 4.956 5 20 HJBD CC(C)Cn1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(C(F)F)n1 539013956 NBJBKZIRPDZLAL-UHFFFAOYSA-N 409.437 4.827 5 20 HJBD CC[C@H](OC1CCCCC1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352066 DJWZLTCSOHHNOJ-SFHVURJKSA-N 403.504 4.809 5 20 HJBD COc1cccc(NC2CCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 539829417 XDSWZMHIPDOAPW-UHFFFAOYSA-N 424.526 4.803 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2Cl)n1)Nc1cc([N+](=O)[O-])ccc1O 539971440 WFQAUCCWJXFTMC-UHFFFAOYSA-N 424.265 4.912 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 541659965 FDBVMHRAFBVMFA-HNNXBMFYSA-N 410.499 4.706 5 20 HJBD C[C@@H](C(=O)NCc1ccc(COCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 542534861 UKDMIAQLGKKLIS-QGZVFWFLSA-N 422.456 4.871 5 20 HJBD Cc1c([N+](=O)[O-])cn(Cc2nc3ccccc3c3ccccc23)c(=O)c1Br 543148483 CACBZRWCTJKPEC-UHFFFAOYSA-N 424.254 4.577 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H](C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543547956 ROSVDAZNUBWKON-HNAYVOBHSA-N 410.499 4.699 5 20 HJBD CCc1ccc([C@@H](Nc2cccc(NC(=O)[C@H](C)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 544381057 UNXRSKXBWOLDQX-NYHFZMIOSA-N 423.469 4.925 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2c[nH]c3cc(F)ccc23)no1 545678968 IZKMHDBAUNANQR-JTQLQIEISA-N 416.796 4.982 5 20 HJBD O=C(CCC(F)(F)F)N1CCC[C@@H](Nc2c(Cl)cc([N+](=O)[O-])cc2Cl)C1 553706019 FLWDAVRVOHLLLX-SECBINFHSA-N 414.211 4.647 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 554215401 LJVIOXCKFJVMAU-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN(Cc2cccs2)[C@@H]2C=C[C@H](CO)C2)c1 559248861 QSXCSIGNURPZPO-DZGCQCFKSA-N 412.433 4.614 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cnn(CC(C)C)c2C2CC2)cc1[N+](=O)[O-] 561620561 BHGXQWMJJLKXSR-UHFFFAOYSA-N 421.295 4.648 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)ccn2)c1C 571611869 GJLGIOBLKKGESE-KRWDZBQOSA-N 405.454 4.819 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(C)nc2N2CCCC2)no1 579286881 DEALIKHWVFXCHO-KRWDZBQOSA-N 407.474 4.685 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cc([N+](=O)[O-])c1 580227061 MKKDIXOMNGMIOI-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 584131206 KGOQWGLYFDCPCD-UHFFFAOYSA-N 411.458 4.733 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCOC[C@H](C2CCC2)C1 590456735 OPPVFZAHDIVRDU-MRXNPFEDSA-N 404.532 4.518 5 20 HJBD CCCN(Cc1ccccc1)C(=O)Nc1cc(OCC)c(OCC)cc1[N+](=O)[O-] 603651240 CDCYZGPLQACREA-UHFFFAOYSA-N 401.463 4.836 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 604243106 DURAHJYIQCQICA-QGZVFWFLSA-N 404.470 4.670 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c(Br)c1 610035900 QPZDQJJFFGJXTM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1C(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 610729701 DXTRBTMYVUSRNW-SFHVURJKSA-N 416.547 4.752 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 611199406 SSRYMPXHGLABMD-IBGZPJMESA-N 400.500 4.747 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(F)c1 611205935 XDBIPGLFQCAXLQ-UHFFFAOYSA-N 418.446 4.808 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H](CN3CCCCC3)c3ccccc3)co2)cc1 611934808 AIIPCDABTUYVMI-JOCHJYFZSA-N 406.486 4.567 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)[nH]1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618858104 JNEQLOZNIJNOOS-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 727090399 KVRXDFNWYORORE-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 743838219 RNZDZRDABCBNMG-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cs1 745569778 ZWXAEQWPEPHKST-SECBINFHSA-N 404.366 4.525 5 20 HJBD O=C(NCc1ccc(CN2CCCCCC2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749933968 MICIXKSFYNLBRS-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 750186214 MFUIHRPTXQVZLI-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD C[C@@H](C(=O)OCc1nc2ccccc2c2ccccc12)c1ccc(S(C)(=O)=O)cc1 753485967 LCPCGDSIBROPHZ-MRXNPFEDSA-N 419.502 4.638 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1cccc(OC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 754346823 GCHRXPSJVXIJRB-WDEREUQCSA-N 400.328 4.613 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H]1c1ccc(F)cc1 754975122 BEMCCKYOTBZSFG-AWEZNQCLSA-N 411.202 4.613 5 20 HJBD CCOc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c2ncccc12 759167490 SQOWJPJAGXVWMD-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD O=c1ccc2ccc(Oc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])cc2o1 774660537 PYEABHMQMAXUAL-UHFFFAOYSA-N 402.231 4.543 5 20 HJBD Cc1nc(CC(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cs1 775496119 SOQQBNBNCAMEQQ-UHFFFAOYSA-N 416.528 4.715 5 20 HJBD O=C(CCc1ccc(Cl)s1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135747 RQZZLWUMZIJTBM-IBGZPJMESA-N 402.859 4.970 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260570 RBABLRVKDMLYNA-UHFFFAOYSA-N 414.392 4.832 5 20 HJBD Cc1ccc(-c2cc(COC(=O)c3cccc([N+](=O)[O-])c3Br)on2)cc1 781676002 KVFHSIKWIAMQIG-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1c(NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cccc1NC(=O)c1ccccc1 789668016 LORZSSJOKLBWAG-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 791128535 QYOSROXKJRGRMK-CQSZACIVSA-N 415.852 4.817 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)cc1[N+](=O)[O-] 809220433 YFTPJUSWIHNNKL-UHFFFAOYSA-N 422.894 4.706 5 20 HJBD C[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@H](O)c1ccccc1 811540057 SUVBHJZVLASFDG-BTYIYWSLSA-N 408.479 4.598 5 20 HJBD CO[C@H](c1ccccc1F)[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813147759 ZPNGUQVEKHIYDO-ABKXIKBNSA-N 401.221 4.547 5 20 HJBD O=C(NC[C@H](O)c1c(Cl)cccc1Cl)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813483909 GRBPWBBMESTOEX-LBPRGKRZSA-N 424.067 4.672 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)Nc1cc([N+](=O)[O-])c(F)cc1F 825487960 LJTZQBHOUFPXSL-UHFFFAOYSA-N 407.760 4.753 5 20 HJBD O=[N+]([O-])c1ccc([C@@H]2C[C@H]2c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1 904502281 FPLADDQZCKDLCR-LEWJYISDSA-N 414.421 4.890 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1ccc(N2CCCCC2)s1 912757404 FQELZMGZJWLOEY-RVDMUPIBSA-N 417.874 4.645 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc(Br)c([N+](=O)[O-])c3)cs2)o1 915233756 CNWMSBODMYTPNB-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1Cl 918104045 HPPWTOIRQLXREY-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD COc1ccc(CNCc2ccc([C@H](C)O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 920182526 QAWJEUCHSYBBKY-KRWDZBQOSA-N 422.481 4.526 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ccc(Br)s1 920791185 MZNRBQCIVIXJKI-MRVPVSSYSA-N 420.668 4.583 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 920992951 VNNHHIQYXKQDNT-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 1253794513 PAZGWSLNQXOHHD-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1nc2ccc([N+](=O)[O-])cc2s1 1318340203 LKRRLJFEZVGABU-UHFFFAOYSA-N 414.487 4.841 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cn2)c1 1318773129 XXFXGMRROOZAIQ-UHFFFAOYSA-N 418.453 4.624 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@H](C(F)(F)F)[C@H](CO)C2)o1 1324425956 XUCCOEHWXHZKCL-NHYWBVRUSA-N 418.799 4.501 5 20 HJBD CCOc1cc(-c2nnc(-c3cc(F)cc([N+](=O)[O-])c3)o2)cc(OCC)c1OCC 1327798530 RYUIJEJLYUEMKZ-UHFFFAOYSA-N 417.393 4.647 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1330234927 PNVFPSSDYBXNSE-OAQYLSRUSA-N 421.428 4.579 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3sccc3C)cc2[N+](=O)[O-])n1 25677336 JWQAMANDMUXWDW-UHFFFAOYSA-N 405.526 4.811 5 20 HJBD COc1cccc(S[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1 27661282 NCWXDWRGZRTFTH-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nccn3-c3ccc(Cl)cc3)n2)c1 31844396 VWKBRPPZMWMODR-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD COc1cc(C(=O)N(C)c2nccs2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 47882080 YZZDGJUKIXIYRW-UHFFFAOYSA-N 419.846 4.782 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OCC(F)(F)F 65442513 MRSGEAVUKMKAEC-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2ccc(OCC(F)(F)F)cc2)cc1[N+](=O)[O-] 195664630 HPVYXELRKXUOEM-NSHDSACASA-N 414.405 4.749 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1cccc(Cl)c1Cl 301301814 VOFFBJURVPSKBS-GFCCVEGCSA-N 424.284 4.547 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1cc(Br)cc([N+](=O)[O-])c1 431501060 CPEAVLUCKSAYLX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1cc(OC)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Cl 435827800 SXSYVNRPHQKRRM-UHFFFAOYSA-N 408.841 4.604 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)co2)cc1 437015050 CQHGMKNXVIOEIY-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD COCCCCN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437204316 XSLGCTVXHOGYLZ-UHFFFAOYSA-N 410.392 4.683 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2F)n1 437393099 ZGZMGBOZOPPKJN-UHFFFAOYSA-N 423.448 4.733 5 20 HJBD Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 438915037 HDAGPJJWNGEUAB-UHFFFAOYSA-N 409.467 4.510 5 20 HJBD CN(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)c1ccc(C(F)(F)F)cc1Cl 439124627 QSVYLMVVOZGUGY-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD C[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Sc1ccccc1 444084692 KVAGFTMAUYNQAI-AWEZNQCLSA-N 406.482 4.731 5 20 HJBD Cc1n[nH]c(C)c1[C@H](C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 445229134 POJPQGQKFYDZNJ-LBPRGKRZSA-N 405.414 4.929 5 20 HJBD Cc1cc(Br)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)cc1Cl 445959021 FZDVGTLHOVILBH-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Cn2cnc3ccccc32)cc1 446129427 FOEHXTHSTPWWRA-UHFFFAOYSA-N 400.438 4.564 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)N1CCOc2ccc([N+](=O)[O-])cc2C1 460349190 QEXAUTGDZINKEJ-LJQANCHMSA-N 423.494 4.544 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(c1ccc(Br)cn1)C(C)C 462427783 KEFMFNDIACEEAZ-OAHLLOKOSA-N 420.307 4.763 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(OC)cc1)c1ccncc1 462627105 BOYKFAXVMVNSFT-FQEVSTJZSA-N 419.481 4.801 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1ccncc1 463958304 NNPOJQBCJINRGE-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD O=C(NCc1cc(Cl)ccc1OC(F)F)Nc1ccc([N+](=O)[O-])cc1Cl 465066669 OFTZUBOOLSZMEV-UHFFFAOYSA-N 406.172 4.825 5 20 HJBD C[C@H](CO)CCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467990019 IKCNSKVOSISVRW-ZDUSSCGKSA-N 408.907 4.538 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ccc1Cl)c1cc2c(cc1[N+](=O)[O-])OCCO2 471433846 SRNWOAUGCQUKOP-UHFFFAOYSA-N 410.813 4.939 5 20 HJBD O=C(NC[C@H]1CCO[C@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475223534 KCYRIFAOVVQABZ-DYVFJYSZSA-N 409.364 4.513 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccc(Br)c3)o2)c(F)c1 476501379 YGTPXGKBNJGKKO-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2cccc(Cl)c2Cl)cc1SC 482748645 DFJSFXIKZYXTJO-UHFFFAOYSA-N 415.298 4.605 5 20 HJBD CSc1cccc(C(=O)N2CCC(c3nc4ccccc4s3)CC2)c1[N+](=O)[O-] 485753963 VWEMSBQGWBCOEY-UHFFFAOYSA-N 413.524 4.946 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCOc2ccccc21 487418025 HDCUJAKSHBJECG-OAHLLOKOSA-N 400.500 4.639 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 489284901 HKVOIOGPMHCQKS-UHFFFAOYSA-N 411.506 4.517 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2cccc(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489441907 UDLGBZQOMXNWNQ-LBPRGKRZSA-N 416.890 4.890 5 20 HJBD CCN(CC)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)CC1 489576728 IYTKXEKTWFNXGD-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497867564 KPSNLLLAWJILHO-SFHVURJKSA-N 422.403 4.535 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])c1 498985279 IJWPINMXMDPEBH-GFCCVEGCSA-N 424.375 4.624 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(CN3CCCCC3=O)c2)cc1[N+](=O)[O-] 501268361 HYKCHTZRKLTSNP-UHFFFAOYSA-N 409.486 4.657 5 20 HJBD CC(C)Oc1cc(CN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C2CC2)ccn1 503073001 LYQATEPMDDNQFG-UHFFFAOYSA-N 422.485 4.639 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511924230 UJWBADULDDDZEM-ZDUSSCGKSA-N 405.279 4.621 5 20 HJBD CCOC(=O)c1sc(N(C(=O)c2ccc([N+](=O)[O-])cc2)c2ccccc2)nc1C 515045396 JAVYMGOKGYEUKK-UHFFFAOYSA-N 411.439 4.515 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 515286309 PCVUACJFZGMPHE-UHFFFAOYSA-N 424.331 4.637 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCC(F)(F)F)cc1 516758628 TVARLKUBQLZRQQ-NSHDSACASA-N 408.332 4.773 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)s1 517360233 CAYZMAKICBPWNZ-OAHLLOKOSA-N 408.439 4.987 5 20 HJBD Cc1ccc(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)o1 518699167 SBMDHIBJDNFCQQ-UHFFFAOYSA-N 400.431 4.961 5 20 HJBD COc1cc(CNCCc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccc(F)cc1 518776518 AVCGQGYZXHQKMS-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cc(Cl)cc(C)c32)cc1OC 524229432 WCSWACCVHQUMKV-UHFFFAOYSA-N 404.850 4.557 5 20 HJBD Cn1cc(C(=O)Nc2cccc(NC3CCCC3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 524232612 ZZKCHFLJRHEMMN-UHFFFAOYSA-N 405.458 4.602 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)c2ccc(-c3ccccc3)cc2)cc1OC 525202495 DBGQTGHUOSGWKF-UHFFFAOYSA-N 406.438 4.946 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccn(CCc4ccncc4)n3)s2)cc1 531863446 ZDKPCFVDGOIXLU-UHFFFAOYSA-N 405.483 4.770 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 532826923 APIYEYWPYIVSGI-LLVKDONJSA-N 407.289 4.746 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)NCCc1cccc([N+](=O)[O-])c1 533985470 UFORPDMGDPEDIJ-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 536678063 VZHLFZOQUIWMFG-UHFFFAOYSA-N 407.470 4.932 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)nc1 538193819 FZNDAJXOJBNBIT-UHFFFAOYSA-N 400.504 4.733 5 20 HJBD CN(C(=O)[C@H]1SCCc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353442 KIQLAURXASCNEO-SFHVURJKSA-N 411.508 4.712 5 20 HJBD O=C1CCC(=O)N1Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 539921997 OXQPQAHXHPPXRX-UHFFFAOYSA-N 421.478 4.584 5 20 HJBD COc1cccc([C@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)c1 543305591 RAPINCMEUDNEFO-ZCYQVOJMSA-N 416.477 4.903 5 20 HJBD CC(C)(C)C(=O)N1CCC(CSc2ccc(Br)cc2[N+](=O)[O-])CC1 544208274 DLSZTPRTWJJKIG-UHFFFAOYSA-N 415.353 4.734 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(Cc2ccc(F)cc2)nc1C 545251048 NVBJJZZRLCDUFX-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 545422109 RNZUHGUMTWQSFS-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(SCc2ccccc2Cl)cn1 545507637 WYWGZGSPGUCULA-UHFFFAOYSA-N 402.863 4.526 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)ccc1[N+](=O)[O-] 547031780 WSJRTTCAHXJETI-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(-c2c(F)cccc2F)n1 547372324 XZOFLZQLVHWTOJ-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CCO1 547788347 WSHQVPBIHOFRLP-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)n2)s1 553184634 IMUDVZRBSDSHPJ-UHFFFAOYSA-N 417.516 4.622 5 20 HJBD CC(=O)c1cc(NCc2cccc(NC(=O)c3ccc(C)cc3)c2)ccc1[N+](=O)[O-] 553290938 RFUYYGDRBRPVJN-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)NCCCNc2ccc(C)cc2[N+](=O)[O-])c1C1CC1 567457048 XHSBDZJOHOLYOZ-HNNXBMFYSA-N 414.510 4.572 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N1CCc2c1cccc2[N+](=O)[O-] 568447409 QNNZAVGFXHMTLA-AWEZNQCLSA-N 403.276 4.515 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1C[C@H](c2ccccc2Cl)C1 568805121 NYYQOUCSJVVHDL-QAQDUYKDSA-N 416.908 4.871 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 570756774 AUMAKQOFIQAEJQ-MRXNPFEDSA-N 416.437 4.694 5 20 HJBD COc1cc(CN[C@H]2CCc3sc(Cl)cc32)c([N+](=O)[O-])cc1OCC(F)F 578287973 DMEIUIKFTYKGNU-NSHDSACASA-N 418.849 4.739 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Cl)cc2-c2ccccc2Cl)c1[N+](=O)[O-] 578721147 YHGFXYBMXNNHOP-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc4cnn(C)c4c3)c([N+](=O)[O-])c2)cc1 579178030 FWTBPHXIHHYSMJ-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(Cl)c1OCC(F)F 584019002 JKLVNWGGMONICU-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1C(=O)c1ccc(Cl)cc1 603575394 AQGXOKHRKXAVSK-UHFFFAOYSA-N 408.841 4.751 5 20 HJBD CCO[C@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 604428044 RSQJONOPPDOBSF-KRWDZBQOSA-N 400.500 4.696 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c2ccccc2)cc1OC 608949128 UCUGRWWDNJUCES-FQEVSTJZSA-N 420.465 4.634 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2cccc(Cl)c2Cl)cc1SC 609126156 XIMRTGVQNGLDKT-UHFFFAOYSA-N 401.271 4.562 5 20 HJBD CC1(CNC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCCC1 609409502 NHFOAELZWOERPF-UHFFFAOYSA-N 418.497 4.687 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCN(C)CC1 609583476 KQDWDFWFOQZHAO-AWEZNQCLSA-N 417.893 4.501 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccco1 609909176 QYZASTWXCJKKMV-MRXNPFEDSA-N 412.364 4.886 5 20 HJBD Cc1ccc(NCCCNC(=O)C[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 610052589 KUIAUGYAUUOVCG-INIZCTEOSA-N 409.408 4.558 5 20 HJBD C[S@@](=O)c1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 610575293 YDDMDLQYTZISMC-GDLZYMKVSA-N 414.458 4.553 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCc3sc(Br)cc3C2)cc1[N+](=O)[O-] 610932548 YHZCCSMLTRFPQN-NSHDSACASA-N 424.320 4.556 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610960194 BNGZEXSWWSYENY-KXBFYZLASA-N 422.403 4.854 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H](C(F)(F)F)CC[C@H]3C)cc2[N+](=O)[O-])CC1 611549493 UNUDUXWIIXARRF-ZBFHGGJFSA-N 413.440 4.634 5 20 HJBD C[C@@H](C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 612552893 XDCHJEMTJWIIPF-GFCCVEGCSA-N 410.367 4.557 5 20 HJBD COc1cccc([C@H](C)c2noc(CSCc3ccc(OC)c([N+](=O)[O-])c3)n2)c1 612918194 WFUCEEDZRLEDNS-ZDUSSCGKSA-N 415.471 4.580 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 727926073 BJEGQCOILPVDFF-UHTWSYAYSA-N 423.252 4.576 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1)Nc1ccc([N+](=O)[O-])cc1Cl 731277079 PJVRBGMIOFVVEE-ZWKOTPCHSA-N 424.840 4.687 5 20 HJBD Cc1cc(F)c(S(=O)(=O)N(Cc2ccco2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 742028302 YNGFXSCQQBCOSF-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD O=C(c1ccc(SC(F)F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742873548 QTSXRMLYGLHIHA-UHFFFAOYSA-N 408.426 4.593 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744099827 CXXVBQRUBUYRRO-UHFFFAOYSA-N 409.238 4.774 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccc(Cl)cc2)no1 746067587 BODHRFCVFREJEU-JTQLQIEISA-N 413.773 4.962 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)c1 747125737 PPHSVHFWQRLARH-NSHDSACASA-N 421.331 4.890 5 20 HJBD CC(C)[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)c1ccccc1 747954847 MJGMXPAJUJLLDY-LJQANCHMSA-N 410.451 4.783 5 20 HJBD COc1ccc2cc(CN(C)C(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)ccc2c1 748699107 IZNKINXSEULYEQ-UHFFFAOYSA-N 423.425 4.554 5 20 HJBD Cc1c(C(=O)NCC(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748700559 PLAMBVRCRAQROT-UHFFFAOYSA-N 419.437 4.682 5 20 HJBD C[C@H](NC(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 748997654 GPVNEODYRBMJLZ-ZDUSSCGKSA-N 420.922 4.684 5 20 HJBD C[C@H](OC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1)c1ccccc1[N+](=O)[O-] 749054196 KEFQLPVPJIVGPJ-LBPRGKRZSA-N 412.833 4.624 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nnc(SCc3ccc(F)cc3)s2)c1 749667061 TUYGCFIXRYXRQW-HNNXBMFYSA-N 423.516 4.703 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 750648166 SPLVUTWUDOWHNI-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OCCC(C)C 751091740 XNCLEANLAORCPN-AWEZNQCLSA-N 404.438 4.658 5 20 HJBD Cn1cccc1[C@@H]1CCCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754977621 PNXWXWPVUGRWLN-HNNXBMFYSA-N 424.270 4.592 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CC2(CCC2)Oc2ccccc21 757830083 SCGCBYHZWMZLQU-FQEVSTJZSA-N 409.486 4.961 5 20 HJBD CC(C)(C)[C@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(N)=O 759841582 FPTMCWCZUBULAA-XMMPIXPASA-N 414.505 4.563 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)cc2C(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 760782214 MFCSDGIRZMDHKF-UHFFFAOYSA-N 422.235 4.950 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(CSc3ccc(Cl)cc3)no2)ccc1-n1ccnc1 761423626 NNDJQXQMGNWBCJ-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD O=C(NCCCCCCO)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 768390531 ZHMSIKQFFXBBHX-UHFFFAOYSA-N 408.907 4.682 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 770005491 DINZPMQTQCYPTI-CQSZACIVSA-N 402.882 4.595 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1cc(Br)cc([N+](=O)[O-])c1 770182406 QPOPXWAWCVUMKT-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD O=C(Nc1ccccc1)c1nnc(/C(Cl)=C\c2ccc([N+](=O)[O-])cc2F)s1 777916093 UVOBROQTTJPGTD-MDWZMJQESA-N 404.810 4.575 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C[C@@H]1Sc2ccc(Cl)cc2NC1=O 778766247 FJYQAHLAOSXGPK-DIFFPNOSSA-N 420.874 4.664 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 779160836 JZCAYHZPCQTGMA-CVEARBPZSA-N 415.490 4.590 5 20 HJBD O=C(OCc1cc(-c2cccs2)on1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781261863 ZIIOLVVMADHBJW-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD CCCCC[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 783319311 UBUQQKDZCVIKTR-QGZVFWFLSA-N 403.866 4.625 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 784987368 PIJUDUKXFSIFPD-UHFFFAOYSA-N 421.906 4.775 5 20 HJBD O=C(CSCC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 787903667 XTZOLKJUHRRPIL-UHFFFAOYSA-N 418.902 4.607 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cs1 794126284 HQLJJUVNHRRAKR-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD CC(C)c1occc1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796394119 KYWMACZANPPWGO-JTQLQIEISA-N 414.336 4.514 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](SC1=NCCS1)c1ccccc1 796864798 GHUJYZPBIPJVGD-OAHLLOKOSA-N 407.904 4.764 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(SC(F)(F)F)cc1 797121934 OJRBQEXCZPTJTM-XFXZXTDPSA-N 419.384 4.861 5 20 HJBD CCCN(C(=O)Cc1ccc(NC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 799411370 DLSUUIKBPGKGIG-UHFFFAOYSA-N 413.474 4.928 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccc(Cl)cc2)C1)Nc1cccc([N+](=O)[O-])c1Cl 809918488 INGIHGXBZALZBL-LBPRGKRZSA-N 409.273 4.550 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC(c2noc3cc(F)ccc23)CC1 811604382 SQTDERATBJMIDE-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 825183007 AVRACADHTOGBAE-JTQLQIEISA-N 405.769 4.664 5 20 HJBD COc1ccc(-c2nc(Cc3noc([C@@H]4C[C@H]4c4ccc([N+](=O)[O-])cc4)n3)co2)cc1 904329990 YXGBNRRXNQYKHE-RBUKOAKNSA-N 418.409 4.503 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CC2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917050052 FYRWGXQNRPXRBX-UHFFFAOYSA-N 418.405 4.955 5 20 HJBD Cc1cc(CN(C)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc(C)c1OCC(F)(F)F 917848157 DNYHWGRQHUDJPP-RMKNXTFCSA-N 422.403 4.825 5 20 HJBD Cc1cc(-c2nnc(-c3ccc(Br)cc3[N+](=O)[O-])o2)c2ccccc2n1 1117210809 KUESNDUJWOYFCF-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)Nc1ccccc1Sc1ccccc1 6290787 LENLPWSIFOGHHK-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD Cc1ccc(Nc2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)s2)cc1 10317253 GDBTVFJYSHTPER-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD CC(C)(C)c1ccc(SCC(=O)OCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 12960841 NIXCRJNVCXVHPJ-UHFFFAOYSA-N 417.483 4.594 5 20 HJBD O=C(O[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccccc1[N+](=O)[O-] 15275526 XJEDJLQXNNMNLC-JOCHJYFZSA-N 400.390 4.857 5 20 HJBD COc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc12 17936987 MWZVPIIZAINWLI-CYBMUJFWSA-N 419.393 4.725 5 20 HJBD COc1cc(CSCc2cc([N+](=O)[O-])cc3c2OCOC3)ccc1OC(F)F 19220427 FMUADGVDMARSQM-UHFFFAOYSA-N 413.398 4.505 5 20 HJBD Cc1c(C(=O)Nc2ccnn2Cc2c(Cl)cccc2Cl)cccc1[N+](=O)[O-] 31773117 BEFATXQNOQMOSN-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CCN(CCC(F)(F)F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 60273236 CYGLFCYPOGTVJT-UHFFFAOYSA-N 404.332 4.507 5 20 HJBD COc1cc(C(=O)N(Cc2ccc(F)cc2)C2CCCCC2)c([N+](=O)[O-])cc1OC 60461799 DSYAXBJCGABZNL-UHFFFAOYSA-N 416.449 4.726 5 20 HJBD CN(Cc1nc(-c2cccc([N+](=O)[O-])c2)no1)Cc1ccccc1-c1ccccc1 110303880 FNRBBHPUJOVKKE-UHFFFAOYSA-N 400.438 4.944 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1coc(-c2ccccc2)n1 301440944 UHEFHJDFOYZYQC-UHFFFAOYSA-N 408.292 4.789 5 20 HJBD Cc1cc(N[C@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)ncc1[N+](=O)[O-] 301454167 VTYWNWLHPGKQLI-OAHLLOKOSA-N 408.433 4.792 5 20 HJBD COc1ccc([C@H](CCC(=O)O)NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1 426714748 FFTMBJDMESAJAK-FQEVSTJZSA-N 410.426 4.559 5 20 HJBD CC(C)c1ccc(CN[C@H](CC(=O)O)c2cccc(Br)c2)cc1[N+](=O)[O-] 426828312 KWYRBMJMMFTXPG-QGZVFWFLSA-N 421.291 4.786 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 428905154 HCLYSCNLTMJDJF-QGZVFWFLSA-N 412.490 4.583 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(O[C@H]2CCOC2)cc1 433377389 VPGLEYIDSDBMMF-QFIPXVFZSA-N 420.421 4.807 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437142053 MPWVIEBCKNZBBQ-UHFFFAOYSA-N 409.364 4.769 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444120080 CFIRTZADEPDUSY-KGLIPLIRSA-N 416.493 4.706 5 20 HJBD Cc1ccc(Sc2ccc(-c3nc(-c4ccc(O)nc4)no3)cc2[N+](=O)[O-])cc1 445434437 VBNRDPBCSBKFQN-UHFFFAOYSA-N 406.423 4.872 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccccc1CC(F)(F)F 460479907 MQOSLDWAJWXINH-UHFFFAOYSA-N 410.395 4.898 5 20 HJBD C[C@H](CCc1ccccc1F)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463847133 CNARTWRKMMKZBM-CQSZACIVSA-N 412.383 4.894 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 463931736 QDADJIKEWKAOJX-UHFFFAOYSA-N 406.360 4.897 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1 464457403 NERGYEUKTJRXLH-INIZCTEOSA-N 411.458 4.973 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 466250531 HOGGQCPZCUSMCJ-GOSISDBHSA-N 421.522 4.573 5 20 HJBD CC(C)[C@H](O)CCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467789569 RTSFCFQDCFLDHH-MRXNPFEDSA-N 408.907 4.536 5 20 HJBD CCOc1cc(C(=O)N[C@@H](CC(C)C)c2ccccc2OC)c([N+](=O)[O-])cc1OC 471445470 WQLQQNNJLDFMBR-KRWDZBQOSA-N 416.474 4.528 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C 475638945 OMKNUYGSFKVJGK-HXUWFJFHSA-N 410.518 4.588 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cc1 475639095 PBILSRNSNNZYPW-OAHLLOKOSA-N 403.504 4.833 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482100978 FMBYGGKNHGJNJG-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD Cc1c2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc2nn1C 485448480 AXVIWAZYFDCGDW-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD Cc1nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])sc1Cc1ccc(F)cc1F 487629542 GHZXLKKAFOOQQK-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1c(Cl)cccc1[N+](=O)[O-] 488196394 FCDGWMLLAFORAJ-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccccc2C)OC[C@H]1C 490010169 LOZXCGQWRXYICU-BEFAXECRSA-N 400.500 4.617 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494425720 NBXIWAMOZIBADV-OFNKIYASSA-N 423.513 4.745 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](C)c2c(C)nn(CC(F)(F)F)c2C)cc1[N+](=O)[O-] 495444028 FZNQDUSYGHSISK-NEPJUHHUSA-N 414.428 4.781 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497880746 NQDCIJVJFLKRQV-NRFANRHFSA-N 411.408 4.828 5 20 HJBD CCCN(C(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1OC 500009945 SANHDWYDTRPPAL-HNNXBMFYSA-N 416.474 4.624 5 20 HJBD C[C@@]1(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C[C@H]1c1ccccc1 501700925 SSRUTRJHFHXZND-DFBJGRDBSA-N 418.443 4.883 5 20 HJBD CCN(Cc1ccncc1)[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 502733893 ZEGFDZDZCCRHES-JOCHJYFZSA-N 404.470 4.500 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3c(F)cccc3[N+](=O)[O-])n2C)cc1 504512301 GFEHQCFEFNXLMI-UHFFFAOYSA-N 415.494 4.668 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 505353996 SWXGEEQVQUSOIJ-AWEZNQCLSA-N 406.282 4.955 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C1CCN(Cc2ccc([N+](=O)[O-])cc2)CC1 507929192 GWHICJCPDLSTBQ-UHFFFAOYSA-N 407.436 4.710 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 510005615 CSQUTKWAVLONQQ-UHFFFAOYSA-N 414.487 4.964 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H](CCO)c3ccc(Cl)c(Cl)c3)co2)cc1 512216990 DFGKGMJVQAYBJD-SFHVURJKSA-N 422.268 4.770 5 20 HJBD Cn1cc(C(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)c(-c2ccc([N+](=O)[O-])cc2)n1 513038957 UMBQDEADHRASBL-NUZGMZIFSA-N 416.481 4.546 5 20 HJBD COc1ccc(CN(C)Cc2scnc2C)cc1OCc1ccc([N+](=O)[O-])cc1 513425694 ZIXUTWXWLWAROS-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(Cc4ccccc4)c3)n2)cc1[N+](=O)[O-] 515163969 BPRMQCAJCNATIV-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 520024240 QWKHGPIVZPRHTH-CQSZACIVSA-N 415.877 4.824 5 20 HJBD CO[C@@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 524223095 DFTRKGCLFQWUTJ-NRFANRHFSA-N 407.426 4.896 5 20 HJBD CCc1nc([C@@H](C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)n[nH]1 534972704 XOLKFKXMKBQZGO-GFCCVEGCSA-N 401.854 4.572 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)c1F 536280071 IBHQPTDGWGQRSF-CYBMUJFWSA-N 419.428 4.760 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539351622 YKYIHWYIXUVPCU-AWEZNQCLSA-N 411.483 4.884 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539381802 TZKKERJVZOBCKY-KRWDZBQOSA-N 409.442 4.763 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@H](C)c2ccc(OCC)c([N+](=O)[O-])c2)c1C 539732923 HJTSWCRVMINCRF-OAHLLOKOSA-N 421.519 4.627 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2ccncc2)ccc1OCc1ccc([N+](=O)[O-])cc1 543624261 VVZQNTLQSYDERC-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD COc1ccc(-c2nc(-c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)cs2)cc1OC 545690604 AVTXICNXMMWKSI-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD CCOCCOCc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1C 545828898 ADLMDLVXHZCSJE-UHFFFAOYSA-N 418.465 4.512 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cc(OCC(F)F)ccn2)no1 547257736 SMSQPRMCLWJTMH-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD COc1cc(C(=O)O)cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1OC 551420582 GXUDJSCEPVKYJG-UHFFFAOYSA-N 414.439 4.651 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(C)c(C)c3)s2)c1 554863690 NJJLEUNRUJIUKP-UHFFFAOYSA-N 411.483 4.910 5 20 HJBD O=C(Nc1cc(F)c(N2CCOCC2)cc1F)c1cc2ccccc2c2cccnc12 557760097 GOWWAEXLKHGKPD-UHFFFAOYSA-N 419.431 4.755 5 20 HJBD O=C(c1ccc(F)c(F)c1)c1ccccc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 558066012 QAWJSUKBOOWDNJ-UHFFFAOYSA-N 422.387 4.697 5 20 HJBD COc1ccc(COc2ccc(N(C)C(=O)c3ccc(C)cc3)cc2)cc1[N+](=O)[O-] 603724654 NCTSKMSKSKUJSC-UHFFFAOYSA-N 406.438 4.767 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])CC1 603873219 MBAMSEFSKKZREA-UHFFFAOYSA-N 420.513 4.907 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3)s2)cc1[N+](=O)[O-] 603975239 MSVCHKDJBMHGHQ-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3[nH]ccc23)cc1[N+](=O)[O-])c1ccccn1 608861498 DMKILUFQUNIFEM-AWEZNQCLSA-N 401.426 4.897 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H]2CCc3c(Br)cccc32)c([N+](=O)[O-])c1 609039741 HHIPSGZDNLLCQP-INIZCTEOSA-N 418.291 4.595 5 20 HJBD COc1ccc(CSCC(=O)NCC(C)(C)c2ccccc2Cl)cc1[N+](=O)[O-] 609067554 BFPDACRJNNNUBZ-UHFFFAOYSA-N 422.934 4.584 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1Cl 609448631 NBAXQMBTYIJVIO-GFCCVEGCSA-N 419.840 4.654 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H]3CCC[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609511161 DKHGPEXDXRVSPR-VXKWHMMOSA-N 418.497 4.523 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC(c2cn[nH]c2)CC1 612546326 SVJRYJLXSLDQFR-UHFFFAOYSA-N 414.531 4.620 5 20 HJBD Cc1c(NC(=O)C(=O)Nc2cccc(Sc3ccccc3)c2)cccc1[N+](=O)[O-] 615295519 HJEFDTCPHPXZAS-UHFFFAOYSA-N 407.451 4.632 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccccc1)c1cccs1 618964368 AYBCSNDHVOKJIH-IBGZPJMESA-N 402.472 4.793 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 737607891 BIANIKZGQPQQEJ-KBPBESRZSA-N 403.276 4.684 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C[C@H](O)c1cc(Cl)cc(Cl)c1 748023995 LWNKGIYVOFFZLP-INIZCTEOSA-N 417.676 4.637 5 20 HJBD Cc1c(C(=O)N(C)Cc2cccc(Cl)c2Cl)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748606530 IMNXZQFAIQSYRD-UHFFFAOYSA-N 412.229 4.699 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)n2)c(C)o1 751095619 MBNXOMMZJGYCSI-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc([N+](=O)[O-])cc1F 754012110 XHIRFOKXGRQTBW-JTQLQIEISA-N 416.390 4.508 5 20 HJBD CC(C)(C)[S@](=O)Cc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 757226284 XPMNGMADTDNTRL-MUUNZHRXSA-N 423.922 4.617 5 20 HJBD O=C(O[C@H](Cc1ccc(Cl)cc1)C(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 763478227 BDXUTQUHPAKDHZ-CQSZACIVSA-N 409.694 4.857 5 20 HJBD O=C(NC1(c2cccc(C(F)(F)F)c2)CCC1)c1cc(F)c([N+](=O)[O-])cc1F 770432333 KVRHKRDCUXBNEN-UHFFFAOYSA-N 400.303 4.701 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)nn1-c1ccc(Cl)c(Cl)c1 776748980 SGGJSWWRJKNCPC-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC2CCCC2)nc1 781828811 ZREUKOPMSYRLON-JOCHJYFZSA-N 419.437 4.653 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@H](F)C(F)(F)F 810419069 LVCSWBVPDRXFOA-AWEZNQCLSA-N 424.709 4.696 5 20 HJBD O=C(NCc1ccc(Br)c(F)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812948904 IMHSBQDGNJAVNI-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC3(CC2)OCCCO3)ccc1Oc1ccccc1Cl 816645182 KAPBHNZZAKOGJA-UHFFFAOYSA-N 418.877 4.770 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2ccc(-n3cnc4ccccc43)nc2)no1 904487534 WEKPKEAUZOAMMB-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD O=C(CCOc1cccc([N+](=O)[O-])c1)O[C@H](C(=O)c1ccccc1)c1ccccc1 915451290 XQIODTAXJZJKIN-QHCPKHFHSA-N 405.406 4.531 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3ccc(-c4ccccc4F)o3)[n+]2[O-])c1 915987319 AOWWZRHFHDCGEM-HJWRWDBZSA-N 403.369 4.860 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1F 919211934 OYSOFTVOCLSDKH-NSHDSACASA-N 411.345 4.757 5 20 HJBD C[C@H](Cc1cccc(C(F)(F)F)c1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1322777092 FAPGVBHTRCEPEB-GFCCVEGCSA-N 407.348 4.922 5 20 HJBD CC1CCN(CCc2nnc(-c3cc([N+](=O)[O-])ccc3Oc3ccccc3)o2)CC1 1326255580 SATQNTHUIGMLBD-UHFFFAOYSA-N 408.458 4.712 5 20 HJBD CCN(CC)C(=O)CCC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 1351610499 XVWNGLHJHMITRU-UHFFFAOYSA-N 402.498 4.536 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1cc(Cl)ccc1Cl 1931427 MIGHNUSQFQEECZ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 4219424 HEWRFAJDVZOSQV-OAHLLOKOSA-N 416.499 4.689 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccccc2)cc1 5966184 CRGNICLJBKXCCN-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 9788644 UXNKGEHDXDAHAF-LLVKDONJSA-N 402.501 4.644 5 20 HJBD Cn1c(SCc2cccc([N+](=O)[O-])c2)nc2scc(-c3ccccc3)c2c1=O 10614078 MRACOKGXHWWMRD-UHFFFAOYSA-N 409.492 4.863 5 20 HJBD CCN1C/C(=C\c2cc([N+](=O)[O-])ccc2Cl)c2nc3ccccc3c(C(=O)O)c2C1 13344036 RXLUWDOGMYQQKV-NTEUORMPSA-N 423.856 4.871 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 15419524 YNSGWGWCIVLIID-ZDUSSCGKSA-N 404.850 4.921 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(Oc2ccc(C(C)=O)cc2[N+](=O)[O-])cc1 23380839 HYBZVJUDKHPBJN-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccc(Br)cc3)o2)c([N+](=O)[O-])c1 32533752 LSCPMKZDQVNTET-UHFFFAOYSA-N 420.244 4.761 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 55155267 ZOGDHHOVULRWBH-MRXNPFEDSA-N 418.453 4.595 5 20 HJBD COCCn1c(SCc2cc([N+](=O)[O-])ccc2OC)nc2cc(Cl)ccc21 58946060 NUPLTXMKXGNVBW-UHFFFAOYSA-N 407.879 4.545 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 108726865 RMXXROPGZJZXIB-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccccc3OC)c(F)c2)c1 147801508 IADLFHVYKQXZRN-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD Cc1cccc([C@@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1 303671555 GPJRMFUKAJFCSA-NRFANRHFSA-N 405.454 4.915 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](CC(=O)O)c2ccsc2)cc1[N+](=O)[O-] 426679172 ULIBGAOSQUUCHY-SFHVURJKSA-N 411.483 4.730 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1ccccc1Cl 426702136 DVNRRRQWVYISOU-MRXNPFEDSA-N 414.845 4.541 5 20 HJBD CC(C)(C(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1ccccc1[N+](=O)[O-] 429891812 ROTPONUWMPYPHI-UHFFFAOYSA-N 414.889 4.647 5 20 HJBD Cc1cccc(-c2cnc([C@H]3CCCN3c3nc(-c4ccc([N+](=O)[O-])cc4)no3)[nH]2)c1 433438513 AOURQNHRCUNFRN-LJQANCHMSA-N 416.441 4.685 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2ccccc2)C1 436230971 XDNSLNJUBCMYGB-OAHLLOKOSA-N 404.416 4.589 5 20 HJBD C[C@@H](NC(C)(C)CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 436316847 JEIUIQCEFJGEOG-QGZVFWFLSA-N 413.521 4.541 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2-c2ccc(CN(C)C)cc2)c1 438945551 FAQQJFDEZKAXAF-UHFFFAOYSA-N 403.482 4.562 5 20 HJBD Cc1sc([C@@H](C)Nc2ccc(C(N)=O)cc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 443513482 VWCYVHBWDGXZGL-SNVBAGLBSA-N 416.890 4.952 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444245292 XUELEFLXZKMZBA-UHFFFAOYSA-N 411.505 4.838 5 20 HJBD COc1ccc(CNC(=O)CCCc2cc(Cl)sc2Cl)cc1[N+](=O)[O-] 445985915 PIOGEZXSOYQYRG-UHFFFAOYSA-N 403.287 4.611 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2cccc(Br)c2)CCC1 446100751 QCIIJOHCWCXCNY-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD CCC[C@H](C)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 460111791 UUCCHYJFRMDECZ-NSHDSACASA-N 423.466 4.511 5 20 HJBD Cc1ccccc1-c1nc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cs1 464637754 JFKZICRSSUYXBA-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD Cc1ccccc1[C@@H](OC[C@H](O)COc1c(F)cccc1[N+](=O)[O-])c1ccccc1 466998419 NSMYMJYROZYUMN-AVRDEDQJSA-N 411.429 4.588 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)CCNc2ccccc2[N+](=O)[O-])nc2ccccc21 467480189 AHHYSWMGMZLKLJ-NRFANRHFSA-N 421.501 4.691 5 20 HJBD CC(=O)Nc1cccnc1NC(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 467922871 LPGHQUDZGGZXKS-UHFFFAOYSA-N 422.466 4.660 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 469253438 RNSLBUBOGVIKJE-BRRVFRNMSA-N 419.403 5.230 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1 471310143 LTPRDKVCFOFNPY-UHFFFAOYSA-N 421.841 4.570 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)ccc1[N+](=O)[O-] 473784185 GYIMTPXGVQQIEZ-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cccc([N+](=O)[O-])c1OC 474691641 JZMRXCVGNFICDG-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](C[C@@H]1CCOC1)c1ccccc1 475190886 MIICUNVQUFCRHG-GUYCJALGSA-N 423.391 4.903 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(Oc2ccccc2)CC1 475205805 AGFIFXXLWQQTHU-UHFFFAOYSA-N 409.364 4.689 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 477401969 YXEQEYUBBCWFML-OAHLLOKOSA-N 408.930 4.757 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@H](c3ccccc3F)C2)cc1SC 482892541 BFGQSGNXBGSPHD-QWHCGFSZSA-N 404.463 4.531 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 485889220 BUNADLNEEWEYHJ-KRWDZBQOSA-N 424.881 4.713 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1C(=O)N(C)C 489904781 LCWKNIGQIQXSQT-UHFFFAOYSA-N 415.515 4.605 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 494368204 LXQZFNNXOMRJAW-UHFFFAOYSA-N 422.279 4.731 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 502832864 YLLLVPJNODNWPF-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1ccc([N+](=O)[O-])cc1)C1CC1 502859834 HZHVDGDGTUEXQY-CYBMUJFWSA-N 408.376 4.745 5 20 HJBD O=C(N[C@H]1CCCc2nc(O)ccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 504080303 RAEHECPEONKHEX-IBGZPJMESA-N 421.478 4.654 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 510990030 FVIJYUONSKJLDV-MNOVXSKESA-N 415.799 4.945 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(NC(=O)Nc2ccccc2)cc1 514745742 BKNRAVYFGSQTIV-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCCc1ccccc1Cl 519189618 QYGHDXUWISXYTQ-UHFFFAOYSA-N 418.824 4.718 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1N1CCCCC1)c1cc(F)cc([N+](=O)[O-])c1 520967397 XRACYWOIQZMBSE-UHFFFAOYSA-N 411.355 4.995 5 20 HJBD C[C@H](Oc1ccccc1NCC(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccccc1 522402414 RENJJOWXISWVCW-KRWDZBQOSA-N 417.465 4.736 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 523554432 RGSNCXSQKABLKL-UHFFFAOYSA-N 418.881 4.741 5 20 HJBD COc1ccccc1C[C@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 532504583 TXABPRVXLDEBNF-LJQANCHMSA-N 407.470 4.508 5 20 HJBD CCOC(=O)c1cc(NCc2cccc(NC(=O)c3ccccc3)c2)ccc1[N+](=O)[O-] 533637511 DZEPCRPBCQGDGV-UHFFFAOYSA-N 419.437 4.636 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 543127046 HHWZFQXNFUHGNS-UHFFFAOYSA-N 410.879 4.776 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(-n3ccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 544356768 NLMDKXABNAVNPK-QHCPKHFHSA-N 402.454 4.815 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 545122654 YLRBNDVWNXXLIB-UHFFFAOYSA-N 412.421 4.824 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 546699514 GDYMXYNRRWEZCP-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD CSc1ccc(-c2nc(Cc3coc(-c4cccs4)n3)no2)cc1[N+](=O)[O-] 547012599 ULLUXSLPNDOMHF-UHFFFAOYSA-N 400.441 4.674 5 20 HJBD CCOc1ccc(C(=O)N2CC[C@H](Sc3cccc(Cl)c3)C2)cc1[N+](=O)[O-] 553908116 VJNJVPVULWUSIT-INIZCTEOSA-N 406.891 4.654 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1OCCc2sccc21 557079237 XQTAOPADMXHQLJ-YJYMSZOUSA-N 420.556 4.837 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2ccc([N+](=O)[O-])cc12 557631003 AROJBEDOFCATCV-KRWDZBQOSA-N 402.332 4.591 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3c3ncc([N+](=O)[O-])c(C)c3Br)[nH]c2c1 558397538 IQDQQUNGUKYXHM-AWEZNQCLSA-N 416.279 4.587 5 20 HJBD COc1ccc(CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[C@H](C)C2CC2)c(OC)c1 558771569 WEUOJVIKIRJULU-CYBMUJFWSA-N 417.437 4.584 5 20 HJBD CSc1ccc(C(=O)NCc2nc(-c3ccccc3F)cs2)cc1[N+](=O)[O-] 564275393 LJUAZICFXZCXMR-UHFFFAOYSA-N 403.460 4.509 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1c(Cl)cccc1[N+](=O)[O-] 570748069 XWAHTBPSDZPBFD-KRWDZBQOSA-N 409.269 4.799 5 20 HJBD C[C@H](C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 583299732 DVAAWJBHAHHSCO-HNNXBMFYSA-N 404.426 4.981 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(CN(Cc2cccs2)Cc2cccs2)c1 589495409 YTASCBHOQOAYIE-UHFFFAOYSA-N 402.497 4.707 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc2ccccc2n1C(F)F 603733677 ABLCAKMHLJEOCP-JTQLQIEISA-N 400.341 4.577 5 20 HJBD C[C@@H](Oc1ccccc1Cl)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742188 NRPCPLHXNFNUCK-GFCCVEGCSA-N 416.783 4.607 5 20 HJBD Cc1ccc(C(=O)CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)s1 603996987 KUEKGKCMSBIVNC-UHFFFAOYSA-N 415.496 4.998 5 20 HJBD COc1cc(CNCc2ccc(OC)c([N+](=O)[O-])c2)ccc1OCc1cccs1 604473814 NJRZXFVXGYTVOX-UHFFFAOYSA-N 414.483 4.542 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)Oc1ccccc1C(F)(F)F 608896200 OHBZDKUTIHDTOL-AWEZNQCLSA-N 410.392 4.818 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])o2)c1)Nc1cccc2c1CCCC2 609428219 HPCJYAGRJZBMIG-UHFFFAOYSA-N 420.425 4.963 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609726426 JGIOVYZGXWPNPB-FQEVSTJZSA-N 418.478 4.556 5 20 HJBD CC(C)C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611475782 MAMJHDCAOWAWOG-WBVHZDCISA-N 406.548 4.953 5 20 HJBD CCOc1cc(NC(=O)N2C[C@@H](C(F)(F)F)CC[C@H]2C)c([N+](=O)[O-])cc1OCC 611555811 DYTLFVVXBASGSS-NEPJUHHUSA-N 419.400 4.587 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2cccc(-c3nnco3)c2)cc1OC 611614679 IDAVRHDDLYHMMZ-AWEZNQCLSA-N 413.430 4.657 5 20 HJBD Cn1c(-c2ccc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cc2)nc2ccccc21 727712532 ZFINECIBBFPUNS-UHFFFAOYSA-N 408.364 4.679 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(N(C)C(C)C)c3)cc2)c(C)c1[N+](=O)[O-] 731585211 SUUHHQULRQJISQ-UHFFFAOYSA-N 421.501 4.553 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)CCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 731593498 XENVTUVIMKPMPX-INIZCTEOSA-N 422.460 4.617 5 20 HJBD C[C@@H](Oc1ccccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1ccccc1 734415909 JPMDMUZJRIYDAP-CQSZACIVSA-N 416.430 4.675 5 20 HJBD O=C(CCSc1ccccc1F)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735441914 AOKDCHYFYMOZGP-UHFFFAOYSA-N 402.403 4.615 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc(Cl)cc([N+](=O)[O-])c1 736865030 BLYVYLQJFUGFHT-LJQANCHMSA-N 410.813 4.785 5 20 HJBD COc1cc(N2CCC(OC(=O)Cc3ccc4ccccc4c3)CC2)ccc1[N+](=O)[O-] 739380960 PZHPKCQDJUXOLG-UHFFFAOYSA-N 420.465 4.511 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 739897210 BJSYXTVPFFMRKV-UHFFFAOYSA-N 403.401 4.861 5 20 HJBD CS(=O)(=O)c1cccc(Oc2ccc(NCc3cc(F)ccc3[N+](=O)[O-])cc2)c1 742261127 VCRFDZGWLJGHGR-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCc3sccc3C2)cc1 743133460 SYHOBHSIMIYHKW-UHFFFAOYSA-N 422.510 4.583 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)CC[C@@H]1c1ccccc1 746336829 IDUITSXOCUWVHA-WMZOPIPTSA-N 411.458 4.776 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067185 MHBUQPYFJGJCKS-AWEZNQCLSA-N 416.547 4.555 5 20 HJBD O=C(OCc1coc(-c2ccc(C(F)(F)F)cc2)n1)c1cc(F)ccc1[N+](=O)[O-] 756185900 IZUQGFWGVXQTKM-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ccc3c(c2)COC3)cc1[N+](=O)[O-])c1ccccn1 762158129 AESZPPSPMRIEOG-HNNXBMFYSA-N 419.437 4.550 5 20 HJBD COc1cccc(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)c1[N+](=O)[O-] 774066777 WELNEUKQZPOUAS-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1c(F)cccc1F 775088692 WIJCEPYQLFEJFK-LLVKDONJSA-N 424.425 4.558 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)(F)F)c1 775548864 QWFAEEAYTFFXDC-RYUDHWBXSA-N 422.363 4.955 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 776384487 BKRIESRECVZSGV-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CC=C(c2cccc3ccccc23)C1 779681169 IPXRTMVNVJTKIO-UHFFFAOYSA-N 413.477 4.888 5 20 HJBD Cc1nn(CCC(=O)O[C@H](C)c2ccc(SC(F)(F)F)cc2)c(C)c1[N+](=O)[O-] 784105962 GDMXUDANBITUNC-GFCCVEGCSA-N 417.409 4.715 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 801092630 TWERQRJSINHYIT-BJWYYQGGSA-N 415.287 4.908 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c(C)c1C 805294294 ATUNWTQYXJGCHT-UHFFFAOYSA-N 411.227 4.683 5 20 HJBD CC(C)CN(C(=O)c1cccnc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 808697307 BZBLDIQAGQXVID-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD Cc1cc(COC(=O)c2ccc(N(C)C(=O)OC(C)(C)C)s2)ccc1[N+](=O)[O-] 810663489 WMIPGKCWONBWJV-UHFFFAOYSA-N 406.460 4.693 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(Cl)ccc1[N+](=O)[O-] 813305368 AZYPEZMPERJKHS-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H](C)c1ccccc1 864242485 GZVKZHCSKYQOTP-INIZCTEOSA-N 408.458 4.576 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CC(=O)N(C2CCCCC2)C1 914934146 SYPOBYOTFVOMJB-KRWDZBQOSA-N 402.494 4.612 5 20 HJBD CC(C)n1c2ccccc2n2c(SCCCOc3ccc([N+](=O)[O-])cc3)nnc12 917624600 GMFJNZYXIDUGFE-UHFFFAOYSA-N 411.487 4.734 5 20 HJBD C[C@@H](CC(=O)N1CCCC[C@@H]1CCOC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 918638848 VMBWNCVZKZDHEA-GHTZIAJQSA-N 424.497 4.717 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 919212315 VRFAFVDRPXYWIR-OAQYLSRUSA-N 416.389 4.878 5 20 HJBD O=C(CCc1nc(-c2ccccc2)no1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 1122706467 JSKXEWKRUFOKRM-UHFFFAOYSA-N 415.405 4.850 5 20 HJBD CC(=O)Nc1ccc(CCNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1322610159 BARNLBFRBGEADI-UHFFFAOYSA-N 413.861 4.799 5 20 HJBD Cc1cc(C)cc(OCc2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1346601060 MQMZKMDYACCLJU-ZDUSSCGKSA-N 403.822 4.967 5 20 HJBD CCCOc1c(Br)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1OC 32517140 BSXOCVVTKDTQOD-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD COc1ccc(NC(=O)c2ccccc2NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 33591934 NAAOZRFETWFFOU-OVCLIPMQSA-N 417.421 4.508 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1sccc1Br 60823404 DPSMDFBHEPQMLZ-UHFFFAOYSA-N 410.167 4.699 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H](Nc2ccccc2)C1 110550103 STRWHCDUQRPVQI-FQEVSTJZSA-N 402.454 4.665 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1Br 140933831 OABCCGNBMUHTTJ-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(OCC(F)(F)F)cc1 140994440 DHLSXMRCOZGYLQ-NSHDSACASA-N 407.348 4.508 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])cn2)cc1OCc1ccc2ccccc2n1 301167283 RULHRXFZBZWCBZ-UHFFFAOYSA-N 402.410 4.869 5 20 HJBD Cc1nnc(Sc2ncc([N+](=O)[O-])cc2Br)n1[C@@H]1CCC[C@@H](C)C1 301845605 SVHRFPIAMKIWPQ-MWLCHTKSSA-N 412.313 4.555 5 20 HJBD CC(C)(C)[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(F)cc1F 302100773 UYONOLFSCXAXOO-AWEZNQCLSA-N 404.460 4.537 5 20 HJBD Cc1c(C(=O)Nc2cc(C(F)(F)F)ccc2Br)cccc1[N+](=O)[O-] 326082295 PLAYDNXSHSBZLO-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)c(F)cc2Br)cc1[N+](=O)[O-] 435258757 TVPXYHUHFXRPKN-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Br)c1F)c1cccc([N+](=O)[O-])c1 435539567 JDKONJVYUWPVEP-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(c2cc(C(F)(F)F)ccc2Cl)CC1 436083836 BDFWBWNWXWGRID-UHFFFAOYSA-N 412.795 4.957 5 20 HJBD COc1ccc(Br)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437134884 PYJNSQAMEQKTDI-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cc(C(=O)N2CCC(c3ccccc3Br)CC2)ccc1[N+](=O)[O-] 439008975 KVHWPONRONJSFA-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1cccc2c1CCCCN2C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439244055 GCFLUTUXOAGXPS-UHFFFAOYSA-N 403.438 4.649 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 443929096 RIXAVXWXRXGWME-AWEZNQCLSA-N 412.421 4.903 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444033574 FYVVZEUDEUONLY-UHFFFAOYSA-N 408.860 4.789 5 20 HJBD Cc1cccc([C@@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444078671 FEFTUSXBFXHFQI-AWEZNQCLSA-N 406.432 4.801 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCc2c(Br)cccc2[C@@H]1C 444926264 UMFKSQNIKQAQRH-NSHDSACASA-N 420.263 4.517 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(Cc1cc(Br)ccc1F)C1CC1 445688135 CIQXCTKKMDHBCF-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446907473 WXVWQCVUJUUNQT-JTQLQIEISA-N 422.272 4.681 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](NC1CCN(c3nc4ccccc4s3)CC1)CCC2 447538413 BKCMSTCYZSQILO-IBGZPJMESA-N 408.527 4.841 5 20 HJBD O=C(c1ccc(OC2CCC2)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463846500 AFOMLLSLHMOYIB-UHFFFAOYSA-N 408.376 4.731 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1cccc(CSC(C)C)c1C 463922673 JHDJJGOSSSPGRF-OAHLLOKOSA-N 417.531 4.993 5 20 HJBD O=C(NC[C@@H]1CCCCO1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466230034 WNXMYXXQGRSYCX-AWEZNQCLSA-N 406.891 4.698 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc([N+](=O)[O-])cc1Cl 470380330 WTMQRYHKSFEOGY-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD COc1ccc(NC(=O)[C@H]2C[C@@H]2c2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 480235560 UNTLQGGDGVQJOY-RTWAWAEBSA-N 418.449 4.925 5 20 HJBD CCc1c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481897965 UHDJDSFSVMYANK-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccoc1Br 485684553 QMORYDYRFXNNGG-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD O=C(COc1ccc2ccccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486117734 IFCIJTDNTRSXGE-UHFFFAOYSA-N 418.371 4.718 5 20 HJBD CSc1cccc(C(=O)NC(C)(C)Cc2ccc(Br)cc2)c1[N+](=O)[O-] 486230486 IZVVDFBJMPAAFZ-UHFFFAOYSA-N 423.332 4.830 5 20 HJBD CC(C)(NC(=O)NCc1nc2cc(Cl)ccc2s1)c1ccccc1[N+](=O)[O-] 488037685 GMLVHGQNAOADRU-UHFFFAOYSA-N 404.879 4.592 5 20 HJBD CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nc(C)cs1 495129776 DYAHSIPOQVMBQD-GOSISDBHSA-N 410.499 4.853 5 20 HJBD CCOc1cccc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 495159721 UAYORFOQNMLWBL-GFCCVEGCSA-N 411.380 4.573 5 20 HJBD CC(=O)c1c(C)oc2ncnc(Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)c12 502702545 ZSIHBMNDICXYGE-UHFFFAOYSA-N 409.427 4.818 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2cccc(Br)c2)o1 504541629 JGXVCFHJHAZZJA-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Cc2ccc(F)cc2)CC1 504927119 XWIJIVYVAYYPLW-HNNXBMFYSA-N 402.491 4.696 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 513177562 HUBBGRUJZGGQJM-MNOVXSKESA-N 415.799 4.945 5 20 HJBD COc1cc([C@H](C)Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc(OC)c1OC 515550774 JGTHTBACOCOBKF-JTQLQIEISA-N 400.353 4.813 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515782892 ZWIQOQWHZLPJBF-JKSUJKDBSA-N 415.490 4.904 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1ccc(Cl)cn1 525936305 BQWBSHNMWMLLGH-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(OCC3CCCCC3)CC2)cc1[N+](=O)[O-] 530726668 RCXOBDQHLUXBAR-QGZVFWFLSA-N 403.523 4.735 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(Cc2ccc(Cl)cc2)c1 535722690 XRSQKSXPRIVIEL-UHFFFAOYSA-N 416.890 4.857 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)c1F 536280076 IBHQPTDGWGQRSF-ZDUSSCGKSA-N 419.428 4.760 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(OC)cc(F)cc2s1 536535156 IMRRDKVCHIBROB-UHFFFAOYSA-N 407.448 4.717 5 20 HJBD Cc1c(CC(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)cccc1[N+](=O)[O-] 539003168 ALYVQJRJHYXKJZ-UHFFFAOYSA-N 406.486 4.777 5 20 HJBD COc1ccc(CN(C)[C@H](C)c2cccnc2)cc1OCc1ccc([N+](=O)[O-])cc1 541764191 SBQVRCCJKHNHDB-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD C[C@H]1C[C@@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 542581642 JZWMASFKVIROEL-HOTGVXAUSA-N 406.548 4.812 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](c2ccccc2)c2nccs2)c1Br 543435587 KPPQJNXNEDTJOO-CQSZACIVSA-N 405.277 4.719 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2cc(Br)ccc2o1 545958597 WHHAZGWZOGHWLI-UHFFFAOYSA-N 405.204 4.755 5 20 HJBD Cc1c(NC(=O)N2CC=C(c3cccc(Br)c3)CC2)cccc1[N+](=O)[O-] 547275064 LFMOEGJSWWQHBT-UHFFFAOYSA-N 416.275 4.987 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)c1ccc(NC2CC2)c([N+](=O)[O-])c1 549021809 JSQBRKOEPXCAAQ-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cccnc3N3CCCCC3)no2)c1 550964030 ZBQBBDRWVQGRDD-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD Cc1cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)ccc1-c1ccncc1 553241176 RNIHZCDMFPIZKM-UHFFFAOYSA-N 416.481 4.820 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1C[C@H]2CCC[C@@]2(c2nc(CC3CC3)no2)C1 553273733 WRNGVSJTYGYXDI-AUUYWEPGSA-N 422.407 4.507 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c(C)c1C 557984418 KTFCQVOCGBXJNC-UHFFFAOYSA-N 407.264 4.852 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1ccc(Br)cc1[N+](=O)[O-] 562752560 VTEROCUIHGWVPK-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1cc(CN[C@H]2[C@@H]3c4ccccc4C[C@H]32)ccc1OCc1ccc([N+](=O)[O-])cc1 576148504 JGEWRNBATCJKRM-NQHRYMMQSA-N 416.477 4.610 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cccc2-c2nc(C(C)C)no2)c1 579261778 DYOKTXOJTUDBIL-UHFFFAOYSA-N 410.430 4.728 5 20 HJBD CCOC(=O)c1ccnc(N[C@H](C)c2ccc(Oc3cccnc3)cc2)c1[N+](=O)[O-] 581687907 ACRNZYFZOHCUER-CQSZACIVSA-N 408.414 4.527 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCNC3[C@H]4CC[C@H]3Cc3ccccc3C4)o2)c1 582366745 JLILXFLSHDNYJV-PMACEKPBSA-N 403.482 4.576 5 20 HJBD Cc1ccccc1[C@H](c1noc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1)C(C)C 589156418 OXRMASFVAVEFTK-HNAYVOBHSA-N 408.458 4.565 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C2CC2)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1 603520124 PMBKUCTWCXGJBX-CYBMUJFWSA-N 416.861 4.791 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3cccc(COCc4ccccc4)c3)cc2N1 603856353 PISCJWGNFRCOOK-UHFFFAOYSA-N 417.465 4.808 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c(OCc2ccccc2)c1 608939989 SYWDXMFPRGURQN-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1scnc1-c1ccccc1 609724357 MDKSRBDZDCVWTE-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD C[C@@H](NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1cccc(Cl)c1Cl 609795657 IHFTWRNUJRSRIT-LLVKDONJSA-N 405.241 4.578 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2cccc(C)c2)cc1 609842039 ZLNBCKROXGUUIZ-LJQANCHMSA-N 423.473 4.567 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1cccc([N+](=O)[O-])c1 610154636 JMKKEQBZZHBTAA-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD O=C1[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CCN1C1CCCCC1 610803654 DGEXGCHUSVBJHF-LJQANCHMSA-N 417.893 4.531 5 20 HJBD C[C@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccc(F)cc2)cc1 611383970 ZIHWOPBRJVOMID-AWEZNQCLSA-N 411.408 4.976 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1c[nH]c2ccc(OC)cc12 611556564 ILRJPVDIELZCEQ-UHFFFAOYSA-N 413.499 4.559 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 725722327 SXKHXICZTDPJKZ-UHFFFAOYSA-N 403.482 4.663 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cc(C(=O)N2CCCCC2)ccc1Cl 726924717 HOJQKSYZROXXGC-WDZFZDKYSA-N 413.861 4.526 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2ccc(Cl)cc2)CC2CC2)cc1[N+](=O)[O-] 735017794 MITQSPTWBIRBKO-UHFFFAOYSA-N 417.849 4.560 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(cccc2NCc2cc(F)ccc2[N+](=O)[O-])C1 742259860 SQOZRMAOUASIIJ-UHFFFAOYSA-N 401.438 4.639 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1 746075273 FQZGFRGVSJAMFD-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1F 750535134 FHYDRHHXAALFOE-JTQLQIEISA-N 405.769 4.664 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1Br 753835990 ISLZSILLNGPBGP-DYZYQPBXSA-N 407.239 4.550 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754642870 YYBBGRGQHAJPDF-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OC2CCC(OC)CC2)cc1 757935220 HOJNFLOQACOYBX-UHFFFAOYSA-N 401.415 4.510 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1cccc([N+](=O)[O-])c1Br 758125908 OVQVIOBIAKOUQJ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 759030467 XDPGBJXKVLRDSI-VBKZILBWSA-N 412.829 4.743 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(CCCc3ccccc3Cl)no2)C1 762116970 ZVJJRYDZPOMVDD-GOSISDBHSA-N 412.833 4.672 5 20 HJBD Cc1c(C(=O)N2C[C@@H](c3ccccc3)c3ccccc32)cc([N+](=O)[O-])cc1[N+](=O)[O-] 767143746 ZIIVREAHQFOCJK-IBGZPJMESA-N 403.394 4.604 5 20 HJBD C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)[C@H](O)c1c(Cl)cccc1Cl 773036600 DPFKWOMVTYWTEQ-XCLFUZPHSA-N 424.328 4.582 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1cc(COC(C)(C)C)on1 775191870 XKQVUARAHNCENN-UHFFFAOYSA-N 408.476 4.755 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(C(C)(C)O)cc2)cc1[N+](=O)[O-] 775330019 TYUVBXHFLFPSAQ-UHFFFAOYSA-N 405.498 4.880 5 20 HJBD CCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)[C@@H](C)c1cc2ccccc2o1 783313738 UDYOQMRTANIRCL-LBPRGKRZSA-N 415.833 4.543 5 20 HJBD CC[C@@H](Sc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 785929388 PIRRZGMNZSPZSP-SUMWQHHRSA-N 413.455 4.820 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCCN(Cc2ccc(C(F)(F)F)cc2)CC1 786575255 SUWMMRYKZIYGQO-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccnc(Oc2ccc(F)cc2F)c1 789042378 BOTVULGNXODTEP-UHFFFAOYSA-N 404.300 4.556 5 20 HJBD Cc1c(CO)cc([N+](=O)[O-])c(N[C@@H](C)c2cc(F)c(Cl)cc2Cl)c1[N+](=O)[O-] 796451586 XZCKUERIKAFIJD-QMMMGPOBSA-N 418.208 4.923 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C(F)(F)F 807744126 BDFXBJGJQJLQCV-SNVBAGLBSA-N 404.291 4.655 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)cc1 812485444 SJIZFBPMNFUIRE-UHFFFAOYSA-N 422.514 4.779 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cs2)cc1OC 813246143 ZJIIFKWZWUTUOI-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CCC[C@@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 821118866 PZAOADONUOYPMJ-CZUORRHYSA-N 404.850 4.702 5 20 HJBD O=C(NCCc1ccccc1)c1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864007469 YZZZGIOWDLHUEX-UHFFFAOYSA-N 409.873 4.833 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c12 915828676 QYAIISLMBUSHFH-UHFFFAOYSA-N 412.421 4.988 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)OCc3cc(F)ccc3[N+](=O)[O-])s2)cc1 919011505 DOPWTSSFFARYJA-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD CCc1ccc([C@H](Nc2cnn(Cc3cccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 1320967619 LHGXPXDQINKZGM-JOCHJYFZSA-N 403.442 4.592 5 20 HJBD C[C@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N1Cc2ccc([N+](=O)[O-])cc2C1 1340801484 PAZRNBMHTKWNBV-LLVKDONJSA-N 404.348 4.740 5 20 HJBD CCc1ccccc1N1C(=O)/C(=C\c2ccc(-c3ccccc3[N+](=O)[O-])o2)NC1=S 15282418 XSOCHCDMZZDMLQ-GHRIWEEISA-N 419.462 4.679 5 20 HJBD O=C(Nc1cc(Cl)ccc1OCC(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 41939441 WLJWWDKJYHRMQX-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1cccs1)Cc1cccs1 47625500 ICYBBCMYXVJCFO-UHFFFAOYSA-N 402.497 4.716 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2ccc(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 56227818 WKBUYGLVKVQBKJ-ZDUSSCGKSA-N 412.396 4.718 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 57300116 WURFZSHCGNEZJB-KRWDZBQOSA-N 400.478 4.971 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)NCc1ccccc1[N+](=O)[O-] 57820062 XZYLPKCBJJVFMU-UHFFFAOYSA-N 421.501 4.539 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(CC2CCCCC2)n[nH]1 59576837 YBVHVQUWUPGZRK-UHFFFAOYSA-N 414.509 4.639 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2ccccc2COc2ccccc2)cc1C 60443681 LJWYMNXQNFOJMA-UHFFFAOYSA-N 412.467 4.591 5 20 HJBD CCOc1ccccc1OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 72101116 MPMSVKXPTNBBCU-UHFFFAOYSA-N 401.362 4.710 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(NC3CCCCC3)cc2)cc1OC 109893716 JCKUAWXOFCSXQT-UHFFFAOYSA-N 413.474 4.999 5 20 HJBD O=C(Nc1ccc(CNC/C=C/c2ccccc2[N+](=O)[O-])cc1)c1ccc(F)cc1 237727648 HBHBNZLJTNICJC-HWKANZROSA-N 405.429 4.789 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccnn3C[C@H]3CCOC3)cc2[N+](=O)[O-])cc1 237968286 LRDIDUQRSUEEDD-GOSISDBHSA-N 424.526 4.900 5 20 HJBD Cc1cscc1NC(=O)c1ccc(C(=O)Nc2cscc2C)c([N+](=O)[O-])c1 427063198 WIHOAMYEIMGGJM-UHFFFAOYSA-N 401.469 4.839 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc3oc(C(F)(F)F)nc3c2)cc1[N+](=O)[O-] 429066391 WFDWNKLBFLOHGW-JTQLQIEISA-N 408.336 4.946 5 20 HJBD CC1(C)C[C@H](Nc2cccc(C(=O)NCc3ccccn3)c2)c2cc([N+](=O)[O-])ccc21 433231974 LIISKSVBMRDENO-QFIPXVFZSA-N 416.481 4.754 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2cccc(Cl)c2)cc([N+](=O)[O-])c1OC 436466496 REVWFHICNJDSST-MRXNPFEDSA-N 404.850 4.633 5 20 HJBD CC(C)c1ccc(C(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 438970441 VGXSLZOVFVRGCX-GFCCVEGCSA-N 402.401 4.954 5 20 HJBD O=C(c1ccc(C(F)(F)F)cn1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440612486 MOTRMJZIVDZAFO-UHFFFAOYSA-N 401.344 4.856 5 20 HJBD CN(CCC1CCOCC1)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441081041 QZSUKFKLCXWBCU-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444031073 LXSBGEBNUOLCGL-HOCLYGCPSA-N 418.443 4.978 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2ccccc2O1 444221411 DODGSSPPOMCXBV-LJQANCHMSA-N 416.452 4.853 5 20 HJBD Cc1ccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(OCC2CC2)c1 444222246 FJZFQFZRTYVDGM-UHFFFAOYSA-N 412.489 4.709 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444245564 XYQSQPZAOREKNN-KSSFIOAISA-N 418.443 4.978 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1sc(Br)cc1[N+](=O)[O-] 444826952 PSCVZNSFGAJGHC-UHFFFAOYSA-N 421.725 4.594 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccccc2OC(F)F)cs1 445781439 GNIRRIIKXHNPNZ-UHFFFAOYSA-N 419.409 4.891 5 20 HJBD CC(=O)Nc1ccc(C)c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 446428241 QQMVILAVHYXYOO-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](Nc1ccc(Cc3nnc4n3CCCCC4)cc1)CCC2 447592632 MURWWGNVNHXDQM-JOCHJYFZSA-N 417.513 4.993 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@@H](n3cccn3)Cc3ccccc32)cc1[N+](=O)[O-] 464422829 SXACKQHDKABRLU-SFHVURJKSA-N 404.470 4.533 5 20 HJBD COc1cc(C(=O)N2C[C@@H]3CCC[C@H]3C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 465084264 KJSVBJPQJQOIQB-GJZGRUSLSA-N 416.861 4.921 5 20 HJBD COc1ccc(C(=O)N(Cc2cccnc2)c2cc(C)ccc2Cl)cc1[N+](=O)[O-] 466614581 UTWWQFPMMFICFK-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCCc1ccc(OCc2ccccc2)cc1 470845199 RAFMGAWKRBJHDS-UHFFFAOYSA-N 420.465 4.545 5 20 HJBD O=C(NC[C@@H]1CCO[C@@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475223536 KCYRIFAOVVQABZ-SUMWQHHRSA-N 409.364 4.513 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CCc1ccccc1)C(F)(F)F 479680670 XRGMVAVIKQGKJG-CXAGYDPISA-N 412.433 4.755 5 20 HJBD CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485811463 AHQRWHDLYJGABX-XHDPSFHLSA-N 424.270 4.564 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC(C)(C)Oc2cc(F)ccc21 486471541 RPELOEDFBQZLKL-LJQANCHMSA-N 415.465 4.956 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cnc3ccccc3c2C(F)(F)F)cc1[N+](=O)[O-] 488047407 WPYIALAAAWMNFU-LBPRGKRZSA-N 403.360 4.961 5 20 HJBD COc1cc(C(=O)N[C@H](C)CC2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 493988086 CYPHORSBLMYMPU-GFCCVEGCSA-N 404.850 4.968 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@@H]1c1ccc(F)cc1F 497548462 YLKYUGQESZPQCM-HUUCEWRRSA-N 401.394 4.739 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)n1 498303375 JOVCZGWLATWFRK-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD CC(C)(C)c1nc(CCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 498364768 QULQGQHCVKSNEJ-UHFFFAOYSA-N 402.545 4.805 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)ccc1[N+](=O)[O-] 502432085 CMERYXVVYXWLQH-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD Cc1ccccc1[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@@H](C)C1 503314794 JFELFDREAWUUKJ-QLVMHMETSA-N 409.486 4.526 5 20 HJBD CCOc1ccc(CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1Cl 504368707 UVWVRHCTSJOUJR-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 510588936 RRRSLIJKZCQFGH-UHFFFAOYSA-N 414.849 5.000 5 20 HJBD COc1cc(CN2CCCC[C@H]2c2ncc[nH]2)c([N+](=O)[O-])cc1OCc1ccccc1 512547324 LYYHXXIHEHOIHB-IBGZPJMESA-N 422.485 4.633 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 515098131 INKKAGPNKXANRC-OLZOCXBDSA-N 416.302 4.957 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](n3cc(Cl)cn3)C2)cc1[N+](=O)[O-] 516941680 QZJIUMSQXFGUCI-INIZCTEOSA-N 422.938 4.670 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(Br)cc1)c1csc([N+](=O)[O-])c1 520483344 KKIHDNCZLIKZIN-KRWDZBQOSA-N 417.284 4.938 5 20 HJBD CCN(CC)CC1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 521185434 ZUIWRMSSUZUWJP-UHFFFAOYSA-N 421.607 4.927 5 20 HJBD Cc1cc(-c2csc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])n2)c(C)o1 523951209 RZILAWMWYYRDHF-UHFFFAOYSA-N 421.862 4.989 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3cn(C(C)C)nc3c2)cc1SC 531757624 PXIXBHASFZNCNQ-UHFFFAOYSA-N 400.460 4.508 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC(c2c[nH]c3ccccc23)CC1 532751727 BPLRTEQBTLIIFC-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@H]1CCCC[C@@H]1C 536302565 PDFUMILPZATPLY-DEYYWGMASA-N 403.504 4.566 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 537135347 SSXUFXCLNDMOTC-AEFFLSMTSA-N 413.543 4.661 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1)N1CCCC1 537145975 IYBRPMZMAGSYFY-HXUWFJFHSA-N 413.543 4.662 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1COc2ccccc21 538561645 IZCUYOBADRTYGT-KSSFIOAISA-N 400.500 4.782 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 541589727 IYZDWDTUWVBNEL-OAQYLSRUSA-N 420.444 4.623 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 542336772 WIHTVNOHVXRGCU-UHFFFAOYSA-N 407.904 4.609 5 20 HJBD COc1ccccc1-c1nnc(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1C 558805939 SRYWAEUJVJRFIW-UHFFFAOYSA-N 424.404 4.710 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559187526 NDUXAMQDSMNPAI-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD CC(C)N1C[C@H](c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CC1=O 578088135 VQMYYJVOKVVKNE-QSYFUGGGSA-N 424.482 4.601 5 20 HJBD CC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(OC)c(OC)c1 579158086 LBLTVMZFBYSLDB-AWEZNQCLSA-N 412.364 4.512 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)Cc2ccccc2O1 581632114 FPQASXRDZBBVJO-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 582691089 MTJXYXCXIDEUDP-MRXNPFEDSA-N 416.437 4.694 5 20 HJBD CO[C@H](c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1)c1ccccc1Cl 584120965 VMIGLTLXYWBBAE-INIZCTEOSA-N 405.813 4.832 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 584757529 IJQPUDZCAHQQMT-KBXCAEBGSA-N 418.877 4.938 5 20 HJBD O=C(CSCc1ccc(F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 601909147 QFBUXEHPHKUTGH-UHFFFAOYSA-N 403.460 4.729 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cc2)cs1 603733432 YLGPMXWCFBRYOY-UHFFFAOYSA-N 407.451 4.745 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 603911558 TXSYLOGFDGZBBD-UHFFFAOYSA-N 417.469 4.814 5 20 HJBD CCO[C@H]1CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 604427957 OGWCJVUHDQURKZ-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)ccc21 609009180 JOTXUQYIOKAWTF-UHFFFAOYSA-N 403.438 4.912 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cc1[N+](=O)[O-] 609107716 UDZWIKVRUSGXGE-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609500444 JPGPMMMMDIWTEA-CQSZACIVSA-N 412.433 4.914 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(-c3cc(Br)cs3)no2)c(Cl)c1 609813500 DHQWDXZKGTZEIK-UHFFFAOYSA-N 400.641 4.713 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1csc([N+](=O)[O-])c1 610154604 HMJYMWTXSPPOLT-UHFFFAOYSA-N 410.430 4.910 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OC 610226383 FYGVJDQSEQDYIZ-GOSISDBHSA-N 412.486 4.548 5 20 HJBD COc1cccc([C@@H](C)c2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)c1 612917575 NWLYPHBOFPTOFG-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H](C)Oc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 619729498 QSYGVDNVJPATFP-LBPRGKRZSA-N 401.419 4.572 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])c(F)c3)cs2)cc1 731515967 CQLRAXXDCZGPNX-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD CCOC(=O)c1cc(C(C)(C)C)sc1NC(=S)Nc1ccc([N+](=O)[O-])cc1 732724744 HWHPEUXAQKNHRE-UHFFFAOYSA-N 407.517 4.939 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccccc3)C3CCOCC3)cs2)c1 734510409 VHYHWKZPFVIJFX-OAQYLSRUSA-N 409.511 4.976 5 20 HJBD COC(=O)Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 743789192 JXCYXGZIUJPYSS-UHFFFAOYSA-N 405.410 4.620 5 20 HJBD CC1CCN(c2ccc(C(=O)O[C@H](c3ccccn3)C(F)(F)F)cc2[N+](=O)[O-])CC1 747338738 OIOXGBPHCBRJGK-GOSISDBHSA-N 423.391 4.687 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 752114211 SCVDYHBVOFLUCI-NQIIRXRSSA-N 407.470 4.585 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@H](C)CCc2ccc(Br)cc2)c1[N+](=O)[O-] 753093860 MHODJZZETUYHKD-GFCCVEGCSA-N 409.255 4.556 5 20 HJBD CC[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ncc(-c2ccccc2)[nH]1 757260476 FTNGBCPAQMDGAR-QGZVFWFLSA-N 413.865 4.589 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 758876574 VUYHFWUBHDNFAT-AWEZNQCLSA-N 412.446 4.751 5 20 HJBD O=C(CCc1ccc(OC(F)F)cc1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760496503 ZTSNQCSBOYXFPU-UHFFFAOYSA-N 418.352 4.527 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 763426256 VDJFGDMLHLTHLB-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD COC(=O)COc1ccc(NCc2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc12 764618491 OZSHQSRFABUYJT-UHFFFAOYSA-N 400.818 4.565 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1F 766234796 YPWANRYQMPQARK-LBPRGKRZSA-N 422.372 4.559 5 20 HJBD CSc1ccc(C(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767189498 HVAMCNUGJZLNAM-CQSZACIVSA-N 410.417 4.965 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)s2)cc1F 769478946 RWYIPRKZIXODNU-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD COc1cccc(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 769604683 QMPHPYCOXWWVMR-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C\c2ccc([N+](=O)[O-])cc2F)c2ccccc2C1=O 777869373 JVRSDCSNGVPDQB-UNOMPAQXSA-N 402.381 4.770 5 20 HJBD O=C(N1CCc2sccc2[C@@H]1c1cccs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777917897 UVXFBJFNFPUWOY-QGZVFWFLSA-N 420.462 4.984 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CCO2)cc1 779229369 BCDHOEOUGMWUEV-CRAIPNDOSA-N 407.369 4.582 5 20 HJBD Cc1c(C(=O)N2CC=C(c3cccc4ccccc34)C2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 779681979 SICCXQYNIBVCDZ-UHFFFAOYSA-N 417.421 4.812 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813243341 NBHIHXRSOZMEFQ-UHFFFAOYSA-N 405.819 4.716 5 20 HJBD C[C@@H]1CCCCN1C[C@H]1CCCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 813454681 WGBZLVHYBICVME-ZIAGYGMSSA-N 414.333 4.628 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 874434851 CRQIDRRGMICKDN-UHFFFAOYSA-N 422.529 4.992 5 20 HJBD O=C(OCc1ccc(Br)s1)c1ccc(Br)c([N+](=O)[O-])c1 914526030 TWWCCYBVMZXKKM-UHFFFAOYSA-N 421.066 4.538 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H](COc2ccccc2F)c2ccccc2)cc1 918726700 FZJBODJOGMQGLZ-IBGZPJMESA-N 411.458 4.841 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(NC(=O)OCC(C)C)c2)cc1[N+](=O)[O-] 920224954 PPUQQJQKWSQSLD-UHFFFAOYSA-N 403.460 4.774 5 20 HJBD Cc1ccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1NC(=O)c1ccco1 921136242 FJVSYIBYTWGUDJ-UHFFFAOYSA-N 400.774 4.621 5 20 HJBD Cc1sc(NC(=O)CSCc2cccs2)nc1-c1cccc([N+](=O)[O-])c1 1115863888 HJBMKIFPJSFCCL-UHFFFAOYSA-N 405.526 4.960 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3F)CCC2)cc1 1787704730 ZYZCNGWTIHRUEP-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2ccccc2)nc2cc3ccccc3cc2c1=O 7577897 NPDIPLXQMYMISL-OAHLLOKOSA-N 403.507 4.689 5 20 HJBD C[C@@H](SCC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2[nH]1 10376358 OAELNMLKGFEDMP-GFCCVEGCSA-N 418.906 4.577 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)Nc1ccccc1-c1nc2ccccc2s1 16127222 GITDWFBHIDVREK-UHFFFAOYSA-N 411.464 4.672 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CC[C@H]4CCCC[C@@H]4C3)cc2)c(C)c1[N+](=O)[O-] 24232168 SHEUNPJUJPSINA-HMXCVIKNSA-N 410.518 4.545 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(=O)c1cccc([N+](=O)[O-])c1C 69009562 KBKKNWKLUZLIGX-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD COc1ccc(N([C@@H](C)C2CC2)S(=O)(=O)c2cc(Cl)c(C)c([N+](=O)[O-])c2)cc1 78776913 ALAKXVKMOAAPOZ-ZDUSSCGKSA-N 424.906 4.559 5 20 HJBD CC[C@H](C)NC(=O)c1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 237000320 GGOCVNLVQPSCFN-HNNXBMFYSA-N 424.526 4.536 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H]1CCCN1c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 301293815 QPSHDPWRVIONBX-INIZCTEOSA-N 423.391 4.538 5 20 HJBD Cc1ccc(NC(=O)c2cc(C(F)(F)F)ccc2Br)cc1[N+](=O)[O-] 325871343 LDUKWIFJGSGNNP-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)c(F)c1 435828835 VTEIFYIZRGNHFR-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cc(Br)cc(C(F)(F)F)c1 438638628 YOMZWCGARYNLRR-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD CC[C@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])Oc1ccccc1C(F)(F)F 439650967 RMOLDTXILCDTLV-LLVKDONJSA-N 416.783 4.854 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2ccc([N+](=O)[O-])s2)n1 439657231 ZOEANZLWHOPZQR-UHFFFAOYSA-N 406.851 4.811 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2c(F)cccc2F)CC1 439914925 ZMFCGOAQKQHXNW-UHFFFAOYSA-N 403.385 4.593 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCN(Cc2csc(-c3ccccc3)n2)CC1 441256611 JBMYWPYAOMSSEV-KRWDZBQOSA-N 408.527 4.597 5 20 HJBD Cc1nc(NC(=O)c2ccc(-c3ccccc3OC(F)(F)F)o2)ccc1[N+](=O)[O-] 445704938 OCLIMBMQIZQZHB-UHFFFAOYSA-N 407.304 4.709 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ncnc3c2c(C)c(C)n3Cc2ccccc2)c1 445937328 KBIVNZGKXGLSIW-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD COCc1cccc(CC(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 446427740 JXEYHHSBSNZRMS-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCc2c(Br)cccc2[C@H]1C 446483968 GKAXGXSZLSQTFQ-GFCCVEGCSA-N 404.264 4.817 5 20 HJBD CCOc1c(Cl)cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1OC 460366521 YIBQUAMDPWVMSH-LJQANCHMSA-N 422.890 4.543 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3sc(C)nc3C)cc2[N+](=O)[O-])n1 461033338 KJYRNPUDWIZIQA-UHFFFAOYSA-N 420.541 4.514 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2ccc(F)cc2O1 461234952 FHQMHOIMHXCYBP-HNNXBMFYSA-N 421.428 4.774 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccco2)nc2ccccn12 462623365 YSONENHIWYHTRB-INIZCTEOSA-N 404.426 4.710 5 20 HJBD O=C(N[C@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464074627 VUVZFKHWRJPKRU-MFKMUULPSA-N 402.731 4.692 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 466286195 FBOAYTSMHOWRGF-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CCC[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 467834131 PCZBHQQSZKAABB-JTSKRJEESA-N 410.518 4.653 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472124536 QLITZWRUDMJZKR-MRXNPFEDSA-N 411.405 4.936 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc2cccc(F)c2o1 475084782 MWMDFZTUQYNEQX-CQSZACIVSA-N 411.433 4.574 5 20 HJBD COc1c(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)cccc1[N+](=O)[O-] 475646785 CCWKZCPVXYIMRG-LLVKDONJSA-N 415.446 4.665 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccccc1-c1cc(F)c(F)c(F)c1 476997743 ROUAHGCEBLMFIY-UHFFFAOYSA-N 404.319 4.999 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3F)cc2)n1 477451056 VZPKYQONUGJBGI-UHFFFAOYSA-N 403.460 4.831 5 20 HJBD CC[C@H](C)c1ncc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cn1 478318663 GAMKLNIGIAFOLA-NSHDSACASA-N 408.408 4.515 5 20 HJBD COc1cc(C)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 478784098 SRCBROOYSYKNLR-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccco1)N1CCCC1 479010025 JXOVLQYRPGJFNH-SFHVURJKSA-N 417.531 4.503 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 481416967 ZLZCQNAFSYTEOI-QKKBWIMNSA-N 415.877 4.812 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489272590 VQBCARBTCVXDLO-CYBMUJFWSA-N 421.881 4.524 5 20 HJBD CC(C)[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc2nc(O)oc2c1 494451276 FXXNLSKITHPCBW-KRWDZBQOSA-N 409.364 4.947 5 20 HJBD O=C(c1ccc(Oc2ccccc2)nc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498140121 KIQBFMXIDNEYLB-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2c(Cl)cccc2Cl)cc1OC 498882530 YSRFSPURPYRRFU-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD CCC[C@H]1C[C@@H](C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 503135122 BBPNNMNXRMJLKE-YJBOKZPZSA-N 424.457 4.574 5 20 HJBD CC(C)n1nccc1[C@H]1OCC[C@@H]1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 512355248 UHENPDMBQJGHOW-UWJYYQICSA-N 412.515 4.721 5 20 HJBD Cc1cccc(Oc2ccc(Cl)cc2NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c1 512570805 YWVBPZZQXOMUNI-UHFFFAOYSA-N 400.822 4.643 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(NC(C)=O)ccc2F)cc1OC 513646565 ZRHXLPDDLFJDSE-CYBMUJFWSA-N 419.453 4.738 5 20 HJBD CCOC(=O)c1cc2cc(NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)ccc2s1 513784099 LABMXECKPKLSDX-UHFFFAOYSA-N 424.438 4.648 5 20 HJBD C[C@@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCOc2ccccc21 517991636 ISHPMQUNVZURPA-OXQOHEQNSA-N 418.449 4.669 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)CCOc3ccccc3[N+](=O)[O-])CC2)cc1 518607274 LINVIDXIWPZSJN-UHFFFAOYSA-N 412.486 4.557 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1c(Cl)cccc1[N+](=O)[O-] 518908949 DAXIBUGZMFIGJB-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 519896922 KWOBZAHVQAAOMI-NSHDSACASA-N 412.273 4.813 5 20 HJBD CCc1sc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Br 520258577 WMLVBLBAHBYPRG-UHFFFAOYSA-N 421.219 4.835 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 520435431 SKSYNPDGUMNICY-ORAYPTAESA-N 406.866 4.538 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 521169145 IWEQVWXZKVCCDJ-UHFFFAOYSA-N 409.845 4.518 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 521443432 MAKANSUDPKTFKP-IRXDYDNUSA-N 424.501 4.801 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 525074172 XYKQMSXSDPDKOX-XIKOKIGWSA-N 406.866 4.783 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)NCc3ccccc3[N+](=O)[O-])s2)cc1 532314833 AHQBKDLIARYHED-HNNXBMFYSA-N 410.499 4.896 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cccc1-c1ccccn1 533651699 IWFFHEHULOYDJZ-UHFFFAOYSA-N 417.465 4.649 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 536411458 YFZLODPTUPMTQP-CYBMUJFWSA-N 410.392 4.573 5 20 HJBD CCOc1ccc([C@H](C)NCCc2nc(-c3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 536873240 ZMALCYNXQSHDGR-ZDUSSCGKSA-N 416.865 4.590 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 536941598 XRGGZSTXBVUVFI-WMZOPIPTSA-N 424.881 4.759 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cnn(Cc4ccncc4)c3)o2)c(Cl)c1 537501234 ZEFOQAQEWRHKJQ-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])c3cccnc23)cc1Oc1ccc(C)nn1 537822709 JGXSABDBGILJKV-UHFFFAOYSA-N 403.398 4.786 5 20 HJBD COc1ccc(CCc2nnc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)o2)cc1 539693579 RDRHJULPRAICOX-UHFFFAOYSA-N 420.425 4.644 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCCC(=O)Nc1ccc([N+](=O)[O-])cc1 541659641 KARWILODRJUNIP-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542590856 BNSADBZSSSDJDC-KRWDZBQOSA-N 407.445 4.770 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1Br 543480568 BSPYVCSBANFECW-GDBMZVCRSA-N 424.270 4.556 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2csc(COc3ccc(Cl)cc3)n2)n1 543846679 OHIPRXZPRKIFMM-UHFFFAOYSA-N 405.863 4.875 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@@](=O)c4ccccc4)c3)c12 544395915 YCUGXMNTAQWKFN-GDLZYMKVSA-N 404.451 4.589 5 20 HJBD CC(C)(C(=O)N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 547231832 WFZSIBRMASSKPG-CXAGYDPISA-N 410.367 4.703 5 20 HJBD COc1ccc(CSCC(=O)N(C2CC2)[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] 553122845 OTBKOHUTNBUQGO-HNNXBMFYSA-N 400.500 4.589 5 20 HJBD CC(C)(NC(=O)c1cc(Cl)cc(F)c1Br)c1ccccc1[N+](=O)[O-] 557976056 CUAYGLVAPHWQQR-UHFFFAOYSA-N 415.646 4.815 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3c(c2)[C@H](SC)C(=O)N3)no1 570884341 ANFSICXVBJEBGJ-UGSOOPFHSA-N 424.482 4.737 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCC2CC2)c(F)c1 573113956 ZMYPYHPOHWOHFU-CQSZACIVSA-N 400.450 4.678 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(c3ccccc3)CCOCC2)no1 574318492 VDUXUVXXPVFHPU-OAHLLOKOSA-N 411.483 4.928 5 20 HJBD Cc1ccc(-c2csc3ncnc(N4CCC(n5ccc([N+](=O)[O-])n5)CC4)c23)cc1 581405006 OHZOQJXOANGDGZ-UHFFFAOYSA-N 420.498 4.613 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c2ccccc2)n1 603444280 ZDAREXXPKOANOX-UHFFFAOYSA-N 408.483 4.938 5 20 HJBD COc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])ccc1OCC(F)(F)F 603864808 WWLFUEWBDUBYKJ-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD C[C@H]1Cc2ccccc2N1C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 603920596 ZVXYLALRLFASFX-HNNXBMFYSA-N 421.522 4.865 5 20 HJBD C[C@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1 603938014 GNHBWGYXNZWVJP-VIFPVBQESA-N 410.377 4.609 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OC1CCCC1 603961994 UOHUHLGEYJOFDT-ZDUSSCGKSA-N 402.422 4.555 5 20 HJBD CCc1noc(CNc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)n1 604006884 PLYSHMJYCFEOIC-UHFFFAOYSA-N 407.352 4.915 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCC2CCN(Cc3ccccc3)CC2)o1 608836794 PKUJTAAVVYFMPY-UHFFFAOYSA-N 405.498 4.857 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)NCc2ccccc2-c2ccc(Cl)cc2)cc1 608859125 BJXGWMXTQQTZSK-UHFFFAOYSA-N 416.886 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H]3CCCN(Cc4ccccc4)C3)cs2)c1 609598674 LWZFDVMXEDBVRY-LJQANCHMSA-N 422.554 4.720 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cc([N+](=O)[O-])c1 609733637 RFLJQAXKODEWOQ-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609762475 LVVNGKAHSNRPNJ-UHFFFAOYSA-N 411.845 4.701 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(CN2CCCCCC2)cc1 609792843 LUFIHCSNKQAAFY-UHFFFAOYSA-N 401.894 4.805 5 20 HJBD COc1ccc(CSCc2nc(Cc3ccc4ccccc4n3)no2)cc1[N+](=O)[O-] 612893956 NXBQZTLZBXLBFH-UHFFFAOYSA-N 422.466 4.559 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCNc1cnccn1 727755746 GOQUGVPGVDPLKK-UHFFFAOYSA-N 422.488 4.565 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2csc3ccccc23)cc1[N+](=O)[O-] 729962661 VHQVHNIDXGQWIN-CYBMUJFWSA-N 416.524 4.929 5 20 HJBD O=C(CCC1CC1)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 734610872 QSLMNQLMMCEXTH-IBGZPJMESA-N 402.834 4.661 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1nnc(C2CCCCC2)s1 734992873 LTRXMKNVQFTQQV-UHFFFAOYSA-N 410.883 4.555 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444234 SZSJXCSTCORGDW-XFXZXTDPSA-N 401.378 4.555 5 20 HJBD COc1cc(C2(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)CCC2)ccc1C 735444549 XYOYRYBCDUORLR-UHFFFAOYSA-N 422.437 4.732 5 20 HJBD C[C@H](Sc1ncccc1Br)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 735981135 CLGZHGUABKBMLI-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@@H](CSc2ccccc2)C1 743836698 BTSKJGFHCRXPIX-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)c1 745389234 ZCSCSGGVACKCOX-LLVKDONJSA-N 421.331 4.890 5 20 HJBD C[C@](O)(c1ccc(OC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)C(F)(F)F 747250860 OODHUPYHWATDRM-HNNXBMFYSA-N 423.265 4.603 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607169 LQNKJHJGLSGVEC-NSHDSACASA-N 411.336 4.630 5 20 HJBD CCCCN(Cc1ccccc1F)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748618260 CRKOKHXSWJRJJF-UHFFFAOYSA-N 403.410 4.701 5 20 HJBD CCC(CC)(CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)SC 750890743 RLVBRSXUZYJBOG-UHFFFAOYSA-N 409.733 4.662 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751662103 IKPSNBBOJLQRMO-UHFFFAOYSA-N 417.830 4.675 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(NC(=O)N3CCCCCC3)cc2)c1[N+](=O)[O-] 753092575 KAUZETSVKDHCDV-UHFFFAOYSA-N 414.437 4.703 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1cccc([N+](=O)[O-])c1Br 753834470 OIQSBNHUTUSYEA-UHFFFAOYSA-N 409.211 4.680 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)c1 754961584 YRSUJRWLSWDIAE-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD O=C(C[C@@H]1CCCCO1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 755123558 VXOYSAUIYPCAQJ-HNNXBMFYSA-N 404.850 4.725 5 20 HJBD Cc1oc(-c2cccs2)nc1CC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755370585 FIYJQLPBHUCISN-UHFFFAOYSA-N 422.260 4.563 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc2ccc(Cl)cc2n1 760528096 DEKYRXGQEGXHSO-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762999456 OFJMYQUVOOUFQX-LBPRGKRZSA-N 422.840 4.544 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cc(Br)cc([N+](=O)[O-])c3)CC2)cc1 770134087 FXVBBPWTHRDSAB-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD COC1CCN(c2ccc(NCc3csc([N+](=O)[O-])c3)cc2C(F)(F)F)CC1 770564431 MTKYFQOTHXTAAV-UHFFFAOYSA-N 415.437 4.902 5 20 HJBD Cc1cccc2c(CC(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c[nH]c12 776137131 NCZUTLMZFXYCTE-QHCPKHFHSA-N 401.422 4.655 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137407 ZWXAIIYJEBVAAN-JLTOFOAXSA-N 412.829 4.743 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 780900450 TZQOMCDQMYNHTN-JOCHJYFZSA-N 410.473 4.858 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OCC(=O)N1CCCC1 785640936 UAYOYIQEDQHAFD-UHFFFAOYSA-N 413.473 4.713 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(F)c1Cl 789067941 FDCACBLXUAISCJ-SECBINFHSA-N 415.204 4.533 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 792297458 BTIKHSDSLXNHPC-UHFFFAOYSA-N 400.435 4.572 5 20 HJBD O=C(Nc1cccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1)c1cccc(F)c1 804361687 DZLPMEIFHCWFPP-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CCOCC1 812930215 VGAYLDKVUAIVRQ-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD COc1cccc(-c2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])n(C)n2)c1 813110435 APGIWZMKQRIKTF-UHFFFAOYSA-N 421.240 4.563 5 20 HJBD C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(C(F)(F)F)nc1Cl 816760670 CFSRRYOKTRUHCT-MRVPVSSYSA-N 412.755 4.634 5 20 HJBD C[C@H](OC(=O)C1(c2ccccc2)CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 845382867 AJWPRLZIUAXQJF-AWEZNQCLSA-N 416.861 4.630 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c([N+](=O)[O-])c1 914786070 JPNXGSHGWGHEGN-BRPSZJMVSA-N 401.263 4.824 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cccc(C)c3C(N)=O)cc2[N+](=O)[O-])cc1 916470670 OPOBFSNUCGHPOA-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccn(Cc3ccncc3)n2)o1 1319186910 OJOIQWQHWRFOLH-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD COc1ccc(-c2nnc(C(C)(C)c3ccc([N+](=O)[O-])cc3)o2)cc1Br 1326941580 WAAWARCDCJBUCW-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD Cc1ccccc1CN(C)C(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214204 KGWAIRFJYZJAGS-CYBMUJFWSA-N 401.513 4.652 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(C3CC3)n2Cc2ccccc2)cc1 54913570 PKUILVRWXWMOJZ-UHFFFAOYSA-N 410.499 4.673 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 58409629 GXFYLPUHURLWLJ-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1ccc(C(F)(F)F)cc1 64420145 IOWCAZIYJDYRLO-NSHDSACASA-N 416.783 4.693 5 20 HJBD Cc1noc(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 148190841 MDALATGXDOGTBT-UHFFFAOYSA-N 417.874 4.934 5 20 HJBD CNC(=O)c1cccc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)c1 237052522 XDKILDQSVHALPP-UHFFFAOYSA-N 421.522 4.704 5 20 HJBD O=C1CCCN1Cc1ccccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 238056745 OFFXZVSRMIXPGH-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD Cn1c(Sc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])nnc1-c1ccccn1 301708749 JLGOSCQUHFWJMT-UHFFFAOYSA-N 415.784 4.609 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 302973141 GRZKHDDJNKXLBN-DZGCQCFKSA-N 410.499 4.705 5 20 HJBD Cc1ccc(F)c2c1N(C(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCC2 303383268 WNRLMYPXJHQRJQ-UHFFFAOYSA-N 400.453 4.582 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426463698 WQCGHFCGDQGQHE-QGZVFWFLSA-N 408.458 4.575 5 20 HJBD CCCOc1cc(NC(=O)c2c(F)cccc2OC)c([N+](=O)[O-])cc1OCCC 428505967 FTDVZQSNKCVTLO-UHFFFAOYSA-N 406.410 4.572 5 20 HJBD Cc1noc(C[C@@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccc2)n1 428653718 YVVXCYSBRRRIPF-HXUWFJFHSA-N 404.426 4.620 5 20 HJBD CC(C)(NC(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1 431066152 RKNIKDHOGGVHRY-UHFFFAOYSA-N 424.482 4.965 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1cc([N+](=O)[O-])ccc1OC(F)F 432184985 JKGBRTYCXLTZAP-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD O=C(NCCc1cc(Cl)ccc1Br)c1c(Cl)cccc1[N+](=O)[O-] 435369627 FRBHVAXSPXUUEP-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437352377 DDMHXSZVGPAYNK-GOSISDBHSA-N 401.344 4.528 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437781453 ZQJVNLVTWLTEDG-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 438053458 KPDMZXINTMDXRA-JCNLHEQBSA-N 407.392 4.701 5 20 HJBD CCOc1cc(/C=C/c2ccc([N+](=O)[O-])cn2)ccc1OCC(=O)Nc1ccccc1 439522500 NPZSDYGMYVWHHC-CSKARUKUSA-N 419.437 4.576 5 20 HJBD C[C@H](Nc1ccc(Oc2cccc(S(C)(=O)=O)c2)cc1)c1ccccc1[N+](=O)[O-] 439598402 SWHFFITWXWTQDL-HNNXBMFYSA-N 412.467 4.964 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 440723320 ULSQLISZJPUJMS-UHFFFAOYSA-N 424.482 4.794 5 20 HJBD CCOC1CC(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 440893458 UKNWQLFRRBSHEX-UHFFFAOYSA-N 400.500 4.681 5 20 HJBD Cc1c(NC(=O)N(Cc2csc(Br)c2)C2CC2)cccc1[N+](=O)[O-] 443883170 XUJDLBKQRYUPHP-UHFFFAOYSA-N 410.293 4.924 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)CCC2 444013597 VRNMZQSMOUVHJE-HXUWFJFHSA-N 403.507 4.596 5 20 HJBD Cc1ccc([C@@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 444096011 NPGHUCGSYYPKJS-AWEZNQCLSA-N 406.432 4.801 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2cc(C)ccc2F)c([N+](=O)[O-])cc1OCC 444835769 KZYRWYZEPCXAPJ-UHFFFAOYSA-N 405.426 4.504 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc(O)cc3Cl)no2)c2ccccc12 445444365 IYFKQLFTORZZRC-UHFFFAOYSA-N 403.825 4.612 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Oc1cccc(-n2ccnc2)c1 447671898 SASPNGHTWQEQAH-UHFFFAOYSA-N 400.263 4.622 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(OC2CCCC2)c(F)c1 460821830 BXLZEJPGYHBOJK-UHFFFAOYSA-N 414.842 4.510 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(OC)cc1)C(F)(F)F 462600379 FEGNQRBNNRZUJF-KBXCAEBGSA-N 410.392 4.592 5 20 HJBD CN(C)C(=O)C[C@H]1CN(c2ccc([N+](=O)[O-])c3cnccc23)c2ccc(Cl)cc21 462857596 XYMQDKAFXFKNMJ-ZDUSSCGKSA-N 410.861 4.510 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](C)C[C@H]2c2ccccc2F)cc1[N+](=O)[O-] 464213633 VCJXEIXCYGLRTE-KSSFIOAISA-N 418.490 4.585 5 20 HJBD COc1cc(CNC2(c3cccc(Br)c3)CCC2)c([N+](=O)[O-])cc1F 464678121 CZESXVBQWRYPIC-UHFFFAOYSA-N 409.255 4.674 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CC[C@@]2(CCOC2)C1 466526890 KJPLQFCWIJZSRM-HXUWFJFHSA-N 418.902 4.652 5 20 HJBD C[C@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1ccccc1[N+](=O)[O-] 467835455 UCIZACQUZWFKEG-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD CC(=O)Nc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1C 467997559 CWHJCZMMCJDDIX-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Cl)cc2-c2ccc(Cl)cc2)c1[N+](=O)[O-] 471383956 MWIUNWPXEDIMTJ-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 474258583 JKSZNYVGJAJCLI-CQSZACIVSA-N 420.309 4.966 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(n3c(C)nc4ccccc43)CC2)cc1[N+](=O)[O-] 474512702 GUDGYNFCXQLDPX-MRXNPFEDSA-N 421.501 4.669 5 20 HJBD CC(C)(CF)NC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 474762014 GNRJLPJXOTVJHI-RVDMUPIBSA-N 413.474 5.000 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 475588532 XSERELQQRYWMCI-GOSISDBHSA-N 407.829 4.610 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2nc(C3CC3)oc2c1 477539499 GQKWADJIFBBQEG-UHFFFAOYSA-N 400.822 4.631 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cccnc1OCc1ccccc1F 479156514 NLDVTYYLPGKBTG-UHFFFAOYSA-N 418.384 4.508 5 20 HJBD C[S@@](=O)c1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 488020064 AZXCFRUTJSLCLR-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD COc1ccc(-c2noc([C@@H](C)SCCOc3ccccc3[N+](=O)[O-])n2)cc1 489578233 DXNQDUHVLYGNJS-CYBMUJFWSA-N 401.444 4.527 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 489661304 QEJZHVKFJUTNLU-LEWJYISDSA-N 407.514 4.654 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)C(C)C)cc1 494212426 MMELHAGZKVCINE-NRFANRHFSA-N 413.522 4.659 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CC2(CCC2)[C@H]1c1ccccc1 495475355 JXCWAEFNOGJRFW-JOCHJYFZSA-N 405.498 4.565 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1OC(F)F 497997795 DGHVTSSCWWTATB-NSHDSACASA-N 419.409 4.811 5 20 HJBD COc1ccc(-c2cncc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2)cc1 498145469 FZWTUDBUIDZLGJ-JOCHJYFZSA-N 421.478 4.553 5 20 HJBD CC(C)(C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccccc1[N+](=O)[O-] 498153016 MCLXWSAVPBFKLU-UHFFFAOYSA-N 402.410 4.714 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 503653295 CVYPXZVJYBEWTJ-NSHDSACASA-N 403.232 4.937 5 20 HJBD COCCSc1ccc([C@@H](C)N=c2cc(C)[nH]c(-c3ccc([N+](=O)[O-])cc3)n2)cc1 505600926 BKQAWDJKVNTIJO-MRXNPFEDSA-N 424.526 4.694 5 20 HJBD C[C@H](NC(=O)Nc1ccc(OC(F)F)c2ncccc12)c1cccc([N+](=O)[O-])c1 517300982 RAXZVDHSUYFBKC-NSHDSACASA-N 402.357 4.627 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cc([N+](=O)[O-])c1 519945677 YVPWXLNZCBPZFS-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](Cc1cccc(F)c1)c1cccc(F)c1 520261782 IWGRZXSCINXMIQ-OAQYLSRUSA-N 411.408 4.656 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@@H](c2nc3ccccc3s2)C1 521560124 XIDYUSGBYXFNEO-MRXNPFEDSA-N 420.494 4.565 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 521857939 ISVRQLROVFDGLG-JKSUJKDBSA-N 416.359 4.542 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)c1ccc([N+](=O)[O-])cc1Br 521943877 VSCODGLGPIMFDC-UHFFFAOYSA-N 405.273 4.844 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 523492512 ZJCPQEGBNWDJRY-OAHLLOKOSA-N 403.276 4.513 5 20 HJBD O=C(Nc1ccc(F)cc1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 533327594 BRWSNXYAWIFQHU-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD C[C@@H]1CCCC[C@H]1N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534794469 DFZKTXPDVONKFQ-RDTXWAMCSA-N 403.504 4.520 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3n[nH]c(C4CCCCC4)n3)C2)c2ccncc12 538664418 IDOVHVYLOJBKAA-INIZCTEOSA-N 406.490 4.693 5 20 HJBD CC(C)Cn1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(C(F)F)n1 539015204 LARWSQQYQOYJTF-UHFFFAOYSA-N 407.421 4.581 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 543263151 UNRXHYWEUQWDJV-INIZCTEOSA-N 406.891 4.713 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 543468567 LVVDSVGPOKRCSB-GJZGRUSLSA-N 418.465 4.902 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)cs2)cc1 544956758 YFHXJTWKBCCNTG-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD COc1cc(/C=C/c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc(Cl)c1OC 547102273 IZUHJUYBZXOWPG-QPJJXVBHSA-N 401.806 4.794 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 552296465 VVUKFBXEGGWOSV-DLBZAZTESA-N 422.403 4.617 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(Cl)cc(F)c2Br)cc1[N+](=O)[O-] 555861482 UARGNUAWSGHHOM-VIFPVBQESA-N 415.646 4.949 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 582873303 QXKAHBWIOPYBHX-LJQANCHMSA-N 424.460 4.612 5 20 HJBD Cc1ccnc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)n1 585711082 RRWZBURHUBMPBP-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD CCOc1cc(NC(=O)CCc2ccc(OC)c(Cl)c2)c([N+](=O)[O-])cc1OCC 591600371 NQALVMYTRBFRPE-UHFFFAOYSA-N 422.865 4.626 5 20 HJBD CN(Cc1ccccc1NC(=O)CCNc1ccccc1[N+](=O)[O-])C1CCCCC1 591766410 XOOJNIMQCULOGM-UHFFFAOYSA-N 410.518 4.800 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)c3ccccc3F)c2)c([N+](=O)[O-])c1 603637495 PSDJDTKEFGOSKZ-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2cccs2)c([N+](=O)[O-])cc1OCCC 603652566 IAPJRPCYJCCXOG-UHFFFAOYSA-N 407.492 4.898 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCC[C@@H]1Cc1ccccc1 606206627 UZZSGLCHELQBEH-OFNKIYASSA-N 410.517 4.682 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cnc(Cc2ccc(F)cc2)s1 608896998 JOLIDXLNCUVPRH-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 608962709 INAWOPXUQZXGNC-LJQANCHMSA-N 420.868 4.899 5 20 HJBD CCOc1cc(NC(=O)N(C)C[C@@H](C)c2ccccc2)c([N+](=O)[O-])cc1OCC 608993898 NHARZMZCPVCYPA-OAHLLOKOSA-N 401.463 4.660 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1 609130076 DJQIYEBUJQQYJF-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(O)C/C(=C\c1ccc([N+](=O)[O-])c(O)c1)c1nc2cc(C(F)(F)F)ccc2s1 609322821 MPALJQSNWIGGCD-BJMVGYQFSA-N 424.356 4.944 5 20 HJBD Cc1ccccc1OCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 609604286 WUAJCMDFHXBUBA-UHFFFAOYSA-N 419.481 4.666 5 20 HJBD CCN(C)C(=O)c1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609755072 BSVMVRRIWJVBLY-UHFFFAOYSA-N 419.437 4.731 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN(CC2CCCCC2)CC1 729051739 WVTPUEWDRNUCQE-UHFFFAOYSA-N 416.566 4.560 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1cccc2ccccc12)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 730872302 NBKBIQRIAKPWHD-BKTGTZMESA-N 422.412 4.561 5 20 HJBD CC(C)COc1ccccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 731379210 WYSCZGQYASZGOW-CQSZACIVSA-N 411.414 4.598 5 20 HJBD Cc1ccc2c(COc3ccc([N+](=O)[O-])cc3Br)cc(=O)oc2c1C 737399665 QJQQLJCEFDRGRJ-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](O)c2c(F)cccc2Cl)s1 741255362 SRPGYOIXFVCPPN-QGZVFWFLSA-N 406.866 4.939 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3nc(C)c(C)s3)cc2[N+](=O)[O-])n1 744254050 BAVHQBCRRMBJFF-UHFFFAOYSA-N 421.525 4.941 5 20 HJBD C[C@H](OC(=O)C1(c2ccc(F)cc2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744538405 VFJFWCAOSPPEDZ-ZDUSSCGKSA-N 411.389 4.510 5 20 HJBD O=C(OCCCOc1ccccc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 748010652 VDLGTAOOTMGTGK-UHFFFAOYSA-N 418.858 4.998 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccnc(Oc2ccccc2)c1 760509712 XABBVSMGZVORIS-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD C[C@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC[C@@H]1NC(=O)OC(C)(C)C 764148067 AXLJXVZAWKRXND-HOCLYGCPSA-N 407.511 4.512 5 20 HJBD Cc1ccc(CNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c(OCC(F)(F)F)c1 766561884 FWBFIVRXOIPPEV-UHFFFAOYSA-N 423.435 4.603 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 768953582 WCQKINZVDJQVQY-HSZRJFAPSA-N 419.481 4.979 5 20 HJBD COc1ccc(-c2ncc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])s2)cc1OC 774070376 VBPNWBAZNATRMX-UHFFFAOYSA-N 420.830 4.608 5 20 HJBD CCn1cc(C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)c(=O)c2cc(Cl)ccc21 778773205 VBKXDGHNKLEEDJ-CYBMUJFWSA-N 414.845 4.809 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 782776882 UICJQUKIKOWUIN-UHFFFAOYSA-N 420.425 4.984 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 788550263 UGEOYOYKDSHQRX-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 790545994 ISXGRZQFABTBFD-ABAIWWIYSA-N 416.758 4.621 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2ccccn2)cc1 790557757 CTLHAXSYKKJZHL-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 791744106 HFYWHVUGFVHMKI-GFCCVEGCSA-N 411.241 4.554 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)c1 793372665 XXDARZZAMKWABO-OAHLLOKOSA-N 409.191 4.744 5 20 HJBD COCCC[C@@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1 795093143 XZOUOLOLVRDCQG-HNNXBMFYSA-N 407.923 4.745 5 20 HJBD C[C@@]1(C[C@@H]2CCCN2Cc2c3ccccc3c(Cl)c3ccccc23)NC(=O)NC1=O 809705133 ONJKGNRGEQUUIH-OWJWWREXSA-N 421.928 4.599 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCC2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809913859 UXUOVTHVJOFZDV-UHFFFAOYSA-N 416.865 4.699 5 20 HJBD CCCN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H](C)CC(=O)Nc1ccccc1 809915344 WIRSYKYBFXINEY-AWEZNQCLSA-N 418.881 4.909 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 881466102 NNUBPEWNLHRADK-UHFFFAOYSA-N 423.900 4.907 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(C(N)=O)c1-c1ccccc1 913193361 JNYJXVRRWOAIFZ-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD COc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1NC(=O)OC(C)(C)C 916429483 XFCVZLDLVKHWAV-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD COc1cccc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 919679417 XLGNDBOSQJKCJQ-HNNXBMFYSA-N 407.426 4.529 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 1322007412 LOMZZWMYOWMBOC-AWEZNQCLSA-N 423.425 4.557 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1322121331 JNLLVOAPUDEBMU-UHFFFAOYSA-N 402.454 4.779 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)s1 1326183946 SKYUNFFYOBBDSQ-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD COc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3F)o2)ccc1OCCC(C)C 1327398343 CKKBPBNMPVPIOR-UHFFFAOYSA-N 401.394 4.884 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc2ccc(SC(F)F)cc2s1 15308912 TXRIYADKJHWAOY-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD C[C@H](Sc1nc(C(F)(F)F)nc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1 22248687 FXAIESVLXXOJLF-JTQLQIEISA-N 422.388 4.676 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)cc1 23119353 RBNNSBRYYMNWDM-NEPJUHHUSA-N 409.305 4.715 5 20 HJBD Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214147 VWGMZLXSAUAJIF-OLZOCXBDSA-N 401.513 4.871 5 20 HJBD CC(C)CC[C@@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 39665331 FFYMFSSKOZHNTE-CQSZACIVSA-N 415.456 4.771 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2C(F)(F)F)cc1 55466220 PWNJHCDOKXQWAA-CYBMUJFWSA-N 418.375 4.599 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3sccc3[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 64750007 XYBQIYNJXYZTQI-HUUCEWRRSA-N 422.510 4.984 5 20 HJBD O=C(c1cc(N[C@@H]2C[C@H]2c2c(F)cccc2Cl)ccc1[N+](=O)[O-])N1CCCC1 301350233 LATWOTGRIKUPIR-CXAGYDPISA-N 403.841 4.591 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2ccc(Br)cc2)CC1 410028981 INEDWAMVSQCDPL-UHFFFAOYSA-N 422.254 4.908 5 20 HJBD O=C(NC1CC(F)(F)C1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 430368848 ZBDDTJIHUBQGPY-UHFFFAOYSA-N 421.450 4.883 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(OCc3ccccc3)c2)c1 433726667 JZPQSJSBFMXXFM-INIZCTEOSA-N 406.438 4.673 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2-c2nc3ccccc3s2)c1[N+](=O)[O-] 433854909 QNMQYTUMSWLZEP-UHFFFAOYSA-N 407.455 4.970 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 435378223 HJKUZWCYCVJZIH-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD COc1cccc(C(=O)N[C@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1[N+](=O)[O-] 435730878 GQODFBXHEIFXLB-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(c2ccccc2Br)CC1 439031600 BTLXBGIYSLNCRY-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(C1CC1)[C@H]1CCc2ccccc21 446023827 JSOXZCDDVFKIFA-ZDUSSCGKSA-N 407.289 4.711 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])c3cnccc23)cc1 446846822 FDRUYYBXPGOPNN-MRXNPFEDSA-N 422.485 4.611 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(OC2CCCC2)CC1 447678393 ZLVASKCKQBZJLS-UHFFFAOYSA-N 409.355 4.572 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 460418626 ABCLNZVBVZIMQP-HXUWFJFHSA-N 406.486 4.572 5 20 HJBD Cc1cc(Sc2nnc([C@H](C)N(C)C)n2-c2ccc(F)cc2)c(F)cc1[N+](=O)[O-] 461722267 XMQYLHJDUZDCSU-LBPRGKRZSA-N 419.457 4.536 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)c1C 462771865 HGHFZBXICADOLV-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(C)c(C)c3ncccc23)cc1[N+](=O)[O-] 464471275 XWHOWIKRRFUJCT-UHFFFAOYSA-N 411.483 4.640 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 466878059 PPMYECLEKJJSKC-TZIWHRDSSA-N 410.517 4.949 5 20 HJBD Cc1nc(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)ccc1[N+](=O)[O-] 471638580 TXLGEUNIEKJXQH-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(O)(Cc4cccc(F)c4)CC3)o2)c1 473064320 GNZZHKXWVNWGMO-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)ccc1O 481571701 QCEJFVAPKGSNHI-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD Cc1ccc([C@@H](N[C@@H](C)c2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 483826606 WAANGTYZPYYLNJ-GAJHUEQPSA-N 424.522 4.747 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CC1)c1sc(Br)cc1[N+](=O)[O-] 485517526 PQCNZGYCCWTVHH-UHFFFAOYSA-N 415.696 4.534 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCC(c3ccsc3)CC2)cc1[N+](=O)[O-] 485689423 DQRZANNHAMNXPN-UHFFFAOYSA-N 409.305 4.747 5 20 HJBD O=C(NCCOC1CCCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 490816135 BBAUCRFKEZRRCJ-UHFFFAOYSA-N 400.500 4.825 5 20 HJBD CCOc1cc(CN(C)[C@@H](c2ccc(Cl)cc2)c2cnccn2)ccc1[N+](=O)[O-] 492718688 BFOLRWKOJDJNCG-NRFANRHFSA-N 412.877 4.658 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(C)=O)cc1Cl 503021933 CQLUOJUCPPQOKO-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2c[nH]c3ncccc23)CC1 505723751 ULABKJVAXZBALB-UHFFFAOYSA-N 422.510 4.903 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc(Br)c(C)c([N+](=O)[O-])c3)cc2)o1 509850466 FRZJIKRFAKBAOE-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510854894 SOJUCPSTZDXCSD-UHFFFAOYSA-N 419.306 4.879 5 20 HJBD COc1cc(OCC(=O)N2CC[C@H](C)Sc3ccc(Cl)cc32)ccc1[N+](=O)[O-] 519049582 YORHBRKTAANBFQ-LBPRGKRZSA-N 422.890 4.553 5 20 HJBD CCCN(Cc1ccccc1Br)Cc1cc([N+](=O)[O-])cc2c1OCOC2 521192492 AKHOPOWGORJNID-UHFFFAOYSA-N 421.291 4.636 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)cc1[N+](=O)[O-] 524549645 JNMZQDKGYNVSOD-PMERELPUSA-N 423.494 4.587 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 524554907 KEYNOLJYXUKSIV-HXPMCKFVSA-N 400.866 4.571 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Cc2nc3ccccc3s2)cc1 525117842 DDPJQWDPFVQCBL-UHFFFAOYSA-N 403.463 4.977 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 530544227 OHRFENOUIJVTNO-HXUWFJFHSA-N 423.448 4.561 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1-c1nc2ccccc2[nH]1 535389293 SGSHTRZMQSABBI-UHFFFAOYSA-N 411.421 4.801 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)n1 536507627 IIWXNCQSSIGYIX-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD C[C@H]1CN(C)CC[C@H]1NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 536855680 BUWZVRLGTHDJHA-SCLBCKFNSA-N 407.873 4.609 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Sc2nc(C)cc(C)n2)cc1 536866388 PLESLGPGIGECII-UHFFFAOYSA-N 424.482 4.804 5 20 HJBD O=C(C1CCN(C/C=C\c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)C1CC1 537616544 FIZACWNHFVRZRO-POHAHGRESA-N 419.525 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1 538600296 WUZHVGJIWWBCDF-WBVHZDCISA-N 408.376 4.688 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 540224332 ZPSKQSAWERWTNJ-UHFFFAOYSA-N 409.364 4.574 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F 542562532 PYTMOIHKBNYDDX-KGLIPLIRSA-N 409.408 4.642 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc([N+](=O)[O-])cc4F)CC3)c2c1 542713619 ZXGKEOZXOCHXDG-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C2CCCCC2)no1 544507430 RXUDCUIURBKELM-CQSZACIVSA-N 411.462 4.574 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 545765743 XWATUORGZZDJGR-RUDMXATFSA-N 402.204 4.586 5 20 HJBD CCC(C)(C)C1CCC2(CC1)C[C@@H]2C(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 557036288 YGAMUHCEWZNSSZ-ASNBIDDJSA-N 402.539 4.588 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559233461 BYJTXPQRSOZQPN-UHFFFAOYSA-N 415.780 4.807 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@H](c4cc5ccccc5[nH]4)C3)n2)cc1 603890136 RLKICGFLLXGTCG-KRWDZBQOSA-N 403.442 4.506 5 20 HJBD CSc1ccc(C(=O)N2CCc3[nH]c4ccc(Cl)cc4c3C2)cc1[N+](=O)[O-] 604103989 XEJRJDFWVSGTCE-UHFFFAOYSA-N 401.875 4.650 5 20 HJBD COc1cc(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCCC(C)C 604129895 GDMKONMVEPCZKY-MRXNPFEDSA-N 415.490 4.589 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(C(F)(F)F)c3)CC2)c1 608948346 GFPMWUSUOSUSGU-UHFFFAOYSA-N 410.417 4.797 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 609011301 NPYDMVRNBPGSSE-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ccc(F)c(F)c2)CC1 609194929 REJTUPLNIBVOOV-ZDUSSCGKSA-N 414.412 4.864 5 20 HJBD C[C@H](NC(=O)CCCCOc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 609410113 FTEUEYNCLUAGMC-AWEZNQCLSA-N 421.291 4.784 5 20 HJBD CC[S@@](=O)c1ccccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762781 UGQIHSBOVCESCL-GDLZYMKVSA-N 410.451 4.767 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NCc3nc(Cc4ccccc4)no3)c2)cc1 609765200 OOPTZXONTXWVQX-UHFFFAOYSA-N 402.410 4.973 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610040794 GWUOYJAVDGMUIJ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1F 610051941 ZWAAIZHDNROBQF-UHFFFAOYSA-N 416.430 4.904 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 672870815 QLJBZGGTXCVIKC-MRXNPFEDSA-N 408.461 4.739 5 20 HJBD Cc1nc(-c2cnn(C)c2)sc1C(=O)OCc1nc2ccccc2c2ccccc12 734608857 SWCIGMPGMMQKHY-UHFFFAOYSA-N 414.490 4.910 5 20 HJBD CCOc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 739618802 WJTNBKXBUQIQOM-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD Cc1ccc2c(COc3ccc(Br)cc3[N+](=O)[O-])cc(=O)oc2c1C 741854740 CGAFCEKFDBJJAS-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD O=C(Oc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 741880420 KNQXPBDOAXLMBA-UHFFFAOYSA-N 406.181 4.703 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@@H](C(=O)c1ccccc1)c1ccccc1 744623527 SGGZPXWSOVFDPF-XMMPIXPASA-N 414.417 4.717 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1cccc(C(F)(F)F)c1 745482626 GNTFKIODZDIILB-FMIVXFBMSA-N 422.359 4.507 5 20 HJBD O=C(Nc1ccccc1-c1cc(F)c(F)c(F)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 747315143 UKNXYFDIKFHFEQ-UHFFFAOYSA-N 417.299 4.840 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 750966104 QCQKNVYFBDLJCY-NSHDSACASA-N 417.215 4.930 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 770083523 DNWSKEYADXDWEL-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD O=C(Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1)c1cc(F)ccc1[N+](=O)[O-] 770272935 WUJJHHZAHQHQSL-UHFFFAOYSA-N 418.253 4.517 5 20 HJBD CC(C)c1[nH]nc(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)c1[N+](=O)[O-] 771121498 FZJJJPDFYGZAMT-UHFFFAOYSA-N 414.422 4.505 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)Nc1ccc(Br)cc1)C1CC1 783747116 DWIGDZDYXJTYMA-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)CC2 813507708 RGIMNPREHJLMGZ-UHFFFAOYSA-N 417.487 4.539 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c(C)c1 815511531 NJQBMJAITYRKPO-CYBMUJFWSA-N 424.375 4.723 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2SC(F)(F)F)c([N+](=O)[O-])cc1OC 916061417 JEYVMOOWTNMIFA-UHFFFAOYSA-N 416.377 4.866 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC1CCN(c2cccc(OC)c2)CC1 1324697634 CGZFYQYMNDLJKC-QGZVFWFLSA-N 415.559 4.733 5 20 HJBD CCn1c([C@H]2CCCN2c2ncnc3c(Cl)cc([N+](=O)[O-])cc23)nc2ccccc21 1327269534 RSXQPNYYDOODBJ-GOSISDBHSA-N 422.876 4.903 5 20 HJBD COC(=O)c1cccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1327419966 ZYONGYAEGPFQSY-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD Cc1sc2ncnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2c1C 6113022 PWRGJOXHXQLBRO-UHFFFAOYSA-N 408.892 4.601 5 20 HJBD O=C(Nc1nc(-c2ccc(Cl)cc2)cs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 6258351 APVQMBFZXIRAHH-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CCCOc1ccc(Cl)cc1Cl 14601157 ZEZVAFYILBSYLZ-UHFFFAOYSA-N 400.214 4.675 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2NC(=O)c2ccc([N+](=O)[O-])o2)cc1 24650132 OCQQPUXZCJZYAZ-UHFFFAOYSA-N 407.426 4.990 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](c1ccc2c(c1)CCCC2)c1cccs1 24658886 AWKMPAZBMPRGJU-HSZRJFAPSA-N 406.507 4.983 5 20 HJBD C[C@@H]1CCCN(Cc2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)n2)C1 28677702 MFJCRHUUQWPMRQ-GFCCVEGCSA-N 416.528 4.750 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 57070431 FYXDTJPMBMMCQZ-GFCCVEGCSA-N 412.364 4.966 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64908779 KLTJIMHQKDDYBY-UHFFFAOYSA-N 422.510 4.957 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 65724113 YKKOAZFGTOYHOO-UHFFFAOYSA-N 417.387 4.760 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCc2cc(Cl)cc(F)c21 78771129 PBTPHSZSMABFBH-UHFFFAOYSA-N 420.871 4.927 5 20 HJBD CN(Cc1ccncc1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 145062063 LWSWGTRYGOHGNG-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD CN(C(=O)c1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1)C1CCCCC1 213681164 OTYFKFWPNLNBKL-SXGWCWSVSA-N 407.470 4.651 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CNCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 237011989 NOXBWTOBFSZQBN-KRWDZBQOSA-N 408.502 4.771 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c2ncccc12 301956787 MZLWOLHGQNRXIG-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](c3ccccc3C)C[C@H]2C)cc1[N+](=O)[O-] 303726620 CHFSTTVHTZBIIX-AEFFLSMTSA-N 414.527 4.550 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 410029584 MKEYISMPEBYDCM-OAHLLOKOSA-N 420.469 4.716 5 20 HJBD COc1cc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc(Cl)c1OC 426440183 OIWSIGHYQVRNIT-UHFFFAOYSA-N 403.822 4.641 5 20 HJBD CC(C)(NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F)c1ccccc1[N+](=O)[O-] 429244641 HFWNVLKZDZBJNC-UHFFFAOYSA-N 415.799 4.730 5 20 HJBD Cc1cc([C@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2F)ccc1Br 431140783 WJDHDZHOTRMZRA-GOSISDBHSA-N 421.266 4.711 5 20 HJBD COc1cc([C@H](C)Nc2ncc(Cl)cc2[N+](=O)[O-])ccc1OCc1ccncc1 434955955 QJYATVVQPCMHDG-ZDUSSCGKSA-N 414.849 4.799 5 20 HJBD Cn1c(SCc2nc(C3CCCCC3)cs2)nc2cc([N+](=O)[O-])ccc2c1=O 436204711 APWNYNVJLPRORY-UHFFFAOYSA-N 416.528 4.638 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N(CC1CC1)c1ccccc1 437283611 AXKWCPWJDCJLRU-UHFFFAOYSA-N 401.271 4.507 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCC[C@@H]2CNC(=O)Nc2ccccc2)cc1[N+](=O)[O-] 439418384 VMGRQESZWKQZNA-LJQANCHMSA-N 424.501 4.535 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(SCCOC)cc1 439879838 HNNWCIOCLASJCL-AWEZNQCLSA-N 419.503 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](c1ccc(Cl)cc1)c1nccn1C 443951989 VMXIZOQQTIMJDY-GOSISDBHSA-N 413.865 4.510 5 20 HJBD C[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(Cl)c1 444097410 UGSIRGMDOCCJCH-AWEZNQCLSA-N 406.869 4.532 5 20 HJBD O=C(C[C@H](Cc1ccccc1)c1ccccc1)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444636118 MRTIMFVTBJTGRU-IBGZPJMESA-N 414.465 4.504 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3cccc(Cl)c3Cl)no2)cc1[N+](=O)[O-] 445380040 HYRPZSYEJDXANJ-UHFFFAOYSA-N 421.240 4.695 5 20 HJBD CN(CCOCC1CC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 446435264 WMLNSTOPGVWJOU-UHFFFAOYSA-N 404.850 4.539 5 20 HJBD Cc1nc(NC(=O)[C@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])sc1C 447358484 ZAELZLWIQXMXEN-VIFPVBQESA-N 416.322 4.550 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 448102444 KQCJGBKOFJIXOC-ZDUSSCGKSA-N 415.471 4.541 5 20 HJBD O=C(COc1c(F)cccc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 463567009 DBAMNKBCYRWHDL-HNNXBMFYSA-N 413.232 4.783 5 20 HJBD C[C@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 464517466 FJMPPHZDGWNLIC-DJJJIMSYSA-N 407.392 4.635 5 20 HJBD COc1ccc(CN[C@H]2COc3ccc(F)cc32)cc1OCc1ccc([N+](=O)[O-])cc1 466467151 VMQFLGVLFVGTIB-FQEVSTJZSA-N 424.428 4.545 5 20 HJBD Cc1cccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1Br 470037859 GJCPCTMRYXIEFG-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cccnc1SCc1ccc(F)cc1 470705869 BJPYGUIFFARRHV-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD Cc1cc(Sc2nnc(-c3ccccc3F)n2Cc2ccccc2)ncc1[N+](=O)[O-] 470869608 HJIZQDBVPPNYJA-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC1(c2ccc(Cl)cc2)CCOCC1 475570706 ZKGKQXIHWDAFBZ-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c1 477976026 NBLRTTFKSWQQMO-UHFFFAOYSA-N 419.485 4.849 5 20 HJBD COc1cc(CNc2ccc(-c3ccc(OC)c(Cl)c3)cn2)c([N+](=O)[O-])cc1O 479781095 NWBIXFVFRFKRNS-UHFFFAOYSA-N 415.833 4.645 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)ccc1[N+](=O)[O-] 479955020 HLQCEXGRNWUCCM-LJQANCHMSA-N 408.458 4.511 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485491961 NYGXAVWRRSEKHN-ONGXEEELSA-N 413.268 4.576 5 20 HJBD O=C(N[C@H]1CCOc2c(F)cccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485698683 UJNCOKZHFKTKSM-FQEVSTJZSA-N 422.412 4.567 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cc(Cl)ccc2s1 485967782 QDOQYFWGGDJLSX-UHFFFAOYSA-N 407.904 4.900 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2ccc3c(c2)CCC3)nnc1-c1ccc([N+](=O)[O-])cc1 489438925 MRBRHEXVIFTWHU-AWEZNQCLSA-N 422.510 4.725 5 20 HJBD CC(C)(NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 490818262 ZOTPTVCCUUQHEY-QGZVFWFLSA-N 420.391 4.914 5 20 HJBD O=C(Nc1cc(F)ccc1Br)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494006687 KUECRPGLWOHJIY-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1ccccn1 497895578 ATPIGCMKBAASAT-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD CC1CCN([C@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c2cccs2)CC1 498054480 NWECRLKELBEFBK-MRXNPFEDSA-N 407.923 4.513 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2C(=O)COc2c(Cl)cncc2[N+](=O)[O-])cc1 506537478 CMCUKZJTSHAKKD-QGZVFWFLSA-N 417.893 4.683 5 20 HJBD CC(=O)c1ccc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)c([N+](=O)[O-])c1 506751648 QGHOUALUMUKWSI-UHFFFAOYSA-N 420.469 4.529 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(F)cc1C(F)(F)F 509343224 OEAVVGBMUMOUFE-UHFFFAOYSA-N 413.371 4.873 5 20 HJBD CC1(c2nc3ccccc3s2)CCN(CCC(=O)Nc2ccccc2[N+](=O)[O-])CC1 511065746 PJMDNABSMNVWMG-UHFFFAOYSA-N 424.526 4.587 5 20 HJBD CCOc1cc(C(=O)N(CC)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 512436370 PAQRCLWAIYZQRE-UHFFFAOYSA-N 412.364 4.688 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc(F)cc1Cl)c1ccccc1[N+](=O)[O-] 515376891 MUOIUBOWICKZBW-INIZCTEOSA-N 423.828 4.592 5 20 HJBD Cc1ccccc1N(C(=O)c1ccc([N+](=O)[O-])cc1I)C(C)C 517937405 SEMDDGJPFJHKSW-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c(C)c2c1 522733015 HLVZQSGTNIUXNL-GFCCVEGCSA-N 402.834 4.731 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)cccc1OC(F)F 526024114 PSRILZLXTZKPKX-UHFFFAOYSA-N 414.405 4.766 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(SCc2ccccc2)cn1 533984096 PGUQHJJBZZKGQG-UHFFFAOYSA-N 418.478 4.945 5 20 HJBD CCOc1cc(Sc2nnc(-c3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 536532275 KLCTUNHGSJTLAU-UHFFFAOYSA-N 422.260 4.957 5 20 HJBD CN(C(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151272 ZAXBFAZFNYCCJI-HUUCEWRRSA-N 415.421 4.763 5 20 HJBD O=C(N[C@H]1CCCOCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 537334994 ZLOINEWJRVVZQX-AWEZNQCLSA-N 406.891 4.698 5 20 HJBD O=C(O)C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(Cl)c(F)c1 537411113 KTLUYUIAMDZMFE-AWEZNQCLSA-N 422.821 4.548 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(CCC(F)(F)F)cc1 538693865 ZVPHBXCKMIGYSI-UHFFFAOYSA-N 400.784 4.716 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(OCC(F)F)c1 540393050 QDNKDTPMNPTBBB-UHFFFAOYSA-N 410.442 4.669 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)cs1 541085279 OAVPVIBHEWCPLX-LBPRGKRZSA-N 400.300 4.948 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(CCCOc2ccc(F)cc2)no1 546352938 OHSGKTHTJSYBND-GFCCVEGCSA-N 421.812 4.922 5 20 HJBD CC(C)c1ccc(-c2noc(CCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)n2)cc1 546965800 UCUBCILUVLLEPQ-UHFFFAOYSA-N 405.414 4.603 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)c2ccc(OC)cc2)c1 549200371 SOAUBACNPDHYFG-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(CCC(F)(F)F)no2)cc1[N+](=O)[O-])c1ccccn1 555086976 JZXKUXLPVOLHTN-LLVKDONJSA-N 407.352 4.708 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCc2cccc(COCc3ccccc3)c2)n1 556063582 IWFHYHFKJHRJBP-PKTZIBPZSA-N 420.513 4.782 5 20 HJBD COc1c(-c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)cnc2ccccc12 556290821 NUUYGVKHBJFXTN-UHFFFAOYSA-N 416.315 4.887 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)N3CCc4c(cccc4[N+](=O)[O-])C3)cc2s1 562428729 GHUHWZIKTRNZTI-UHFFFAOYSA-N 402.863 4.757 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](CO)c1ccccc1Cl 567138071 AIDSXCJKDRXMNQ-CQSZACIVSA-N 422.934 4.502 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccn(-c2ccc(Cl)cc2Cl)n1 580455308 ABHXCKKVQLCNHN-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CC(C)CN1C(=O)c2ccccc2N[C@@H]1c1ccc(Br)cc1[N+](=O)[O-] 602759596 NAKOSCIMZPSUBZ-KRWDZBQOSA-N 404.264 4.580 5 20 HJBD Cc1c(NC(=O)NC[C@@H](c2cccs2)N2CCC(C)CC2)cccc1[N+](=O)[O-] 603645767 YDUIFHCJYDZSQM-SFHVURJKSA-N 402.520 4.559 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(OC(F)F)c1 603760698 QWHKIZYBANKNQY-UHFFFAOYSA-N 422.331 4.946 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)cc1 604376540 MPCQALYLCFLYQL-GOSISDBHSA-N 422.915 4.519 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 604492812 XTOOZUZDTOPLHV-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)cc1[N+](=O)[O-] 609010495 FEWQXJBVKKFVRU-MRXNPFEDSA-N 410.495 4.997 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609727637 QATOWASXGXCYSU-UHFFFAOYSA-N 419.865 4.559 5 20 HJBD O=C(CCc1nc(-c2ccco2)no1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755681 PAOPPKVGJBYVFB-UHFFFAOYSA-N 420.381 4.601 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@@H](C[C@H]2CCOC2)C1 611355700 GZVORGSTYZIPAF-ZWKOTPCHSA-N 410.470 4.666 5 20 HJBD O=C(Nc1cccc2c1OCCC2=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611547467 YOWHJQZRHXVLBO-UHFFFAOYSA-N 412.467 4.847 5 20 HJBD CCCCOc1cccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 612001531 RVJIWQZOVSXUOB-UHFFFAOYSA-N 414.505 4.650 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)n1 615016225 BFWBRFDYVDEBLG-GFCCVEGCSA-N 417.372 4.646 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1ccc(Br)c([N+](=O)[O-])c1 728005976 FDQLXUKINKOHSI-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(OCc1ncc(-c2cccs2)o1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 731517512 XBWMBDMEJATUIC-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD C[C@@H](OC(=O)c1ccc(NC(=O)OCC(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 744070712 OYDKJVJYFTUKNB-LLVKDONJSA-N 412.320 4.624 5 20 HJBD Cc1c(C(=O)OCc2ncc(-c3ccccc3Br)o2)cccc1[N+](=O)[O-] 744496383 ZRSOUXNIEROUCL-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1cc(Cl)ccc1[N+](=O)[O-] 746052140 ZQTNYJIFHIBFQJ-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2ccccc2)no1 747123885 JGWWREDQHHGQAQ-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD CC(C)C(=O)Nc1cccc(OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 752365629 QNCYPJMBAALNDT-UHFFFAOYSA-N 408.863 4.540 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 756840270 KJWBUUANGRLOSU-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cnc(Cl)c1Br 759280334 YDKWYCKUBZSAEI-UHFFFAOYSA-N 421.638 4.515 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](COC(C)C)c2cccc(F)c2)cc1SC 760655391 XRJKTSOIIHXXIW-GOSISDBHSA-N 423.462 4.788 5 20 HJBD O=C(Cc1ccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1)N1CCc2ccccc21 761516116 LZOOARWVWYWUTH-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C[C@H](C)N(C)C(=O)OC(C)(C)C)no1 764915008 IYCYXTQOXVSVBA-GOEBONIOSA-N 418.494 4.512 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC[C@@H](O)c1ccc([N+](=O)[O-])cc1 765845655 RSMIBICXHRMTFI-KZULUSFZSA-N 401.463 4.550 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)OC(C)(C)C 766243121 ZUQGDZOWZYFDFI-RDJZCZTQSA-N 420.510 4.511 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C/c2ccc([N+](=O)[O-])cc2F)c2ccccc2C1=O 777869374 JVRSDCSNGVPDQB-XDHOZWIPSA-N 402.381 4.770 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC(C)C)c(OC)c1 779837876 XANMYZISFQSINS-UHFFFAOYSA-N 418.515 4.823 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1cc2ccccc2s1 780634161 LHNWGHYQKTZNSY-MRXNPFEDSA-N 416.524 4.775 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cc(Cl)ncc1Cl 782227844 WSKOJDVIWUHLMF-UHFFFAOYSA-N 416.220 4.780 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 798123171 SOECDLKRJQXKHM-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1ccccc1Sc1ccccc1[N+](=O)[O-] 799342729 XQTJEDUJNIBDBW-UHFFFAOYSA-N 423.450 4.627 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H](c1cccc(F)c1)c1cnn(C)c1 809947915 PLZWRIAFEGBHID-GOSISDBHSA-N 423.275 4.996 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 811489168 YHCFXSBHTHLLGF-UHFFFAOYSA-N 424.328 4.643 5 20 HJBD C[C@H](CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(F)c(Br)c1 812856633 WMDDGOVBCBYZIK-MRVPVSSYSA-N 417.181 4.907 5 20 HJBD O=C(NCc1cc(Br)ccc1F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812882418 RFXVRACHYNUUBQ-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813016008 PGASEZAEZNDOQL-UHFFFAOYSA-N 412.229 4.563 5 20 HJBD CCCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1cccc(F)c1 813244464 CYXPYOPDWKWZGV-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD Cc1nc(-c2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)co1 933365559 CGAVBTFMKKMAKP-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccccc2C(F)(F)F)CC1 1319183896 OHFWUHBIXAXBHE-UHFFFAOYSA-N 405.376 4.585 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(-c2nnc(-c3ccc(Br)s3)o2)c1 1327295172 UOLPIFPXKUNJKN-UHFFFAOYSA-N 418.175 4.737 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1 15993358 IYTQCPWEUVSPGS-UHFFFAOYSA-N 422.331 4.946 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 16800369 VILNOOZDXULDEE-NSHDSACASA-N 418.858 4.955 5 20 HJBD CC(C)c1cc(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2n1 18024151 BRJSTARWZHRLSW-UHFFFAOYSA-N 418.409 4.673 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc2c(n1-c1ccccc1)CCCC2 21120394 LMMIWTPOLPAJKB-UHFFFAOYSA-N 422.510 4.699 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2ccccn2)c1 26246680 UUVJOSCQOBAVFF-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc(Cl)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105585812 ZHIWWESMGVEPMA-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD CCOc1cc(NC(=O)c2csc(-c3ccccc3)n2)c([N+](=O)[O-])cc1OCC 302892082 HDXGLOFDXYOGBU-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(F)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 433975028 RLOSFJHEHDKTIZ-PZJWPPBQSA-N 406.413 4.599 5 20 HJBD CCn1c(SCc2nc3cc([N+](=O)[O-])ccc3o2)nnc1-c1cccc(Cl)c1 434806273 HJRWKJFCIHYURS-UHFFFAOYSA-N 415.862 4.960 5 20 HJBD COc1cccc(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)c1[N+](=O)[O-] 435816343 CWJQTBVKXVQAQQ-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD CCOc1cc(C(=O)N(C)c2nc3cc(Cl)ccc3s2)c([N+](=O)[O-])cc1OC 435960372 AWOHDVVHTKICJB-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccc(Oc2cccc(F)c2)nc1 436394502 WKBRNHTZLJACMP-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(-c3ncc(C(C)C)o3)cc2)cc1[N+](=O)[O-] 438505796 QVBFWLSXIQYYEP-UHFFFAOYSA-N 410.430 4.704 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)Cc2ccccc2[N+](=O)[O-])n1 439644148 HCRNVZKDXRDJHT-UHFFFAOYSA-N 414.849 4.678 5 20 HJBD CC[C@@H](CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])Oc1cccc(Cl)c1 440627407 QGUZBHYVOADPTI-ZDUSSCGKSA-N 424.906 4.566 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc([N+](=O)[O-])cc3F)s2)c(F)c1 443421440 XZYXJVGJGGYCSK-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)Nc3cc(Cl)c(Cl)cn3)cc2[N+](=O)[O-])C1 443974681 VYMYTCVGZJICLB-LLVKDONJSA-N 409.273 4.785 5 20 HJBD CC[C@@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 444090737 ZDMZYFYTEMQGRX-LJQANCHMSA-N 410.395 4.980 5 20 HJBD O=C(NCCCCCc1ccc(F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444328831 NPXDIYVVQOFTOF-UHFFFAOYSA-N 402.469 4.963 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1sc(Br)cc1[N+](=O)[O-] 444828580 OGZMQOXWENJPCW-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD CCCCc1ccc(NC(=O)Cc2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 445322369 NMFDVBVRTQEQFX-UHFFFAOYSA-N 414.849 4.822 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 446107081 FQTPAIKWCXKYKR-VIFPVBQESA-N 423.210 4.842 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCCc2n[nH]cc21 447570698 HJCSUUHGTCOJKM-UHFFFAOYSA-N 414.874 4.716 5 20 HJBD O=C1c2cc(Cl)ccc2N[C@@H](/C=C\c2ccccc2[N+](=O)[O-])N1c1ccncc1 463013711 SWHNAQWMFLEGIF-DASIIQCKSA-N 406.829 4.755 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464662953 NMIHKWGCXPJWJN-UHFFFAOYSA-N 420.465 4.857 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2)nc2ccccc21 467504276 RULDGHRDFPSIII-FQEVSTJZSA-N 424.526 4.981 5 20 HJBD Cc1cc(Br)cc(C)c1N(CC(F)F)C(=O)c1csc([N+](=O)[O-])c1 471998553 MFDBAHODCRYEGD-UHFFFAOYSA-N 419.247 4.948 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C)c(NC(=O)N(C)C)c3)o2)c([N+](=O)[O-])c1 475701017 LBLZDBRQUOMQLL-UHFFFAOYSA-N 424.457 4.877 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 477884232 WAEJNUPFGNWBKS-OAQYLSRUSA-N 400.500 4.777 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 478648228 BJCIPKMPQAFEMJ-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1ccc([N+](=O)[O-])cc1Br 480163520 FCPLDIVFIITEQL-UHFFFAOYSA-N 409.211 4.680 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Sc3nncs3)cc2)c1[N+](=O)[O-] 485752258 HAAJKGQCFAJAOM-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD COc1ccccc1C1(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])CCCC1 486231445 MGXIGPIDUJSVAU-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 487374104 QXFWJSVUZQOQSW-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1Cl)OCO2 488479020 DZYKFRLEIMYALX-UHFFFAOYSA-N 408.863 4.977 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1cccc(Br)c1 488742941 GOFNDHUZDJYMKU-JTQLQIEISA-N 416.318 4.614 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)c1cnn(C)c1 489600457 XGUZEPSRYPHGRG-LBPRGKRZSA-N 416.890 4.624 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 489649156 NGTGXVROGSECFO-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(F)cccc2n1C 489976795 AROMPAUMFXGDKP-UHFFFAOYSA-N 402.451 4.621 5 20 HJBD C[C@@H](NC(=O)N[C@@H]1CSc2ccc(Br)cc21)c1cccc([N+](=O)[O-])c1 494672402 GXHQMJWXEUTJOC-MEBBXXQBSA-N 422.304 4.565 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 495389243 GZDXUIIBUCLNNT-UHFFFAOYSA-N 418.497 4.829 5 20 HJBD Cc1cc(-c2cc(C(=O)NCc3ccccc3[N+](=O)[O-])c3c(C)noc3n2)c(C)s1 500771127 DYYSJJGJMDLILR-UHFFFAOYSA-N 422.466 4.715 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2ccc([N+](=O)[O-])s2)cc1 501658583 NCRTZLRXZSKIBP-UHFFFAOYSA-N 413.455 4.562 5 20 HJBD Cn1cc(CNc2ccc(Cl)c(OC(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 503915848 XSIFRSUGFMGVTH-UHFFFAOYSA-N 408.792 4.862 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](OC)c1ccc(OC)cc1 504564586 WMLOJXQCCKUKSR-VBKZILBWSA-N 418.515 4.612 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 504586641 VLWXCJZUYIIZAX-HKUYNNGSSA-N 422.453 4.635 5 20 HJBD O=C(Nc1ccccc1)[C@@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 506281255 MEVKPPUMAORCCN-QGZVFWFLSA-N 422.510 4.569 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)C1 509691763 DQDQRZOEKLOBLZ-AWEZNQCLSA-N 403.276 4.604 5 20 HJBD COc1ccc(CSCC(=O)NCC(C)(C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 515732414 PZPPOPGMTPKQBG-UHFFFAOYSA-N 422.934 4.584 5 20 HJBD Cc1ccccc1COc1cccc(CC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 520680401 MFXXPFYSQJPLAM-SFHVURJKSA-N 404.466 4.902 5 20 HJBD CCN(CC)c1nc2sc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cc2s1 522872762 NHQLJZZTJAPEML-UHFFFAOYSA-N 416.528 4.705 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(NC(=O)c3cccs3)cc2)cc1[N+](=O)[O-] 523334431 VROCAVWBBMSONZ-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 524323070 JPBIYYIDGZZPTO-INIZCTEOSA-N 409.269 4.816 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 533941126 ALYHPBITZHNDME-UHFFFAOYSA-N 422.466 4.698 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3cc(Br)ccc3Cl)o2)cc1 534399184 BYPDEZTWNBZZDW-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)c2C)c1 535215794 VDJJOCSWXXLPPR-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])n1[C@H]1CCC[C@H](C)C1 536090145 GHRXYYUOUWNNKS-FZMZJTMJSA-N 423.926 4.630 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C 536982049 OHHWOUBQUCQBNU-PXAZEXFGSA-N 422.279 4.563 5 20 HJBD Cc1nc(C)c(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)o1 538246501 UQMZGEXMPLWQBW-UHFFFAOYSA-N 400.460 4.626 5 20 HJBD CCOc1ccc([C@H](C)NCCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 540179121 VIOYPQBXKSQXEW-ZDUSSCGKSA-N 416.865 4.590 5 20 HJBD COc1ccc(CSCc2nc(Cc3cccc(Cl)c3F)no2)cc1[N+](=O)[O-] 546410716 CWZUJHZXVPPWLI-UHFFFAOYSA-N 423.853 4.803 5 20 HJBD Cc1c(Cc2noc(-c3ccc(C(=O)Nc4ccccc4)cc3)n2)cccc1[N+](=O)[O-] 546492025 RUBLWDCMDIPBAN-UHFFFAOYSA-N 414.421 4.796 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCc2ccc(Br)cc2)c1 548950642 GGEHLXSMOJDJLN-ZDUSSCGKSA-N 421.291 4.507 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c1 554944034 HBQUTPWIPWTIJW-HNNXBMFYSA-N 420.746 4.819 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1cccc([N+](=O)[O-])c1 557626768 PVOLJQNKOGMYDC-LBPRGKRZSA-N 413.817 4.836 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 568448543 PLZNKIWOKFVEOM-HNNXBMFYSA-N 407.474 4.681 5 20 HJBD CSc1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 575210050 SJVPBJPXGPNOJA-ZDUSSCGKSA-N 409.471 4.532 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 577415889 FUCGWLMWSZXXQN-UHFFFAOYSA-N 409.364 4.851 5 20 HJBD COc1cccc(-c2nc([C@H](C)c3ccc(Br)cc3)no2)c1[N+](=O)[O-] 579284309 PZADCSLPUJKBER-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD CC(=O)c1cc(N2CCc3[nH]c4c(Br)cccc4c3C2)ccc1[N+](=O)[O-] 587454872 DHLDFRGSHGVPDX-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD CCCN1Cc2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2C1 589408096 JOJQOYWIPDOAPB-UHFFFAOYSA-N 411.505 4.519 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)CC2 603645904 TXEVOAMVLMMOKK-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD Cc1c(NC(=O)NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cccc1[N+](=O)[O-] 603646557 ZRDPSMYHRULDKB-UWVGGRQHSA-N 413.318 4.934 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1cccs1)c1ccc(F)cc1 603990610 DNRDUMOTGGSJDE-UHFFFAOYSA-N 422.441 4.828 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCC1(c2cccc(C(F)(F)F)c2)CC1 608948350 GJUFWWPTUSKKIU-UHFFFAOYSA-N 410.417 4.554 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(OCc3cccc(F)c3)c2)cc1[N+](=O)[O-] 609011019 RPQZJPGXTOXGIG-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 609443968 BNRJOBWYMBWRPK-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2cc(C)c(C)cc2[N+](=O)[O-])c1 609511856 NQGPFCAYWKAYOG-UHFFFAOYSA-N 412.467 4.688 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 609762634 LLLGXFBJBSFHAU-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD NC(=O)c1ccc(CSCC/C=C\c2ccc(Br)cc2)c([N+](=O)[O-])c1 609770448 UBNLYHKLHPMBIH-IWQZZHSRSA-N 421.316 4.793 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1Cc1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609975049 DOBSPXPDBAWXCK-MAUKXSAKSA-N 422.403 4.677 5 20 HJBD O=C(CCSc1nnc(-c2cccc3ccccc23)o1)Nc1ccc([N+](=O)[O-])cc1 610166468 GFYACNIIYLUFEO-UHFFFAOYSA-N 420.450 4.919 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)n1 617696633 GLGXVBDJYSWYFG-OAHLLOKOSA-N 408.483 4.895 5 20 HJBD C[C@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1)c1cccc([N+](=O)[O-])c1 617769743 WMCGSYSQRXCFSD-YCRPNKLZSA-N 422.460 4.981 5 20 HJBD CC(C)[C@H](NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1cccc(C(F)(F)F)c1 726515765 BVGKILCCANLFHU-SFHVURJKSA-N 414.355 4.645 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc2c(c1)CC(=O)N2C 728301230 MDQOTFIJFHUZCV-AWEZNQCLSA-N 407.495 4.693 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 729202039 HKIDSQURERKQKA-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3nc4ccc([N+](=O)[O-])cc4s3)cc2F)CC1 731209886 BXSQWMUTWCLQQF-UHFFFAOYSA-N 415.494 4.618 5 20 HJBD CCS(=O)(=O)c1ccc(F)c(C(=O)OCc2nc3ccccc3c3ccccc23)c1 732583043 SWRZLKDLRBOCRV-UHFFFAOYSA-N 423.465 4.678 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 734348943 YHFBEKXACIETNX-UHFFFAOYSA-N 404.894 4.988 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccccc1)C1CCOCC1 734682703 KPHKIFFWNVXXGB-JOCHJYFZSA-N 411.502 4.683 5 20 HJBD C[C@@H](OC(=O)/C=C/C1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 735561277 GQERCHDKOVLULC-OFFHKIPUSA-N 414.380 4.620 5 20 HJBD Cc1ccc(-c2noc(CCC(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)n2)cc1F 735821271 VXISWFQCWSAFOW-UHFFFAOYSA-N 418.812 4.625 5 20 HJBD CCOC(=O)c1ccnc(Nc2cccc(CC[C@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 737405543 GKFKCWLZEYOTML-FQEVSTJZSA-N 421.453 4.576 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 741629669 QNHWUVXKDSNIPB-DVOMOZLQSA-N 403.276 4.764 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746066632 XDAVQMKJVLLEBN-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccc(Cl)n3)cc2[N+](=O)[O-])n1 746433414 FHROODZRPQXFCI-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD CCC(C)(C)OCc1cccc(NS(=O)(=O)c2cccc(F)c2[N+](=O)[O-])c1C 749876607 RZMOBLRZRPPVTC-UHFFFAOYSA-N 410.467 4.548 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])ccc1OCC(C)C 753102453 HTDNYZSLRALMNB-AWEZNQCLSA-N 404.438 4.577 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2cc(Cl)ccc2n1C 754337321 OPYARJXVCGDOAI-GHMZBOCLSA-N 405.813 4.682 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 756766849 ASGQBVYZDFSGCM-UHFFFAOYSA-N 415.405 4.685 5 20 HJBD CCOc1nc(C(F)(F)F)ccc1C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 758387399 KWEFYGIQNQIVDX-UHFFFAOYSA-N 403.744 4.621 5 20 HJBD CC(C)Oc1ccc(N(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 760680448 VUVLBJGUJJUZGS-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD C[C@@]1(c2ccc(Cl)c(Cl)c2)NC(=O)N(c2ccc([N+](=O)[O-])cc2Cl)C1=O 761030526 OFQYRZTXVQTVIG-INIZCTEOSA-N 414.632 4.527 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)OCCc1ccccc1[N+](=O)[O-] 762707222 VGTXVSJRNLYJHT-UHFFFAOYSA-N 400.818 4.622 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)Nc1ccccc1Cc1ccccc1 763714208 CIGBNQHPLYHBHX-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(c3nc4cc(Cl)ccc4o3)CC2)c([N+](=O)[O-])c1 774838059 HMIGKFFCFZMRPV-UHFFFAOYSA-N 416.821 4.677 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1sc(C2CCCCC2)nc1C 775118822 GKLIRVMJBJTLJL-UHFFFAOYSA-N 417.487 4.511 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(F)(F)c1c(F)cccc1F 776219155 DJRHUHWQKCABKH-GOSISDBHSA-N 420.318 4.693 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781261338 ZNWLHWRETZTPRN-UHFFFAOYSA-N 414.392 4.832 5 20 HJBD COc1cccc([C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccncc2)c1 782459861 XKSYKUHCZCQAAE-OAQYLSRUSA-N 404.378 4.691 5 20 HJBD Cc1ccc[n+](/C(C(=S)Nc2ccsc2)=C(/O)c2ccc(C)c([N+](=O)[O-])c2)c1 790114721 QZKBVJSOAXMKFF-UHFFFAOYSA-O 412.516 4.884 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cc2)cc1 790155085 VJOWRQFIVXZAAK-UHFFFAOYSA-N 422.412 4.847 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc(C)c1F)[C@@H](c1ccc(C)cc1)c1cccnc1 790516129 AZKXXOMQYHEATI-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD Cc1ccc(OC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 790786982 GFVDFZFZEQSVCB-UHFFFAOYSA-N 400.431 4.788 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])nc2)cc1Cl 791881218 ISEDBFKCJLOKBD-UHFFFAOYSA-N 419.771 4.849 5 20 HJBD CC(C)([C@H](OC(=O)[C@@H]1CCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 796834268 SVJREVJMCQOWRR-DGCLKSJQSA-N 406.223 4.524 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 804197213 MVWHGWMRWZMPMX-ZDUSSCGKSA-N 423.347 4.542 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 810264578 WHUBMEYVGLEELK-IRXDYDNUSA-N 411.502 4.922 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C\c3cn4ccc(Br)cc4n3)nc2c1 811687922 KJHSPFJKGDPVRX-WUXMJOGZSA-N 418.638 4.618 5 20 HJBD O=C(N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812925960 ATAKYGZUSMKTTN-QGZVFWFLSA-N 423.252 4.554 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)cc1[N+](=O)[O-] 816762352 XDELUILQBPGERC-MRVPVSSYSA-N 419.812 4.875 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(C(F)(F)F)cc2[N+](=O)[O-])N1CC(F)(F)F 877845584 UWWKNJXPMCTPCO-CQSZACIVSA-N 419.281 4.742 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc2ccccc2c1)c1ccccc1[N+](=O)[O-] 915303919 HFUONJWOHJMJSZ-HXUWFJFHSA-N 421.453 4.953 5 20 HJBD CC(C)N(C(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)C(C)C 917257907 VVVCMPYIRWHVHP-MRXNPFEDSA-N 414.458 4.578 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1 921134941 USQVSXRNEWYVFZ-UHFFFAOYSA-N 416.817 4.580 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H]2c3ccccc3CC23CCOCC3)c(Br)c1 1117625787 GAVWIKQZSKBDGX-IBGZPJMESA-N 417.303 4.541 5 20 HJBD COC(=O)CC(C)(C)CC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 1350679119 NMHNBJDVGLAIEM-UHFFFAOYSA-N 403.482 4.867 5 20 HJBD CC1=CC[C@@H](C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CC1 1789110196 BMARCCNTTMSLMU-MRXNPFEDSA-N 423.469 4.682 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(N2CCCC2)n1-c1cccc(Cl)c1 6994654 MKPICADRQKWZAF-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc(Cl)c3)cs2)c([N+](=O)[O-])cc1OC 41397887 QIESMYDHURRECZ-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](c2cccc(Cl)c2)N2CCCC2)c1 41400127 XBBMTFAGXHFRKV-IBGZPJMESA-N 419.934 4.537 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCCC[C@H]1c1ccncc1 47865992 VHWUYVSVECTJIS-INIZCTEOSA-N 406.389 4.740 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2)C1 72010101 AZNSKFBIJNMAJS-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1 195623282 GPFXUYWALNCILM-OAHLLOKOSA-N 422.510 4.914 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc(C)cc([N+](=O)[O-])c3)c2)cc1 195711084 NYDIPNZIEDSPEJ-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD CC(C)(C)n1cc(C(=O)NCc2ccccc2[N+](=O)[O-])c(-c2ccccc2Cl)n1 303250895 BZUVUAKBZBOSCC-UHFFFAOYSA-N 412.877 4.797 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccccc1 303338455 XYCIGYRKXVZDMS-HSZRJFAPSA-N 417.465 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 303398779 QJWYBBITMMTBBE-JOCHJYFZSA-N 406.486 4.940 5 20 HJBD COc1ccccc1C[C@@H](C)N(Cc1ccccc1)C(=O)Cc1cccc([N+](=O)[O-])c1 303964259 CNKGDNHMGXPOGD-LJQANCHMSA-N 418.493 4.806 5 20 HJBD CC1CCN(c2ccc(C(=O)N(c3ccccn3)C3CCCC3)cc2[N+](=O)[O-])CC1 430272551 YEOONBKCXMKRLZ-UHFFFAOYSA-N 408.502 4.816 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)ccc1OC1CCCC1 438902886 UKRZQHHKCPVRFQ-INIZCTEOSA-N 412.486 4.653 5 20 HJBD COCc1nc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(-c2ccccc2)s1 441146347 JZJLZYZCQSWWEK-UHFFFAOYSA-N 423.450 4.541 5 20 HJBD O=C(N[C@H](Cc1ccccc1)C1CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444082513 HHSRFDIZRRDPDA-OAQYLSRUSA-N 400.453 4.572 5 20 HJBD CC[C@H](Oc1ccccc1Cl)c1nc(Cc2cc(OC)ccc2[N+](=O)[O-])no1 445581002 WHZRGDUQNPYBHH-INIZCTEOSA-N 403.822 4.761 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1ncnc2c1c(C)c(C)n2Cc1ccccc1 445941377 KIKGGLILXZUUEV-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD COc1cc(C(=O)NCc2ccc(C)cc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 446342816 NZPNKDXVBUGMOM-UHFFFAOYSA-N 422.437 4.643 5 20 HJBD CCCCn1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c(C)n1 447688754 ICHWVEJKJJITMM-UHFFFAOYSA-N 407.343 4.561 5 20 HJBD Cc1cc(Br)ccc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460348916 FZZZBPJEYRSOME-KRWDZBQOSA-N 407.289 4.554 5 20 HJBD CC(C)=CCOc1cccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 464643342 MMUUNYUYTNEEFZ-UHFFFAOYSA-N 410.470 4.623 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc2ccccc2s1)c1cc(O)cc([N+](=O)[O-])c1 476516958 ZNRYXICDYZPHGS-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)[C@@H](C)C(F)(F)F 477968341 PMNSALUIAILRAZ-STQMWFEESA-N 410.396 4.576 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1c[nH]c2ccc([N+](=O)[O-])cc12 478653211 ISBQFBCFCLTTFA-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD O=C(Nc1cc(Cl)cc(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1SCCO 478698008 UGIXEAVFSHRNBL-UHFFFAOYSA-N 420.796 4.604 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNCc2ccc([N+](=O)[O-])cc2F)cc1 479913012 HFKSJZOAJYNOJS-UHFFFAOYSA-N 411.433 5.000 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2cc(Br)ccc2C1 480791960 AXILFARWZAIPCT-HNNXBMFYSA-N 417.303 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1cc(F)cc(Br)c1)C1CC1 481743112 LZFLWPXJJPFYAY-GOSISDBHSA-N 409.255 4.746 5 20 HJBD C[C@@H]1c2nncn2CCN1Cc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 483431803 DVCMPZZQTVVMDJ-MRXNPFEDSA-N 421.501 4.853 5 20 HJBD CC[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1c(C)noc1C 486424314 MQSWNQNAMWIPNB-LJQANCHMSA-N 409.442 4.660 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccccc2OCc2ccccn2)cc(C(F)(F)F)c1 487188634 ONTSPKITYKCKLW-UHFFFAOYSA-N 417.387 4.877 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 488033402 QBEUBWILVWVEEN-QGZVFWFLSA-N 411.502 4.656 5 20 HJBD COc1ccc(-c2noc([C@H](C)SCCOc3ccccc3[N+](=O)[O-])n2)cc1 489578235 DXNQDUHVLYGNJS-ZDUSSCGKSA-N 401.444 4.527 5 20 HJBD C[C@@H](C1CC1)n1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 490925981 KLBBTIVHDXFXCQ-CABCVRRESA-N 420.473 4.583 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)cn1 492871405 JBQDBQGJQFOFLR-UHFFFAOYSA-N 417.465 4.682 5 20 HJBD CC[C@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)[nH]1 493282118 SEHRJNRLPHVFEQ-QAPCUYQASA-N 407.474 4.805 5 20 HJBD Cc1cccc2oc(CCNc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])nc12 499905375 PLTNRQNLGUNCJR-UHFFFAOYSA-N 416.306 4.748 5 20 HJBD CCOc1cc(NC(=O)Nc2ccccc2OC(C)C)c([N+](=O)[O-])cc1OCC 502325822 OKDDMVVFTHVOOL-UHFFFAOYSA-N 403.435 4.823 5 20 HJBD O=C(c1cc(NCc2ccc(Oc3ccccc3)nc2)ccc1[N+](=O)[O-])N1CCCC1 503542503 XFMDMWGZKINHCT-UHFFFAOYSA-N 418.453 4.630 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1=O 506124786 IGRIPCGFVXKUGJ-CYBMUJFWSA-N 422.268 4.510 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)n1 507350884 BIBZMWCOHFGDSJ-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD COc1cc(CNC[C@@H]2CC3c4ccccc4C2c2ccccc23)c([N+](=O)[O-])cc1O 507941409 MSBSVIFDWJZYBQ-JOABDTNPSA-N 416.477 4.696 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(-c4cccc(F)c4)[nH]c3c2)ccc1[N+](=O)[O-] 511802664 SIXSOHUEIFHTEN-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1 512145677 DOPVWALWKHNCQH-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD COc1cc([C@H](C)N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])cc(OC)c1OC 513790973 HIGCYTDAASDNHQ-TXPKVOOTSA-N 414.502 4.683 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCCC3)nc2)no1 517629862 RYCVGWQMSNDHIS-CQSZACIVSA-N 411.487 4.883 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 520155055 NTPIXWCPRVIMRD-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD COc1ccc(Br)cc1-c1noc([C@H](C)c2cccc([N+](=O)[O-])c2)n1 521110873 DCCMKSCYBQEAGV-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCCCOc1ccc(Br)cc1 521263650 KGMHPDYFAPZTRR-UHFFFAOYSA-N 423.263 4.554 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 523489385 GFTRPQPAPFSVGQ-NDEPHWFRSA-N 402.516 4.558 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1 525668653 ZLPBVJROBZKIDH-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD CO[C@@H](C)c1nc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cs1 530736916 BZBBKHLAFWVNLV-HNNXBMFYSA-N 412.515 4.816 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)o1 533922235 MJADMMODDGDWPT-KRWDZBQOSA-N 406.360 4.697 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)c1 534057633 OLRJSJGRZSSLOI-OAHLLOKOSA-N 408.458 4.699 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccccc1-c1ncc(-c2ccccc2)o1 536239590 SBGIMABVZAHKGO-UHFFFAOYSA-N 413.433 4.889 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)Nc3cc(Cl)cc([N+](=O)[O-])c3O)o2)cc1 537579273 KAXNXSSCUBPYQZ-UHFFFAOYSA-N 400.774 4.669 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 539446639 KJHFUNFUOIYFPD-MRXNPFEDSA-N 420.309 4.966 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H]1c1ccccc1 539659547 PPHLRNPKRKJRJU-UYAOXDASSA-N 400.500 4.747 5 20 HJBD C[C@@H](NC[C@@H]1CCN(c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 540192253 BICOQEBALWIOCF-CABCVRRESA-N 404.308 4.534 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)cc2)cs1 540351294 GACHTQFXVQETTG-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452830 GOFMLEYVLCJIBR-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 543668750 PXDKLJQMAMIPKA-DLVCFXQMSA-N 415.465 4.665 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 544624427 RMUSVFBIJOSDHR-CYBMUJFWSA-N 423.269 4.760 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(Sc2cc(C)c([N+](=O)[O-])cc2C(=O)OC)o1 553036995 SEVPJMUGELTYAG-AWEZNQCLSA-N 413.455 4.766 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 558727988 CGQSNZGSBOKJKY-UHFFFAOYSA-N 408.433 4.935 5 20 HJBD CCOc1ccc([C@H](C)NCCc2cnc(SC3CCCC3)nc2)cc1[N+](=O)[O-] 559912423 ZYPLLMTVGQBHKL-HNNXBMFYSA-N 416.547 4.712 5 20 HJBD CC(F)(F)CNC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 569399451 HMJFAYKNHNFKBO-UVTDQMKNSA-N 417.437 4.907 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1csc([N+](=O)[O-])c1 582146669 DWICQDNNYKHRQD-UHFFFAOYSA-N 402.453 4.732 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 588821988 UXXYWVFBAXWAHI-GRVMKYMCSA-N 402.535 4.684 5 20 HJBD CCOC(=O)c1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)o1 589197505 UTTHKYXUEQGYFY-UHFFFAOYSA-N 414.389 4.586 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 603840775 RXTMISOHIISYHR-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD Cc1c(OCC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cccc1[N+](=O)[O-] 603916908 NQPLBXPFSXNOFG-KRWDZBQOSA-N 409.269 4.953 5 20 HJBD CCn1c(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc2ccccc21 603926236 VWZDZWRRBYPPAC-UHFFFAOYSA-N 424.404 4.714 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(OCc2cccnc2)cc1)c1cccc([N+](=O)[O-])c1 604076365 XSWXRFLVLAHNAB-SJORKVTESA-N 420.469 4.690 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 604570453 WAKCFZFVSFKUGS-UHFFFAOYSA-N 423.400 4.840 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccs1 608826283 QMRFCUUVFPMZRO-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609768860 MFTAWOUUCIFACA-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 609875168 ZFYDFELBYUEUGX-INIZCTEOSA-N 415.660 4.769 5 20 HJBD O=C(CCc1ccc(Br)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611202817 KZOLKYRBZWNWDC-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD CCCCN([C@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc([N+](=O)[O-])c2cccnc12 613340620 GYFAIACVGNTCDF-OAHLLOKOSA-N 417.487 4.596 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1cccc(Sc2ccccc2)c1 615297710 BKVJUUGZCUMPJH-UHFFFAOYSA-N 407.451 4.632 5 20 HJBD O=C(NCC1(c2ccccn2)CC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619673145 ZDXWTFQIPIMSHE-UHFFFAOYSA-N 405.479 4.603 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 725790097 BKCCYUHQXKMCOG-CABCVRRESA-N 400.479 4.591 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 727582238 WYOFIGWPOSWAKF-ZKQHCESOSA-N 423.252 4.875 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744202344 DUJADWGTFKAWOK-OAHLLOKOSA-N 409.417 4.683 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)c1 745385796 IVOIMRCCQUAMRU-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C(C)(C)c1ccc2ccccc2c1 745415627 NOFUIADKMTVYIE-UHFFFAOYSA-N 406.438 4.516 5 20 HJBD O=Cc1ccc(OCc2occc2C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cc1 746077627 VHFMXARUCYLOJG-UHFFFAOYSA-N 415.785 4.590 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(Cc3cc(Cl)ccc3[N+](=O)[O-])C2)cc1 746666436 NJQZNWMNQFIEGB-INIZCTEOSA-N 416.909 4.590 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750844098 UWKMPRXZDYDNFW-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cc([N+](=O)[O-])ccc1Br 751070735 JYHCRWDYRWKTIQ-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCCSc2ccccc21 754975404 ADXMHVMLLFTPPD-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 755031249 SIHCARCXLARZSB-INIZCTEOSA-N 420.263 4.735 5 20 HJBD CCn1cc(CC(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc21 760509453 OQJFJCJMTUJPPR-UHFFFAOYSA-N 405.410 4.510 5 20 HJBD Cc1ccccc1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763796116 FQZDTYLNJRIDAK-UHFFFAOYSA-N 414.483 4.733 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(O)c(Cl)c2)cc1SC 767177128 KPEIQCVNUPTATA-UHFFFAOYSA-N 403.243 4.590 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1cc2ccccc2s1 775542644 NKFLCNDDWJQJGU-MRXNPFEDSA-N 416.524 4.773 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139024 NEIADRKTOWVIFV-JOCHJYFZSA-N 421.840 5.000 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl 788831290 DMDGGMKWTXJTES-UHFFFAOYSA-N 403.826 4.607 5 20 HJBD C[C@H](c1nc2ccccc2o1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 792893112 ZIYFDGKOYBZKPS-LLVKDONJSA-N 402.248 4.618 5 20 HJBD C[C@@H]1CC[C@@H](CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 794254375 YZDNTSKWQNOOIO-ZIAGYGMSSA-N 415.877 4.667 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)[C@@H]3CCc4cc(Cl)ccc43)co2)cc1 794772801 DTPLQAKXOSSOTF-DNOBIOAJSA-N 402.859 4.839 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1cc([N+](=O)[O-])ccc1Br 797356048 SUBRAGJWILXNOJ-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 801622295 YXVFMXWLVRZPLC-UHFFFAOYSA-N 414.462 4.506 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)nc1Cl 809973545 SGLIXEYDDKIDTB-UHFFFAOYSA-N 413.110 4.716 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@@H](COCc2ccccc2)C1 813026708 IGZAGKKOBBKGNE-CQSZACIVSA-N 409.269 4.581 5 20 HJBD CSc1cccc(-c2nc(-c3cccc(Cn4nc(C)cc4C)c3)no2)c1[N+](=O)[O-] 904526529 YZACFNORHCZUCD-UHFFFAOYSA-N 421.482 4.895 5 20 HJBD COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1NC(=O)OC(C)(C)C 915079242 QQUXSEFXZPFXFY-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(COCC(F)(F)C(F)F)c1 916476704 KRZYARIJGNKVAO-RMKNXTFCSA-N 412.339 4.664 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1)C1CCCCC1 918176719 WUZGYWFTRVXSQN-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD O=C(CCc1nc(-c2cccs2)no1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330479 FTQJKVHBHJFZAE-UHFFFAOYSA-N 421.434 4.912 5 20 HJBD COc1cc(OCC(=O)Oc2c(Cl)cc(Cl)cc2Cl)ccc1[N+](=O)[O-] 921000453 ZLHGJQZEOTWFGS-UHFFFAOYSA-N 406.605 4.548 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1cccc([N+](=O)[O-])c1Br 1116765287 KFVUXDBCUHRROM-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD C[C@@H](Oc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cn1)c1ccccc1 1117215047 SKUGBOQUZKJDHZ-OAHLLOKOSA-N 418.409 4.759 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2c(C)cncc2[N+](=O)[O-])C3)cc1 1323107263 NXWNBUVKMYVZDJ-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD CCOc1cc(-c2nnc(-c3ccc([N+](=O)[O-])s3)o2)cc(OCC)c1OCC 1326184224 USLFLLHXDYKEJA-UHFFFAOYSA-N 405.432 4.569 5 20 HJBD Cc1nc(CSCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cs1 1344559882 WQGVXCGKQYDVLX-UHFFFAOYSA-N 400.481 4.866 5 20 HJBD COc1ccccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 16030217 TWVVQQOEBHSZDF-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 42223565 RIJDPOUEGJVMJT-AWEZNQCLSA-N 403.866 4.563 5 20 HJBD Cc1c(NC(=O)CCN2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cccc1[N+](=O)[O-] 60754811 ZPFPKHDFUXFHPU-UHFFFAOYSA-N 422.460 4.642 5 20 HJBD O=C(CSCc1c(Cl)cccc1Cl)Nc1cc2c(cc1[N+](=O)[O-])OCO2 60825121 KVMAKBUQGYPJFJ-UHFFFAOYSA-N 415.254 4.502 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC(C(=O)c3cccs3)CC2)c([N+](=O)[O-])c1 301573336 XTDNMQMICBUJJB-UHFFFAOYSA-N 420.490 4.987 5 20 HJBD O=C(c1cc(N[C@@H](c2cccc(F)c2)c2ccccn2)ccc1[N+](=O)[O-])N1CCCC1 301889585 NMXHTPMRUZTKPP-QFIPXVFZSA-N 420.444 4.566 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)ccc1OC[C@H]1CCCO1 303258440 DTPKQBDAYJUOKS-CYBMUJFWSA-N 409.801 4.589 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C(F)(F)F)c(OC)c2)cc1SC 426920849 KMRSESMBKAJBDU-UHFFFAOYSA-N 416.377 4.605 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C\c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)nc1O 432698357 JWFLDOSHQJJJQY-BENRWUELSA-N 411.439 4.556 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 436020911 MLHUHEHCATYDOI-CZUORRHYSA-N 408.376 4.688 5 20 HJBD C[C@H](C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 437506643 SYHAMEPZEYMQMN-WHOFXGATSA-N 410.367 4.919 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438017081 ZJSLCEILGKDZMM-KRWDZBQOSA-N 407.392 4.963 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCc1nc(-c2ccccc2F)cs1 439870375 LMUMNKUTYQJBOY-UHFFFAOYSA-N 416.434 4.578 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 439929586 HZAYTEMBTISRAM-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442125499 IGFIPRBSKXZRRB-DIFFPNOSSA-N 424.284 4.744 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)[C@@H]1CCCC(F)(F)C1 443529770 HNYKJGLNXSPNOC-CYBMUJFWSA-N 416.384 4.805 5 20 HJBD CCO[C@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C12CCCCC2 444077006 AZQZHIFFVWRATG-PMACEKPBSA-N 422.500 4.678 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 444626347 NDEVGWHQLJRHOA-QGZVFWFLSA-N 419.359 4.581 5 20 HJBD Cc1sc(NC(=O)c2ccoc2Br)nc1-c1cccc([N+](=O)[O-])c1 447397370 ZTJNAIGKWTXYCI-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD CC(C)Cn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N1CCC[C@@H](C(F)(F)F)[C@H]1C 460629873 NSJDDKPHBULWJN-CZUORRHYSA-N 411.428 4.677 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)CC2)cc1 462604692 SNHONRUNVOKXEQ-IBGZPJMESA-N 408.498 4.878 5 20 HJBD Cc1cc2occ(CC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2cc1C 464660987 NXQSSWVNWHOZGW-UHFFFAOYSA-N 408.454 4.570 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)cnn1C 467633565 DIATUBCAWPWPRA-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)c(Br)c1)c1ccc([N+](=O)[O-])cc1F 470706652 GUZAJLXKTAZCRA-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nn([C@H](C)c2ccccc2)cc1C 472833510 ORCOPIFHZZQECN-MJGOQNOKSA-N 406.486 4.916 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 477519050 HLLGBOODQOJKJL-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3cccnc3)ccc2C)cc1SC 477568179 JBAXRDCRMBSBAT-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1Sc1ccc([N+](=O)[O-])c2cnccc12 481845921 ZISRGRZTWHLYJS-UHFFFAOYSA-N 421.482 4.793 5 20 HJBD C[C@H](NC(=O)c1occc1CN1c2ccccc2C[C@@H]1C)c1cccc([N+](=O)[O-])c1 482962671 LICRBEAPKNVHCG-HOTGVXAUSA-N 405.454 4.630 5 20 HJBD CCSc1cccc(F)c1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 484916605 BTKINWPGBJQVMJ-UHFFFAOYSA-N 410.492 4.726 5 20 HJBD CCSCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1 485310090 BTDDPVIENVOFHF-UHFFFAOYSA-N 406.529 4.839 5 20 HJBD CC[C@@H](C)n1ncc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C1CC1 486710941 OJOFTXAXMVZXBU-LLVKDONJSA-N 410.396 4.589 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(C)C)cc1F 488647455 RYLXEKXCHSNDFU-UHFFFAOYSA-N 408.451 4.512 5 20 HJBD O=C(CCCCCc1ccc(F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 489070581 AZXJTTBONXRHPU-UHFFFAOYSA-N 414.477 4.907 5 20 HJBD COc1ccccc1SCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 489936994 XOEJTPTYVZIDMU-UHFFFAOYSA-N 408.479 4.929 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc21 497715442 ZSIKWCCJUMQILT-UHFFFAOYSA-N 421.375 4.555 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)CC3 498721626 ZMUZJQIDKLNQCY-QGZVFWFLSA-N 418.497 4.616 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2c(N)cccc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 500130449 KCWYUFIYCKJEEZ-JTQLQIEISA-N 416.890 4.753 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 504751274 SNAZXODCVYDKCZ-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD Cc1occc1CN(C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 508500853 UBWLZPCJSSJBIA-HXUWFJFHSA-N 413.861 4.961 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](CCC(C)C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 511535121 OOTAJMRVAYGORV-SFHVURJKSA-N 417.893 4.789 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1ccc(Br)cc1F)c1cccc([N+](=O)[O-])c1 516338305 QDFWPTSQRLVUGH-GHMZBOCLSA-N 410.243 4.618 5 20 HJBD O=C(c1ccc([N+](=O)[O-])o1)N(Cc1ccc(Cl)c(Cl)c1)Cc1ccccn1 520632960 MPSJYUQCUXUYLU-UHFFFAOYSA-N 406.225 4.732 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H]3CCCN(Cc4cccs4)C3)o2)c1 520964930 IBGJNEJYDLGFBK-KRWDZBQOSA-N 411.527 4.918 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccccc1Cl 525552930 ZSULYBSSGOTASI-GOSISDBHSA-N 402.859 4.838 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)ccc2Br)c1 525666301 LETBWUDROWZSNN-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCn1nc(C)c(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1C 531754268 ZBZXABCTUSNYRC-UHFFFAOYSA-N 410.499 4.509 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCOC4(CCC4)C3)o2)c(Br)c1 532628480 WXFCOXFIYZYTIV-CYBMUJFWSA-N 421.291 4.809 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1c(F)cccc1F)C1CCCC1 533287650 NEHGYHOQNYHKPU-UHFFFAOYSA-N 403.429 4.565 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)CCc3c(F)cccc3Cl)co2)cc1 535509782 AYAPDLOFIHCJBT-MHZLTWQESA-N 408.838 4.534 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC3CCC(F)(F)CC3)cc2[N+](=O)[O-])n1 536436928 XYOJVBYKLBSHQM-UHFFFAOYSA-N 413.471 4.819 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 539778907 LYZYUDGOKFPKCD-UHFFFAOYSA-N 403.410 4.577 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 541514887 YKHQJLMEQFBORV-AVYPCKFXSA-N 400.500 4.694 5 20 HJBD CC(=O)c1ccc(F)cc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 544211330 HHMNPXAXZGHDFW-CYBMUJFWSA-N 422.416 4.757 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccccc2OC)CCC1 544799707 SZJPMOGSYUGUQQ-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCc2c1cccc2C(F)(F)F 545359326 WKKXVCMAIDGVFA-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CC[C@@](F)(c4cc(F)cc(F)c4)C3)co2)cc1 551407501 WPWWOCAXDGHCSS-FQEVSTJZSA-N 403.360 4.599 5 20 HJBD CCOc1cc(NC(=O)C2(Oc3ccc(Cl)cc3)CC2)c([N+](=O)[O-])cc1OCC 551546586 GEVVBKLYMOHNGU-UHFFFAOYSA-N 420.849 4.596 5 20 HJBD CC(C)c1ccc(-c2nc([C@H](NC(=O)c3ccccc3)C3CC3)no2)cc1[N+](=O)[O-] 563240402 HWUBQZZJFMGZIG-LJQANCHMSA-N 406.442 4.649 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ncoc4-c4ccc(F)cc4)n3)c2c1 563750998 PAYORMWNKFVERA-UHFFFAOYSA-N 405.345 4.511 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 568705659 DMDQKOISJHGSMA-HYDGNGQDSA-N 408.479 4.645 5 20 HJBD C[C@@H](C(=O)N(CCc1ccccn1)Cc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 570505493 QCJPSRSZEDIGER-QGZVFWFLSA-N 407.445 4.504 5 20 HJBD O=C(Nc1cccc(N[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1)C1CCCCC1 573193874 TYZKFHXYHHJOLA-PMACEKPBSA-N 411.506 4.906 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)c3nc(C)cc(C)n3)cc2[N+](=O)[O-])cc1 580740787 SYOQHQLCRLUUAN-UHFFFAOYSA-N 408.483 4.738 5 20 HJBD COc1cc(C(=O)N[C@@H](C)C(F)(F)F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 588795154 UQUPLRVGNKGOCX-VIFPVBQESA-N 418.755 4.730 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737784 RXADOBXEUUXQOB-JTQLQIEISA-N 418.318 4.647 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3OC)cs2)cc1[N+](=O)[O-] 603757723 JLEROZZMQGTXEY-UHFFFAOYSA-N 416.434 4.550 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(C)cc1OCc1ccccc1 604010461 LXYQHRGMKCNYMI-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 604083125 VFPLOJNDGLMMDY-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 608904150 QJECXSDGLWFIQY-UHFFFAOYSA-N 405.439 4.578 5 20 HJBD COc1ccc(CC(=O)NCC(C)(C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 609011514 AYVBDYSOUFFKLZ-UHFFFAOYSA-N 411.285 4.547 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1)C1CC1 609863837 QPSIYAGGNYIVAK-UHFFFAOYSA-N 409.486 4.656 5 20 HJBD C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609880307 FENRFOMFNXKQDM-BWACUDIHSA-N 423.300 4.881 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](CC(C)C)c2cc(Cl)ccc2OC)c1 609977976 MQPHQQPOVARRFC-KRWDZBQOSA-N 406.866 4.783 5 20 HJBD Cc1[nH]nc(C(=O)Nc2ccc(Oc3cccc(C(F)(F)F)c3)cc2)c1[N+](=O)[O-] 610067979 HKDCFHQQGVKODL-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCSc2c(F)cccc21 610100114 BKSDZPHABQJQNY-GOSISDBHSA-N 403.479 4.593 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 610191689 VMSOEVPHVGGGJZ-UHFFFAOYSA-N 409.873 4.901 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN(CCc3ccccc3)Cc3ccncc3)c2c1 726963913 DCJWHIRSGSRDTK-UHFFFAOYSA-N 420.494 4.969 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1csc(-c2ccc([N+](=O)[O-])cc2)n1 734895043 UFQWLRFFXAWHBD-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CS[C@@H]1CC[C@@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 742739832 DYHZLWOSFGLHSG-CHWSQXEVSA-N 409.558 4.525 5 20 HJBD CC1(O)CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 749448233 TUKYIAWJZMXUPH-UHFFFAOYSA-N 420.918 4.823 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cccc21 753778605 CXQAUUXLIRRGCI-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD C[C@H](C(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])cc1F 754268495 CYMMPGWDXRISER-NSHDSACASA-N 416.796 4.712 5 20 HJBD C[C@@H](OC(=O)c1ccc2sccc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 761315447 RMLXIUOIGVNTOP-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD C[C@H](OC(=O)[C@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 764589330 ZAMGETXVBISQRR-MAUKXSAKSA-N 414.458 4.508 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(\c2nc3ccccc3[nH]2)S(=O)(=O)c2ccccc2)c(F)c1 777911661 KLIUTJUNHQTVPK-UDWIEESQSA-N 423.425 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 778790917 QQFWFXVZINGBRY-LBPRGKRZSA-N 413.411 4.853 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1Br 782116932 HZMFXIFSSJMVOG-UHFFFAOYSA-N 411.255 4.877 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1-c1nc2ccccc2s1 786912306 NSMJZBWSCNRRLD-UHFFFAOYSA-N 408.414 4.845 5 20 HJBD COC1(c2noc(-c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)n2)CCC(c2ccccc2)CC1 795785517 CWTBCZCXTJTLFP-UHFFFAOYSA-N 424.413 4.753 5 20 HJBD COC(=O)Nc1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 796501663 YLDIOBJUJOJMDE-UHFFFAOYSA-N 415.833 4.976 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(Sc2ccccc2Cl)nn1 800148446 DSZPMNIHPIJMBD-UHFFFAOYSA-N 418.837 4.889 5 20 HJBD O=C1CSc2ccc(Nc3nc4ccc([N+](=O)[O-])cc4s3)cc2N1CC(F)F 804704992 BYWZGTGTIAEHBJ-UHFFFAOYSA-N 422.438 4.652 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c(OC(F)F)c1 811802732 GENHUDZRSCJQAT-UHFFFAOYSA-N 416.384 4.630 5 20 HJBD CC(C)(C)NC(=O)c1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812920820 NDYFLDBBKNFENZ-UHFFFAOYSA-N 410.257 4.682 5 20 HJBD CC(C)(C)OC(=O)Nc1cc2c(cc1NCc1cc([N+](=O)[O-])ccc1Cl)OCO2 816602139 KHYIAKWLXCWIAD-UHFFFAOYSA-N 421.837 4.936 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(Cc3ccc(F)cc3[N+](=O)[O-])n2)c1 904633611 DYORIOBGTHBOCL-UHFFFAOYSA-N 414.393 4.722 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cccc(-n2nccc2-c2ccccc2)c1 920755212 UOTVJFNDVUPLMG-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD Cc1ccc(C2=CCN(C(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 920765895 MKQTYSULZPXTEJ-OAHLLOKOSA-N 400.862 4.640 5 20 HJBD O=C(OCc1csc(C(F)(F)F)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 1122862294 HHSGDZIQXZGTMM-UHFFFAOYSA-N 404.369 4.514 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(F)cc1OC(F)F 1319797895 VGYQMBXUCLBZFZ-QWRGUYRKSA-N 414.405 4.693 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCc3cccc([N+](=O)[O-])c3C)ccc2OC)cc1 1321867852 NOSGLKNUNASBFQ-UHFFFAOYSA-N 421.453 4.909 5 20 HJBD COc1cc(/C=C2\N=C(C(C)(C)C)OC2=O)c([N+](=O)[O-])cc1OCc1ccccc1 14177620 JNYHMTYWJOGXOB-YBEGLDIGSA-N 410.426 4.525 5 20 HJBD C[C@H](SCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 65280142 PLSIDPYQHNDLGZ-JTQLQIEISA-N 400.378 4.587 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 71933153 AIGWXJUYVJAYOV-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(F)cc1-c1ccc(Cl)cc1 97548421 UNTPEGQMRRZYOZ-UHFFFAOYSA-N 402.813 4.588 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 207283296 WNIPSFMZZYYUNC-DXAFVNMWSA-N 421.497 4.673 5 20 HJBD Cn1ncc2c1CCC[C@H]2NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237815483 OJRJEIWLACVENQ-QGZVFWFLSA-N 412.877 4.941 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426015753 GPHOYHAFKPYEDG-GOSISDBHSA-N 407.392 4.963 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)c2)ccn1 426311488 NYJXGVBSEVNHED-OAQYLSRUSA-N 418.453 4.631 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)c3ncc(C)s3)cc2[N+](=O)[O-])n1 427048873 JUCXOTVSVXAHKG-NSHDSACASA-N 420.541 4.767 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438188797 JHBSERUOLMHQGE-DLBZAZTESA-N 412.408 4.758 5 20 HJBD CCCOc1ccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(C)c1 438795324 OTVXGAVDRJUGQV-UHFFFAOYSA-N 419.286 4.800 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)N2CCCCCC2)cc1 446121475 HDTQICSSBIWZDN-UHFFFAOYSA-N 410.474 4.574 5 20 HJBD CCc1noc(CC)c1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 447064035 SNIZQAHHNFSYKM-UHFFFAOYSA-N 410.430 4.822 5 20 HJBD O=C(CCc1ccccc1Br)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460353833 QVNAPVZQKPVIMN-SFHVURJKSA-N 421.316 4.564 5 20 HJBD CN(C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC[C@@H](C(F)(F)F)CC1 460516780 BUCDIHWRNNZTAR-IYBDPMFKSA-N 401.429 4.680 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNc1ccc(Cc2nnc3n2CCCCC3)cc1 463727469 JTYGZLDRROOIKU-SOFGYWHQSA-N 403.486 4.629 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1 463956033 TVNSOAVNFJZHSF-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1c[nH]c2cc(Br)ccc12 464717065 XJCCTWZALHBYOO-UHFFFAOYSA-N 402.248 4.582 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 466571148 AZBSUXFHBSVSLA-JTQLQIEISA-N 423.807 4.553 5 20 HJBD COc1cc(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 470582699 NTCWBFVFFNLHRZ-ZFWWWQNUSA-N 420.412 4.531 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1nnc(-c2ccccc2Br)o1 471625944 ILJIBRYERBWCFO-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 478265538 GQAOMOCDRSEXDB-QFBILLFUSA-N 400.453 4.887 5 20 HJBD CC[C@@H](C)n1ncc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])c1C 480467980 HRTYWYHXPSKATP-LLVKDONJSA-N 416.400 4.633 5 20 HJBD COc1ccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1OC1CCCC1 485414066 SNAHSDRAIOTDJP-UHFFFAOYSA-N 410.426 4.601 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2sc(Br)cc2[N+](=O)[O-])C1 486417717 JHBJRFMVLQMUMP-SECBINFHSA-N 413.318 4.807 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486739730 SZUYYYKYJRXSSG-UHFFFAOYSA-N 417.387 4.858 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1OCC 487530081 AQDXXSXPVQXWBM-OAHLLOKOSA-N 415.490 4.688 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@@H](C)N(C)C(=O)c3n[nH]cc3[N+](=O)[O-])c2)cc1 490564495 IIWSDUVFMDSGIK-OAHLLOKOSA-N 408.458 4.605 5 20 HJBD CC1(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCOCC1 500583418 CLGMCFAVZJNEEF-UHFFFAOYSA-N 404.850 4.587 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OC(C)(C)C)cc1OC 500611406 OQCXLVGWZDUDBE-UHFFFAOYSA-N 406.410 4.571 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 503314217 IIVYTJLUSGVLNL-UHFFFAOYSA-N 407.357 4.597 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 503907617 BKGJKZSGJHOCES-AWEZNQCLSA-N 418.419 4.735 5 20 HJBD O=[N+]([O-])c1cc(CNc2cn(Cc3ccccc3)nn2)ccc1Oc1ccc(F)cc1 508500471 LUMIQDQLZBYAKS-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 509985451 LRJGOZVWNFHKOH-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-n4ccnc4)cc3)cc2[N+](=O)[O-])C1 514091063 UBRISMUAZSKYRP-CALCHBBNSA-N 419.485 4.515 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)CNc3ccccc3[N+](=O)[O-])cc2)cc1 518661942 NXXFKFWPKUDFHR-UHFFFAOYSA-N 421.453 4.627 5 20 HJBD COc1ccccc1[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 520817945 PLVLTTKJENVYBL-OPAMFIHVSA-N 405.454 4.753 5 20 HJBD C[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1cccc(OC(F)F)c1 524827643 ZTWNINMRWMIFJM-CQSZACIVSA-N 407.417 4.579 5 20 HJBD C[C@H](Nc1cccc2c1OC(C)(C)C2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 530435058 LDHRYIXSFHWTMI-NSHDSACASA-N 423.391 4.766 5 20 HJBD Cc1nc(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)oc1-c1ccc(Cl)cc1 531656366 BLLKPUKSOMMVKL-UHFFFAOYSA-N 410.817 4.623 5 20 HJBD CCc1onc(C)c1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 536282745 ZCGPTTNEAWRHMV-UHFFFAOYSA-N 404.473 4.622 5 20 HJBD Cc1ccc(-n2nc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2C)cc1 537222279 ZZUFSUIDDSPIJI-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 538389667 XVDXIAHBIANEAH-UHFFFAOYSA-N 409.352 4.723 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)C(=O)N(c2nccs2)C2CCCCC2)cc1[N+](=O)[O-] 539381470 ZLFJRNVJSDQHHH-JKSUJKDBSA-N 416.547 4.765 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](CC(F)(F)F)c1ccc(F)cc1 540375330 BBSMEEQHUNMFRX-QGZVFWFLSA-N 413.371 4.654 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cn2cnc3ccccc32)cc1 541303069 MBUFNSYCNHNIQY-UHFFFAOYSA-N 418.478 4.724 5 20 HJBD CC(C)[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 541595619 DLCPZTCGLUGIPL-APWZRJJASA-N 406.548 4.811 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3nc(C4CC4)no3)cc2C)cc1[N+](=O)[O-] 542298928 RFCWIIHTOTYMLM-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)cs1 543442584 HJAODCSMZLUWHA-CYBMUJFWSA-N 413.474 4.628 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(-c2ccccc2)cs1 543518414 PTSVSBNVNGQASG-CYBMUJFWSA-N 407.455 4.619 5 20 HJBD Cc1ccc(-c2noc(Cc3cccc(OCc4cccnc4)c3)n2)cc1[N+](=O)[O-] 545572785 KFMCLQNUYGJJQF-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1cc(N2CCC(NC(=O)Nc3ccccc3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 549129652 ABAXETJAUXFMLR-UHFFFAOYSA-N 422.407 4.713 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@](F)(c2cccc(Cl)c2)C1 549186903 YWYKURIJHVGEGW-RNODOKPDSA-N 416.840 4.930 5 20 HJBD CCOc1ccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 551671378 DEGPUTQAKHLPAV-UHFFFAOYSA-N 402.756 4.596 5 20 HJBD O=[N+]([O-])c1ccccc1OC[C@H](O)COc1ccccc1-c1nc2ccccc2s1 554720154 JNDAUFDVOLNMQJ-OAHLLOKOSA-N 422.462 4.690 5 20 HJBD O=[N+]([O-])c1c(Sc2ccc(Cl)cc2)cccc1-c1nc(-c2ncccn2)no1 554792257 NEAUHOBUDKVIKA-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1 556884269 AISJEBPXTTWZJU-QHCPKHFHSA-N 424.464 4.845 5 20 HJBD CC1(C)CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)[C@@H]1c1cccs1 567642199 WNMIUKPJJNTTOK-HSZRJFAPSA-N 402.519 4.826 5 20 HJBD Cc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1Br 603458118 ZMSUWZKHZXYPPD-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD COCCN(C(=O)c1ccc(C)cc1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 603461458 XTVCETRFFATTNV-UHFFFAOYSA-N 411.483 4.628 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1 603638166 GVALSWVWKBXMFV-UHFFFAOYSA-N 416.384 4.758 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2n[nH]c3c2CCCC3)c1 604240736 UUYUYUILGHYGLF-CQSZACIVSA-N 422.510 4.983 5 20 HJBD COc1cc(C(=O)Nc2cc(F)ccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 609022190 ALAYTXXYSGVKNH-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Sc2ccccc2)c1 609382339 QENQFIXNSUOONX-HNNXBMFYSA-N 421.478 4.503 5 20 HJBD C[C@H](NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccccc1 609852652 FXPFZNROSZVFCD-NSHDSACASA-N 405.241 4.578 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610040743 CODRZNIIOJLEOH-UHFFFAOYSA-N 421.291 4.509 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])c1OC 610169932 GZMFCCMSKTWGJT-SNVBAGLBSA-N 413.257 4.752 5 20 HJBD COc1cc(C(=O)N2CCC(c3cccc4ccccc34)CC2)cc([N+](=O)[O-])c1OC 610172928 GFUHBQHVPZRTQY-UHFFFAOYSA-N 420.465 4.785 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 611253626 ZNJSQXYADMYZJY-UHFFFAOYSA-N 406.438 4.655 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](Oc2cccc(F)c2)C1 612183531 QUTKWOQFZGBNIK-QGZVFWFLSA-N 404.463 4.528 5 20 HJBD Cc1cccc(C(=O)Nc2cnc(-c3cccc(C(F)(F)F)c3)cn2)c1[N+](=O)[O-] 618908714 OKVSNXNPKHSLPO-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1cc(F)ccc1Oc1ccccc1 727715954 XOPUZYUTNLONPC-UHFFFAOYSA-N 406.373 4.515 5 20 HJBD C[C@@H](OC(=O)c1cccc(OC2CCCC2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 731264974 XCSFYVDTVNEVDJ-CQSZACIVSA-N 423.425 4.884 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1SC(F)F 734992308 LFLCKYGBRYVHHO-UHFFFAOYSA-N 402.806 4.971 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1Oc1cccnc1 735441905 AICRFABJSADBAX-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=C(NCC[C@H](O)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 742826200 LUMHGXRGABNXIA-FQEVSTJZSA-N 408.479 4.600 5 20 HJBD C[C@H]1CC[C@H](CCC(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)O1 744031626 ONGBWOLHLCPJGA-WMLDXEAASA-N 423.421 4.621 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1)c1ccccc1)Nc1cccc([N+](=O)[O-])c1Cl 752986057 YFFBDBCIACAWDG-GOSISDBHSA-N 423.856 4.748 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)Nc1cccc([N+](=O)[O-])c1Cl 752986195 ZRLNPVSEYWSAFR-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)CSc3ccccc3[N+](=O)[O-])o2)cc1C 758908161 DCYJKEYFVYBQJT-AWEZNQCLSA-N 413.455 4.658 5 20 HJBD CCn1ccnc1[C@@H](CCc1ccccc1)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 764017388 WSHSHVYKSRJBNS-LAUBAEHRSA-N 407.470 4.832 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H](c1cccc(F)c1)c1ccccn1 766295947 NTFSWSNSRQUPTD-SFHVURJKSA-N 400.797 4.693 5 20 HJBD CN(CCC(=O)Nc1ccccc1C(F)(F)F)Cc1cc([N+](=O)[O-])ccc1Cl 766838408 RKWLRDAOEOCDTA-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCc2ncccc21 767100261 OXTXONMDWXRQOB-UHFFFAOYSA-N 411.870 4.997 5 20 HJBD Cc1oc2ccccc2c1CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 767122762 VMZXGEYNMVQSSN-HSZRJFAPSA-N 402.406 4.920 5 20 HJBD C[C@@H](CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 769506732 AMWIAVBNBSBDPS-JTQLQIEISA-N 402.319 4.815 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769996257 AIYSWQGGACYPBQ-OAHLLOKOSA-N 413.865 4.603 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nc2ccccc2s1 770492452 HFAZLYPXFDONNR-ZETCQYMHSA-N 412.290 4.520 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC([C@@H](O)c2ccccc2)CC1 771764848 LCSIKPLBEGRKNF-SFHVURJKSA-N 423.391 4.591 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)c1F 790374664 BEOZCMTZRRWSAP-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC(c2ccc(F)c(F)c2)CC1 790553226 GCCRTUSPXVVGOC-UHFFFAOYSA-N 412.795 4.614 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccc(Cl)s3)n2)cc1[N+](=O)[O-] 792113965 URYDIOSOXFVDRD-UHFFFAOYSA-N 410.860 4.590 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc(Cl)c1[N+](=O)[O-] 804126776 QXWHNIJXOBSBMO-QGZVFWFLSA-N 409.269 4.799 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812999114 IHGAPOUGFJQCIE-KRWDZBQOSA-N 409.269 4.799 5 20 HJBD CNC(=O)Oc1cccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 813791494 JJMPUXZZKJSHND-UHFFFAOYSA-N 406.442 4.693 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(C(F)(F)F)nc1Cl 817862170 IFFZYYOECKSWQU-QMMMGPOBSA-N 413.739 4.899 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccc(Cl)c(Cl)c2)C2CC2)cc1[N+](=O)[O-] 917792914 LMEWURQUQPPJQP-UHFFFAOYSA-N 424.240 4.923 5 20 HJBD COc1ccc(CNc2nnc(-c3ccccc3)cc2C(F)(F)F)cc1[N+](=O)[O-] 1321165832 HODRHSNBAKKIAI-UHFFFAOYSA-N 404.348 4.691 5 20 HJBD COC(=O)Nc1cccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1321851199 WIPMYDNVSBUPBH-UHFFFAOYSA-N 415.833 4.976 5 20 HJBD Cc1cc(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc([N+](=O)[O-])c1 1339049218 YEDQNBQWIBJRNT-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccn(-c4ccc(Cl)cc4Cl)n3)o2)c1 1345509118 PLSYCYQTXLXHQC-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD C[C@@H](Sc1nc(C(F)(F)F)nc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1 22248684 FXAIESVLXXOJLF-SNVBAGLBSA-N 422.388 4.676 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1 32580453 JRBIKCFSKKXPEY-UHFFFAOYSA-N 424.482 4.579 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cccc(C)n2)cc1[N+](=O)[O-] 47220564 NHFWTPTWBUSYQH-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2NC(C)=O)c1 64827779 FAALIESVGIIKHK-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCc3sccc3[C@H]2c2cccs2)c1 146311482 CSEUTRDSEAKBQB-SFHVURJKSA-N 400.481 4.514 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(Br)ccc1Br 160112608 KLMFTDJKKFPPEF-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1nc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)sc1C 237652422 GSXOMGGMWIFGGW-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD CC(=O)c1ccc(Sc2nnc(Cc3cccs3)n2C2CC2)c([N+](=O)[O-])c1 302912245 DIHURJVIVWITHP-UHFFFAOYSA-N 400.485 4.527 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccc4c(c3)CCO4)C3CCCC3)n2)c1 303156258 GVYHTVIOTHHHFA-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1Br 326345791 CRCWROTVSOEUNE-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD C[C@@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 409910005 JKQOHVXZVOMAFX-LLVKDONJSA-N 400.784 4.729 5 20 HJBD COCc1c(Br)cccc1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 429080821 LICYEPKWRGFRJX-GFCCVEGCSA-N 422.279 4.695 5 20 HJBD Cc1cc(Br)c2c(c1)CN(C(=O)Nc1cccc([N+](=O)[O-])c1C)CC2 429198879 AAEADOJNSPEDMX-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD COCCOc1ccc([C@H](C)N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168748 TZKGECXTLKTLLO-YWZLYKJASA-N 414.502 4.702 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 438947603 BNSBZPPWILTXTQ-WIYYLYMNSA-N 400.450 4.850 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccccc1Oc1cccc(F)c1 445234108 FMKUGXDGRXDPDC-UHFFFAOYSA-N 421.388 4.724 5 20 HJBD CC[C@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])c(OC)c2)C1 446376877 NBXBSEZPWKGQCO-ZDUSSCGKSA-N 404.850 4.921 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC[C@H]1CCN(c2ccccc2)C1 447692403 DYLAQPJXSNEVFY-GFCCVEGCSA-N 416.350 4.582 5 20 HJBD COC(C)(C)C[C@H](C)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460284036 QCWMYZNTBRWHGT-AWEZNQCLSA-N 419.909 4.794 5 20 HJBD Cc1cc2cc(C(=O)N[C@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])oc2cc1C 463446851 XUPIHJLWUWRMIN-GOSISDBHSA-N 424.453 4.771 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(-c3ccccc3)nc2-c2cccnc2)c(Cl)c1 466467232 WHZNOXKHOHAWAA-UHFFFAOYSA-N 419.872 4.786 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1 470949134 VEGPWNAVJJIZHW-SSEXGKCCSA-N 424.478 4.554 5 20 HJBD COc1cc(CN(C)Cc2ccccc2SC)c([N+](=O)[O-])cc1OCC(F)F 471003531 FHGWMWFPYRJCDP-UHFFFAOYSA-N 412.458 4.601 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnc(C(C)C)nc2)cc1 478666363 NDSUNBOTXQUFEQ-UHFFFAOYSA-N 408.414 4.561 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)cccc1[N+](=O)[O-] 480067168 GOEVYFQFWIYIGY-UHFFFAOYSA-N 407.474 4.593 5 20 HJBD Cc1cc(Br)ccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480513398 MBDVDZFEUPTJOT-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD C[C@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1ccc([N+](=O)[O-])cc1 482036392 NCFKHZHASQPMLS-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 482094149 LMLICKPJOVFHSC-QZTJIDSGSA-N 410.518 4.571 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 482097314 RKGGALPZXONTLQ-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD CC[C@@H]1Cc2c(Br)cccc2CN1C(=O)CCc1cccc([N+](=O)[O-])c1 487419041 VZEWTORZPWTFCH-MRXNPFEDSA-N 417.303 4.653 5 20 HJBD O=C(CSc1nnc(CCC2CCCCC2)o1)Nc1ccc(Cl)cc1[N+](=O)[O-] 488847183 AHGFKHUAYDJYFV-UHFFFAOYSA-N 424.910 4.875 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 489428908 FOWMSYVWLYZEJW-NSHDSACASA-N 404.879 4.727 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(NC(=O)c3ccco3)c(C)c2)cc1[N+](=O)[O-] 490134562 CKHAUWOWYCWTLX-UHFFFAOYSA-N 411.439 4.723 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)CC1 490398872 JRWZBNNYMLGRHC-UHFFFAOYSA-N 402.454 4.886 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 493256521 NSBWCMNYUFEGQY-PZJWPPBQSA-N 424.545 4.518 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnc(N3CCCC[C@H]3C)c(C)c2)c1 503170531 PYYPYISHIJOHLM-CQSZACIVSA-N 400.504 4.651 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c(CN(C)C)c1 505630053 FGKPBNXNMCRPGX-HDICACEKSA-N 424.545 4.699 5 20 HJBD COc1ccc([C@@H](C)N(C)C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cc1 509649962 GSPXCSJDSRUOJS-GFCCVEGCSA-N 407.264 4.508 5 20 HJBD Cc1nc(-c2cccc(NS(=O)(=O)c3cc(Cl)c(C)c([N+](=O)[O-])c3)c2)oc1C 510848830 BOJZSZGFZVTYHK-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 511873947 DERYEGUDIPCFAE-MOPGFXCFSA-N 421.419 4.502 5 20 HJBD C[C@@H](c1ccc(F)cc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514431182 HVXVJVJKXSFKLX-NSHDSACASA-N 400.328 4.508 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nnc(-c4ccc5ccccc5c4)o3)c1)OCOC2 514560544 KALJVPDXNUDKID-UHFFFAOYSA-N 421.434 4.957 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(CCc2ccc(O)cc2)CC1 516716908 NCXPLZKBJMKGLH-UHFFFAOYSA-N 403.866 4.831 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 520155871 BEYYRHNMRKZGGF-UHFFFAOYSA-N 421.277 4.642 5 20 HJBD Cc1ccc(N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1NC(=O)C(C)(C)C 520388119 COGWHEYGIVJZPO-HNNXBMFYSA-N 412.490 4.635 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1 524383667 PKNJSVJVFVOBGW-INIZCTEOSA-N 412.467 4.844 5 20 HJBD CCc1ccc(OCC(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)c([N+](=O)[O-])c1 524535748 QHMCBICPHISMPO-NRFANRHFSA-N 414.458 4.642 5 20 HJBD CCCCOc1ccc(-c2nnc(COc3ccc([N+](=O)[O-])cc3C(C)=O)o2)cc1 534637926 ALNOIHRGAXKVNV-UHFFFAOYSA-N 411.414 4.605 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)N2CCCC[C@H]2C)cc1 538742148 SKWJNDSKTYSVAV-MRXNPFEDSA-N 409.486 4.787 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)c1 539729548 QXMMPGXZGGLODS-IRXDYDNUSA-N 419.547 4.684 5 20 HJBD COc1ccc(C[C@@H](NCc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1OC 540360305 UNGSJKIPLFNTGF-OAQYLSRUSA-N 406.482 4.994 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542543850 LEQXARCGGUFTBG-QGZVFWFLSA-N 407.445 4.770 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)CSc2ccccc2[N+](=O)[O-])cc1 544047291 XJCJZFVRDROXCM-UHFFFAOYSA-N 414.508 4.990 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 545037355 NCFFFIRSUMPSKH-UHFFFAOYSA-N 415.471 4.757 5 20 HJBD COc1cccc2[nH]cc(Cc3noc([C@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)c12 547166231 CTRWHTXSRSIJMO-LBPRGKRZSA-N 410.455 4.912 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550393487 MTYNIVHTEUMQBG-IAQYHMDHSA-N 418.293 4.918 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555457480 HNVHEJCMLPJQDG-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD O=C(c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1)N1CCC[C@@H]1CF 557912485 RKSOATZKPWAPMZ-CYBMUJFWSA-N 417.487 4.930 5 20 HJBD CCc1nc2cc(CNC(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)ccc2n1C1CC1 558813192 DVOQSBPJOYYLAS-GOSISDBHSA-N 420.513 4.727 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 559408761 FCWNBLGTHZHZST-UHFFFAOYSA-N 407.470 4.619 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 578953752 IBSOIGWJFIBXRC-OAHLLOKOSA-N 419.437 4.598 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC3(CCCC3)CC2)cc1[N+](=O)[O-])c1ccccn1 583170859 QJTHSOACEGKVML-KRWDZBQOSA-N 408.502 4.959 5 20 HJBD CCC(=O)Nc1cccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 603952309 ONYYETYANLDEMF-UHFFFAOYSA-N 410.455 4.628 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCC 604102420 XJHDSAXYEOMIMA-OAQYLSRUSA-N 415.490 4.589 5 20 HJBD Cn1c(C(=O)Nc2ccc(Oc3ccccc3)cc2C(F)(F)F)ccc1[N+](=O)[O-] 604465150 POLWIHDHPLJJDG-UHFFFAOYSA-N 405.332 4.997 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(Br)o1 609761777 JDUJJJKWOPKICJ-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(CSCc3ccccc3)c2)c1[N+](=O)[O-] 609991860 XUKFMFFXZVIUIA-UHFFFAOYSA-N 410.499 4.956 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1ccccc1 617338829 QEKLRXRHXSDDBE-GOSISDBHSA-N 424.428 4.559 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 727344483 AGQNFZPDSVYOLR-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)Nc3ccc([N+](=O)[O-])cc3)ccc21 729492715 BSMJLHMQIWWSOZ-UHFFFAOYSA-N 412.446 4.927 5 20 HJBD CS[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 739475269 ORSNOLCVYKLHHB-FQEVSTJZSA-N 422.462 4.857 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)c2)[nH]c1C 739706496 QTPVEUVFFNBKEP-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD COc1ccc(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)cc1[N+](=O)[O-] 747862504 BATOGUNUZVWSLC-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3ccccc3)nc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748622197 AUORLKPVMWETHM-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD COc1cc(C(C)=O)ccc1S[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 749848279 FPRQXXMAUXDLMP-LLVKDONJSA-N 408.863 4.579 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754631330 NANPITANJGXBTI-QMMMGPOBSA-N 417.181 4.608 5 20 HJBD COc1c(C)cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1C 764077601 NNANVZARQNCSOP-UHFFFAOYSA-N 415.515 4.580 5 20 HJBD COc1ccc(NCc2ccc(OC)c([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 770582707 SFWQREWQMQHBLV-UHFFFAOYSA-N 413.474 4.743 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135641 HSLAWGBQKBJZBF-LJQANCHMSA-N 421.434 4.961 5 20 HJBD O=C(OCc1ccc(OCCC(F)(F)F)cc1)c1cc(F)c([N+](=O)[O-])cc1F 776382425 RGMPAMATBSXREK-UHFFFAOYSA-N 405.275 4.561 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1c1ccccc1C(F)(F)F 782074317 LIOWGDMEVDYCIY-MRXNPFEDSA-N 409.364 4.991 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CCCCS2)cc1)c1cc([N+](=O)[O-])ccc1Cl 783354781 GWVAZUOLEPYBAE-KRWDZBQOSA-N 420.874 4.692 5 20 HJBD CCc1cccc(CC)c1NC(=O)CN(C)c1cc(Br)ccc1[N+](=O)[O-] 795413025 KLYCIFAXFXGSLF-UHFFFAOYSA-N 420.307 4.557 5 20 HJBD Cc1cc(C2=N/C(=C\c3csc(-c4ccc(F)cc4)n3)C(=O)O2)ccc1[N+](=O)[O-] 796744409 NABGSXDBSGQUPO-SXGWCWSVSA-N 409.398 4.510 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(Cc3cccs3)o2)ccc1Br 800834318 VPDAIGHVCIBGHI-UHFFFAOYSA-N 412.290 4.685 5 20 HJBD O=C(CC12C[C@H]3C[C@@H](CC(O)(C3)C1)C2)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811062622 CGTDNPYLCBKQHK-TYGXQLQZSA-N 414.285 4.666 5 20 HJBD O=C(c1ccccc1)C1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 812860106 NYKPTGWUVLGNHV-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD O=C(NCc1ccc(Br)cc1F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812990927 DPXIBWXUYKHREQ-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(C2CCCCC2)no1 813032151 NBMJQNVHRYUUMU-VIFPVBQESA-N 413.261 4.823 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc(Cl)c1OCC(C)C 825488173 RIPDBSHPOCLWKH-UHFFFAOYSA-N 414.792 4.822 5 20 HJBD Cc1sc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(C(N)=O)c1-c1ccccc1 915844684 YYEQLBRUUDPWAY-UHFFFAOYSA-N 421.434 4.729 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2ccccc12 916523164 LXGGHTNNUOSZEF-LSDHHAIUSA-N 424.478 4.799 5 20 HJBD COCC(=O)Nc1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 916841659 WVQQKVQZAOCQKB-UHFFFAOYSA-N 420.469 4.560 5 20 HJBD C/C(=C\C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccc(F)cc1 921275489 KMDYMWBOJJAQNJ-RVDMUPIBSA-N 424.432 4.624 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccccc1NC(=O)c1ccccc1 1357567300 CIEGRXMXLALNOS-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD CC(C)CC(=O)NC1(c2ccc(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])cc2)CCC1 1792315603 FOILRTBAVMZGKF-MDWZMJQESA-N 421.497 4.788 5 20 HJBD O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccc(C2(NC(=O)C3CCC3)CCC2)cc1 1792315707 SMYLXBWPCRDHQI-NTEUORMPSA-N 419.481 4.542 5 20 HJBD Cc1ccccc1CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 15536075 UWZZVNKPVBIBMG-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD C[C@@H](OC(=O)CCCc1c[nH]c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17527044 PRIWFQAMUBRIHO-CQSZACIVSA-N 420.425 4.753 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC(c3nc4ccccc4s3)CC2)cccc1[N+](=O)[O-] 26369502 LAEIAKBYGJVSCN-OAHLLOKOSA-N 424.526 4.720 5 20 HJBD O=C(c1ccc(F)cc1)c1ccc(OCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 59017318 ACQUVPLBURYSPA-UHFFFAOYSA-N 419.368 4.594 5 20 HJBD CO[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 237099017 AEIQSZBSJIJRLQ-SFHVURJKSA-N 403.891 4.849 5 20 HJBD C[C@@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1cc(Cl)ccc1Cl 426729662 FSWLZZWEXSSMCW-LLVKDONJSA-N 410.257 4.550 5 20 HJBD COc1cccc([C@@H](CC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 426786049 SFWZXOHCXARAIC-LJQANCHMSA-N 412.467 4.638 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 430583684 SPCGAVQYQXEQRF-UHFFFAOYSA-N 421.331 4.807 5 20 HJBD CCOCCCNC(=O)c1cccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1 433213385 OVSZKNLRLXNGJV-OAQYLSRUSA-N 411.502 4.586 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 434233428 FZZKFFKUZBKMMC-CQSZACIVSA-N 408.458 4.608 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436362430 FNOYKVPGQATDNA-UHFFFAOYSA-N 414.505 4.926 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](CC)Cc2ccccc2)c([N+](=O)[O-])cc1OCC 437618117 PXPQSWNHXCSQNY-QGZVFWFLSA-N 415.490 4.877 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2ccccc2Cl)C1 443650805 DDPBPFPPMVDGSO-BBRMVZONSA-N 414.849 4.513 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2Cl)c(C)c1 464636397 XBXBPXIQLBLFCZ-IBGZPJMESA-N 400.818 4.678 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 466878056 PPMYECLEKJJSKC-CTNGQTDRSA-N 410.517 4.949 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 467760378 SLACAZLKONXCEP-XEHJXZTKSA-N 424.497 4.789 5 20 HJBD O=C(Cc1cc2c(cc1[N+](=O)[O-])OCCO2)Nc1ccc(Cl)cc1-c1ccccc1 468284679 GRGBRYFWIHFPEE-UHFFFAOYSA-N 424.840 4.868 5 20 HJBD CCOc1cc(NC(=O)C[C@H](C)Cc2cccc(F)c2)c([N+](=O)[O-])cc1OCC 470826032 MZPFOHVMKSJEEE-CQSZACIVSA-N 404.438 4.739 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 474251456 OINOKXLDZLESLW-SFHVURJKSA-N 406.486 4.681 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3ccc(Cl)cc3)CC2)cc1SC 476467141 KHGBMRWZGDHXBY-UHFFFAOYSA-N 420.918 4.999 5 20 HJBD O=C(NC[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1)c1ccccc1 482218504 YKTUFHGTQHLFID-IBGZPJMESA-N 423.516 4.670 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])c1 485280964 YBIPHPYSRYDLNT-LLVKDONJSA-N 423.288 4.679 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Sc3ncccn3)cc2C)c1[N+](=O)[O-] 486252311 JZRBHYQDBSSPPW-UHFFFAOYSA-N 412.496 4.819 5 20 HJBD CCn1c(SCCC(=O)Nc2ccccc2C)nc2cc3ccccc3cc2c1=O 488759389 BZYWUWAXSJXTML-UHFFFAOYSA-N 417.534 4.999 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cccc1OCC(F)(F)F 489080454 NSQZSSQZAMUMAY-UHFFFAOYSA-N 420.290 4.698 5 20 HJBD CC[C@@H](C)Sc1ccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2OC)c(C)c1 498645600 KBRGHZKHIUDIFN-CQSZACIVSA-N 403.504 4.853 5 20 HJBD Cn1c([C@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc2ccccc21 503148254 KSXJKPICEQVJNE-QGZVFWFLSA-N 406.467 4.674 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1cc(C(F)(F)F)ccc1Cl 505096042 DPWRIDOAEPWEFI-UHFFFAOYSA-N 402.760 4.501 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1/C=C\c1ccccc1 505366742 OYEXYWVMVXEUGP-CDNLZTBQSA-N 401.260 4.675 5 20 HJBD Cc1cc(OCc2csc(CC(=O)Nc3ccccc3)n2)c(Cl)cc1[N+](=O)[O-] 506537109 HKZWZKPGPZBRRM-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD COc1cc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1OCc1ccncc1 507155184 NFBNIGLQDCHKQM-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2N2CCCCC2)ccc1[N+](=O)[O-] 508866449 ANIFAWCVSGPRQQ-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 512273393 AIMDNKVWMPIQSH-CXAGYDPISA-N 408.376 4.511 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 513145354 RPUAXTCXRVCIQG-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD O=C1NCCN1c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 513581995 FQWPCMIPPXXIKB-UHFFFAOYSA-N 422.416 4.668 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c12 514562305 CDMCNULDJRAKCZ-UHFFFAOYSA-N 421.501 4.729 5 20 HJBD CN(C[C@@H]1CCCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 517405543 PMSMNZWZCCQULN-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccoc2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 522150676 HPMPIJSISVDEMY-UHFFFAOYSA-N 400.778 4.738 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cscn1 522732016 SEKPZYASAXOWHD-UHFFFAOYSA-N 407.810 4.566 5 20 HJBD COc1cc(COc2ccc(F)c3c2C(=O)C[C@H]3C)c([N+](=O)[O-])cc1OC(F)F 530868161 RLTQTHCWFOYNPF-SECBINFHSA-N 411.332 4.613 5 20 HJBD C[C@@H](Cc1c(Cl)cccc1Cl)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 534738553 HLCCSAFBUPIDAN-JTQLQIEISA-N 420.252 4.516 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1cc(-c2ccccn2)ccc1Cl 535866447 UCDAAUVNOLJVRN-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ncccc3Cl)cc2[N+](=O)[O-])n1 536134406 BGFJFNPUKMKCCR-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD COc1ccc(NC(=O)CCN[C@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 537111643 JCXMYSMQPUHCRH-LLVKDONJSA-N 412.273 4.590 5 20 HJBD O=[N+]([O-])c1cc(CN2CCS[C@H]3COCC[C@@H]32)ccc1Oc1ccccc1Cl 537707583 DULTZUCENOFOSS-JXFKEZNVSA-N 420.918 4.747 5 20 HJBD O=[N+]([O-])c1cc(CN2CCS[C@@H]3COCC[C@@H]32)ccc1Oc1ccccc1Cl 537707584 DULTZUCENOFOSS-OXJNMPFZSA-N 420.918 4.747 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNC3(c4c(Cl)cccc4Cl)CC3)n2)c1 538234320 JSDRZXKHOXGFCE-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Cc2cccnc2)CC1 538600316 XKLMAYNITMSQKZ-UHFFFAOYSA-N 413.543 4.977 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCS[C@@H]3COCC[C@H]32)cc1 538809268 BEDFUMYQVSBFRQ-YLJYHZDGSA-N 420.918 4.747 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 542559579 DQNIKHGZFADJCG-VQIMIIECSA-N 418.490 4.885 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2ccc(Oc3ncccn3)cc2)no1 544053436 ATKRZQZIKFGOBX-WEVVVXLNSA-N 405.345 4.537 5 20 HJBD C[C@H](C(=O)N1Cc2cc(Br)ccc2C[C@H]1C)c1ccc([N+](=O)[O-])cc1F 544410879 DSOZTQODDOYVFC-NEPJUHHUSA-N 421.266 4.573 5 20 HJBD COc1cc(Cc2noc(CSCc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 546491923 UDHFLZSJAQOXSE-UHFFFAOYSA-N 405.863 4.664 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cccc(C(F)(F)F)n2)no1 547168312 YUAFPQWZVLLJHN-QMMMGPOBSA-N 414.727 4.852 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN(CCc2ccccn2)Cc2ccncc2)o1 554878828 GVMFBGPUVRMFSH-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4nc5ccccc5s4)s3)n2)nc1 572774533 OVRJHVSJVBZLHB-UHFFFAOYSA-N 421.463 4.969 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CCC(F)(F)CC1 583165948 VYALCJMATVPVFD-UHFFFAOYSA-N 412.380 4.742 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cccc5ncccc45)no3)cs2)cc1 585731427 HHQBYHBJTXWESJ-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCn2c(cc3ccccc32)C1 588216925 COVXZEUFNLVGKM-HNNXBMFYSA-N 403.442 4.566 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 589244462 VEGVQSXBHHARKX-KBPBESRZSA-N 422.359 4.517 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CSc1ncc(-c2ccc(Cl)cc2)o1 589975357 XADCHHRULLGWDO-UHFFFAOYSA-N 419.846 4.643 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c(C)c1 608942299 FQOYBVHNCSHJFL-CYBMUJFWSA-N 402.472 4.817 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)o1 609018865 AODAAHNDPZRHJS-MRXNPFEDSA-N 423.454 4.862 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 609155577 QGODGNNBTPUEKM-QHCPKHFHSA-N 407.445 4.618 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccccc3OCCc3ccccc3)n2)c1 609221954 LTMHDUSRSONJLN-UHFFFAOYSA-N 416.437 4.878 5 20 HJBD CCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@@H](c1ccccc1)c1ccc(F)cc1 609304618 LWHYXAUDEYQVEJ-QHCPKHFHSA-N 408.429 4.751 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)ccc1SCC(F)(F)F 609908379 UFOHOZDOVSIBRD-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609927742 KIFLVZSWGSSSLA-WCQYABFASA-N 409.364 4.745 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610186991 UVPVGDPXSUHOMF-LQWHRVPQSA-N 407.514 4.604 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H](C)c2cnccc2C)c2ccccc2)c([N+](=O)[O-])c1 611940008 QHNGWAQRVBAKQL-JTSKRJEESA-N 404.470 4.637 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)ccc21 726511785 WMRUILBVNQFEGD-WDZFZDKYSA-N 409.442 4.544 5 20 HJBD CCn1ncc2c(C(=O)OCc3c(F)cccc3[N+](=O)[O-])cc(-c3ccccc3)nc21 728990326 KNIBHCKBGRDEGV-UHFFFAOYSA-N 420.400 4.523 5 20 HJBD COc1ccc(CC(=O)O[C@@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 733072142 LNEZEBICSUSREM-AWEZNQCLSA-N 412.467 4.882 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 736159531 XKTNEKNSQCCGFO-UHFFFAOYSA-N 414.201 4.526 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H](C)c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 738829234 IBLJIGDTEKSLFH-MGPUTAFESA-N 424.478 4.991 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 741629671 QNHWUVXKDSNIPB-WWGRRREGSA-N 403.276 4.764 5 20 HJBD Cc1ccc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 744074905 SFRNLAJVAAQXIY-UHFFFAOYSA-N 404.394 4.746 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2cc([N+](=O)[O-])ccc2Cl)cs1 747810471 OMOKXPUDKCNGGS-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD Cc1c(C(=O)Nc2cccnc2Oc2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618158 GKTODAXDNLRVRI-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1ccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1NC(=O)OC(C)(C)C 756989980 SJIKMNTYGNGDBZ-UHFFFAOYSA-N 419.865 4.834 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2ccc(Cl)c([N+](=O)[O-])c2)n[nH]1 760146387 HKKXEIHEPOLMCS-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD O=[N+]([O-])c1ccc(CNC[C@@H](O)c2cccc(OCc3ccccc3)c2)cc1Cl 761527574 KDSACCCMCDHTDI-JOCHJYFZSA-N 412.873 4.650 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1Cc2ccc(Br)cc2C1 765215763 ZWKBZEHSJJERPO-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccn(-c2ccccc2)n1 775481907 SLSZDJRYYHXNQN-UHFFFAOYSA-N 410.499 4.553 5 20 HJBD COc1ccc(C[C@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137016 LZQKJLBEFGXFQN-AOMKIAJQSA-N 406.438 4.510 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 777210923 DYIKWOXDHAXUNL-PWRODBHTSA-N 423.469 4.620 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 786359015 WURHKAUITOLWTD-NONVJHHQSA-N 417.462 4.623 5 20 HJBD COc1ccc(C(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c(OC)c1 788009913 XSUFCPGYHCIIQX-JTQLQIEISA-N 404.469 4.585 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 794206491 NSYZYDNSMVXCAI-UHFFFAOYSA-N 419.485 4.782 5 20 HJBD CN(CC(=O)Nc1ccccc1Cl)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 797433971 LTWBPQGBYUJVBY-UHFFFAOYSA-N 414.824 4.524 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H](C)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 798783669 JUESORVDPWRORF-AAEUAGOBSA-N 424.375 4.596 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H](F)c1ccc(C(F)(F)F)cc1 801690354 ZMFPVRGXJFFJJR-OAHLLOKOSA-N 404.747 4.637 5 20 HJBD COc1ccc(C[C@@H](C)C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811218220 RGLPCCNYIKGCEC-CQSZACIVSA-N 412.467 4.649 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812743964 YEPGAUVKIOFRFO-LRXVAGHRSA-N 422.529 4.577 5 20 HJBD O=[N+]([O-])c1ccc(Sc2ccc(-c3nc(-c4ccnc5ccnn45)no3)cc2)cc1 813345929 ZKPBGXUBEZQEMG-UHFFFAOYSA-N 416.422 4.506 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817858496 VSZFSVUSKVBMHI-JTQLQIEISA-N 415.799 4.817 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3ccccc3[N+](=O)[O-])cc2o1 844161107 WTTQDLYBAGGZKI-UHFFFAOYSA-N 418.361 4.855 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)COCc3ccc(Cl)cc3)sc2c1 921231356 XNFWZCRFKVBUFP-CQSZACIVSA-N 410.904 4.528 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc(C(C)(C)C)nn2)cc1[N+](=O)[O-])c1ccccn1 1318681315 HWSOUTSLVZEFOU-CQSZACIVSA-N 420.473 4.503 5 20 HJBD Cc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c(C)n1Cc1ccccc1 1326150100 KACULGLBMNIFPQ-UHFFFAOYSA-N 404.426 4.690 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@@H](O)c2ccccc2Cl)o1 1329232949 VPLUSQMXLGJFEJ-GOSISDBHSA-N 407.253 4.985 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@@H]1c1ccc(-c2ccc([N+](=O)[O-])cc2)s1 25311175 FUXWRQSCIBICEN-FQEVSTJZSA-N 409.467 4.536 5 20 HJBD CCOc1ccc(C(=O)Nc2c(C)cc(Br)cc2Cl)cc1[N+](=O)[O-] 49769752 CJTNCYBZFGMALX-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc(C)c(C)o3)cc2)cc1OC 54675176 DNJDUZNSSGFLDF-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 55641041 VXQZTAUMPSQHHX-LBPRGKRZSA-N 412.364 4.966 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 55770106 VIHMHZVCMOOHOG-UHFFFAOYSA-N 412.364 4.884 5 20 HJBD CC(C)(CNC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 60704827 QLJXQPMSWGMBFF-UHFFFAOYSA-N 423.332 4.543 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 64869815 BDCRXWVSODXESN-UHFFFAOYSA-N 403.489 4.726 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2cccnc12 64935136 OFJMWLQLILMZFI-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C(NC1CCCCC1)C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 65804578 KMKYGDVECWZTPS-UHFFFAOYSA-N 415.537 4.689 5 20 HJBD O=C(Nc1nnc(C(F)(F)F)s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 71968507 JFVFNVDQINKJJY-UHFFFAOYSA-N 410.333 4.510 5 20 HJBD CC(=O)Nc1cccc(OCCNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 78445676 NLZWUIKLDUGEPE-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(-c2ccc(F)cc2)n1Cc1ccccc1 301103172 SCUACCFSXFEYDE-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 303548054 GYVPEDMKIPKLSM-UHFFFAOYSA-N 413.327 4.502 5 20 HJBD CCOc1cc(C(=O)N2c3ccccc3S[C@H](C)[C@@H]2C)c([N+](=O)[O-])cc1OC 430669288 QSXKZYARGIYISM-QWHCGFSZSA-N 402.472 4.532 5 20 HJBD CC(C)(NC(=O)Nc1cccc(OCc2cccnc2)c1)c1ccccc1[N+](=O)[O-] 432674598 CDSXQCKFFGYMEX-UHFFFAOYSA-N 406.442 4.626 5 20 HJBD Cc1sc(NC(=O)c2ccc(O)c([N+](=O)[O-])c2)nc1-c1ccc(OC(F)F)cc1 436634257 FPGFHPGUJRYDPW-UHFFFAOYSA-N 421.381 4.586 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437095490 LPABVAUCPIBESF-IAGOWNOFSA-N 404.388 4.908 5 20 HJBD Cc1cscc1NC(=O)c1ccccc1C(=O)c1ccc(N(C)C)c([N+](=O)[O-])c1 439614477 LQHUUZBIXRKEJN-UHFFFAOYSA-N 409.467 4.514 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(C(=O)N(Cc2ccccc2)C2CC2)CC1 440656078 BKIUMLWFANCWFX-SFHVURJKSA-N 407.514 4.559 5 20 HJBD C[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Br)s1 443346055 LZNCHLHKVJLNQC-SNVBAGLBSA-N 408.277 4.925 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444245754 KSXUJMYTNRBKKI-UHFFFAOYSA-N 404.376 4.748 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cccc(-c4ccccn4)c3C)n2)c1 445572896 UEJHPXZNVJRDOK-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(COc2ccc(Cl)cc2C2CCCCC2)n1 445580828 ZSTMIMXSANBSQY-UHFFFAOYSA-N 417.853 4.658 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1 448105663 VISDGBWWOLGFFX-SECBINFHSA-N 422.438 4.511 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccnc(Oc2ccc(F)cc2F)c1 448400319 QZRKAIVDMSCMOG-UHFFFAOYSA-N 422.347 4.861 5 20 HJBD CCOc1ccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c2ncccc12 464326521 FFZVLUNUCIPBSD-UHFFFAOYSA-N 413.455 4.525 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 465318454 OJJOVHBXPCGETO-VIFPVBQESA-N 419.450 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2cccc(Br)c2)CC1 466800449 HYGYPKMVJDVMSB-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@@H]1c1ccccc1C(F)(F)F 470954023 VMTIDJRDKTWMLP-APPDUMDISA-N 400.784 4.575 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(Cl)cc2NC(=O)c2ccco2)cc1[N+](=O)[O-] 471352597 AGZVVSLLXYZIEE-UHFFFAOYSA-N 413.817 4.908 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](c1cccc(Cl)c1)c1ccccn1 475336006 NRUJVAFIYJPVLS-JOCHJYFZSA-N 424.888 4.659 5 20 HJBD Cc1c(CN[C@H](C)c2ccc(OC[C@H]3CCCCO3)c(F)c2)cccc1[N+](=O)[O-] 478494202 UBQQDYJCWJQPRV-VQIMIIECSA-N 402.466 4.841 5 20 HJBD COc1ccccc1[C@H](NC(=O)NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 478605585 FFVGZCKESSVJSK-JOCHJYFZSA-N 405.454 4.501 5 20 HJBD CCC[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(N2CCCC2)c1 482035553 ANOZGLKUFXUEKS-VGSWGCGISA-N 410.518 4.653 5 20 HJBD Cc1occc1-c1nnc(Sc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)n1C 485401043 FRDIFNSECBKCQO-UHFFFAOYSA-N 411.418 4.644 5 20 HJBD COc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(OC2CCCC2)c1 485555062 CGJKJQOWMDKLIK-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485580078 MSKKMVBQYKJTHO-XJUOHMSHSA-N 416.481 4.579 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@@H]1CCCSC1)c1ccccc1 487937094 VFYBPOODSJIDES-IFXJQAMLSA-N 419.934 4.661 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2-c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] 488068309 LTCFWNQFPINXMQ-AWEZNQCLSA-N 408.458 4.742 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2n1 488219999 JVEINZLEIGJMCS-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(C(=O)c2ccc(CC(C)C)cc2)CC1 494651688 QSAYYPYJTMQANZ-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD COc1ccccc1CC(C)(C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 495995394 WENFWNCIKYHYKW-UHFFFAOYSA-N 411.483 4.934 5 20 HJBD C[C@@H](N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccn1 499068253 FQGJEGRZZYTKGI-JLTOFOAXSA-N 410.861 4.674 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2cccc(F)c2)c2ccncc12 499901648 SVGLOBSGZXTNMR-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD CCOCC(C)(C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 502098170 MSNFKSIIDWBRRT-UHFFFAOYSA-N 422.865 4.594 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cccc(C)c3[N+](=O)[O-])s2)cc1 505132533 APBBHINHAZAZNJ-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD O=C(Nc1cccc(C(=O)c2ccccc2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 505392458 UIRIGUQZLRMGRD-UHFFFAOYSA-N 415.449 4.678 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H](c1ccccc1)c1nccs1 509196325 NQVAIULWGARKJP-OAHLLOKOSA-N 420.397 4.564 5 20 HJBD Cn1c(-c2cccc(NC(=O)CCOc3ccccc3[N+](=O)[O-])c2)nc2ccccc21 512323772 OYQUYVSFBOGNHX-UHFFFAOYSA-N 416.437 4.556 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3csc([N+](=O)[O-])c3)c2)c1 517105523 YCMGRFPEHTVMEW-UHFFFAOYSA-N 410.455 4.539 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 517843911 FWWFSNPCRGUFNY-JOCHJYFZSA-N 411.477 4.608 5 20 HJBD CC(C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 522651384 NXWCVQZTOZWKRK-UHFFFAOYSA-N 404.435 4.819 5 20 HJBD CCOCCCN1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)[C@H](C)C1 524550796 GJETXLBYGRRUNK-DYESRHJHSA-N 417.575 4.550 5 20 HJBD CC(C)c1nc2cc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Br)ccc2o1 530784271 QYSRRHJRZUZJRT-UHFFFAOYSA-N 418.247 4.803 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537287358 SJNJONHCKGDCJF-AWEZNQCLSA-N 418.478 4.628 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 537323914 YNADXZASJJNEFP-AWEZNQCLSA-N 406.282 4.955 5 20 HJBD CCCN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C 538737084 CAOLADDWPHLKMU-IPMKNSEASA-N 401.532 4.744 5 20 HJBD O=C(Nc1cccc(-c2ccc3c(c2)CCO3)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 540114079 JYLSUVOJMCYQOK-UHFFFAOYSA-N 415.449 4.787 5 20 HJBD CCc1ccc([C@H](N[C@H](C)c2ccc([S@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541565164 APVRULYLLUDRMC-FDEUDHQWSA-N 412.511 4.928 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@@H]3Cc4ccccc4S3)n2)c(Br)c1 545743370 VJPZIANBRROQEI-HNNXBMFYSA-N 418.272 4.721 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(Cl)cc2)CCC1)c1cccc([N+](=O)[O-])c1 556870870 JAWJMYLRWPONEH-HXUWFJFHSA-N 410.905 4.783 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1)c1cccc([N+](=O)[O-])c1 567429079 PKZWGOGEBKAZNS-NSHDSACASA-N 403.410 4.663 5 20 HJBD Cn1cc(CNCc2ccc(-c3ccco3)cc2F)c(-c2ccc([N+](=O)[O-])cc2)n1 576101701 LZGSXMNKJORDAR-UHFFFAOYSA-N 406.417 4.684 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)N(c1cccc(N2CCCC2)c1)C1CCCCCC1 584443251 HETMVBVTEZBPFI-UHFFFAOYSA-N 410.518 4.903 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNC[C@H](Cc2ccccc2)C(F)(F)F)c1 584522469 LFIPBOLUBGXNEE-AWEZNQCLSA-N 404.335 4.707 5 20 HJBD Cc1c(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cccc1[N+](=O)[O-] 586838694 WVIGVLNBPJWZIP-IBGZPJMESA-N 422.403 4.820 5 20 HJBD O=C(NCCCSc1ccccn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 590358907 VCNITJBJBROYHK-UHFFFAOYSA-N 417.556 4.937 5 20 HJBD COc1cccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])o3)n(-c3ccc(C)cc3)n2)c1 603760288 IWHVEPPCBJCMPC-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2)n(-c2ccccc2)n1 603760979 ZGPIPYVZVZIDFB-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=[N+]([O-])c1ncccc1Sc1nnc(Nc2ccc(OC(F)(F)F)cc2)s1 603840913 LOYVPQXAOUMFAA-UHFFFAOYSA-N 415.378 4.635 5 20 HJBD O=C(Nc1c(-c2ccccc2)ncn1C1CC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 604537419 XMGZRCJPWPLHCD-UHFFFAOYSA-N 417.469 4.646 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)Cc1ccccc1Oc1ccccc1 609225196 ADQUXBVWYJEBHY-UHFFFAOYSA-N 413.451 4.511 5 20 HJBD COC(=O)c1cc(NC(=O)[C@@H](Sc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1 609838481 TXZIPOZZJYEWLP-FQEVSTJZSA-N 422.462 4.854 5 20 HJBD C[C@@H]1Sc2ccc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2NC1=O 609858967 WYTBKGOVUSQHKQ-ZDUSSCGKSA-N 411.483 4.617 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1cscn1)c1ccccc1 610044395 PPPMMADTHCEFIQ-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1 610661248 ZJUJMJPLTKCJRK-HNNXBMFYSA-N 422.407 4.631 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3ncnc4ccc([N+](=O)[O-])cc34)C2)nc2ccccc21 611704997 CREJMBUUGGRDPU-INIZCTEOSA-N 416.485 4.853 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1-c1ccccc1 728155429 RRJTYFUJMOXIAC-AWEZNQCLSA-N 408.385 4.585 5 20 HJBD CC(C)[C@H](C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 733576510 MYHGFXDHJLEXBT-UGSOOPFHSA-N 404.850 4.763 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cnc(-c2ccccc2)s1 735445755 XZEMKQMRBIHCCU-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)[C@H]1c1ccccc1 735644643 CFLQAVYCCPEARU-SJKOYZFVSA-N 421.316 4.676 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])cc1 740394280 CYVNSNCRDSKUDG-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC([C@H]2CCCN2C(=O)OC(C)(C)C)CC1 743842855 NCTYLHAWQMTGJH-QFBILLFUSA-N 403.523 4.767 5 20 HJBD C/C(=C\C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(C(F)(F)F)c1 745487874 RZTHKFLTSOMGLT-LFYBBSHMSA-N 423.343 4.627 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(=O)c1ccc(Cl)cc1 745565594 TUJJHDFAGVTLNK-VIFPVBQESA-N 401.724 4.695 5 20 HJBD C[C@@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)s1 750549898 AXJIJOALJUVRFE-RKDXNWHRSA-N 417.270 4.637 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccc(CSc3ccccn3)c2)cc1[N+](=O)[O-] 751119673 DGJUUWBSSHZZMU-UHFFFAOYSA-N 424.438 4.751 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751635176 LKOZDURTBJQKEY-UHFFFAOYSA-N 401.425 4.586 5 20 HJBD CC(C)C(=O)Nc1ccc(OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 752526835 PLPZGLYCRATEHO-UHFFFAOYSA-N 408.863 4.540 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(Br)c(C)c([N+](=O)[O-])c3)cc2)co1 753068759 OJUZYWNBCDUHPK-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(Nc1ccnn1CC1CC1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754367386 LMYDZYLIWKQQLO-UHFFFAOYSA-N 400.504 4.736 5 20 HJBD CCCn1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)nc2ccccc21 754627495 CRRYHFZUHMUIHY-UHFFFAOYSA-N 421.226 4.508 5 20 HJBD CCOc1cc(COC(=O)CCc2ncc(-c3ccc(C)cc3)o2)ccc1[N+](=O)[O-] 755533635 WHWYTXQUVFSGOI-UHFFFAOYSA-N 410.426 4.633 5 20 HJBD CCOc1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C(C)C)cc1 757262639 PDGNFBIJOBUENS-UHFFFAOYSA-N 405.882 4.767 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br)CCC2 764304418 MYSNKBKQUCHPBX-AWEZNQCLSA-N 424.320 4.526 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1ccc2nc(Cl)sc2c1 769630524 LCNBLVWDJFZNBI-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD COc1cc(CNc2ccc(OC)c(OC3CCCC3)c2)c([N+](=O)[O-])cc1OC 770526472 DKVRKIIZWFIGQN-UHFFFAOYSA-N 402.447 4.554 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1)C(C)C 771523832 PPMMJUJDFVBDQE-UHFFFAOYSA-N 415.515 4.586 5 20 HJBD COc1cccc(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)c1[N+](=O)[O-] 774066193 SXUVHFZOIYUTHY-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1nc(-c2ccccc2)[nH]c1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140438 XJMICJIETNGBFT-OAQYLSRUSA-N 414.421 4.635 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cc2ccccc2o1 785830022 ALCCWZSBJBKEHM-SECBINFHSA-N 407.195 4.734 5 20 HJBD C[C@H](Sc1nc(-c2ccc(F)cc2)cs1)C(=O)Nc1ccccc1[N+](=O)[O-] 786590027 KFFHUSDWUNIQNS-NSHDSACASA-N 403.460 4.977 5 20 HJBD Cc1cnc(COC(=O)c2c(-c3c(F)cccc3Cl)noc2C)c(C)c1[N+](=O)[O-] 789441702 FPQNSQUPLFKBDO-UHFFFAOYSA-N 419.796 4.720 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790601661 PGMRSZBJMUUKSU-YCRPNKLZSA-N 420.868 4.556 5 20 HJBD COCCCC[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1 791908595 BEAHERXKBWMSRK-SFHVURJKSA-N 408.857 4.604 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)C1(c2ccccc2)CC1 808539162 UJCWTNRJWUDCMT-MRXNPFEDSA-N 411.457 4.528 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(Cc4n[nH]c5c4CCCC5)n3)cc2)cc1 809363690 PYPLYJGLNAJXED-UHFFFAOYSA-N 417.425 4.630 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)Nc1cccc([N+](=O)[O-])c1Cl 809917468 KXBLUXKJEXLGGU-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc(-c2ccco2)s1 811214754 GNPBIGKLQUNLHM-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD Cc1nc(COc2ccc(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)no1 811470916 VJABHFVCUAAYKC-UHFFFAOYSA-N 409.229 4.784 5 20 HJBD CS(=O)(=O)CC1CCN(Cc2c3ccccc3c(Cl)c3ccccc23)CC1 814178217 HRRFCOKNOOSJSV-UHFFFAOYSA-N 401.959 4.903 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ncoc1-c1ccc(F)cc1 815234236 YOLPPUIMXGTRRN-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CCOc1ccccc1Oc1ccc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cn1 815560758 PFFPLQBHDRBYNE-OAHLLOKOSA-N 408.458 4.614 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N(Cc1cccc(Br)c1)C1CC1 914479511 BZVFPWDAHZTTKZ-WDZFZDKYSA-N 401.260 4.562 5 20 HJBD CC(C)COCCC(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 915281372 FNRZQOJLVXLJLO-UHFFFAOYSA-N 406.866 4.828 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c1 915874285 YXDWMYSKLDLCAW-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CCCSCC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 917334094 IMRHISVSDZPHOU-SFHVURJKSA-N 422.890 4.614 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cccc(C3SCCS3)c2)c(Cl)c1 917729822 NLULSBCMMJQVGW-UHFFFAOYSA-N 416.933 4.528 5 20 HJBD Cc1c(NC(=O)NC2CCN(c3ccc(C(C)(C)C)cc3)CC2)cccc1[N+](=O)[O-] 919771339 WBFJWOUWJQVGMV-UHFFFAOYSA-N 410.518 4.991 5 20 HJBD O=C(COc1ccc(Br)cc1Cl)Oc1cc(Cl)ccc1[N+](=O)[O-] 921139077 HJUWNFUTFWEOKN-UHFFFAOYSA-N 421.030 4.649 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)c(Br)c1 1320533728 MTJMMYACMOCABW-BBRMVZONSA-N 411.321 4.507 5 20 HJBD COc1cc(C(=O)NCc2ccccc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 17355978 MKAHDILSFKSYEX-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD CC[C@H]1CCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 27152075 BHESBLZNVNPSIP-AWEZNQCLSA-N 415.877 4.763 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)cc1 29244222 HTEJWIBAOJINLY-CQSZACIVSA-N 421.419 4.707 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 47866249 JMZQDXIDDLQJCT-VIFPVBQESA-N 401.316 4.699 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])C1 48205326 AJQIYBPKEMTLAT-HNNXBMFYSA-N 406.442 4.738 5 20 HJBD COc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCCC(C)C 58530600 AUGJWNUXGTZZTP-UHFFFAOYSA-N 401.463 4.658 5 20 HJBD COc1ccccc1C1(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CCCC1 64915894 PDANAFIEXDAQNK-UHFFFAOYSA-N 423.513 4.763 5 20 HJBD C[C@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 109169459 RADKYFIXAVLXPE-SFHVURJKSA-N 419.481 4.793 5 20 HJBD COc1ccc(-c2ccc(CNCc3nc(C(F)(F)F)cs3)o2)c([N+](=O)[O-])c1 237436594 XTVDWYXCCWVZBM-UHFFFAOYSA-N 413.377 4.629 5 20 HJBD O=C(Nc1cccc(CNC/C=C/c2ccccc2[N+](=O)[O-])c1)c1ccc(F)cc1 237732264 VQLUUNKSXLKFBO-QPJJXVBHSA-N 405.429 4.789 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nnc(-c4ccccc4)o3)s2)cc1 238001120 OVCXZDMAFFUKMG-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD Cn1cc(CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])c(-c2ccccc2)n1 302202821 VUTQQCYOJJTLKR-UHFFFAOYSA-N 421.335 4.534 5 20 HJBD COc1ccc([C@H](Nc2ncc([N+](=O)[O-])cn2)c2ccc(C(F)(F)F)cc2)cc1 302231576 SCAKKUHLBTYNBS-QGZVFWFLSA-N 404.348 4.614 5 20 HJBD Cc1sc(NC(=O)Cc2cccc([N+](=O)[O-])c2)nc1-c1ccc(OC(F)F)cc1 303950368 VNOLWUHUGHCUJI-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1cc(Cl)ccc1Cl 426764991 ZQBNYHHPQFMPNC-LLVKDONJSA-N 412.273 4.590 5 20 HJBD CC(C)n1cnnc1CN(C)Cc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 430197713 OUMPDZVRODVSOP-UHFFFAOYSA-N 415.881 4.845 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 431465418 OFKQZRWXXGXRKT-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(O)c(C(F)(F)F)c1 441003856 OTGXBYYISWCCPI-UHFFFAOYSA-N 412.367 4.518 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccc(O)cc4Cl)no3)cs2)cc1 445456984 WYISMVZSUNKDTO-UHFFFAOYSA-N 400.803 4.794 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446716601 KFSRFSZSYKIXLI-UHFFFAOYSA-N 403.438 4.764 5 20 HJBD Cc1cc(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)c(F)cc1[N+](=O)[O-] 461869277 XDLKIUUVRJMKEV-UHFFFAOYSA-N 410.327 4.809 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2cc(C)ccc2C)CC1 462484159 JEYLHRHLAGSILT-FQEVSTJZSA-N 410.514 4.850 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 462761005 LGUMCNDDYBYXMY-CTNGQTDRSA-N 420.513 4.513 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466552197 AZPYFRFMNNXLPV-QGZVFWFLSA-N 422.254 4.543 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc(C2CCCCC2)no1 467031864 HLLINDUPSKNTOH-OAHLLOKOSA-N 415.494 4.707 5 20 HJBD CN(CC[C@@H]1CCCO1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 467373798 PXMJHUNRMGIHKP-AWEZNQCLSA-N 409.280 4.618 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 468686270 UQWAKYOAQVIDQH-YCPHGPKFSA-N 415.877 4.643 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)c1 469380565 DKDMVJIZHXBCDD-CQSZACIVSA-N 411.483 4.869 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 471872522 QDRREQKKZBRAMX-QGZVFWFLSA-N 419.485 4.906 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)cc1F 475558862 HSRNZORLYFWCAW-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(-c2csc(C)n2)o1 478319903 ZYRWPHZZJGTEKS-UHFFFAOYSA-N 403.485 4.662 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2O)cnn1-c1ccccc1Cl 478834147 JXQWYDWIWPNFOB-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)c1 478900706 VKDPEVFKYJQGTA-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)c(OCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 481058193 YLHOQISLTWPNON-UHFFFAOYSA-N 408.838 4.553 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 481137774 OFSLRRRXWKLNQY-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 481172612 XZRNBWGOBSHYLM-LSDHHAIUSA-N 402.413 4.871 5 20 HJBD CCOc1c(Cl)cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC 487188659 RKHIFYSVGUQREL-UHFFFAOYSA-N 418.799 4.964 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(N2CCCC2)c1 488340676 ACUIFVRVJIKQFQ-UHFFFAOYSA-N 408.380 4.536 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 489661306 QEJZHVKFJUTNLU-RTWAWAEBSA-N 407.514 4.654 5 20 HJBD CC(C)c1nnc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 490544790 NBNMASRTMMBGQE-UHFFFAOYSA-N 414.512 4.651 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 493081537 RBZWSTQBNJWQOC-KXBFYZLASA-N 413.474 4.528 5 20 HJBD COc1ccc(Cn2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cc1 494406370 PKWGCPLOXMMUHV-UHFFFAOYSA-N 421.482 4.584 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1ccc([N+](=O)[O-])cc1F 494666685 HUZKDHFPQCJXFP-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 499524881 XQWLUGGAJXVGPQ-CQSZACIVSA-N 409.202 4.695 5 20 HJBD CN(C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1ccc(Br)cc1Cl 500734363 UBZOAHHHAQWWGZ-UHFFFAOYSA-N 422.666 4.628 5 20 HJBD CSc1ccc(C(=O)NCc2ccc(Oc3cccc(F)c3)nc2)cc1[N+](=O)[O-] 501711231 CHECGAFMFZORKV-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD Cc1nn(Cc2ncc(-c3cccc(Br)c3)o2)c2ccc([N+](=O)[O-])cc12 502886014 DSUFQEBSLSDSFY-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3cccc(F)c3C2)cc1[N+](=O)[O-])c1ccccn1 503129249 KIDYOGCSTODMBI-OAHLLOKOSA-N 420.444 4.501 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nnc(CC)o2)c1 503391169 MHEBFIGTFKUOBG-UHFFFAOYSA-N 412.471 4.962 5 20 HJBD O=[N+]([O-])c1cc2c(c(C[S@](=O)Cc3ccc(C4CCCCC4)cc3)c1)OCOC2 505758131 WZLPNJMUKWMQKV-GDLZYMKVSA-N 415.511 4.958 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)[C@@H]1CCc2ccccc2C1 510758427 LEZROKPLVRFMHU-OAQYLSRUSA-N 415.493 4.836 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c2C)c1 514370758 CPSZIUXEULGPFZ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CN(Cc1c(Cl)cccc1Cl)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 514533533 PEZPOWUPWCSTLG-UHFFFAOYSA-N 422.312 4.777 5 20 HJBD CCC[C@@H](C)N(C(=O)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 515915165 UIGUOTOMWKYUFU-CYBMUJFWSA-N 419.503 4.680 5 20 HJBD CCOc1ccc(N(C(=O)c2ccc([N+](=O)[O-])n2C)c2nc3ccccc3s2)cc1 517305914 GIDCYUKBKSOBBM-UHFFFAOYSA-N 422.466 4.920 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C(C)(C)C)cs1 518046875 ZFVORUSLMIQZQB-NSHDSACASA-N 416.528 4.963 5 20 HJBD CCOC(=O)c1ccnc(Sc2nnc(-c3ccc4ccccc4c3)o2)c1[N+](=O)[O-] 523114104 KRPPQEGHFFCPKB-UHFFFAOYSA-N 422.422 4.521 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cc(F)c(Cl)cc2Cl)cc([N+](=O)[O-])c1OC 525004992 MHHBSZUSDZEJAC-MRVPVSSYSA-N 417.220 4.549 5 20 HJBD O=C1CCCN1c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1F 537731656 ZDWCDOXRBOOBHD-UHFFFAOYSA-N 412.446 4.596 5 20 HJBD Cc1noc(CCNCc2ccc(Oc3ccc(C(C)(C)C)cc3)c([N+](=O)[O-])c2)n1 538404239 XMTIRBACHQAJNA-UHFFFAOYSA-N 410.474 4.708 5 20 HJBD C[C@H]1C[C@H](c2cccnc2)N(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 542681719 PAMDPSVHLQCRHI-HTAPYJJXSA-N 416.481 4.825 5 20 HJBD O=C(Nc1ccc(-c2cnc[nH]2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 547707619 NTOOCVWTTLYQHD-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(NCC(F)(F)F)c1 548734606 VHCKONFCIKGFKN-UHFFFAOYSA-N 419.812 4.953 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2ccc(OC)c(OC)c2Cl)cc1[N+](=O)[O-] 559879108 UIPJPVRZNTWZDX-CYBMUJFWSA-N 408.882 4.557 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnc(N3CCc4ccccc4C3)c2)c1 580229303 XGOBLLVCKGDDMZ-UHFFFAOYSA-N 420.494 4.527 5 20 HJBD O=C(c1cc(NC[C@H](Cc2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 589603813 XEOAAWPDCJPMSL-INIZCTEOSA-N 421.419 4.664 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469058 TZOINWHDJLVBGK-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCOc1cc(C(=O)NCc2cccc(-c3cccc(C)c3)c2)c([N+](=O)[O-])cc1OC 608850272 GEATWPLNYNYVAE-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 609022792 NWNBUMWFBCHFSQ-HOTGVXAUSA-N 424.501 4.596 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)NC(=O)COc3ccccc3[N+](=O)[O-])cc2)c1 609033433 TTXAPYJGFBYITD-SFHVURJKSA-N 420.465 4.738 5 20 HJBD COCc1cccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609781553 OOFMNWACNOBBFF-UHFFFAOYSA-N 407.426 4.855 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc(-c2ccc(C(F)(F)F)cc2)s1 610434041 JAKFIMAYYGLNOI-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CCn1c(SCc2nc(-c3ccccc3C)no2)nnc1-c1ccc([N+](=O)[O-])cc1 610804677 FSZFYHANYZXINF-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD CCNc1ccc(C(=O)N[C@H](C)c2ccc(-c3csc(C)n3)cc2)cc1[N+](=O)[O-] 611996655 UHOSVFMSLLRQJR-CYBMUJFWSA-N 410.499 4.950 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cccc(Cl)c1[N+](=O)[O-] 749932638 CUFXUTCZNPMBFU-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(NCc1ccccc1)/C(=C/C=C/c1ccccc1[N+](=O)[O-])c1nc2ccccc2[nH]1 750902789 XREGJEVXFOLPPO-ADFPNGHDSA-N 424.460 4.884 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 751081467 VHEUTKLICVJACU-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 751114735 MUSYIXXSRIKGNS-UHFFFAOYSA-N 407.382 4.880 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(Cc1nnc(-c3c(Cl)cccc3Cl)o1)CC2 754113453 KAQUDWCGSFGOHH-UHFFFAOYSA-N 405.241 4.823 5 20 HJBD COc1cc(CN[C@H](C)c2nc3ccccc3s2)c([N+](=O)[O-])cc1OC(F)F 754710225 HVPIRDDIZOPHSQ-SNVBAGLBSA-N 409.414 4.665 5 20 HJBD CCOc1cc(COC(=O)Cc2coc(-c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 755554350 LZHAGRJLNKPAGZ-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD CC(C)c1ccc([C@H]2CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 758381472 SLTHHFGCAFNBNJ-HSZRJFAPSA-N 418.497 4.737 5 20 HJBD O=C1[C@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1ccc([N+](=O)[O-])cc1 761053863 WUGHIQPPWCKXQI-INIZCTEOSA-N 406.225 4.574 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762909261 VHTFWUMPDZGEEK-KBPBESRZSA-N 409.442 4.774 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccccc2C(F)(F)F)CC1 763846720 IPCWNPGHMKFTFQ-UHFFFAOYSA-N 419.403 4.609 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764159168 NXINVMHKOXUZLM-UHFFFAOYSA-N 411.888 4.955 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NC[C@H](O)c3ccc(-c4ccccc4)cc3)CC2)cc1 768259446 WJVOQTFWPPSBGG-VWLOTQADSA-N 417.509 4.554 5 20 HJBD CCOc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 777957683 JAONSICRYUPXAW-UHFFFAOYSA-N 404.291 4.743 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1nc(C(C)C)n(-c2ccccc2F)n1 778813009 YJYSIFZRAPMJNS-CQSZACIVSA-N 412.421 4.664 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC([C@@H](O)c2ccccc2)CC1 780329346 BLAGDXHBFQJCLA-NRFANRHFSA-N 414.527 4.683 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CC1 788582089 HJADLXMAWPQGJW-ZOJXTTQQSA-N 403.523 4.743 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(F)c(Br)cc1F 790960578 SKKBFAPVJQEYKH-UHFFFAOYSA-N 423.572 4.609 5 20 HJBD COc1ccc(C[C@H](NCc2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)cc1OC 801527205 FJLOFSSHFOFVMG-FQEVSTJZSA-N 410.445 4.825 5 20 HJBD CCCOc1cc(NC(=O)Nc2n[nH]c(C3CC3)c2C)c([N+](=O)[O-])cc1OCCC 806176050 IDPYONONJUVLBN-UHFFFAOYSA-N 417.466 4.725 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 809916879 WLGVEBNGXCUENU-GFCCVEGCSA-N 418.664 4.800 5 20 HJBD O=C(NC[C@H](O)c1ccc2ccccc2c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813481672 DXJWYEFKPLAEHA-KRWDZBQOSA-N 405.237 4.518 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cccc(Br)c1 917786046 JGKBFVSDKAYMET-VEGGFIAOSA-N 401.260 4.734 5 20 HJBD COc1cc(CN(C)Cc2ccc([N+](=O)[O-])cc2Br)ccc1SC 1320712025 NABGOCVMVJPVPM-UHFFFAOYSA-N 411.321 4.720 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1 1322088678 XHIIZUKYIBEUSI-AWEZNQCLSA-N 408.414 4.520 5 20 HJBD Cn1c([C@@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2Br)nc2ccccc21 1324151437 WWYDZEJTZGSUGP-SFHVURJKSA-N 415.291 4.581 5 20 HJBD COc1ccc(NC(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 1517293617 GTQAJEDRTPYQAA-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD Cc1cccc(C(=O)OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)c1[N+](=O)[O-] 9022470 KWSVJQOQBJVCNF-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1ccccc1)N(Cc1ccc([N+](=O)[O-])cc1)CC2 15447175 BBVYGPGPPASYSS-XMMPIXPASA-N 404.466 4.760 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)OCc2csc(-c3cccs3)n2)cc1OC 19159303 NZFLYCHZLSVTHQ-UHFFFAOYSA-N 420.468 4.544 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2c(c1)nc1n2CCCCC1 23125366 NYOLUBNDRJWLNC-AWEZNQCLSA-N 410.499 4.790 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cc(C(F)(F)F)ccc32)cc1OC 24471636 ZIDIMZFVFADVJN-UHFFFAOYSA-N 424.375 4.614 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 31514696 CECXBGJVPXBBOD-LSDHHAIUSA-N 418.515 4.749 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(C)cn2)cc1[N+](=O)[O-] 47220799 PYDZHHBDSUVLHY-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)c1 54011283 OCQZUFURDRJWCY-UHFFFAOYSA-N 410.499 4.937 5 20 HJBD C[C@H](NC(=O)Cc1csc(Cc2cccc(Cl)c2)n1)c1cccc([N+](=O)[O-])c1 55466049 QYFOLPJMFKNLLF-ZDUSSCGKSA-N 415.902 4.715 5 20 HJBD O=C(NC1CC1)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 107779400 QMSSMVZKWYNXBA-UHFFFAOYSA-N 417.421 4.532 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2C(F)(F)F)cc1[N+](=O)[O-] 392166523 JGEVGGQAAKFWPC-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1C 426090693 LMTUQDGACSGNMM-UHFFFAOYSA-N 410.474 4.838 5 20 HJBD Cn1cc(C(=O)Nc2cc(Cl)c(F)c(Cl)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 427742800 RNCCVVMCAJWKJM-UHFFFAOYSA-N 409.204 4.694 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 436213776 YSPZXDQDJULYNG-QWRGUYRKSA-N 412.309 4.849 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NC1C[C@@H]2CC[C@H](C1)N2Cc1ccccc1 436400670 POHYDYGKCLMKQR-MQVJKMGUSA-N 406.408 4.616 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1 438771463 BRALZJSJLVSTNK-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1Cl 439118816 NCYMEZHTNCQETO-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 439581141 LGRBELDZMNENMB-HZPDHXFCSA-N 401.429 4.884 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 440906978 WDOFBDLOXANAEH-UHFFFAOYSA-N 410.817 4.873 5 20 HJBD CCCN(C(=O)Cc1cccc(OCc2cccnc2)c1)c1cccc([N+](=O)[O-])c1 441460584 DMOYWCJLJCUYAU-UHFFFAOYSA-N 405.454 4.555 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OCC 443966807 ZHUJIFWGQUPJMH-HNNXBMFYSA-N 401.463 4.892 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444031074 LXSBGEBNUOLCGL-ZBFHGGJFSA-N 418.443 4.978 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444237672 LXDSLJGUTOEVLS-UHFFFAOYSA-N 406.869 4.663 5 20 HJBD O=C(NCc1cccc(-c2ccccn2)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444249583 IRMSHTPZXNCKKA-UHFFFAOYSA-N 423.447 4.812 5 20 HJBD CSc1cccc(C(=O)NCC2(c3ccc(C(F)(F)F)cc3)CC2)c1[N+](=O)[O-] 444524333 DIKLRAYXMCQCHU-UHFFFAOYSA-N 410.417 4.797 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC[C@@H](CN2CCCCC2)C1 446155577 FUYUQVOWBFJRQY-SFHVURJKSA-N 424.501 4.727 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])c3cnccc23)cc1 446846821 FDRUYYBXPGOPNN-INIZCTEOSA-N 422.485 4.611 5 20 HJBD CN(C(=O)CCc1cncc2ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 462627802 COYVMHFMCRJDDK-UHFFFAOYSA-N 418.478 4.862 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)ccc2OC(C)C)c([N+](=O)[O-])cc1OC 464666142 ROHOCWLDVWUMSL-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD CC[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cc(F)ccc1F 465993426 WZIOZHNVNQEAQK-HXUWFJFHSA-N 419.428 4.577 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@H](CC(F)(F)F)c1ccc(F)cc1 467211411 IYMFUXJJMHAFAJ-OAHLLOKOSA-N 419.762 4.880 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1c(F)cncc1F 476162261 QFWGYKKCQMZDOA-GFCCVEGCSA-N 414.368 4.943 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] 476557864 CVEFLFZPLLFEGT-NSHDSACASA-N 415.833 4.711 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 477914959 WXWUGDNKLOGXRI-UHFFFAOYSA-N 421.501 4.965 5 20 HJBD CC(C)(C)C(=O)N1CCOc2ccc(Nc3ccc4ncccc4c3[N+](=O)[O-])cc21 480404068 NXVPKMJXMDTNSJ-UHFFFAOYSA-N 406.442 4.658 5 20 HJBD Cc1ccc(C(=O)c2c(NC(=O)c3ccccc3[N+](=O)[O-])sc3c2CCC3)cc1 481811331 YIOWKEXQFPFKSU-UHFFFAOYSA-N 406.463 4.937 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OCc2ccccn2)cc1 483129532 KCHPOXRUQHXMNQ-UHFFFAOYSA-N 423.494 4.611 5 20 HJBD CSc1cccc(C(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)c1[N+](=O)[O-] 486242857 PCQUBVCNVMJDQU-MRXNPFEDSA-N 424.482 4.734 5 20 HJBD C[C@H](CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1c[nH]c2ccccc12 486709656 LSQLEHYUFCTRCX-GFCCVEGCSA-N 405.376 4.905 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 488323000 QAUDPVMMKZUQJP-UHFFFAOYSA-N 423.926 4.814 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1ccc([N+](=O)[O-])cc1Br 489668480 RWHMFGZILUMLLF-UHFFFAOYSA-N 403.232 4.592 5 20 HJBD Cc1ccc([C@H]2C[C@H](C)N(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 489983944 MTHQCUOWGSZVDH-FPOVZHCZSA-N 410.517 4.868 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 497912272 XCNRZVBCEUGKLL-UHFFFAOYSA-N 414.259 4.568 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(F)c1)c1ccccn1 498894377 VGVYXYFIQYMPRG-JLTOFOAXSA-N 411.458 4.515 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 499310158 PYMYJJAAMRJFKY-JOCHJYFZSA-N 420.444 4.593 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1 500891968 MYDAEFGLUMOMKS-MRXNPFEDSA-N 413.371 4.612 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)Oc1ccc(Br)cc1 501992562 YAXBXXBCBQVKQO-CQSZACIVSA-N 421.291 4.562 5 20 HJBD CCOc1ccc([C@H](C)NCCC(=O)Nc2cccc(Cl)c2C)cc1[N+](=O)[O-] 504899175 BUSYUUSWUXYRMU-AWEZNQCLSA-N 405.882 4.635 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccccc1OC(F)F 509312165 YZUKEMMLEQVLEW-CQSZACIVSA-N 407.417 4.877 5 20 HJBD C[C@@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccc1Oc1ccccc1 509728208 LZLXTDOISGOFOG-MRXNPFEDSA-N 412.467 4.568 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)C1CCN(C(=O)c2ccccc2)CC1 513036944 PFYWNCPBONHIAN-UHFFFAOYSA-N 419.481 4.591 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 513834124 OYWZPOLHAJZVCS-UHFFFAOYSA-N 424.888 4.703 5 20 HJBD CCN1C/C(=C/c2ccc(C)c([N+](=O)[O-])c2)c2nc3ccccc3c(C(=O)O)c2C1 514572152 WMMWJTNDBNCZHD-YBEGLDIGSA-N 403.438 4.526 5 20 HJBD O=C(C[C@@H]1CCCO1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 515286337 SGBJHCBECKOIOO-HNNXBMFYSA-N 409.364 4.865 5 20 HJBD CC[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 517884834 ULIPFBXDZZROJE-KBXCAEBGSA-N 410.499 4.733 5 20 HJBD O=C(NC[C@@H]1CCCCN1Cc1cccc(Cl)c1)c1ccc(Cl)cc1[N+](=O)[O-] 518319442 JZDBCBSHDXTAAF-KRWDZBQOSA-N 422.312 4.686 5 20 HJBD CC[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)N1CCCC1 518767581 JEBYQVMUFQQWOV-AWEZNQCLSA-N 422.323 4.581 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(Cc3nc(-c4cccc(C(F)(F)F)c4)no3)c2)cc1 519079288 GTUUEKRPJLDCPU-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD Cc1cccc(C)c1NC(=O)CNCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 520211043 RQXBRGQWULIRRA-UHFFFAOYSA-N 418.497 4.708 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)c(C)c1[N+](=O)[O-] 523948007 ZLPDCDHLPHGVGJ-MRXNPFEDSA-N 412.515 4.650 5 20 HJBD Cc1nc(-c2ccccc2)ccc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525595833 GLMXENIMTMDFDF-QFIPXVFZSA-N 405.479 4.853 5 20 HJBD CCCOc1cc(NC(=O)N2C[C@H](C)S[C@H](C)C2)c([N+](=O)[O-])cc1OCCC 525736528 UTXAZMHEXQOLSL-OKILXGFUSA-N 411.524 4.530 5 20 HJBD Cc1c(NC(=O)NCc2ccc(NC(=O)c3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 531563076 OYBAUULBISXXDE-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)N(C)c4cccc([N+](=O)[O-])c4)cc3)o2)c1 533603242 CJWBHXHESYNVEA-UHFFFAOYSA-N 414.421 4.897 5 20 HJBD COc1cccc(-n2ccnc2SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 535504434 XABVGXXUXLJKJE-UHFFFAOYSA-N 408.439 4.736 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)c2C)c1 535974232 QKPIGQQKMAWOQD-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 537620590 HCVUQZWUIKFRER-HNNXBMFYSA-N 414.462 4.603 5 20 HJBD CC(C)[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 537921117 GDLQKMPBWSCSAZ-DNVCBOLYSA-N 400.500 4.679 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCOc2ccc(F)cc21 539339239 OKXLOJAWGOIHBU-KRWDZBQOSA-N 404.463 4.878 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)N[C@H](c2ccccc2)c2cccs2)cc1[N+](=O)[O-] 539379586 WRBOGSAWNJTEJZ-KSFYIVLOSA-N 409.511 4.521 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(Cc2ccc(Cl)cc2)CC1 539958527 BTOFDBVYAXUNFL-UHFFFAOYSA-N 414.889 4.641 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCCCC2)cs1 540844399 SOWHVMDCYQANSH-UHFFFAOYSA-N 420.560 4.790 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 541604750 RJTAMCLROFOHJN-YCRPNKLZSA-N 422.460 4.907 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2F)C(C)C)c([N+](=O)[O-])cc1OC 542861746 VOMYVARXSUZXJH-LJQANCHMSA-N 424.856 4.922 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 544428385 ANFLRJRIRAMNBM-LBPRGKRZSA-N 410.829 4.545 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2Cn2cccn2)no1 544974358 KRGCYZDUVJPKMZ-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nc(-c3cc(Cl)ccc3OCC(F)(F)F)no2)c1 545038792 SZQGCTBLAKLPFI-UHFFFAOYSA-N 413.739 4.830 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CCc2ccc(Br)cc2)c1 548950639 GGEHLXSMOJDJLN-CYBMUJFWSA-N 421.291 4.507 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3ccc(OC)cc3)s2)c1 548974926 SNUVZABDOVOSGO-UHFFFAOYSA-N 412.467 4.661 5 20 HJBD COc1cccc2c(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c(C)cnc12 551651694 KLNYAHWEAHTEIC-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1Br 555962601 YRPDNOFUBNXMAL-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 557198662 SZIXTYMMOPCTKL-LBPRGKRZSA-N 420.309 4.765 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CCC(=O)OC(C)(C)C)c2)c1 558793081 ANUWNFZOPFYPDN-UHFFFAOYSA-N 414.458 4.520 5 20 HJBD COc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1NC(=O)c1cccc(F)c1 561380465 IIEJJKMXGXTITA-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3cccc(C)c3)s2)cc1OC 582412129 PRAGVSQJEACGSY-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1ccc([C@H](C)Nc2ncnc3sc([N+](=O)[O-])cc23)cc1Br 587044978 FKSDBOILNLTJMQ-QMMMGPOBSA-N 409.265 4.544 5 20 HJBD C[C@H](N[C@H]1CC[C@](O)(C(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 589949727 KZSDCHVLMHALCX-NVGCLXPQSA-N 400.422 4.820 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H]1Cc1ccccc1 591779435 XPCVYIPHULKRAR-MRXNPFEDSA-N 424.444 4.939 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3ccc(N(C)C)nc3)cc2[N+](=O)[O-])cc1 603633203 NYUCNEWNRXULHA-UHFFFAOYSA-N 408.527 4.805 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2cc(Cl)cc(Cl)c2)c([N+](=O)[O-])cc1OC 603817793 FAZRQCDXFVKOQF-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)COc2ccc([N+](=O)[O-])cc2Cl)cc1 603900508 UDHBFCDQPIVVGM-IBGZPJMESA-N 418.877 4.780 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cn1 604105086 GFVKBHDCCAYALA-UHFFFAOYSA-N 418.409 4.833 5 20 HJBD C[C@H](NC(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 608984333 XSBSWOMIEFITQP-KPZWWZAWSA-N 424.284 4.746 5 20 HJBD C[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 609725230 PITFCHYPTXIUFS-NSHDSACASA-N 416.783 4.913 5 20 HJBD CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1cccc(F)c1 609854763 ALYMNARPEYVMIA-UHFFFAOYSA-N 423.231 4.893 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 610068579 OMJLTXVOMFPBGX-QWHCGFSZSA-N 415.833 4.548 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cc(Br)c(F)cc1Cl 610578683 ZEOJLHLOXVIBPT-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD C[C@H](OC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)cs1 730084096 ACPHYGPKBOISST-AWEZNQCLSA-N 420.450 4.822 5 20 HJBD Cc1c([C@@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])oc2ccccc12 731977525 MKYCHVCSAYIHCX-LLVKDONJSA-N 410.398 4.819 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@H](C)c1ccc(C(F)(F)F)cc1 733522205 IDHGLFRWPJEICA-LBPRGKRZSA-N 424.375 4.598 5 20 HJBD CCc1ccc(OC(=O)CSc2nnc(-c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 734740637 XQEKEYMKWOZCOL-UHFFFAOYSA-N 419.846 4.558 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 735492633 PSMKPUFWIODMCX-AWEZNQCLSA-N 416.934 4.737 5 20 HJBD CC(=O)c1ccc(OC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1 735989746 PHJRNPUXSGPSMZ-UHFFFAOYSA-N 420.208 4.509 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 735996446 GDGMCBWMNNJNSQ-UHFFFAOYSA-N 421.316 4.817 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745587455 ZDICCOUYVFDWAA-STQMWFEESA-N 422.840 4.526 5 20 HJBD CC(C)(C)CC(=O)N1CCC[C@@H]1C(=O)OCc1nc2ccccc2c2ccccc12 748383389 NIIFJOFYRNTQGD-JOCHJYFZSA-N 404.510 4.858 5 20 HJBD Cc1ccc(-c2ncc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)s2)c(C)c1 751658769 WOORTJXNWMTNES-UHFFFAOYSA-N 409.423 4.833 5 20 HJBD COc1ccc(OCCSCc2cccc(SC(=O)N(C)C)c2)c([N+](=O)[O-])c1 753135480 POGFCIZXZYPHSW-UHFFFAOYSA-N 422.528 4.689 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)C)c1C 755069008 PJDZPRJMUIUKEC-QRWLVFNGSA-N 416.449 4.661 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cn2c(SC(F)F)nc3ccccc32)n1 762067384 APPSRMNORKAFKL-UHFFFAOYSA-N 417.397 4.666 5 20 HJBD Cc1c([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)nnn1-c1ccc(Cl)cc1 773038068 ZXLJHHGKMUHVQR-NSHDSACASA-N 406.273 4.642 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 776763158 GZDKMMOSYTVQNA-UHFFFAOYSA-N 401.444 4.598 5 20 HJBD CSc1cccc(C(=O)OCC(=O)N[C@@H](C)c2ccc3ccccc3c2)c1[N+](=O)[O-] 777079332 NHFQWWGPJJYYSB-AWEZNQCLSA-N 424.478 4.504 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 781200705 SCBNXKJMUKRPRC-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260551 XCKKOEBCNVYLGT-UHFFFAOYSA-N 407.425 4.874 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H](CCc1ccccc1)C(F)(F)F 790565726 RXKWBNURYKGEBN-INIZCTEOSA-N 418.774 4.610 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc(C(F)(F)F)c1 792698551 PYKMNAVJUZHCCR-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl 795260989 JXRWXKQQUVWAQL-LLVKDONJSA-N 406.797 4.732 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=S)Nc2ccc([N+](=O)[O-])cc2)c(OC)c1 800823303 WUNBNXSDXFZYCE-UHFFFAOYSA-N 415.515 4.611 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)c(C)c1 809915406 NYQHOGLJRUDQHG-UHFFFAOYSA-N 415.877 4.992 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1OCc1cn2ccccc2n1 813292310 NWMRBSKXLLTRPB-UHFFFAOYSA-N 416.437 4.636 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1cccc(Br)n1 813572213 LZHMXOFLZRKRIT-UHFFFAOYSA-N 404.083 4.807 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c(Cl)c1 815406009 RAVOKZCJHPEMKT-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 815636175 QLOQDMGVCSWKQW-JKSUJKDBSA-N 413.474 4.752 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1cccc([N+](=O)[O-])c1Cl 816879289 LRDBIUVYIDHEPO-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)C[C@H](O)c1ccsc1 816946854 YOGBTMLLCRXAMW-SFHVURJKSA-N 416.886 4.686 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017924 NWNCNWNEBIHMAS-OAHLLOKOSA-N 416.499 4.689 5 20 HJBD COc1ccccc1NC(=O)[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(C)C 877514374 LIVVTVIDHIBPEX-QGZVFWFLSA-N 417.512 4.969 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2ccccc2)n(-c2ccccc2)n1 915280656 QTWGAHAMMRNKRN-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 919553530 QAMOWOSWHGIFRJ-KXXVWKPMSA-N 422.359 4.587 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(Br)o3)o2)c([N+](=O)[O-])c1 1255467443 YACDSQYKCFTGAJ-UHFFFAOYSA-N 407.220 4.509 5 20 HJBD CSc1ccc(C(=O)N2CC(Cc3ccccc3C(F)(F)F)C2)cc1[N+](=O)[O-] 1319035845 NZTHJJITKWIXNU-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(COc3ccc4ccccc4c3)o2)C1 1322243323 LPCBQASPZOSBGR-HXUWFJFHSA-N 416.393 4.576 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(Nc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 1322418573 NWSIAFGMGYRXBE-OAHLLOKOSA-N 416.909 4.904 5 20 HJBD Cc1cccc(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1[N+](=O)[O-] 1339294926 YHCUXBJFAZUREG-INIZCTEOSA-N 410.430 4.567 5 20 HJBD COc1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(C)n2)c2ccc(OC)cc2OC)cc1 1340376867 RQAPSCBMNRJMLC-JOCHJYFZSA-N 409.442 4.526 5 20 HJBD CCN(C(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C)C1CCCCC1 7169401 LLEKYFGKNWBRLK-INIZCTEOSA-N 423.582 4.749 5 20 HJBD C[C@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 7375214 WUCXCMDKYWAVJO-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD CCn1c(SCC(=O)Nc2ccccc2C)nc2cc3ccccc3cc2c1=O 7577756 CNMTVAADFZZBKN-UHFFFAOYSA-N 403.507 4.609 5 20 HJBD O=C(Nc1ccc(NC(=O)C2CC2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 12436164 JOPAZPRFFQRPKB-UHFFFAOYSA-N 416.437 4.939 5 20 HJBD O=[N+]([O-])c1ccc2sc(/C=C/c3cc4ccccc4nc3N3CCOCC3)nc2c1 16409206 ASPMREYMNNXQFI-VMPITWQZSA-N 418.478 4.760 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 29274590 SGQRUQKGCADEJE-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1F 46840243 CALOEPNTZZDNMR-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 47864711 BWFABCFBPGLCRD-INIZCTEOSA-N 407.373 4.574 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCC2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 56545976 KNXCXAPRNGFWBI-UHFFFAOYSA-N 416.865 4.699 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](c1ccccc1)c1cccc(Cl)c1 108363521 HNTCILFUITVXLM-QFIPXVFZSA-N 409.873 4.874 5 20 HJBD CCc1cc(=O)oc2cc(Oc3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)ccc12 301062524 AFVYZTZNEOFLFL-UHFFFAOYSA-N 403.394 4.821 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 301071673 DLNMNSFXGIYBBM-AWEZNQCLSA-N 406.398 4.928 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@@H]2c2nc3ccccc3s2)c(Br)c1 301490796 BBQOCQYLGUIFOL-CYBMUJFWSA-N 405.277 4.704 5 20 HJBD CCOc1cc(C(=O)N2c3ccccc3S[C@@H](C)[C@@H]2C)c([N+](=O)[O-])cc1OC 430669290 QSXKZYARGIYISM-STQMWFEESA-N 402.472 4.532 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccc(F)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 435541722 JPOQPDZSEOAQFE-LJQANCHMSA-N 414.820 4.915 5 20 HJBD O=C(NCCOC1CCCCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441839200 FSHKVVMGCVZMGP-UHFFFAOYSA-N 400.500 4.825 5 20 HJBD Cc1cccc([C@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444078081 UYJMSIDCNCDUDV-CQSZACIVSA-N 406.432 4.801 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2c(F)cccc2F)CC1 444332777 UWJZQAFJZAOOBF-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H](C)c3ccc(Br)s3)n2)c1 445575027 OPOAAENDYADOCH-SECBINFHSA-N 424.276 4.553 5 20 HJBD Cc1ccc(CNc2cc(Br)cc(C(=O)N3CCCC3)c2)cc1[N+](=O)[O-] 447985598 GTGCBUYLNBJOHM-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 461559883 KWLSVUBVLKVGNP-SJORKVTESA-N 424.526 4.755 5 20 HJBD C[C@H]1C[C@H](c2ccccc2F)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 464278333 SXJFWPXSZRSDQC-APPDUMDISA-N 407.239 4.720 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 464278335 SXJFWPXSZRSDQC-GTNSWQLSSA-N 407.239 4.720 5 20 HJBD COc1cccc(C(=O)N(Cc2cccnc2)c2cc(C)ccc2Cl)c1[N+](=O)[O-] 466631327 UUHKPCDFGNXXQY-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD CSc1ccccc1CN(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 471308375 UDDOJIPMPVDDRH-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD CCOc1cc(OCc2nnc(-c3ccc(Cl)cc3Cl)o2)ccc1[N+](=O)[O-] 478717611 IXFWYCNMONMEDK-UHFFFAOYSA-N 410.213 4.929 5 20 HJBD Cc1nn(CC(=O)Nc2c(Cl)cc(Cl)cc2Cl)c2ccc([N+](=O)[O-])cc12 479959998 FDZDWPXPELHTCY-UHFFFAOYSA-N 413.648 4.852 5 20 HJBD COc1cc(Oc2nc(-c3cccnc3)nc3sc4c(c23)CCC4)ccc1[N+](=O)[O-] 480557950 UXEPTIBISPVJGQ-UHFFFAOYSA-N 420.450 4.951 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1cccc(F)c1F)C(F)(F)F 482374291 COJUHYYVMLKCPA-CQSZACIVSA-N 423.725 4.629 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](C)c1cc(Cl)ccc1Cl 488143084 TVZVTFFSCROSGB-MNOVXSKESA-N 412.273 4.588 5 20 HJBD CCOc1cc(CN2CCC(C(=O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 492373580 FMRDGLTXQZCIPM-UHFFFAOYSA-N 402.878 4.742 5 20 HJBD Cc1ccc(NC(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)cc1[N+](=O)[O-] 495121179 LYOSTSDVXXLONB-CQSZACIVSA-N 400.504 4.650 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 503705170 XANSFESNFZIHSR-GOSISDBHSA-N 412.534 4.644 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 504097815 XSIHINJARJWOIL-SJLPKXTDSA-N 413.543 4.662 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc(C2CC2)nc2c1cnn2C(C)C 509732872 DDJUQPKCOYMYCY-UHFFFAOYSA-N 421.501 4.849 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)[C@H](C)c3ccc(F)c(F)c3)cc2[N+](=O)[O-])CC1 514342757 KAGYCYDVUDJOES-OAHLLOKOSA-N 417.456 4.943 5 20 HJBD CCCO[C@H]1CCCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 518668657 JXOXGZJVZIIURR-AWEZNQCLSA-N 420.453 4.513 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 520447206 IZLLBVDDOZRAMP-SFHVURJKSA-N 423.435 4.735 5 20 HJBD COc1ccccc1[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccccc1 520796375 CTBMEQCSWJZMIO-QFIPXVFZSA-N 408.479 4.601 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 520811368 OCJMROACPWPGCJ-KRWDZBQOSA-N 424.501 4.582 5 20 HJBD Cc1ccc(NC(=O)NCc2cccc(NC(=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 521372910 SOAGQHZGIARNJP-UHFFFAOYSA-N 410.474 4.744 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(-c2ccccc2OCc2ccccc2)no1 521910846 SSRFJBAKSHNOPV-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD COc1cc(C(=O)Nc2ccccc2N(C)Cc2ccccc2)cc([N+](=O)[O-])c1OC 525540047 FVDUKOJVQYYXRE-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD C[C@@H](c1nc(-c2cccc(OCc3cccnc3)c2)no1)c1ccc([N+](=O)[O-])cc1F 542708372 QWBOGNKUTFRVEC-CQSZACIVSA-N 420.400 4.910 5 20 HJBD C[C@H](c1nc(-c2ccc(Oc3ncccn3)cc2)no1)c1ccc([N+](=O)[O-])cc1F 544066423 KDNAWISBSIIPFA-LBPRGKRZSA-N 407.361 4.518 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)c1 545060278 XWXJFUKNPFFKNK-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(OC(F)(F)F)cc3)no2)cc1 547025496 ZANNNZKULJMFKQ-UHFFFAOYSA-N 401.247 4.684 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccccc2Cl)n1 547372450 SMQKGHUFLMVTGW-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD Cn1ccnc1[C@H](NCc1ccccc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1 552045829 QGSCMJURVSBYJV-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 553604986 JFKYJVJKNNWWCX-ZDUSSCGKSA-N 418.375 4.646 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3cccc(Cl)c3)c2CC)c1 554943226 OUEKLZSKSRNQND-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD Cn1cnnc1Sc1ccc(-c2nc([C@@H]3CCCC(C)(C)C3)no2)cc1[N+](=O)[O-] 569749557 OWCSKRWWRKUHRH-CYBMUJFWSA-N 414.491 4.608 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](OCc2ccccc2F)C1 570978470 WFXKOTRXZUNORE-SFHVURJKSA-N 401.438 4.509 5 20 HJBD O=C(NCCCCOc1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 571228087 RNPCASXQCCUMST-UHFFFAOYSA-N 416.783 4.856 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1cccc([N+](=O)[O-])c1 581980600 DOQVFUJIIBWLJD-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](Cc2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 600666397 RWCKBNFGKCCVHK-PGRDOPGGSA-N 403.482 4.804 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 602232932 RDEZUSSECCHAMZ-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2n[nH]c3c2CCCC3)c1 604240735 UUYUYUILGHYGLF-AWEZNQCLSA-N 422.510 4.983 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3c3ccccn3)c([N+](=O)[O-])c2)cc1 608974584 MTBIFSHZDQONGM-FQEVSTJZSA-N 402.454 4.892 5 20 HJBD COc1ccc(CSCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1F 609234863 FSTGZNYHKIASPO-UHFFFAOYSA-N 403.378 4.786 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)NCc3cccc([N+](=O)[O-])c3)cc2)cc1 609398455 SFFJEPSZXHMUNL-UHFFFAOYSA-N 421.453 4.898 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(-c2ccncc2)cs1 609523521 PWHCYUCYVFVAIC-LLVKDONJSA-N 410.480 4.819 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](c2nc3ccccc3o2)C1 610105554 KCGZOEZKFMQNOK-SFHVURJKSA-N 422.485 4.648 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)[C@H](C)c1ccco1 610169404 XCRDOTKWFHZOLG-GFCCVEGCSA-N 414.439 4.835 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610186990 UVPVGDPXSUHOMF-DBXWQHBBSA-N 407.514 4.604 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 610876994 VIWFUIPWUWFDCB-MRXNPFEDSA-N 405.458 4.597 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2OC)[nH]n1 611555531 DNLFPHHFWQSMJK-UHFFFAOYSA-N 412.471 4.748 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(C(=O)O)sc2ccccc12 617718573 WPTUDWPWXIMAKV-UHFFFAOYSA-N 416.480 4.550 5 20 HJBD Cc1c(C(=O)Nc2ccnc(Oc3ccccc3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 733970026 ZYRKCQPXUMMUHB-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(cccc2NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C1 734107280 GSNXMPVWDJAAOT-XFXZXTDPSA-N 423.469 4.540 5 20 HJBD CN(C)C(=O)C[C@H]1CN(c2nc3ccc([N+](=O)[O-])cc3s2)c2ccc(Cl)cc21 742411814 GORKWWRKZFFKND-NSHDSACASA-N 416.890 4.572 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745074141 NRKDABHUSAVCFU-WMLDXEAASA-N 418.877 4.633 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745074142 NRKDABHUSAVCFU-YOEHRIQHSA-N 418.877 4.633 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)OCc1cc(Cl)ccc1OCc1cccnc1 745334836 ZTMFZWBGJRJHSF-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1C 746022562 DTNAHUHOJKKQNO-UHFFFAOYSA-N 407.854 4.754 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746067242 OVCSBQCNRLWEIM-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 748819409 IDHGXLZYGVHWEU-AWEZNQCLSA-N 404.898 4.973 5 20 HJBD O=C(/C=C\SCc1ccco1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 754229494 JCOPSEXIUKZDAK-CLFYSBASSA-N 400.378 4.526 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)Nc1cccc2c1CN(c1ccccn1)C2 757869626 AKDXCDWYNOPPQR-UHFFFAOYSA-N 409.833 4.807 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)c1[N+](=O)[O-] 758430359 RAJKYBRBPMKDEP-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 759699711 DRGIRQZCNFDYGE-INIZCTEOSA-N 405.886 4.778 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 759774820 YTMKNNWMXCTERM-MRXNPFEDSA-N 417.897 4.887 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761871256 IQCCEHIVQCGLBN-KSSFIOAISA-N 412.467 4.581 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)OCc1cc(Cl)ccc1[N+](=O)[O-] 761960376 TZTOKCIFGCRZEN-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCN(Cc2ccccc2)c2ccccc21 765250662 HEGOBWIXDDNCBM-UHFFFAOYSA-N 409.392 4.540 5 20 HJBD O=C(OC[C@H]1CCO[C@@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 769340765 CHHVTYZHGVZQSP-VQIMIIECSA-N 410.451 4.653 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cc(F)c(N4CCCC4)c(F)c3)CC2)cc1 776852489 KGYJLKFZNAOINA-UHFFFAOYSA-N 402.445 4.554 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cccc1C 777232167 RBZZKGMYMMZVGS-UHFFFAOYSA-N 416.499 4.899 5 20 HJBD O=C(CCNC1(c2ccc(Cl)c(Cl)c2)CC1)Nc1cc([N+](=O)[O-])ccc1F 782513145 WWJPJQORQBESOD-UHFFFAOYSA-N 412.248 4.648 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Cc2ccc(F)cc2)CC1 782562440 OUOYYCHJFZQPJF-OAQYLSRUSA-N 406.413 4.784 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)OC(C)(C)C 789442856 LZZQSMCUYOMMPL-NVXWUHKLSA-N 420.510 4.511 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1ccc(Br)c(Cl)c1 800152700 ZDXNGMRTPCKNDE-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1Cl 809220397 TYCCJTQTWGWPJF-UHFFFAOYSA-N 415.805 4.552 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374026 XHJOFFLELVVDMO-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(O[C@@H]2CCOC2)c1 811484457 YJBKSTSOLJZLGZ-MRXNPFEDSA-N 411.285 4.668 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](c2ccccc2)[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 812109590 RHYWWHFHGOLGOU-PDIWNELESA-N 419.481 4.738 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 812319034 RCRFTXOUBJWBHZ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813470110 WUOUUTIQFXSLPO-HNNXBMFYSA-N 416.692 4.588 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 829073454 WZPWPZZCCGEEJM-WQAKUFEDSA-N 415.254 4.547 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 916476124 OSDYLSDYILQCHL-UHFFFAOYSA-N 407.382 4.818 5 20 HJBD COc1cc(OC)c(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1OC 920329312 HNIYDHXFBMEZGV-UHFFFAOYSA-N 409.394 4.507 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc(Cl)ccc2OC(C)C)cccc1[N+](=O)[O-] 920618479 MOOHNMYAODIXGI-ZDUSSCGKSA-N 420.849 4.528 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc12 1116135703 LNEHFUHKJBIZDM-UHFFFAOYSA-N 418.478 4.519 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)ccc1F 1320358532 BSCNMXCXKKNFPN-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1ccc(-c2nnc(/C=C/c3ccc([N+](=O)[O-])cc3)o2)cc1Br 1324205739 XIGPQBDVRPPYCF-RUDMXATFSA-N 402.204 4.586 5 20 HJBD COc1ccc(-n2ccnc2S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 16675301 XMQZLZPFVHGCJE-CYBMUJFWSA-N 423.454 4.692 5 20 HJBD COc1cc(C(=O)OCc2nc3ccccc3c3ccccc23)c([N+](=O)[O-])cc1OC 20066240 GSIPZZHADOJELN-UHFFFAOYSA-N 418.405 4.670 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 23491018 VQRXCZAQVFQGJU-UHFFFAOYSA-N 405.273 4.844 5 20 HJBD CC[C@@H]1CCc2sc(-c3nnc(Sc4ccc([N+](=O)[O-])cn4)n3C)cc2C1 23616659 GUBIYEOTCSLYCF-LLVKDONJSA-N 401.517 4.513 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1ccccc1F)c1ccc([N+](=O)[O-])cc1Cl 29512397 GNHXTORVBNRAEM-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)o1 31741076 QRXSGVCHLWEUEI-JXFKEZNVSA-N 423.513 4.719 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1cccc(OC(F)F)c1 107864163 BKOCGFDFEXPLHZ-CQSZACIVSA-N 419.428 4.678 5 20 HJBD CC(C)(C)CN(CC(F)(F)F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 111004239 IHBZLDUSKWTHID-UHFFFAOYSA-N 404.432 4.592 5 20 HJBD CCc1cc(N2C[C@H](C)O[C@@H](c3ccsc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301615275 BFJZSLGMAPNGTK-IFXJQAMLSA-N 410.499 4.642 5 20 HJBD COc1cc(N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c(F)cc1[N+](=O)[O-] 301914556 FUURFEMQHTUWFQ-VIFPVBQESA-N 405.373 4.602 5 20 HJBD O=C(Nc1ccccc1OCc1cccc(Cl)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 303659055 ARNWBSYZBPCYKX-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD C/C(=C\c1ccccc1)CN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 427585966 ILSQIBKZIGSFMB-JQIJEIRASA-N 400.453 4.996 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1C[C@H]1c1cccc2ccccc12 431134545 XWXBOSPIVAWLKX-BJKOFHAPSA-N 418.496 4.980 5 20 HJBD CN(Cc1ccc(Cl)cc1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435837875 UYRWFKDTMZETII-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD Cc1c(CC(=O)N[C@@H](c2ccc(F)c(Cl)c2)C(F)(F)F)cccc1[N+](=O)[O-] 436459504 RNFNKBLXNGCBPX-INIZCTEOSA-N 404.747 4.658 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437122406 GGWQTCNMDIVFNG-QZTJIDSGSA-N 404.388 4.908 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c2c(C)noc2n1 440609427 KHMNHWJSQPNEIF-UHFFFAOYSA-N 402.410 4.595 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2O1 443559921 PQNKALMPRKXIBX-XMMPIXPASA-N 400.434 4.831 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])c3cccnc23)cc1 446843181 KPMLOVNCTNWENV-INIZCTEOSA-N 422.485 4.611 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](NC1CCN(Cc3ccc(F)c(F)c3)CC1)CCC2 447539253 GHOVZZHPHZQIJT-QFIPXVFZSA-N 401.457 4.505 5 20 HJBD COc1ccc([C@H](C)Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1OC 447684308 AHIVPFTXTONTLU-VIFPVBQESA-N 421.322 4.834 5 20 HJBD C[C@H]1CN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 460663444 LBNBVSDBTIHGPK-YJBOKZPZSA-N 402.878 4.669 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](CN3CCCCC3)C2)cc1[N+](=O)[O-] 461436829 QJISROLOXAJZQH-GOSISDBHSA-N 419.591 4.681 5 20 HJBD COc1ccc2[nH]cc(C3CCN(Cc4cc(OC)c(F)cc4[N+](=O)[O-])CC3)c2c1 463480064 VCOTVKCKBFETDN-UHFFFAOYSA-N 413.449 4.612 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1 463941122 SSYCBRWSNWNLMU-UHFFFAOYSA-N 421.419 4.725 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2ccc(C)cc2)cc1 468219127 FBYPLPGAHLGDOH-LJQANCHMSA-N 423.473 4.567 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCC[C@@H](C)C3)cc2C)cc1[N+](=O)[O-] 471903017 PPPPUQIRURGJHI-OAHLLOKOSA-N 409.486 4.590 5 20 HJBD Cc1ccc([C@@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccccn2)cc1 472482959 GPVSQZUDLHHHHQ-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@@H](OC1CCOCC1)c1ccccc1 473511060 SYSIXBLJHKLUJZ-OPAMFIHVSA-N 407.470 4.880 5 20 HJBD CC1CCN(c2ccc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cn2)CC1 476560971 AAOKLRSLXIXXLS-UHFFFAOYSA-N 408.502 4.816 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1ccc([N+](=O)[O-])cc1Cl 479252994 WZZTWZHCNQALNL-JTQLQIEISA-N 414.676 4.564 5 20 HJBD Cc1cc2n[nH]cc2cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 481307452 CUWPNUVZPWMVHN-AWEZNQCLSA-N 416.441 4.600 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)CC1 488436378 AGDNHLAYAXVOQJ-UHFFFAOYSA-N 418.396 4.524 5 20 HJBD CC1(C)CC(C)(C)c2cc(NC(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)ccc21 488930336 ISQUZYIDDOUDFG-UHFFFAOYSA-N 411.506 4.619 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(CC(F)(F)F)s1 489193398 DCGMTYFJZKMDQA-UHFFFAOYSA-N 420.438 4.552 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc([C@@H]4C[C@@H]4C)[nH]n3)cc2[N+](=O)[O-])n1 490239160 DUKSRKHFYURGDZ-JOYOIKCWSA-N 415.500 4.610 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)o1 490545685 OVGQGSRDSBSXEX-UHFFFAOYSA-N 422.437 4.580 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)CC3 491573458 IDONTUAPXJLTSQ-HUUCEWRRSA-N 409.511 4.539 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492965145 YXHSQPZBMKPOQG-QZTJIDSGSA-N 404.388 4.908 5 20 HJBD COC(=O)c1cc(NC(=O)N2[C@H]3C=C(c4ccccc4)C[C@H]2CC3)c(C)c([N+](=O)[O-])c1 504844077 VCUCQYWFBUUIQL-RTBURBONSA-N 421.453 4.542 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1cc(Br)ccc1OC(F)F 506567180 CZGAKLUXIFKEED-UHFFFAOYSA-N 409.570 4.586 5 20 HJBD C[C@H](c1ccccc1)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 510508718 RKCWLLVTHQUWEP-GFCCVEGCSA-N 414.405 4.528 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CC[C@H]4CCCC[C@H]4C3)cc2)c(C)c1[N+](=O)[O-] 514485510 SHEUNPJUJPSINA-HLAWJBBLSA-N 410.518 4.545 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515782889 ZWIQOQWHZLPJBF-CVEARBPZSA-N 415.490 4.904 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc(OC)c1C 516976899 UINWDJSYHVWGRW-UHFFFAOYSA-N 424.403 4.537 5 20 HJBD CC(C)CN(C(=O)c1ocnc1C1CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 516992475 HEHRKHRCTCXFJV-UHFFFAOYSA-N 412.471 4.887 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 517896548 VVHZCHMQZWOKCD-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1sc(-c2ccco2)nc1-c1ccccc1 522238971 GJEYSBGHLLLJJC-UHFFFAOYSA-N 405.435 4.908 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(Cc3ccccc3F)CC2)cc1SC 531518298 ZWPUDOOGITVFJG-UHFFFAOYSA-N 418.490 4.559 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(CN(C)C)ccc3OC)o2)c([N+](=O)[O-])c1 536098693 LAFACNPYSSQXPM-UHFFFAOYSA-N 411.458 4.546 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1c[nH]c2cccc([N+](=O)[O-])c12 536508946 BDOCFTBIRDCAFG-UHFFFAOYSA-N 411.215 4.639 5 20 HJBD COc1ccc([C@@H](OC)[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537151029 GRQBGESCEMUPQQ-VLIAUNLRSA-N 418.515 4.610 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 537687862 HAOKCSKWVFIXLB-KRWDZBQOSA-N 406.841 4.526 5 20 HJBD C[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C[C@@H]1n1ccnc1 537726048 MSHBXZIMJXMPDM-LIRRHRJNSA-N 400.866 4.788 5 20 HJBD C[C@H]1c2ccc(F)cc2C[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 539897907 XSYUULYYWGUOFE-SJORKVTESA-N 414.480 4.828 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](C)C[S@](C)=O)cc2[N+](=O)[O-])c1 541368588 GLVDLYIGIXWVIT-HJWYETAXSA-N 404.532 4.676 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 541604747 RJTAMCLROFOHJN-BTYIYWSLSA-N 422.460 4.907 5 20 HJBD Cc1nccn1CCCCNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541780200 XKEKZOYXBQWNJA-UHFFFAOYSA-N 416.547 4.592 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)ccc1[N+](=O)[O-] 545568938 QQJYHMVEGVPNRW-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1cc(Cc2noc([C@H](C)Oc3ccc(Br)cc3)n2)ccc1[N+](=O)[O-] 545851598 SBYWDTUAAPSEPL-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)c1sc(Br)cc1[N+](=O)[O-] 551339358 QPMBDEVSKVPZFX-UHFFFAOYSA-N 423.741 4.886 5 20 HJBD Cc1ccc(OCc2ccc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)o2)c(C)c1 554793718 SQQYYMFPNQCESJ-UHFFFAOYSA-N 406.438 4.977 5 20 HJBD O=C(NC[C@H](OCc1ccccc1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])o1 557730487 YIMWPBHVRFKHJD-SFHVURJKSA-N 400.818 4.529 5 20 HJBD CCCOc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)c(OC2CCCC2)c1 564269700 WFSKXSLPTLYWDB-UHFFFAOYSA-N 424.457 4.741 5 20 HJBD NC(=O)c1ccnc(N[C@H](c2ccc(Cl)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 569752498 CVCUJWWVZQCKED-MRXNPFEDSA-N 417.252 4.597 5 20 HJBD COc1cc(OCc2nc(CSc3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 575843138 AYQBLKGVMLVHOX-UHFFFAOYSA-N 407.835 4.511 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] 578467501 TUOLZAJZWFIIRQ-VDZJLULYSA-N 402.878 4.638 5 20 HJBD Cc1c(NC(=O)C2CCN(c3noc4ccc(Cl)cc34)CC2)cccc1[N+](=O)[O-] 582919112 SOOOLJADTBRMAE-UHFFFAOYSA-N 414.849 4.553 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 584428934 YUUWZLNXFWIAES-MRXNPFEDSA-N 408.336 4.510 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nccnc3-c3ccccc3)cc2[N+](=O)[O-])CC1 589829217 YEYJECOUUULZOF-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1ccccc1[N+](=O)[O-] 602230975 QULAZSDCGZYJSQ-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD COc1ccc(CNC(=O)c2ccccc2SCc2cccs2)cc1[N+](=O)[O-] 604018433 FODAHGLNZYPKPK-UHFFFAOYSA-N 414.508 4.887 5 20 HJBD CCc1nc(CCNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cs1 608837888 UTPOPCCVXVJQMJ-UHFFFAOYSA-N 401.463 4.877 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 608911127 LNEBVIOXEHGJNO-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H]3CCCN(C(C)C)C3)c([N+](=O)[O-])c2)cc1 608973265 DHODVHDSWGQDNU-GOSISDBHSA-N 410.518 4.688 5 20 HJBD CC(C)(C(=O)NCc1cccc(COc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 610041164 NEDFYNAXUCXILV-UHFFFAOYSA-N 404.466 4.768 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 610046944 QDELLWWKHGVWPT-RBUKOAKNSA-N 406.404 4.856 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CC1(c2ccc(Br)cc2)CC1 610163386 VRUBXWJHRPMBGS-UHFFFAOYSA-N 418.291 4.861 5 20 HJBD C[S@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611206142 JDBVHWLTDOJGMP-MHZLTWQESA-N 404.513 4.619 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 726335751 MKJWYWWWTHKNEY-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 727642104 VFOFJOJDIAXICF-UHFFFAOYSA-N 424.356 4.879 5 20 HJBD Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2OC(C)C)c(C)n1Cc1ccccn1 729118054 UCSNXTJVVXCQOM-UHFFFAOYSA-N 423.469 4.601 5 20 HJBD C[C@H](Nc1ccc(OCC2CC2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 733321912 ZRVLFZLZBYEZPW-LBPRGKRZSA-N 423.391 4.842 5 20 HJBD CC(C)(Oc1cccc(Cl)c1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442880 KWICPPSWMQBXKM-UHFFFAOYSA-N 416.817 4.804 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(NC(=O)OC(C)(C)C)CCCCC1 741936744 QHIIAYLYRDEKAB-UHFFFAOYSA-N 411.886 4.723 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 742024639 ZAZICKBKUCNEQW-OAHLLOKOSA-N 405.292 4.759 5 20 HJBD COc1c(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)sc(C)c1Br 744070090 LWNONVJBDRLXHR-MRVPVSSYSA-N 400.250 4.654 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3cc([N+](=O)[O-])ccc3Cl)c2)cs1 747809896 GCEPBUGULWNYKP-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCc3sccc3C2)cc1 748995521 SNLPOXIZIIWCFB-UHFFFAOYSA-N 408.483 4.541 5 20 HJBD O=C(Nc1cccc(-c2cnco2)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750841751 NVCQMTFTLQTJNS-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)cc1 751095190 JCRZRTMUVPLLND-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] 751244516 WKQHXCLKRZMMEL-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC=C(c2cccc(Br)c2)CC1 762486867 RXZYAPKGQHODNY-UHFFFAOYSA-N 423.213 4.565 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)[C@H](C)Sc2nc3ccccc3s2)cc1[N+](=O)[O-] 762571541 VQEVFGGOIDHWRP-OLZOCXBDSA-N 401.513 4.871 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H](c1cccnc1)c1cccc(C(F)(F)F)c1 777350666 IEDMXKUDATTZKA-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD Cc1cc(-c2ncccc2NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cs1 782922897 FTMBTEIAENBOGD-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2cc(Cl)ccc2n1C 784064459 FFYPWWXDOMQEAN-LLVKDONJSA-N 405.863 4.531 5 20 HJBD C[C@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1ncnn1C 789864983 YYUWJMLMRYRFPY-HNNXBMFYSA-N 410.477 4.553 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(NC(=O)OC(C)(C)C)cc1 798542367 QUAIIPUORYMNJO-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c3c2CCC3)c(C)c1 800150219 GVWOYPKALJPLKJ-UHFFFAOYSA-N 408.433 4.586 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCC(CC(F)(F)F)CC2)CC1 803674859 BLGBSODPZQNINN-UHFFFAOYSA-N 413.440 4.636 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc3c(Br)cccc3s2)c1[N+](=O)[O-] 804870496 DCBHKLGAVXVERE-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3cccnc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 809356679 UZQHMPLCVXHQFT-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD C[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])Oc1ccccc1Cl 813026771 KFCVDWOOBSNJOL-SECBINFHSA-N 403.649 4.752 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@@H]1c1ccccc1OC(F)(F)F 813247449 YLASIRFVWIGRST-GHMZBOCLSA-N 400.740 4.889 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])c2ccccc21 813278766 OCWVHARZCVYKME-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cnc(Cl)cc3Cl)cc2[N+](=O)[O-])CC1 813381969 HQBKLELZYIBPBU-UHFFFAOYSA-N 409.273 4.785 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-n2cccn2)c(F)c1 818162888 KFDPUTOQBJWITG-SNVBAGLBSA-N 423.231 4.717 5 20 HJBD O=C(OCc1coc(-c2cccs2)n1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 823225237 MHURAHRJCUMHGB-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD Cc1ccc([C@H](NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)C2CC2)cc1 827006022 KHXYNHQMKVWJJR-IBGZPJMESA-N 413.524 4.873 5 20 HJBD CC(C)Sc1ccccc1C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 844169037 LKBZDVKGLVMWIU-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1SC(F)F 856193692 MNEOYBREHQEMDO-VIFPVBQESA-N 402.806 4.969 5 20 HJBD C[C@H]1CN(c2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)C[C@@H](C)O1 864036105 UEQKODPHPKMJEA-OKILXGFUSA-N 409.408 4.839 5 20 HJBD Cc1nc(SCC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c2c(C)c(C)sc2n1 897493358 ZAFQWDIAINCLNW-UHFFFAOYSA-N 424.454 4.534 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cc4ccccc4o3)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 921197994 CRTGUBYSIKYOHP-UHFFFAOYSA-N 424.394 4.933 5 20 HJBD CC(C)(C)c1nnc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 1331872929 BDSVPKKBPZPCOE-UHFFFAOYSA-N 403.442 4.719 5 20 HJBD COc1cc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc(Cl)c1OC 1350206138 QYUHEJTYJLSEOF-UHFFFAOYSA-N 419.648 4.502 5 20 HJBD C[C@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1Cl 6028055 QZYZPGOKULTUNF-JTQLQIEISA-N 407.904 4.827 5 20 HJBD CC(C)n1nccc1NC(=O)[C@H](C)OC(=O)c1c2ccccc2cc2ccccc12 6643765 RFHQMJIWCSLHAL-INIZCTEOSA-N 401.466 4.954 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(CN3CCCCC3)n2Cc2ccccc2)cc1 10288953 LQLJBMVPDMZFEQ-UHFFFAOYSA-N 423.542 4.513 5 20 HJBD C[C@@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 11331798 FKROKBGYOIRECA-SECBINFHSA-N 422.821 4.786 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)NCc1ccccc1[N+](=O)[O-] 48735850 MMTNSHJTAFXNAT-UHFFFAOYSA-N 419.441 4.869 5 20 HJBD COc1ccc(-c2cnc(CCC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)o2)cc1 63940047 HOGDOBACGDDZRE-UHFFFAOYSA-N 423.469 4.628 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 72058118 JMJVCXCKLIEISK-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3nnc(NCc4ccccc4)s3)sc2c1 111194966 KFCGWMVOPCTKFO-UHFFFAOYSA-N 401.498 4.819 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)CCOc1ccccc1[N+](=O)[O-] 139270979 ACRKVBKOQDEIFT-AWEZNQCLSA-N 411.483 4.673 5 20 HJBD C[C@]1(C(=O)O)CCCC[C@@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426726868 LUCOMXQQHZMMMF-FPOVZHCZSA-N 402.422 4.649 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2OC)cc1 427597437 UVETZXAGTYWFPT-HSZRJFAPSA-N 419.481 4.813 5 20 HJBD Cc1c(NC(=O)N2CC[C@@H](Cc3ccc(Br)cc3)C2)cccc1[N+](=O)[O-] 432729129 GBKWREAPGKKQDZ-HNNXBMFYSA-N 418.291 4.762 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436303290 BKHMGBMLSXPEEV-UQBPGWFLSA-N 412.489 4.579 5 20 HJBD COc1cc(-c2nc(CN(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)ccc1O 436875915 ZQNCWANMDNVZIJ-UHFFFAOYSA-N 411.483 4.597 5 20 HJBD O=C(c1cc(-c2ccc(Cl)s2)on1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437490545 NDRXRGACLGKFBN-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 442637022 KDPCGBWAJQGNCR-APWZRJJASA-N 422.510 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1csc2nc(-c3ccccc3)cn12 444492397 ATUMDRHADFKKDC-UHFFFAOYSA-N 406.467 4.769 5 20 HJBD COCC1(C)CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 447884325 XARFFAJTCZABJG-UHFFFAOYSA-N 406.891 4.508 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 448438179 RKRBJIJIIBPUBX-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2CCN(c3nccs3)CC2)s1 466421579 MFVRVKZKZJYZAM-UHFFFAOYSA-N 400.529 4.538 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cc(C)ccc2n1C 470344123 JTCUMDKVPIDIIM-UHFFFAOYSA-N 412.515 4.612 5 20 HJBD Cc1ncsc1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 472824027 BRTBFSRULOAYAL-UHFFFAOYSA-N 402.863 4.878 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2cccc(Cl)c2O1 476108320 KCEIYWZFOFVEMO-NSHDSACASA-N 415.858 4.799 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@H](C)CC[C@@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 483520819 NLMNKENTYWMBIM-AUUYWEPGSA-N 411.458 4.695 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)c1cccs1)c1n[nH]c2ccc([N+](=O)[O-])cc12 485027818 WLRNIVCYKYIVKN-KRWDZBQOSA-N 412.858 4.705 5 20 HJBD O=C(NC[C@H]1CCCC[C@@H]1C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486177470 OMGOSLURHWQGCU-SFYZADRCSA-N 415.231 4.517 5 20 HJBD CC1(C)C[C@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c2ccc(F)cc2O1 491346430 OHASSGOICHOLTQ-IBGZPJMESA-N 413.449 4.710 5 20 HJBD CC(C)(NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1)c1ccccc1[N+](=O)[O-] 492238176 DMJCOPXUMNBIKC-UHFFFAOYSA-N 418.419 4.883 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc2nc(Cc3ccccc3)oc2c1 494689606 HWCQXYLHEOESTH-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD C[C@H](N[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 500845174 VSNMMWCRBXTXBS-RDBSUJKOSA-N 409.408 4.722 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NCC(C)C)cc1 504077122 RNPLLRGHRPNYIM-UHFFFAOYSA-N 415.515 4.735 5 20 HJBD Cc1ccc(-n2c(SCc3c(F)cccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 504541354 HEFCQVHWQQXCQL-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 507833450 MFQRIZIZCVGWAY-LLVKDONJSA-N 411.683 4.762 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])oc12 508301254 SMLXWZZYHHUWGB-ZDUSSCGKSA-N 400.456 4.709 5 20 HJBD Cc1c(NC(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)cccc1[N+](=O)[O-] 513655038 OWYWDHHMXPYRPO-OAHLLOKOSA-N 403.866 4.880 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](COc2ccccc2Cl)C1 513879292 BHCWDAWGQVCKOV-OAHLLOKOSA-N 420.918 4.658 5 20 HJBD CN(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc3ccccc3c3cccnc23)c1 515282885 UTWYCINERIRJHB-UHFFFAOYSA-N 403.869 4.996 5 20 HJBD O=C(Nc1ccc(OCCCO)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 517950618 UDZSFOXHYMLEES-UHFFFAOYSA-N 424.478 4.760 5 20 HJBD Cc1cccc(-c2nc(CC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cs2)c1 523500145 DFMOXNAVLXPWTE-UHFFFAOYSA-N 409.511 4.708 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC[C@H](C2CCOCC2)C1 532849827 VCYIZAPKRWRYPX-KRWDZBQOSA-N 411.458 4.668 5 20 HJBD O=C(C[C@@H]1CCCCCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 535704820 MAUKOFSWYOMZLW-KRWDZBQOSA-N 405.454 4.734 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)n2)cc1F 536508682 FLTOUIUTSJZJQW-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD COc1ccc(CN[C@H]2CCc3c(Cl)cc(Br)cc32)cc1[N+](=O)[O-] 536862693 JEAHOPJBGXIGPW-HNNXBMFYSA-N 411.683 4.796 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCC(c3cccc4ccccc34)CC2)s1 537639759 HXFOTLYADLKWHJ-UHFFFAOYSA-N 416.524 4.597 5 20 HJBD C[C@@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccc(OC(F)F)c1 538315316 HQEFVMDOOYIJLL-CYBMUJFWSA-N 413.380 4.749 5 20 HJBD C[C@H](Nc1cc(NC(=O)CN2CCCC[C@H]2C)ccc1F)c1cccc([N+](=O)[O-])c1 538545277 MZRHMEDFPUSMLL-CVEARBPZSA-N 414.481 4.720 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)cc1OC 541121176 ZKLANNDBMGFOEJ-UHFFFAOYSA-N 424.400 4.710 5 20 HJBD COc1ccc(CN(C)[C@H](C)c2ccncc2)cc1OCc1ccc([N+](=O)[O-])cc1 541761765 XXPJJXRMCLFBIO-QGZVFWFLSA-N 407.470 4.770 5 20 HJBD CCCC(=O)Nc1ccc(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 542214058 GNIXMWICCPFJJC-UHFFFAOYSA-N 410.348 4.614 5 20 HJBD COc1ccc2oc([C@@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3C)c(C)c2c1 542582859 NFZCPEHXBKIJIU-OAHLLOKOSA-N 411.458 4.646 5 20 HJBD CC[C@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc([N+](=O)[O-])cc1Cl 543860095 NTEWRCUKUHYODR-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD C[C@@H](NC(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1cccc([N+](=O)[O-])c1 551567981 SNZUTIMUKFPPJX-GFCCVEGCSA-N 416.890 4.932 5 20 HJBD C[C@H](C(=O)Nc1cccc2cc(Br)cnc12)c1ccc([N+](=O)[O-])cc1F 557416734 WBCFRCZXTOILHP-JTQLQIEISA-N 418.222 4.787 5 20 HJBD CCCC(=O)Nc1cccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1C 560669525 ZYVNOBFKPLZAPL-UHFFFAOYSA-N 407.474 4.654 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 573238470 IPMAZPFMKOXOJQ-NRFANRHFSA-N 414.505 4.773 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 577134574 IAIWOBJVIGNOGY-SJORKVTESA-N 405.454 4.550 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(Cc3cccc(C(F)(F)F)c3)C2)c1 590425102 YQJGELDVKSRILN-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=C(c1ccccc1)c1ccc(Sc2n[nH]c(-c3ccccc3F)n2)c([N+](=O)[O-])c1 602095064 XXJUUDKDMVIDOE-UHFFFAOYSA-N 420.425 4.901 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccc(N3CCCCC3)nc2)c([N+](=O)[O-])c1 603860925 LLHYMICOTUUMMN-UHFFFAOYSA-N 416.481 4.823 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(NC(=O)c3ccncc3)c2)c1 604470295 JQUDJPXFXUCSBT-UHFFFAOYSA-N 422.466 4.525 5 20 HJBD O=C(Nc1cccnc1O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 604574378 ORTQTRCQLNHLIU-UHFFFAOYSA-N 401.831 4.752 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 609304636 PHOYWWLGZGHHHY-KKSFZXQISA-N 416.481 4.889 5 20 HJBD CC1(C)CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCCO1 609755981 ZWNOZXKUGRELKW-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1coc(C2CCC2)n1 618097429 SHZQKFHFERPNGF-UHFFFAOYSA-N 419.397 4.548 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3ccccc3)s2)cccc1[N+](=O)[O-] 729941826 YWIHTNASCGTLNO-LBPRGKRZSA-N 400.485 4.541 5 20 HJBD Cc1ccc(N2CCC[C@@H](NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 742379970 IZSLNILKHZMZPH-GOSISDBHSA-N 408.527 4.785 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1cc([N+](=O)[O-])ccc1Br 751077929 RVXGPYZFEIQGTM-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD C[C@@H](C[C@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 752284169 KXOVELOKXASMJJ-KSSFIOAISA-N 412.467 4.691 5 20 HJBD CC(C)Oc1cc(Br)cc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 752408077 JWJZRNIXXNSRMB-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)n1 754984638 DJDXKCALTOXEMM-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)CCc1cccc([N+](=O)[O-])c1 756533219 HFFWEFOLTXFLBR-UHFFFAOYSA-N 412.486 4.956 5 20 HJBD Cc1ccc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)cc1NC(=O)OC(C)(C)C 761254258 HFXHQFJMWKYJIU-UHFFFAOYSA-N 411.418 4.549 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 762967106 KAYVHXZYOCXCQE-UHFFFAOYSA-N 418.478 4.953 5 20 HJBD C[C@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764170476 YAQBDYWCFVYYKS-LSDHHAIUSA-N 407.511 4.512 5 20 HJBD C[C@H](Nc1ccc(OCCC(N)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 776853447 MZVQGVIZRMSZNH-AWEZNQCLSA-N 411.483 4.751 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 776939687 SINHPYPPPMGUBK-UHFFFAOYSA-N 401.444 4.598 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1nc(C(C)C)n(-c2cccc(F)c2)n1 778804218 BUXAIACMPAAMGW-CQSZACIVSA-N 412.421 4.664 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(C(=S)Nc3ccccc3Oc3ccccc3)CC2)n1 782384872 IZTINCBDPYHHDJ-UHFFFAOYSA-N 423.498 4.618 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c(CN(C)C)c1 783499351 DMYBHZZIDVEVPS-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccc(F)cn1 783757895 XKYNKGAHZSHLPO-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 784984941 IVMRAEFIIOYREL-UHFFFAOYSA-N 421.906 4.775 5 20 HJBD C[C@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(=O)NC1CC1 785642040 MQXSRKLLPXYKTD-HNNXBMFYSA-N 413.473 4.757 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@@H](F)C(F)(F)F 810419070 LVCSWBVPDRXFOA-CQSZACIVSA-N 424.709 4.696 5 20 HJBD Cc1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(O[C@H]2CCOC2)c1 811484456 YJBKSTSOLJZLGZ-INIZCTEOSA-N 411.285 4.668 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812832990 RISLAUJAFVYWEU-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD COc1ccc(NCc2cccc([N+](=O)[O-])c2C)cc1OCc1cn2ccccc2n1 864006035 NYDIHZBHGZIMPV-UHFFFAOYSA-N 418.453 4.751 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4cc([N+](=O)[O-])c(C)cc4C)n3)c2)n1 904525202 PIMIRVOLJHZWNI-UHFFFAOYSA-N 403.442 4.790 5 20 HJBD COc1cc(-c2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cc(OC)c1OC 904676329 ZJHGZHBNKFFTCQ-UHFFFAOYSA-N 413.430 4.635 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H]1COc1ccc(Cl)cc1 915855940 CWUAXBULCWFILY-MRXNPFEDSA-N 400.818 4.678 5 20 HJBD Cc1sc2ncnc(SCC(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])c2c1C 921140376 OSYROTRRLYSYFW-UHFFFAOYSA-N 409.876 4.567 5 20 HJBD O=C(OCc1cnc(-c2ccccc2)s1)c1cc([N+](=O)[O-])ccc1N1CCCC1 1317691030 SGGVDTSKSNLRKH-UHFFFAOYSA-N 409.467 4.676 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cnn1-c1ccncc1 1319570613 WPFHKIJTJSDNLH-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(CN2CCCCC2)cc1 1341887147 WNWJSLDGJOLJTN-UHFFFAOYSA-N 421.453 4.593 5 20 HJBD COCc1nnc(C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)o1 1345528613 HJMDQSZRNUZXTA-UVTDQMKNSA-N 408.439 4.517 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2nc(-c3ccccc3)cs2)c([N+](=O)[O-])c1 7176697 ZYQAVGVHNRJWDP-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD Cc1sc(NC(=O)c2cccc([N+](=O)[O-])c2)nc1-c1ccc(OC(F)F)cc1 10955048 OWBZEWQLKHVROL-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@@H](CCc1ccccc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 15563831 XPQFLMNCKBYMHE-ZDUSSCGKSA-N 412.433 4.843 5 20 HJBD Cc1ccccc1-c1nn(-c2ccccc2)cc1C(=O)NCc1ccc([N+](=O)[O-])cc1 59786242 NJAPCRYIHYATTN-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](Cc2ccccc2Cl)c2ccccc2)c1 62915782 QGHHMROMMNTYDA-FQEVSTJZSA-N 410.857 4.971 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(=O)N3C[C@H](C)C[C@@H](C)C3)ccc2C)cc([N+](=O)[O-])c1 148162742 NMJQFBMJMAXUSN-HZPDHXFCSA-N 409.486 4.582 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccc([N+](=O)[O-])cc2)c2ccc(Cl)cc2)c1 301467513 MKELXQDXTFRJCD-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD Cc1cc(NCC2(N[C@H](C)c3ccccc3)CCOCC2)c2cc([N+](=O)[O-])ccc2n1 302149296 HJUBIDPCCWJWLJ-GOSISDBHSA-N 420.513 4.763 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 409590380 GGBXBRWNWJURMV-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC2CCC(C)(c3ccccc3)CC2)cc1OC 427411077 DDUUOMMIPZWWBV-UHFFFAOYSA-N 412.486 4.632 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1c1ccc(F)cc1 433968882 WMNPTFVEBCWRLA-UONOGXRCSA-N 414.380 4.517 5 20 HJBD COc1cccc(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)c1[N+](=O)[O-] 435816203 IHPVTOJHMOGWAJ-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436320152 SJEHVXSXTGTXBU-NRFANRHFSA-N 411.486 4.657 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437095487 LPABVAUCPIBESF-DLBZAZTESA-N 404.388 4.908 5 20 HJBD CCN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)[C@@H](Cc1ccc(F)cc1)C(C)C 437123223 LCXKUFJLCDBFTH-QFIPXVFZSA-N 424.476 4.649 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 437814681 IQLYIUQMMKFWEH-UHFFFAOYSA-N 405.279 4.945 5 20 HJBD CCCCOc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 437851219 RYRJJZCYDNFKRQ-UHFFFAOYSA-N 413.474 4.849 5 20 HJBD CC(C)c1cnc(-c2ccc(NC(=O)NCCNc3ccc([N+](=O)[O-])cc3)cc2)o1 438485256 LFEJPYMBXQGATI-UHFFFAOYSA-N 409.446 4.607 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nnc(C(C)C)n2c1 438487145 IRBUIIACVPUVNI-UHFFFAOYSA-N 413.503 4.761 5 20 HJBD Cc1c(CC(=O)N(Cc2cc(Br)ccc2F)C2CC2)cccc1[N+](=O)[O-] 439049437 DWNTZKWFXNEEIR-UHFFFAOYSA-N 421.266 4.539 5 20 HJBD Cc1nn(C)c2sc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)cc12 440596462 IFIDUGIQLJCCFH-UHFFFAOYSA-N 406.467 4.698 5 20 HJBD COc1ccc([C@H](Nc2ncc([N+](=O)[O-])s2)c2ccccc2Cl)c(OC)c1 443509795 OGLMKEMCISQJRG-QGZVFWFLSA-N 405.863 4.923 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccc(F)cc1F)C1CC1 443829765 QNAGHPNAQZUNDI-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN(c2cccc(C(F)(F)F)c2)CC1 443950552 RCWGQKJQVRQRKI-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=c1c2ccccc2n(Cc2nc(CCn3ccc4ccccc43)no2)c2ccccc12 460156781 PPWFFPZCGFYDNL-UHFFFAOYSA-N 420.472 4.783 5 20 HJBD C[C@@H](Sc1nnc(-c2cccs2)n1C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] 463047131 FNDRXEUNRCTSLO-KGLIPLIRSA-N 416.528 4.947 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(Cc1ccccc1)[C@@H](C)c1ccccc1 463858472 TVVHAVXOXJXBPN-SFHVURJKSA-N 417.465 4.632 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H]1CCOC(C)(C)C1 464683369 WFSHQYONXFVIGF-CYBMUJFWSA-N 420.453 4.512 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCOCc2ccccc21 470631011 DNPFFHPRJRCRPX-UHFFFAOYSA-N 406.463 4.923 5 20 HJBD Cn1c(C(C)(C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 472078417 OCBNEWYLHXNNCY-UHFFFAOYSA-N 407.499 4.630 5 20 HJBD Cc1ccc([C@@H](C)N(C(=O)Cn2cc([N+](=O)[O-])nc2C)c2cc(C)ccc2F)cc1 474779797 YCURVCAAUZPSAR-MRXNPFEDSA-N 410.449 4.650 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21 477880238 PTDXCOOWVCEJBT-XIKOKIGWSA-N 422.453 4.871 5 20 HJBD CCOc1ccc(NC(=O)CSCc2ccc(OC)c(Cl)c2)c([N+](=O)[O-])c1 478608942 FIGPIAZTLAEHJS-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 480999410 PIVSUSSJLUCEOB-JKSUJKDBSA-N 406.442 4.904 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(F)(F)F)cc1 481525622 DSCWLADFQZHOEY-UHFFFAOYSA-N 400.378 4.900 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)CCc3ccco3)cc2[N+](=O)[O-])n1 483133074 ZNZFEFWJZRNTFE-LBPRGKRZSA-N 417.512 4.855 5 20 HJBD O=C(NC1(Cc2ccccc2)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485755932 DIPLUQITLZNJLM-UHFFFAOYSA-N 402.450 4.679 5 20 HJBD CC(C)(C)N1CCC(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 487482589 SROOARPEQRWBHI-UHFFFAOYSA-N 413.543 4.739 5 20 HJBD CC[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 487827000 WOILFQAKHXXJJK-HNNXBMFYSA-N 407.417 4.882 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2nc3sccn3c2[N+](=O)[O-])c1 488024796 QMNCLDXBNZKQJB-UHFFFAOYSA-N 408.443 4.560 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 494233102 GGXAXCGUJWQIPJ-HXUWFJFHSA-N 405.454 4.889 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(SCc3cccs3)s2)cc1[N+](=O)[O-] 498846867 KJSAHJOOIRMFAP-UHFFFAOYSA-N 424.554 4.774 5 20 HJBD C[C@@H]1CCC[C@@H](OCCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)C1 499132006 BGXRMSMLRXUVGZ-IAGOWNOFSA-N 420.506 4.850 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 499424513 VQCXVSJFEUNSFB-ZDUSSCGKSA-N 423.332 4.887 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3cc(C)cc([N+](=O)[O-])c3)n2)cc1C(F)(F)F 499601125 STPRMKKAAGGDEV-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD C[C@H](Nc1ccc(Oc2ccccn2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 506232835 MRSUHPODTTZYLE-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 507501268 MWILSHZQZPIFIO-GJZGRUSLSA-N 417.531 4.782 5 20 HJBD Cc1ccc(OCc2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)cc1 511058993 WUGDRDGOLVQOIV-UHFFFAOYSA-N 415.471 4.945 5 20 HJBD Cc1ccc(-c2nc3ccc(F)cc3n2C(C)C)cc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 513355209 KFKLYTMVSGUKEC-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CSc1ccc(C(=O)N2CCC(Oc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 513890129 HPEWVDRDQWDGRK-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)c(C)c2c1 518039578 RCKMDKKVCZXHKB-ZDUSSCGKSA-N 409.442 4.723 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)C2CCCC2)cc1OC 519076501 KIKVWFAUHCMUAS-NRFANRHFSA-N 416.449 4.803 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c12 523134479 IRZSVQQGXRJZKG-UHFFFAOYSA-N 418.409 4.546 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)nc2ccccc21 530646114 FMLWUXGVVNEKPF-OAQYLSRUSA-N 417.469 4.984 5 20 HJBD O=C(Nc1cccc2c1OCCO2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 535495154 UAFNSRHIQTZVLM-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 535700900 ZVWKXEWDWBUBNM-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCn2cccc2[C@H]1c1ccccc1 537207416 NDKGNHXGNRTGGT-HSZRJFAPSA-N 424.460 4.704 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cc2c(cc1Br)OCCO2 538177591 XQAWBFZAYPRQDE-NEPJUHHUSA-N 407.264 4.540 5 20 HJBD CN(C(=O)c1cc(-c2ccccc2)on1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251232 FUZVBRRFJGNXAI-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCC(=O)C[C@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539541399 CZDNNNHEEJRNPJ-GDBMZVCRSA-N 415.515 4.713 5 20 HJBD O=C(Nc1nc(-c2c(F)cccc2F)cs1)c1c[nH]c2cc([N+](=O)[O-])ccc12 540849825 QADMJQNSUOCUAN-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD CC1CCN(c2nnc(SCc3ccc([N+](=O)[O-])cc3Cl)n2C2CC2)CC1 541076151 STCYYOCWOFLAPC-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD C[C@H](Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C)c1ccc(C(F)(F)F)cc1 545819832 ITLBZMPVGAGQBB-JTQLQIEISA-N 409.389 4.714 5 20 HJBD Cc1csc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)n1 546502271 OGVYKOSFGPNFQF-LBPRGKRZSA-N 408.443 4.645 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)c1 549007831 XIOSRFUWCGLYHC-UHFFFAOYSA-N 422.485 4.938 5 20 HJBD CCC(=O)c1ccc(N[C@@H](c2cncc(Br)c2)C2CC2)c([N+](=O)[O-])c1 553252382 KRVLHPTWWZIXKP-GOSISDBHSA-N 404.264 4.908 5 20 HJBD C[C@@H]1CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 553722797 SXYRATAYPLFFEP-PJIJBLCYSA-N 422.403 4.706 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cc(-c4ccccc4)nn3CCO)s2)cc1 556064794 DXHOWPJCYLPYRJ-UHFFFAOYSA-N 420.494 4.791 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 557086679 MCSVUFDHXWWGTM-CYBMUJFWSA-N 422.416 4.757 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C)cc1[N+](=O)[O-] 558226532 IDXBMJOSFKLXDE-ZDUSSCGKSA-N 413.865 4.933 5 20 HJBD COc1cc(Br)ccc1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 561376474 KBWFBNVQUXRELM-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccnc3C(F)(F)F)cc2[N+](=O)[O-])C1 570968916 OPCVGTHEPBBYPN-CHWSQXEVSA-N 422.407 4.743 5 20 HJBD C[C@H]1CC[C@@H](c2ccccc2)N(C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)C1 572624448 KKBLBPHXIBYUDP-LPHOPBHVSA-N 405.454 4.522 5 20 HJBD O=C(Nc1ncccn1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 585710876 BWNWGIDBWMQGRJ-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1nc2c(Cl)c(Cl)ccc2s1 608928069 QYNMXYMZBBKXIL-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)C1(c2cccc(C(F)(F)F)c2)CC1 608938350 ZYLAHYKRJXRLFW-UHFFFAOYSA-N 416.302 4.885 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3nnc(C(C)C)n3C)c([N+](=O)[O-])c2)cc1 608974066 WHKKCOJLMBWAJJ-UHFFFAOYSA-N 411.487 4.559 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN([C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)CC3 609195933 MOEHRZKIRPNVRK-CQSZACIVSA-N 403.442 4.554 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(N3Cc4ccccc4C3)c([N+](=O)[O-])c2)c(C)c1 609269624 BZEPGLNZZIMBIG-UHFFFAOYSA-N 423.494 4.533 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)COc2ccccc2[N+](=O)[O-])cc1 610189283 SSAQTLGYDJTOKL-INIZCTEOSA-N 406.438 4.527 5 20 HJBD COc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1Cc1ccccc1 611471409 QOWPEDFIFHEAEV-UHFFFAOYSA-N 401.422 4.928 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccccc1OCc1cn2ccccc2n1 727711285 TXFGUPZQMCZBNR-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD COc1ccc(CC(=O)OCc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 727882314 FGWZPOCHWIAMAZ-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@H]1c1ccccc1C(F)(F)F 728034461 WXUMKUXXXPSZAJ-IBGZPJMESA-N 417.387 4.932 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H]2CCc3c(C)cccc32)c([N+](=O)[O-])c1Br 729469349 FRFUDWBWHDPWJS-GFCCVEGCSA-N 422.235 4.682 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Oc2ccc(Cl)nn2)cc1 735441567 PWZQSAWXLGVAIA-UHFFFAOYSA-N 419.224 4.665 5 20 HJBD CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 744157398 UMFKKYFBCAFBPD-FNHZYXHNSA-N 402.447 4.667 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(CNc3ccccc3[N+](=O)[O-])n2)c1 747867625 MBDFTTFDEUMABY-UHFFFAOYSA-N 411.418 4.604 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cc([N+](=O)[O-])ccc1Cl 748198907 RWOWHGNRYPAWJR-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 749925811 RKPNYZKRGUZXTC-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749995615 IOVLIYRFDOOHHZ-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@@H](O)c2cccc([N+](=O)[O-])c2)cc1 750079592 HCXKQBBKUOTWCB-UZUQRXQVSA-N 406.482 4.997 5 20 HJBD COc1ccc(CC(=O)O[C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 751703939 KCNKQPUUPGAIJT-LBPRGKRZSA-N 416.817 4.759 5 20 HJBD C[C@H]1C[C@@H](c2cccnc2)N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 756319637 KNYKIPZXFDOLAY-HZMBPMFUSA-N 424.682 4.629 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC2(CCC2)Oc2ccccc21 757830085 SCGCBYHZWMZLQU-HXUWFJFHSA-N 409.486 4.961 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 759505857 QPFCSZXYCZEYJB-LIRRHRJNSA-N 411.414 4.614 5 20 HJBD O=C(c1cccc([C@@H]2CCOC2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 761668473 CUUZJZYZLVRLAZ-QGZVFWFLSA-N 400.862 4.809 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2nc3ccccc3s2)CC1 764156882 QTWRYGDATDMJND-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=C(CCc1cncc2ccccc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 765091651 KVZPRLGVUKXFTP-XMMPIXPASA-N 413.433 4.803 5 20 HJBD O=C(N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 767378934 NWPDOERMBXANLQ-CYBMUJFWSA-N 412.673 4.699 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2c(Cl)cc(F)cc2Cl)cc1[N+](=O)[O-] 775208675 CECJALXIIMDIJU-UHFFFAOYSA-N 413.641 4.803 5 20 HJBD C[C@@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCC1=O 775473734 CKTBXVVDWATYBF-UKRRQHHQSA-N 416.861 4.771 5 20 HJBD Cc1ccccc1OCCCC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132051 HRKGZVTXWTUVFC-QHCPKHFHSA-N 406.438 4.790 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 779098588 BWBSEADVHKRHBJ-JCVNQNCUSA-N 416.302 4.629 5 20 HJBD CCNc1ccc(C(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 784521858 RPGMWDKXNGTUSZ-HNNXBMFYSA-N 407.392 4.675 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2)cc1 785767855 YNXNZPVDGXPHCB-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1C(=O)N1CCCCC1 786962377 RRMSOZYCFYYZTA-UHFFFAOYSA-N 422.529 4.610 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(SCc3ccc([N+](=O)[O-])c(F)c3)s2)c1 787490189 DRMMDHBADBZMPC-UHFFFAOYSA-N 419.463 4.580 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)Nc2cc(Cl)ccc2Cl)c1F 787941152 XLQHHRPKSQSCCW-SECBINFHSA-N 415.204 4.533 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)c(F)c1 800126914 GXPGTRQOUJMYNH-UHFFFAOYSA-N 424.709 4.649 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ccc1Cl 809221911 ZMFYYWKMOVUAKM-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(C(F)(F)c3ccc(F)cc3)no2)c1 809362844 KFFXLWKNYCOGDA-UHFFFAOYSA-N 404.348 4.524 5 20 HJBD COc1ccc(C(=O)N2CCCC2)cc1NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811470457 ZWGVYNQMWXMPSA-UHFFFAOYSA-N 424.284 4.758 5 20 HJBD CC1(C)CN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)[C@H]1c1ccncc1 817176772 UHQKUUYYDACCNY-HNNXBMFYSA-N 424.682 4.629 5 20 HJBD O=C(OCc1csc(-c2ccco2)n1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 825219627 YDOWIWSCAXNLTK-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(Br)o3)no2)cc(C(F)(F)F)c1 904629277 GZYJFAOUBVCEAK-UHFFFAOYSA-N 404.098 4.686 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 915181572 XBTGLSCJEBZTOS-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cc([N+](=O)[O-])ccc1C)oc1ccccc12 915797425 JQCLRDONHOJYSB-UHFFFAOYSA-N 406.394 4.829 5 20 HJBD O=C(Cc1nc(O)c2cc3ccccc3cc2n1)Nc1ccc(SC(F)F)cc1 917268372 SIQHNZYPCGPMMG-UHFFFAOYSA-N 411.433 4.985 5 20 HJBD CCc1ccc([C@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])c2cccc(F)c2)cc1 1318009146 KJDXDMKPLUKCKO-QFIPXVFZSA-N 408.429 4.824 5 20 HJBD COc1cc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc(Cl)c1OC 1319833012 GTWZWJDIRJFDCB-UHFFFAOYSA-N 419.890 4.679 5 20 HJBD O=[N+]([O-])c1cccc(CCc2nnc(-c3ccc(Br)cc3Cl)o2)c1 1322305869 FJURWGJQUWHMMJ-UHFFFAOYSA-N 408.639 4.846 5 20 HJBD C[C@@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 9160407 HEYOQDOWJJIJJR-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NCc3cc([N+](=O)[O-])ccc3OC)cc2)cc1 11549799 ZGHPJHMVLVJRBT-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 11560075 MVCOXCGOKJCVCJ-UHFFFAOYSA-N 416.481 4.823 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1cc([N+](=O)[O-])ccc1F 14643795 DCAKVTFZNRMLOR-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1CN(C)C1CCCCC1 15322794 BOACEJXHSVMSBA-UHFFFAOYSA-N 410.518 4.718 5 20 HJBD CCN1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 29605892 JDJIGEPQNBTKRY-UHFFFAOYSA-N 419.934 4.614 5 20 HJBD CCCOc1ccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c(C)c1 55990614 HZZQKIALGADVPA-UHFFFAOYSA-N 404.488 4.573 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2ccc(C)cc2)cc1OC 61441534 HRMWWULOQKXSNH-QHCPKHFHSA-N 420.465 4.830 5 20 HJBD COc1ccc(CCNC(=O)c2cc3ccccc3c3cccnc23)cc1OC(F)F 110113807 YTJNJABKXWXMDF-UHFFFAOYSA-N 422.431 4.971 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)c1cc(C)cc([N+](=O)[O-])c1 195691429 TXOXKZUHWWFQBK-UHFFFAOYSA-N 414.849 4.659 5 20 HJBD COc1ccc(Nc2nnc(Sc3ccc([N+](=O)[O-])c4cnccc34)s2)cc1 301055467 BTXDYVUIFIKCSP-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD Cn1c(Sc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])nnc1-c1ccncc1 301071203 FUIQVGRORXVGJW-UHFFFAOYSA-N 415.784 4.609 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1ccc(COC2CCOCC2)cc1 301763213 AKCZKOFPIPAWDO-UHFFFAOYSA-N 421.291 4.665 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1 303507299 WEGPACYPDCPNBQ-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])cc1OC 409939019 QLMWRKWNPKQYIG-SNVBAGLBSA-N 413.257 4.800 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCS1 427968049 GYEIDSVCVUYTPE-AWEZNQCLSA-N 419.934 4.828 5 20 HJBD Cc1noc(C[C@H](N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])c2ccccc2)n1 429462892 RZHIPQPOHNYOHM-JBACZVJFSA-N 406.486 4.571 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCSC2(CCCCC2)C1 430890774 UWGQUSPRIQRKED-UHFFFAOYSA-N 403.529 4.610 5 20 HJBD O=C(Nc1cccc(OC(F)F)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435991322 DZKWOOJJKQVQIW-UHFFFAOYSA-N 416.330 4.813 5 20 HJBD C[C@@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 439635103 ACUHXVNPEYUKJX-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD CCCc1nnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 440421218 ZGBHYOIUGDOMAJ-UHFFFAOYSA-N 400.485 4.802 5 20 HJBD CN(Cc1cn2c(n1)CCCC2)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440880630 YXKUSHQEMFEIPI-UHFFFAOYSA-N 422.510 4.551 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1csc(COc2ccc(F)cc2)n1)C1CC1 441246090 OAYZCAUQVMYFCH-UHFFFAOYSA-N 413.474 4.934 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(C)[C@@H](C)c2ccc(-c3ccccc3)cc2)cc1[N+](=O)[O-] 442507705 KXPRDZSYBBTRBT-KRWDZBQOSA-N 417.465 4.728 5 20 HJBD CO[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(Cl)c1 444127296 VQPUAHBVCBFMHB-LJQANCHMSA-N 424.859 4.591 5 20 HJBD CN(C(=O)c1cccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c1)c1ccccc1 445483512 WQLKPMSGWDYKLX-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc([C@H]4CSc5ccccc5O4)no3)cs2)cc1 446007863 UKDLOPWLHXQHHE-OAHLLOKOSA-N 424.463 4.994 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(CC(F)(F)F)c1ccccc1 447418788 POLZUHRDZUMTTP-UHFFFAOYSA-N 409.183 4.628 5 20 HJBD Cc1sc(CCNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc1-c1ccccc1 461471448 DKORWCGRDVMZEG-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 462777847 UPTBKRJGAQYDBT-KNQAVFIVSA-N 415.578 4.667 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)nc2ccccc21 463969702 FGKHTEJBZWQWFK-IBGZPJMESA-N 407.474 4.950 5 20 HJBD Cc1c(CC(=O)Nc2cc(F)c(Br)cc2Cl)cccc1[N+](=O)[O-] 466448071 HDNXCUPTWRJZJB-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3OC)nc2)cc1Cl 469894183 ULSKIQRRGMOKFQ-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nc(-c2cc(Br)cs2)no1 471287346 NSQSMBZUOWOCEI-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD C[C@H]1[C@@H](Cc2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 471436029 JNDNLGAIKRFIBM-PZJWPPBQSA-N 410.517 4.634 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)NC1CCN(C2CCCCC2)CC1 475222623 BZLMOXPHVHAGNX-UHFFFAOYSA-N 414.428 4.532 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OC(F)F)c2cccnc12)c1ccc([N+](=O)[O-])cc1F 475876940 QKLGFEDURUWHSQ-SNVBAGLBSA-N 405.332 4.626 5 20 HJBD O=C(Nc1ccc2c(c1)CCC(=O)N2CC1CC1)c1cc2cc([N+](=O)[O-])ccc2s1 478066594 YKFKEAJAJCHTRH-UHFFFAOYSA-N 421.478 4.751 5 20 HJBD C[C@H]1c2nncn2CCN1Cc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 483431800 DVCMPZZQTVVMDJ-INIZCTEOSA-N 421.501 4.853 5 20 HJBD CSc1cccc(C(=O)N(c2ccc(Br)cn2)C(C)C)c1[N+](=O)[O-] 485744011 DMHLJBIOOFPMBP-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CSc4ccc(Br)cc43)cc2N1 486499834 QIYVISDQANPGPP-CQSZACIVSA-N 420.288 4.501 5 20 HJBD C[S@@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 487847964 YELUKYKBSNMYJB-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 493256522 NSBWCMNYUFEGQY-TZIWHRDSSA-N 424.545 4.518 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1 498964865 DEOBEYPVNHMSQF-JTQLQIEISA-N 411.241 4.521 5 20 HJBD CC(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 500676896 HTIUCVURBIOVHN-GOSISDBHSA-N 411.483 4.542 5 20 HJBD C[C@@H](NC(=O)N(C)[C@H](C)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 502483404 UWTNKVBWYJPKLO-CHWSQXEVSA-N 406.280 4.821 5 20 HJBD C[C@@H](NC(=O)N(Cc1csc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 502484362 GKEZXLBAJOWRAY-LLVKDONJSA-N 424.320 4.854 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 504370183 CNPBTWFKJDXSCJ-ROUUACIJSA-N 418.497 4.780 5 20 HJBD O=C(c1cc(N2CC[C@](F)(c3cccc(Cl)c3)C2)ccc1[N+](=O)[O-])N1CCCC1 509527622 OIQNZJJXXMRCOF-OAQYLSRUSA-N 417.868 4.559 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)c1cc2cccc([N+](=O)[O-])c2[nH]1 512018682 ZSHSAEJAQZFBBJ-UHFFFAOYSA-N 418.434 4.876 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 513827900 YZFYFFIRYIVXHR-HQRMLTQVSA-N 406.486 4.631 5 20 HJBD CCCCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)C1CC(C)(C)NC(C)(C)C1 518418623 BBBWKEQMBWULMB-UHFFFAOYSA-N 407.580 4.868 5 20 HJBD O=C(Nc1cccc(COC2CCCCC2)c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 519076924 MKNIOHGQPCCWTI-UHFFFAOYSA-N 420.469 4.882 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncc(Cc2ccc(F)c(F)c2)s1 522736635 IJSKHVKDPJGHMA-UHFFFAOYSA-N 423.828 4.755 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c(C)c1 531441734 BDIFZKGUFQBNSO-SFHVURJKSA-N 423.513 4.886 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 532490571 FVHRKQMODCGNJL-NSHDSACASA-N 415.799 4.899 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](C(=O)Nc1ccccc1)c1ccccc1 532654200 DGJFCMPHIFBULB-QHCPKHFHSA-N 419.481 4.852 5 20 HJBD O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Oc2ccncc2)c(Cl)c1 535458174 DIHAIEROBUSFFW-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD COCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCC1 541601293 WVUPZSRIYWFUKF-UHFFFAOYSA-N 406.548 4.814 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2-n2cccn2)no1 545668328 UCYWQQFWVDDPRR-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 545810812 MCPJMOFTAMWEIY-ITHMCKDVSA-N 416.231 4.647 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 546539481 FZYDFENUOYIDON-CQSZACIVSA-N 418.465 4.686 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ncc(Cl)cc1Cl 553834322 PJLJMLVPIYDVML-UHFFFAOYSA-N 414.270 4.528 5 20 HJBD COc1cccc(C2(c3noc([C@@H](C)Oc4ccc(Cl)cc4[N+](=O)[O-])n3)CC2)c1 582267843 MKXHZUQGPPCOGR-GFCCVEGCSA-N 415.833 4.860 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1OC 609681723 UEIKUBRRTNUTOG-UHFFFAOYSA-N 415.490 4.653 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)ccc1[N+](=O)[O-] 609698434 XXUKABFZTHMJAT-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD O=C(NCCCc1ccc(O)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 609885124 DOQJYZMHEHUXFH-UHFFFAOYSA-N 408.479 4.814 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)ccc1SCC(F)F 609979023 YWBHPBIGBXUGSZ-UHFFFAOYSA-N 404.797 4.926 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H](c2nc3ccccc3o2)C1 610290274 FQVDBSWVSFOTIX-GFCCVEGCSA-N 419.359 4.775 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc2ccc(Cl)cc2[nH]1 732546994 QBTWHIQDPMGLGV-VIFPVBQESA-N 400.847 4.830 5 20 HJBD O=C(Nc1ccccc1SC(F)(F)F)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735272183 WOWWHMBEKCWSEC-UHFFFAOYSA-N 409.345 4.713 5 20 HJBD CC(C)(C)c1ncc(/C=C/C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 744156759 KUVVIYUFHBERHI-CMDGGOBGSA-N 413.455 4.760 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nc(Cc2ccc(Cl)cc2)no1 744480395 XSQXNOYNHNFSEV-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cc1 745565532 QUKHPWQWLPUVPP-LLVKDONJSA-N 421.331 4.890 5 20 HJBD CSc1ccc(-c2csc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])n2)cc1 750563736 MUWJINKYVCANQZ-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD Nc1c(Cl)cc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 753059890 YDWXZCNDSWKTHB-UHFFFAOYSA-N 403.653 4.501 5 20 HJBD Cc1nc(Br)ccc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 758467728 XOGJAGSMNUDQAQ-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cc([N+](=O)[O-])ccc1Cl 760834700 HPBGGHMDHXMCKO-SFHVURJKSA-N 402.237 4.816 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccccc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 763405573 QMBGMHWVXZFIHI-DLBZAZTESA-N 418.449 4.936 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764220123 YCWDUHAOAAXVIJ-SFHVURJKSA-N 410.861 4.931 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 766027780 JMWOGDKHPZOPJF-SECBINFHSA-N 415.646 4.511 5 20 HJBD C[C@H](NCc1cn(-c2ccccc2)nn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 773036598 PUGJXIBNWNLWND-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccc(NC(=O)C3CCCCC3)c1)CC2 773987447 GDHNZOINSOFWCY-UHFFFAOYSA-N 407.470 4.625 5 20 HJBD Cc1ccc(NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)cc1C 778228048 WOJFOGATTNSQSQ-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Nc2ccc(F)cc2)c2ccncc12 779512990 GMYQQLAQYWZZHL-UHFFFAOYSA-N 417.400 4.860 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(c1ccc(F)cc1)C1CCCC1 781722856 MYZOHUHCZQZPAX-UHFFFAOYSA-N 401.394 4.551 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1cc(Cl)cc([N+](=O)[O-])c1 783725923 MGPNHXRTKWWSSZ-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1cc(Cl)cc(C(F)(F)F)c1 800052081 FOVIMKAUHASBDI-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCC(O)(c4ccccc4C(F)(F)F)CC3)ccnc12 814368116 LRQZUJXMMBUKHK-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD CCC[C@@H](C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866539847 QIMQJNAPMJEWEX-FZKQIMNGSA-N 404.850 4.907 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1csc(-c2ccc(C(C)C)cc2)n1 899594048 QSKCMICRWYGGQM-UHFFFAOYSA-N 412.467 4.998 5 20 HJBD O=C(Cn1c(SC(F)F)nc2ccccc21)Oc1cc(Cl)ccc1[N+](=O)[O-] 921136957 VSDHWGRBIBTXDN-UHFFFAOYSA-N 413.789 4.518 5 20 HJBD Cc1ccc2[nH]c(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c(Cl)c2c1 1319134328 TVOARMMSYHNOOE-UHFFFAOYSA-N 413.861 4.722 5 20 HJBD COc1cc(CNc2cccc(Br)c2[N+](=O)[O-])cc(Cl)c1OC 1336948911 CXEBBLLYMYOEAL-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD COc1ccc(NC(=O)N(C)[C@H](C)c2ccccc2Br)c([N+](=O)[O-])c1 1517709398 PFGMZAJTULULRW-LLVKDONJSA-N 408.252 4.591 5 20 HJBD O=C(COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1-c1ccccc1 6011890 UBGFOJVGOWJFDP-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc(Cl)cc1Br 15574603 JFTCVCIEYUOMNZ-UHFFFAOYSA-N 402.653 4.708 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1ccccc1Cl 18591046 UELQILIXTUVVOJ-ZDUSSCGKSA-N 423.925 4.859 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nc2ccccc2n1C(F)F 25560397 YWQMOFLLWZWFQQ-NSHDSACASA-N 407.398 4.564 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](c2cccs2)N2CCC(C)CC2)c1 27574672 CRJGKKSIVPTARS-GOSISDBHSA-N 419.572 4.581 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)Cc3cccs3)cc2[N+](=O)[O-])n1 33204214 AECXBYBEZHFWKP-NSHDSACASA-N 419.553 4.933 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCc2cc(F)c(F)cc21 50312650 REIOCUOAFQINDC-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC(C)C 56398595 GJGGZGZSDQFMQP-GJZGRUSLSA-N 401.463 4.512 5 20 HJBD C[C@H](NC(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1cccc([N+](=O)[O-])c1 56726659 LMPFHSOWESWSGB-HNNXBMFYSA-N 410.474 4.540 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64865855 UMDFVWLZVUVNNX-UHFFFAOYSA-N 408.483 4.961 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccccc3OC(F)F)n2)cc1[N+](=O)[O-] 105352177 YLHUPVLQTRCZEA-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1Cl 303637472 AGQAJXDPRZDUQX-ZDUSSCGKSA-N 422.312 4.995 5 20 HJBD O=C(O)[C@H](Cc1ccccc1[N+](=O)[O-])NCc1ccc(-c2ccc(Cl)cc2)s1 426806753 DDZQVGCCVLSWLW-KRWDZBQOSA-N 416.886 4.762 5 20 HJBD COC(=O)c1cccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1Br 427155904 TVOGAMYOCTTWTH-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 428209045 RNTIPMMEENSVHH-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435832725 CDFLDNQYQNVUCG-UHFFFAOYSA-N 416.330 4.813 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437748337 FKHFUHDVJRUZBX-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)c1 438842821 SYQMHSILQYEZKX-AWEZNQCLSA-N 401.488 4.679 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1O 442651450 OTQHBYYEJTVUTQ-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD CN(Cc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 447702230 BTVGTLYGGPQDBW-UHFFFAOYSA-N 400.438 4.965 5 20 HJBD Cc1cccc([C@@H]2CCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c1C 464592109 JMBKANOGRHRQCB-FQEVSTJZSA-N 404.470 4.765 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC(F)(c2cccc(Cl)c2)CC1 466880718 BSTPDXJBVHIVRO-UHFFFAOYSA-N 406.841 4.505 5 20 HJBD C[C@@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC[C@H]2CCCCO2)c(F)c1 467987394 APKKCURSWYEFTR-VQIMIIECSA-N 402.466 4.575 5 20 HJBD Cc1nc(C(C)(C)NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)sc1C 475211498 RSWFTMJSPCZRKW-UHFFFAOYSA-N 402.398 4.744 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 475326306 ULIWIIMQHZHVKV-QFIPXVFZSA-N 424.888 4.659 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 478140921 WXCQCLFDWUQHRU-INIZCTEOSA-N 422.460 4.665 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@H](c2ccc(F)cc2)C1 478209950 PTZXHQQKQPPYMX-AWEZNQCLSA-N 422.406 4.728 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@@H]2CCCO2)cc1 483097272 IAZJVUGTFXGBAA-KRWDZBQOSA-N 402.472 4.517 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(C(=O)C2CCC2)cc1 486275359 OHMDXGGVEUGBOY-UHFFFAOYSA-N 417.259 4.521 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489441465 DUTFJFRCIKDPRS-ZNLUXHQJSA-N 411.502 4.740 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC2CCOCC2)cc1 489604673 MWDQANDLOQZCKE-UHFFFAOYSA-N 416.499 4.765 5 20 HJBD Cc1cccc([C@@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)c1 489940346 RVGLHEVZKAYVCY-OAQYLSRUSA-N 404.470 4.622 5 20 HJBD CCOc1cc(CN[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 491590890 FOFBONVIOLVPEC-MRXNPFEDSA-N 420.334 4.988 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496445868 CYYGXYUTSKDZFE-FQEVSTJZSA-N 415.371 4.659 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(C(C)(C)C)n1 499327655 BWANJUNYAJSHBM-UHFFFAOYSA-N 404.536 4.512 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccccc2Br)o1 504543112 XSDYGKGKSLDOGL-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@@H](C)Sc4ccc([N+](=O)[O-])cc4)C3)[nH]c2c1 508236330 LHWOTICOKRJQAE-HZPDHXFCSA-N 424.526 4.666 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 509948491 JAPCLXJGKFQGQW-UHFFFAOYSA-N 419.306 4.699 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)ccc1[N+](=O)[O-] 511269413 UWFSEYZMBNYZEM-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 517008144 IYYLLMBZLWTDPR-AULYBMBSSA-N 404.348 4.621 5 20 HJBD CCC(=O)Nc1ccc(C(=O)COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 518659699 HSIFGUKHCOVQFS-UHFFFAOYSA-N 404.422 4.872 5 20 HJBD CCOc1ccc2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2c1 523511176 ZMYWEUQNLHRSSQ-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD CCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1cccnc1 524346322 GCFGRVDCCDUDIJ-UHFFFAOYSA-N 400.485 4.573 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F 524588744 WFQGDDHTKJBZCK-JTQLQIEISA-N 403.410 4.796 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 533231549 ILLKAUFBACVHRN-CQSZACIVSA-N 424.457 4.706 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1NC(=O)c1ccccc1 535359934 GZUFRYQNTFKZFO-UHFFFAOYSA-N 414.421 4.510 5 20 HJBD COCc1c(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)sc2cccc(F)c12 538653988 XHHABIJSPDVFCP-UHFFFAOYSA-N 410.810 4.706 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCC(=O)[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452038 VTSROGCEPOHQLI-HUUCEWRRSA-N 415.515 4.713 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)n2)no1 544995155 QVGUDNGRNIEBRL-UHFFFAOYSA-N 412.789 4.978 5 20 HJBD CC(=O)N1CCc2c(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cccc21 546013959 ITLPQJSXDHUZQI-UHFFFAOYSA-N 417.421 4.548 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3nc(C)cs3)c(F)c2)c1 548735448 VIBJRUZFVQBEBS-UHFFFAOYSA-N 403.391 4.552 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CCOCC2)cs1 553645677 CSRSMVONFXVNGA-UHFFFAOYSA-N 407.517 4.700 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCc1ccc(OCc2ccccc2)cc1 555113836 CRPKMIJTEXCNEB-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD Cc1ccc(-c2noc([C@@]34CCC[C@@H]3CN(Cc3ccccc3)C4)n2)cc1[N+](=O)[O-] 579158721 ABKISLTYSLKNJE-AUSIDOKSSA-N 404.470 4.507 5 20 HJBD COc1cc(C2(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CCCC2)ccc1C 609371393 WWBAUZUWPVVQIX-UHFFFAOYSA-N 420.412 4.964 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 610225874 DZNSAQLDRLWEAE-OAQYLSRUSA-N 408.429 4.765 5 20 HJBD O=C(Nc1cnn(CC2CC2)c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 612548984 INLWGVWETFQZGE-UHFFFAOYSA-N 400.504 4.736 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCO[C@@H](c2ccco2)C1 612550494 QWUBINFMADUYQF-LJQANCHMSA-N 416.499 4.684 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CC2(CCC2)c2c(F)cccc21 726152209 JHXSUQKDACBHMI-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc(C(F)F)cc1 726499603 IBSUCPZXBIFAIT-UHFFFAOYSA-N 416.384 4.633 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)ccc12 727711067 DXYAOPRZXJVZAD-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1 729402316 QPRBGBYECQOEJM-UHFFFAOYSA-N 412.446 4.768 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2s1 734110410 DCOIVMHTDHIECV-LLVKDONJSA-N 410.480 4.819 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)sc1C 741859085 YNWKVTDOUMFVMW-NSHDSACASA-N 420.541 4.911 5 20 HJBD COc1cc(C(=O)OCC[C@H](C)OC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 744306828 GKDFLWJUPRLLQM-LBPRGKRZSA-N 409.822 4.631 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)c1 745387912 DEFAKWMNBVJANM-INIZCTEOSA-N 420.421 4.880 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@]1(O)CCSC1 747428359 ZGTDOWQHJMHOER-RUZDIDTESA-N 417.530 4.531 5 20 HJBD Cc1c(C(=O)Nc2ccc3[nH]c(-c4ccco4)nc3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748712231 FYFWSYNAIQCOPZ-UHFFFAOYSA-N 421.369 4.508 5 20 HJBD O=C(NCc1ccnc2ccccc12)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 748734627 FRCLKOCIBCMLBT-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC[C@@H]1c1ccccc1 753826401 XAFMVTVCCCMHPU-HIFRSBDPSA-N 403.276 4.623 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(N2CCCCC2)cc1 755038055 YRFWOIFHGLHTTH-UHFFFAOYSA-N 418.291 4.529 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)c1[N+](=O)[O-] 758414181 ADPNFNURUSMXOK-OAHLLOKOSA-N 409.417 4.507 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@H](c1ccc(Cl)cc1)c1cccnc1 759330120 XWBCSIJJOBHQDV-JOCHJYFZSA-N 421.840 5.000 5 20 HJBD CC(=O)Nc1cc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc(C(F)(F)F)c1 760547825 VKGYKMLVEQIFNE-UHFFFAOYSA-N 415.755 4.786 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761862704 FSLVQLHTTDCKNY-KUHUBIRLSA-N 412.467 4.581 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCC3)cs1)c1cc(F)c([N+](=O)[O-])cc1F 763384657 ZHQGUHHNMMRYGX-UHFFFAOYSA-N 401.394 4.738 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 763398830 MGJWOUZRMSWEPP-MNOVXSKESA-N 411.241 4.576 5 20 HJBD O=C(Nc1cc(Br)c(F)cc1Cl)c1cc(F)c([N+](=O)[O-])cc1F 763509548 JDLOAOYBQXTHOO-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1ccc2ccccc2c1 769874683 XZXRVCIOUSSRDL-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD CC[C@@H](C)n1ncc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1C1CC1 770330348 HZMVKNPPNLJATB-SNVBAGLBSA-N 407.268 4.655 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 770414172 AMNLLQKREPYIOK-UHFFFAOYSA-N 415.421 4.750 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N3CCc4cc(C)c([N+](=O)[O-])cc43)s2)cc1 773988803 PYNBRCJYCZELIF-UHFFFAOYSA-N 409.467 4.547 5 20 HJBD C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 777963825 MMAYGBOHNHPOBP-NSHDSACASA-N 418.318 4.505 5 20 HJBD O=C(Nc1ccccc1COCc1ccccc1)c1cc([N+](=O)[O-])c2nsnc2c1 778234044 RDCADNHOVZFCSJ-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD CCSCc1ccccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 789099416 BQGRSYRGTGKCRM-CYBMUJFWSA-N 413.455 4.816 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Oc1ccc(Oc2ccccn2)cc1 790712684 LDSQBRIMHFTYNG-UHFFFAOYSA-N 402.765 4.723 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 797083749 ILLAQHHSBDKWRS-NRFANRHFSA-N 420.421 4.530 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 803364902 UWJILQCXMLSFPY-GOSISDBHSA-N 409.269 4.799 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl)c1ccccc1[N+](=O)[O-] 808940975 OSBCAZYAKGEZIK-OAHLLOKOSA-N 412.660 4.917 5 20 HJBD O=C(N[C@@H](c1ccccc1)[C@H](O)c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 811546125 KXWBKPGDPBCCGH-FCHUYYIVSA-N 402.406 4.546 5 20 HJBD CC(C)(CCc1noc(COc2ccc(C3SCCCS3)cc2)n1)[N+](=O)[O-] 812812736 QDRGOJQDWMMYID-UHFFFAOYSA-N 409.533 4.505 5 20 HJBD CC(C)N(C(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)C(C)C 917257906 VVVCMPYIRWHVHP-INIZCTEOSA-N 414.458 4.578 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1N1CCC(c2nc3ccccc3o2)CC1 1116844462 RCLOQQJNTCMALP-UHFFFAOYSA-N 415.833 4.560 5 20 HJBD COc1cc(-c2nnc(-c3ccccc3C)o2)c([N+](=O)[O-])cc1OCc1cscn1 1327277507 FIYHSYOTIIDCHT-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD Cc1cccc2sc(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)c(Cl)c12 1337204838 QSJQBHTWQOKLHX-UHFFFAOYSA-N 419.842 4.995 5 20 HJBD COc1ccc(SCCc2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1OC 1342550775 ZNJGIGHMEXWTRJ-UHFFFAOYSA-N 415.471 4.614 5 20 HJBD O=C(Nc1ccc(C2(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)CCC2)cc1)C1=CCCC1 1787623113 KTCXJBBYNJNLQQ-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD Cc1ccc(Nc2nnc(SCc3cc([N+](=O)[O-])cc4c3OCOC4)s2)cc1 3536563 XXTQVSGQBJNVEP-UHFFFAOYSA-N 416.484 4.657 5 20 HJBD CSc1ccc(C(=O)OCC(=O)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 5425682 BEVGXWFUTCCDBF-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1C[C@H]1CCCO1 15503580 QHMMJPXYGAAMOJ-VGOFRKELSA-N 419.437 4.600 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1Cl)c1c[nH]c2ccccc12 26530731 UPKSIZBBIYZKSZ-QGZVFWFLSA-N 422.872 4.630 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2)c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 26783115 ZJSFGUMDPYAFOY-QHCPKHFHSA-N 420.465 4.830 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1cccs1)c1ccc(F)cc1 65735848 YBGONPRPQNAGLL-UHFFFAOYSA-N 409.442 4.984 5 20 HJBD Cn1c(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 107841601 LCLCLZXRHWCJIY-UHFFFAOYSA-N 411.270 4.519 5 20 HJBD CN(c1ccccc1)c1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cn1 195612231 OLEUWTUGJJSDRW-UHFFFAOYSA-N 414.368 4.611 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2ncc([N+](=O)[O-])cc2Br)CC1 301528794 KGPCMYKTVSIMFA-UHFFFAOYSA-N 424.682 4.505 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)n2)s1 301944057 FOIMPYJIKWDKBX-UHFFFAOYSA-N 414.512 4.941 5 20 HJBD Cc1ccc(NC(=O)c2ccc(SCC(=O)Nc3ccccc3)c([N+](=O)[O-])c2)cc1 409648898 WJDVNYFZUWYTME-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 432272979 YTQCYPFWJUMICA-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD CC(C)Oc1ccc(-c2csc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])n2)cc1 433770084 BCOCAGJJBICNAV-UHFFFAOYSA-N 415.475 4.604 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)sc2C1 436066834 OUAVYKCGHYIKSY-ZDUSSCGKSA-N 403.507 4.905 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@@H](C)c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] 437131392 AQYTWARWUJYCFS-ZDUSSCGKSA-N 420.535 4.905 5 20 HJBD C[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccc(Cl)cc1 444119136 PXTKEZYUBKDVJP-CQSZACIVSA-N 406.869 4.532 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(C(C)(C)c3ccc(-c4ccccc4)cc3)n2)n1C 445615870 KVNUTONAMQXOBK-UHFFFAOYSA-N 403.442 4.680 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 445778396 XCZVZLHCZLDFNI-QGZVFWFLSA-N 408.439 4.894 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 446359165 FYJXVSAPPKLPFO-HNNXBMFYSA-N 419.762 4.832 5 20 HJBD C[C@@H]1CCN(C)c2ccccc2N1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 460221076 LPIHMPSXPWVSQZ-CQSZACIVSA-N 408.483 4.594 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](CN3CCCCC3)C2)cc1[N+](=O)[O-] 461436830 QJISROLOXAJZQH-SFHVURJKSA-N 419.591 4.681 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 470184878 IKYRUXWKYOTDDQ-HJDVLLJCSA-N 422.529 4.605 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccccc1OC(F)F 472490447 XZWTXFNLDMTSPX-UHFFFAOYSA-N 410.442 4.669 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CCOCC1 475408049 HCVCTWIGMJTCHB-ZDUSSCGKSA-N 420.918 4.944 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)cc1F 475558861 HSRNZORLYFWCAW-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(NCCc3cccs3)s2)ccc2ncccc12 480376091 LPBXBSZJCBAIKF-UHFFFAOYSA-N 415.525 4.862 5 20 HJBD Cc1ccc([C@H]2COCCN2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)o1 480753233 HVHIFNSRNQSBAF-HXUWFJFHSA-N 423.425 4.894 5 20 HJBD COCCNc1ccccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 480798418 ZPDANOVIHVHCGL-UHFFFAOYSA-N 406.442 4.649 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](c2ccccc2F)C1 482905043 SPUCDEKDUFBQAK-LSDHHAIUSA-N 418.490 4.539 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cnccc2Oc2ccccc2)cc1SC 483668815 FUPVGNRSESHRQK-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 484763717 GPLJSXAHCWWXLD-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 485776837 XOWAPSYKHZWLOR-SNVBAGLBSA-N 415.334 4.638 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3nc(C4CC4)no3)cc2C)c1[N+](=O)[O-] 486553012 XTPPTNHOPBWBGI-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486963081 FCROAJQMQWMSHK-SFHVURJKSA-N 407.392 4.615 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487392645 ZVVFBRYLVRFKRE-VBKZILBWSA-N 417.893 4.698 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(Br)cc2[nH]1 489902914 DEMVZLDAZAQYGR-UHFFFAOYSA-N 421.276 4.598 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(-c3ncoc3-c3cccc(C(F)(F)F)c3)n2)c1 490353424 FMUIBNBUINKEBG-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(OCc3cccnc3)c2)cc1[N+](=O)[O-] 493211641 QNGHLMJIZSXYSD-INIZCTEOSA-N 406.442 4.760 5 20 HJBD Cc1cccnc1[C@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C(C)C 495227573 IDUUSGYGCRBPBZ-MRXNPFEDSA-N 410.396 4.514 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4ccc([N+](=O)[O-])c5cccnc45)CC3)c[nH]c2c1 497902205 DSASHSQMZKIJEX-UHFFFAOYSA-N 412.449 4.862 5 20 HJBD CC(C)Nc1cccc(F)c1NS(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 498019481 BNJLMGSPIWJUQU-UHFFFAOYSA-N 422.265 4.662 5 20 HJBD CCOc1cc(C(=O)NC(C)(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 504641170 CJAILWGBMUDCOR-UHFFFAOYSA-N 420.465 4.696 5 20 HJBD CSc1ccccc1NC(=O)CN(C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 506753445 RHMPFQDFIAGVRP-UHFFFAOYSA-N 411.483 4.647 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 509784606 ZEKYWLFYNFWTLY-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD CC(C)[C@@H](Oc1ccccc1Br)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 515675530 KWBDNYHXZUADBM-FZKQIMNGSA-N 421.291 4.638 5 20 HJBD Cc1ccsc1-c1nnc(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 518038883 UJHALKQDCYKNNA-JTQLQIEISA-N 415.456 4.918 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H]2CCCN(Cc3cccs3)C2)o1 518772811 FRMFQVQZWQJJOW-QGZVFWFLSA-N 411.527 4.918 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 518907109 LZXXVOPJGCGSBR-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cnn1C 520206971 BFBLGFMBEVOMSJ-LLVKDONJSA-N 419.279 4.510 5 20 HJBD Cc1cc(Br)ccc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520387024 SZPSMKNEGJHRAR-JTQLQIEISA-N 413.655 4.725 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520389679 CNOUYCZQZLXSPS-KGLIPLIRSA-N 406.866 4.680 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nc3ccccc3n2C(F)F)o1 522949753 KVKPYKHBWAMOLY-UHFFFAOYSA-N 412.396 4.932 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccc(Cl)c(Cl)c2)C(C)C)c(C)c1[N+](=O)[O-] 523273152 VVAXUGKMUIRSOV-UHFFFAOYSA-N 413.305 4.542 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1 524059097 GSVVANAQFGRYRJ-ZDUSSCGKSA-N 424.444 4.942 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC 525649723 WTFYCFLRYLIAJT-AWEZNQCLSA-N 402.472 4.610 5 20 HJBD Cc1ccc2c(C3=CCN([C@H](C)C(=O)Nc4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 532655574 YXTKDGQWZUZJKT-MRXNPFEDSA-N 404.470 4.501 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 532874194 QUOBQQVQYJOSKW-CJKMCJCZSA-N 401.463 4.965 5 20 HJBD O=C1CCCN1c1ccc(Cl)c(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 536303298 KWBGRJLFSAQSNN-UHFFFAOYSA-N 412.833 4.642 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@@H](C)c2ccc(OCC)c([N+](=O)[O-])c2)c1C 539732921 HJTSWCRVMINCRF-HNNXBMFYSA-N 421.519 4.627 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3ccc([N+](=O)[O-])cc3F)cc2)cs1 542713893 WXAGBULQROAUAY-UHFFFAOYSA-N 400.435 4.530 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1F 544422933 IACLEUNAFPFJLE-VIFPVBQESA-N 424.310 4.545 5 20 HJBD Cc1nn(C(C)C)c(C)c1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 550855283 AQHQDUGZYNGLJX-UHFFFAOYSA-N 408.458 4.963 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1 551418272 MDLWGAQWIZVVAM-HXUWFJFHSA-N 408.420 4.914 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1OCCc2sccc21 557079234 XQTAOPADMXHQLJ-DJJJIMSYSA-N 420.556 4.837 5 20 HJBD COc1cccc([C@H](CNc2ccc([N+](=O)[O-])nc2)c2c[nH]c3ccccc23)c1OC 576841503 KKDGJTBYXKWGEE-IBGZPJMESA-N 418.453 4.732 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2cccc(F)c2F)CC1 583182407 XTLWLFGTBGISLR-UHFFFAOYSA-N 405.401 4.683 5 20 HJBD COc1ccc(CNCc2cn(-c3ccccc3)nc2-c2cccs2)cc1[N+](=O)[O-] 584794331 CIUSXBWVDRMQID-UHFFFAOYSA-N 420.494 4.807 5 20 HJBD O=C(O)c1cc(NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603580166 HDZJCWWMXFAWSC-HTQZYQBOSA-N 400.275 4.614 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccs1 608808843 IBVGQYKNNCGHEX-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD COc1ccccc1N1CC[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 608836925 RBABWKGEAWRPAO-KRWDZBQOSA-N 423.538 4.948 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1OCC(F)F 608849600 CYIRJNFGWHIBAR-NSHDSACASA-N 414.792 4.607 5 20 HJBD CCC[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608851144 LZVOJLPIJINDIG-AWEZNQCLSA-N 410.348 4.614 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccnn1[C@H]1CCCc2ccccc21 608961704 ABDMIVRDGPBLME-NRFANRHFSA-N 419.485 4.548 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)NC(=O)COc3ccccc3[N+](=O)[O-])cc2)c1 609033430 TTXAPYJGFBYITD-GOSISDBHSA-N 420.465 4.738 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ccc(C(F)(F)F)cc1 609768686 IBTWVSTXSXBKND-UHFFFAOYSA-N 412.389 4.845 5 20 HJBD COc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)ccc1OCc1ccncc1 609894714 GOMYWUQZSLAJLE-CYBMUJFWSA-N 414.849 4.799 5 20 HJBD CCOc1cc(NC(=O)NC(C)(C)c2nc(C)c(C)s2)c([N+](=O)[O-])cc1OCC 609930887 QXLHAADHBMCGJT-UHFFFAOYSA-N 422.507 4.522 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccc([N+](=O)[O-])cc1 610036858 HTMJCWYLGQVOPY-UHFFFAOYSA-N 406.467 4.887 5 20 HJBD COc1ccccc1-c1nc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cs1 611769899 CFAIPCGSFIBQGE-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 727585253 AOSGNBJLJNDJGF-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 730261315 GXVNXAQKMHPBRR-QGZVFWFLSA-N 403.212 4.753 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)O[C@H](C)C2 730493731 INJCVDLDYKWOGH-DIOULYMOSA-N 416.861 4.713 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4cccc(Br)c4)C3)sc2c1 731210129 OZERZXIDFYVKOI-HNNXBMFYSA-N 420.288 4.545 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)cc1[N+](=O)[O-] 733245569 NOOYVRARMKOSMB-UHFFFAOYSA-N 413.821 4.515 5 20 HJBD O=C(Oc1ccc(-c2noc(-c3cccnc3)n2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 733693389 CFBUFPZNFBVWEG-UHFFFAOYSA-N 422.784 4.579 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C(=O)c1ccc(C(C)(C)C)cc1 735353551 KJJFLUSSINGTEY-ZDUSSCGKSA-N 422.437 4.574 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(F)cc(Br)c1 735444320 ZPLQFYIGMBJGCD-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1 736011597 ZTPMHABNLGWTJM-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCC2(C(F)(F)F)CC2)cc1[N+](=O)[O-])c1ccccn1 739335601 HNFPUZVRGMJSED-LBPRGKRZSA-N 409.364 4.662 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 742765357 HWAGTLPKTYWDBQ-UHFFFAOYSA-N 414.458 4.849 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745074879 WTDOGYRLGSMHTQ-JKSUJKDBSA-N 412.486 4.956 5 20 HJBD CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)c2c3ccccc3cc3ccccc23)o1 760088515 JEHVQOXVKHEDCV-HNNXBMFYSA-N 415.445 4.650 5 20 HJBD C[C@@H](OC(=O)c1cccc(-c2ccoc2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 760571488 IOKBQTYUXSCDHJ-CYBMUJFWSA-N 405.366 4.823 5 20 HJBD CSc1ccc(-c2noc(CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])n2)c(Cl)c1 762283296 SFVLSODXGGPPGY-UHFFFAOYSA-N 420.834 4.714 5 20 HJBD COc1cccc(C(=O)Nc2ccc(N(C)C3CCCCC3)c(F)c2)c1[N+](=O)[O-] 766418434 FOXVPNKEGISHMR-UHFFFAOYSA-N 401.438 4.764 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(CNC(=O)OC(C)(C)C)c1 769806945 ZHQDDECLCRTGES-UHFFFAOYSA-N 413.474 4.797 5 20 HJBD O=C(CCc1cncc2ccccc12)OCc1ccc(Br)c([N+](=O)[O-])c1 773467597 RVVFRHNHDVMQLV-UHFFFAOYSA-N 415.243 4.582 5 20 HJBD CSCCCCCCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781014938 QBWIXMPRIPMNPI-UHFFFAOYSA-N 404.482 4.613 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccncc3)n2-c2ccccc2)cc1F 787488689 USJKVXIKKKYYFF-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD CSc1cccc(C(=O)OCc2ccc(Cl)nc2C(F)(F)F)c1[N+](=O)[O-] 787799860 DGRGHBFIXMEGSL-UHFFFAOYSA-N 406.769 4.741 5 20 HJBD COCCCOc1ccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 797028619 XUGPUFZSSALEFK-IBGZPJMESA-N 400.475 4.853 5 20 HJBD CCN(CCc1ccccn1)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799575833 JGQHQJXJUNWGIZ-UHFFFAOYSA-N 407.495 4.846 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 844167169 SZNHJNHYRIVWBI-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD Cc1c(CNc2cccc(CS(=O)(=O)Cc3ccccc3)c2)cccc1[N+](=O)[O-] 864011570 IZWQLZNODXCMGQ-UHFFFAOYSA-N 410.495 4.630 5 20 HJBD O=[N+]([O-])c1ccc2cnn(CN3CCC[C@H]3c3cccc(Br)c3)c2c1 917483461 TXPPNEJOVXDWQG-KRWDZBQOSA-N 401.264 4.502 5 20 HJBD COc1ccccc1/C=C(\C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1116135763 NDGXMYYFDYCCPR-HMAPJEAMSA-N 400.434 4.686 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nnc(-c3ccc(Br)s3)o2)cc1 1118357319 FRNFSEMLULYZHD-UHFFFAOYSA-N 402.176 4.609 5 20 HJBD CN(Cc1ccccc1CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C1CCCCC1 1318889511 JLIGBAXORYLNNB-UHFFFAOYSA-N 422.529 4.585 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccn(-c2ccccc2C(F)(F)F)n1 1326037414 HACJXVXQRXIDJY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc(C)c(C)n1C1CCCCC1 6973316 NOILLEMSHOFKGR-UHFFFAOYSA-N 402.520 4.953 5 20 HJBD O=C(Nc1ccccc1F)C(=Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1F 16441640 IEKQRSTWGNLXDK-UHFFFAOYSA-N 423.375 4.534 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCc1cccs1)c1ccccc1 21820157 UVNQGXNTFQCOSD-SFHVURJKSA-N 401.875 4.779 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](c1ccccc1)N(C)Cc1ccccc1[N+](=O)[O-] 26346362 JYVNQHOVXNXYOH-HSZRJFAPSA-N 419.481 4.805 5 20 HJBD CCc1cc(Nc2ccc(C(=O)N(CC)CC)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64904880 UKAQJOGRTNDZJA-UHFFFAOYSA-N 419.485 4.840 5 20 HJBD CCCN(C(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 65419894 ZAXVJUWQBVHJJE-AWEZNQCLSA-N 410.426 4.741 5 20 HJBD C[C@@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 108416749 HAYZVHFZLIHOCJ-QGZVFWFLSA-N 404.470 4.670 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1C(F)(F)F)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 217382741 BAGFUMMZZUHUEN-NSHDSACASA-N 415.799 4.726 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(COc3ccc(Cl)cc3)o2)c2ncccc12 301062920 FPQGTRARNQVLKA-UHFFFAOYSA-N 414.830 4.910 5 20 HJBD O=C(Nc1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])cc1)c1ccc(F)cc1 301745759 ORASKAMXWSZKNX-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD CC(C)O[C@H](CSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccccc1 427680735 UOGAYWWCHJANKE-HXUWFJFHSA-N 416.499 4.792 5 20 HJBD COCc1nc(Oc2ccc([N+](=O)[O-])c(CO)c2)c2c(-c3ccccc3)csc2n1 428651140 KQKFBDMARNEFTB-UHFFFAOYSA-N 423.450 4.698 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccccc2CC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 428848088 HGKBDQWXBUFAAT-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1 430560680 XDMWDMCHEOSNSN-SJORKVTESA-N 403.276 4.512 5 20 HJBD O=[N+]([O-])c1cccc2c(NCc3ccc(N4CCc5ccccc5C4)nc3)ccnc12 432317088 FDTWQFWPERLZEC-UHFFFAOYSA-N 411.465 4.713 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436306807 PHPFRISISXEYSD-UHFFFAOYSA-N 418.443 4.811 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 436352761 OMVLAGBLTSNRKW-HNNXBMFYSA-N 418.443 4.898 5 20 HJBD COc1ccccc1COC1CCN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 438968875 YWNYJQFZYBUZNM-UHFFFAOYSA-N 412.486 4.548 5 20 HJBD CCCN(C(=O)CCCc1nc(-c2ccc(F)cc2)no1)c1cccc([N+](=O)[O-])c1 441479687 WFTJTEVCZCKTLD-UHFFFAOYSA-N 412.421 4.550 5 20 HJBD Cc1cccc2c(CCNC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c[nH]c12 444081735 LQDBAELOUHVTME-UHFFFAOYSA-N 413.452 4.583 5 20 HJBD O=C(NC[C@@]1(O)CCC[C@@H](C(F)(F)F)C1)c1cc2ccccc2c2cccnc12 444481111 KVWBTPWOMVRLMS-QVKFZJNVSA-N 402.416 4.601 5 20 HJBD C[C@@H](Sc1cc(Cl)ccc1Cl)c1nc(-c2c([N+](=O)[O-])ncn2C)no1 445582095 GOPGNZBINAZCQZ-SSDOTTSWSA-N 400.247 4.538 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1 445781642 LGLQKEWNTUYVOF-HNNXBMFYSA-N 404.220 4.533 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3ccc(Br)cc3)o1)CC2 446734425 FHOURQCHIBBASZ-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD COc1cc(C(=O)NCc2ccc(Oc3ccccc3F)c(F)c2)ccc1[N+](=O)[O-] 460643633 SLJPADLGGQLZMT-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD CC[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465644311 YVZGSZBFBXNSDX-CABZTGNLSA-N 402.398 4.582 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466288942 MIWKJDVXIHYAMB-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 470779701 OFTXGLDHABZNQT-KRWDZBQOSA-N 416.474 4.528 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)CCc1cccc([N+](=O)[O-])c1 470895129 RTEUOWSXTZIGLN-UHFFFAOYSA-N 410.495 4.827 5 20 HJBD COc1cc(OCc2ncc(-c3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 475889906 YBTAZMYBBPEPOB-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC(c2cccc(Cl)c2)CC1 478222097 CNYAQBXSFYIVJN-UHFFFAOYSA-N 411.845 4.522 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc(Cl)cc1[N+](=O)[O-] 478786401 VOLKNUOJSNAVDZ-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CCOc1cc(NC(=O)N2CCCC[C@@H](SCC)C2)c([N+](=O)[O-])cc1OCC 481445686 RFRZIJGCYQLPBG-CQSZACIVSA-N 411.524 4.532 5 20 HJBD C[C@H](NC(=O)Nc1ccc(OC(F)F)c2cccnc12)c1cccc([N+](=O)[O-])c1 482099986 WDSNCRIEWCTRLD-NSHDSACASA-N 402.357 4.627 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@H](C)c1ccccc1OC 482512223 ZVMFIJYITIAUDS-CQSZACIVSA-N 418.515 4.947 5 20 HJBD O=C(Nc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1)c1ccco1 482934071 PKCJGGMRIKVDKR-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)c3nc(C)cs3)cc2[N+](=O)[O-])n1 488553870 MJLHXTUJMBUZJL-UHFFFAOYSA-N 406.514 4.552 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nnc(-c2ccc(C(C)C)cc2)o1 488805973 YLDDRVYLEFHCTM-UHFFFAOYSA-N 412.471 4.807 5 20 HJBD CC[C@H](C)[C@@](C)(O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 489052378 JEOXPPHVGOCIIF-SGTLLEGYSA-N 411.296 4.504 5 20 HJBD O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 492514399 YXYSFHUTICPEAE-ILWGZMRPSA-N 402.475 4.814 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 494260768 YWJTXHFDMPYAJV-UHFFFAOYSA-N 416.865 4.793 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 498974975 QDFMGJCXSRESAL-ZDUSSCGKSA-N 405.376 4.788 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 504978965 BCEMXFNRSFJVEP-UHFFFAOYSA-N 409.833 4.692 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2/C=C\c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 505372272 IKKOPYARGBQXJB-KVPUOBJLSA-N 418.396 4.523 5 20 HJBD CCN(CC)[C@@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 510483377 SWTBLKMNOTWJNK-IFXJQAMLSA-N 423.435 4.874 5 20 HJBD Cc1nn(C)c(Sc2nc(-c3cccs3)c(-c3cccs3)[nH]2)c1[N+](=O)[O-] 513646101 TXZPNTZRGWIGLG-UHFFFAOYSA-N 403.514 4.968 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(CCc1ccccc1F)C1CCCC1 515158946 YFQMUHDZSGYZMV-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 515696855 DZKWNIACBNTHSM-AWEZNQCLSA-N 407.426 4.608 5 20 HJBD CCOc1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cc1F 515709920 LXBAVHIFTRRWEC-UHFFFAOYSA-N 401.397 4.936 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(c2ccccc2F)CC1 522899042 JHWIZCMDQUVEPS-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(F)c(F)cc2F)cc1[N+](=O)[O-])c1ccccn1 524552467 JCYIAXLPEMILMG-LLVKDONJSA-N 416.359 4.833 5 20 HJBD Cc1cnc([C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C2CC2)s1 525163997 KJCQCFCAGZUOHJ-FQEVSTJZSA-N 422.510 4.853 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 533471310 YOAPQQVBNZFHDW-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc([S@@](C)=O)cc1 535191077 OGAPSJSNZXWBFK-PGGUUEOZSA-N 400.525 4.912 5 20 HJBD O=C(O)C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(Cl)c(F)c1 537411115 KTLUYUIAMDZMFE-CQSZACIVSA-N 422.821 4.548 5 20 HJBD Cc1ccc(N[C@H](C)CCc2ccccc2[N+](=O)[O-])cc1NC(=O)CN1CCCCC1 538634386 OOFHUOQFZNLSLK-LJQANCHMSA-N 424.545 4.761 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCCO)[C@@H]3CCc4ccccc43)cs2)c1 538732831 VNNHXCJKMIMZIC-OAQYLSRUSA-N 409.511 4.590 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2cc([N+](=O)[O-])ccc2C)c1 539729546 QXMMPGXZGGLODS-DLBZAZTESA-N 419.547 4.684 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N(C)C[C@@H](C)c2nccs2)c([N+](=O)[O-])c1 543239273 KSHNQFYPWCQYQF-OXQOHEQNSA-N 424.526 4.775 5 20 HJBD CO[C@H](C)c1nc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546529572 BRBWZEHHIPTBHY-VHSXEESVSA-N 424.866 4.526 5 20 HJBD O=[N+]([O-])c1cccc(CCc2nc(Cc3nc4cc(Cl)ccc4s3)no2)c1 547005513 FNKMCHCUAGIEMO-UHFFFAOYSA-N 400.847 4.617 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)Nc3nc(O)c4cc([N+](=O)[O-])ccc4n3)s2)cc1 561192121 MVHAMNUBDCPJRA-ZDUSSCGKSA-N 421.482 5.157 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(-c2nc(-c3cccc(NC(=O)c4ccco4)c3)no2)c1 566763721 TWRUSKSDUNZFME-UHFFFAOYSA-N 420.381 4.556 5 20 HJBD C[C@@H](NC(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 589860689 BFMTZSWBKCGPER-CYBMUJFWSA-N 407.392 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1ncc(-c2ccc(Cl)cc2)o1 589975340 QDSSHLOBXHIZRP-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC2(CCOCC2)[C@@H]1C1CC1 590516943 UJTONAKTKKTCRH-FQEVSTJZSA-N 416.543 4.661 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OCC 603650930 OEZRSFRPNDTDGX-GOSISDBHSA-N 413.474 4.934 5 20 HJBD O=[N+]([O-])c1c(N2CCc3[nH]c4c(Br)cccc4c3C2)ccc2ncccc12 604379396 CRDXFTKGEKCISQ-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD CCC[C@@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 609178836 GYMDLHPLMFJBIC-OXQOHEQNSA-N 419.485 4.829 5 20 HJBD O=C(NCc1ccc(-c2ccc3c(c2)CCO3)cc1)c1c(Cl)cccc1[N+](=O)[O-] 609502518 BYEWQKOECAJFSP-UHFFFAOYSA-N 408.841 4.780 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2O[C@@H](C)c2ccccc2)cc([N+](=O)[O-])c1 609652399 ULJYRYOURIHRFU-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD CCOC(=O)C[C@@H](C)Sc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 609671274 AFQIVMZFHTWKML-CQSZACIVSA-N 402.472 4.589 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609684898 RXGXMHUVKLIJDW-XHDOVSQSSA-N 423.494 4.785 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)s1 609857324 GHKLEWSEYMISNM-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1cccc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609857562 WPAVHVNWJJKBHL-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H](c2ccccc2)CC1 610063125 CPRRPJHBQUMLIB-HNNXBMFYSA-N 403.276 4.767 5 20 HJBD O=C(NCC1(c2cccs2)CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 610067392 JLDWOFVTDXSVFI-UHFFFAOYSA-N 411.483 4.542 5 20 HJBD O=C(Nc1ccc(COC2CCCC2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 610070936 PRRVFRWQYVYYGU-UHFFFAOYSA-N 407.426 4.560 5 20 HJBD CC[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 610101500 UEVKUKCGRFWJBY-FQEVSTJZSA-N 421.501 4.758 5 20 HJBD CCCOc1cc(NC(=O)c2c(F)cc(F)cc2F)c([N+](=O)[O-])cc1OCCC 610169513 HLBNDQXOHXRFBE-UHFFFAOYSA-N 412.364 4.842 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(OCc3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 615203937 FMCFVSRJTYYJCG-UHFFFAOYSA-N 400.450 4.679 5 20 HJBD COc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(Br)c1 681983330 LUUKRJDBCJCSOI-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=S)NCc1cccc(C(F)(F)F)c1 726474408 DOFUXNCGXYNGAY-UHFFFAOYSA-N 411.449 4.899 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2csc(-c3cccs3)n2)cc1SC 728650883 LYWNGONDMPJPIL-UHFFFAOYSA-N 422.509 4.867 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@@H]1c1cccc2ccccc12 730873723 YWUMFEIWVLJJOY-WOJBJXKFSA-N 415.405 4.645 5 20 HJBD CCN(CC)[C@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 733874098 HRPNKJWHZSLODZ-KRWDZBQOSA-N 413.371 4.774 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCC[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 742823978 GIKSDFTUKPUAOY-IBGZPJMESA-N 402.516 4.587 5 20 HJBD CC(C)[C@@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 745545999 DXAKOUPOYKHHGS-FZKQIMNGSA-N 404.850 4.558 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)sc2n1 746168911 YZNZXZQCWCDZQV-LBPRGKRZSA-N 424.438 4.789 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n1 749986523 ZOWAFWWNGYXAEE-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD NC(=O)c1ccc(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)o1 752704122 NFYNTURBRUEOAZ-UHFFFAOYSA-N 424.456 4.555 5 20 HJBD Cc1c(C(=O)O[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cccc1-c1ccccn1 755100167 XARBGKXOEVGJKE-UXHICEINSA-N 406.442 4.502 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755430746 LUIUBMUQMQKBAJ-GUYCJALGSA-N 400.866 4.559 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(NC(=O)c3ccco3)c(Cl)c2)c1[N+](=O)[O-] 758443095 LFNAJRVGYFQDGV-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 759883705 OIRUHJYWKHQUNP-CYBMUJFWSA-N 416.817 4.893 5 20 HJBD C[C@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 760415794 DMHUHLYZBSDQLV-VIFPVBQESA-N 408.197 4.904 5 20 HJBD O=C1[C@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1ccc([N+](=O)[O-])cc1F 761050432 LBMYJBYEBZCEBH-INIZCTEOSA-N 424.215 4.713 5 20 HJBD C[C@@H](C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1cccc([N+](=O)[O-])c1 761697999 BSVNEHGGMWYRFO-GFCCVEGCSA-N 403.410 4.823 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@H]1c1cc(F)c(F)c(F)c1 766506411 QWADFJPTKHAVJG-GJZGRUSLSA-N 418.327 4.514 5 20 HJBD O=C(OCC[C@H]1CCOC1=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767743454 UVXKZIKSFCLIOC-GFCCVEGCSA-N 421.858 4.509 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cc(C)on2)ccc1OCc1ccc([N+](=O)[O-])cc1 768438192 PJCIDDJJJULQIG-NRFANRHFSA-N 423.469 4.816 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(OCc2ccccc2F)CC1 770020256 OZNZUQADYJHEFL-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD C[C@H]1CN(c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)C[C@@H](C)O1 770545297 OQSJLPINULBKLN-IYBDPMFKSA-N 424.526 4.944 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1cccc(OCC(F)(F)F)c1 770560134 XNYNWOIXMGTUIC-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 770856036 JRDYFMHKHMNMOC-UHFFFAOYSA-N 411.395 4.612 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781686383 PZCBRRPMCDVGSH-WOJBJXKFSA-N 411.458 4.539 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1c(C)nc2ccc(Cl)cn12 786652529 ZKSSSJWJRYSWLO-UHFFFAOYSA-N 404.879 4.959 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc(Br)cc1[N+](=O)[O-] 788569419 OAJAFGDBOXOJOB-LBPRGKRZSA-N 420.263 4.511 5 20 HJBD C[C@H](NC(=O)CCc1ccccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 790542167 KVSUEXQDEXODOZ-HNNXBMFYSA-N 413.474 4.752 5 20 HJBD COC(=O)c1cnc(Oc2ccc(NC(=O)OC(C)(C)C)cc2Cl)c([N+](=O)[O-])c1 790812609 DTIBJEGIQRKIPT-UHFFFAOYSA-N 423.809 4.569 5 20 HJBD Cc1csc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)cc2F)n1 791287182 AYJCKHFEEYFWTF-UHFFFAOYSA-N 416.434 4.609 5 20 HJBD CCOc1ccc([C@H](C)NCCC2=CCN(C(=O)OC(C)(C)C)CC2)cc1[N+](=O)[O-] 794834266 GSERMVLVBGOGID-INIZCTEOSA-N 419.522 4.601 5 20 HJBD CC(C)CC[C@H](O)COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 795516765 DKNLGRKYHUTPBO-INIZCTEOSA-N 406.866 4.676 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)o1 800868846 NGNCDAQRXOVWDD-UHFFFAOYSA-N 405.882 4.910 5 20 HJBD Cc1cc(C)cc([C@@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 801538238 ZHPORUHPMJDIBO-FQEVSTJZSA-N 414.527 4.710 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2c(CNCc3csc([N+](=O)[O-])c3)cccc21 808431646 KAEARLCTDWXPPJ-UHFFFAOYSA-N 403.504 4.634 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809952084 TZDRLNRZQTUYMC-NSHDSACASA-N 417.293 4.650 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@H]1NCCc1ccc([N+](=O)[O-])cc1 823812248 GTZLGQKPIMHIDN-PWRODBHTSA-N 417.550 4.685 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-] 844167159 SLWPMDCAWHAYCI-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@H]2c2cccc([N+](=O)[O-])c2C)c(OC)c1 877841376 FWKFSVZZJPZEME-JOCHJYFZSA-N 419.437 4.692 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 881451122 QLHOJUYUIIJRNX-UHFFFAOYSA-N 409.364 4.736 5 20 HJBD O=C(NCc1nc(-c2ccc(-c3ccccc3)cc2)no1)Nc1cccc([N+](=O)[O-])c1 904549847 WYNOSRFWQQRTSW-UHFFFAOYSA-N 415.409 4.634 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] 914504174 QKLVVAGLJWUDTI-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2cccc(F)c2)n1 914581024 RDRBDRBRDIHGMV-LBPRGKRZSA-N 402.403 4.613 5 20 HJBD COc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 917336013 QCEGRLNWCSTNGV-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=C(OCC[C@H]1CCCCN1C(=O)CCCc1ccccc1)c1cccc([N+](=O)[O-])c1 918636593 XOMFESOKZKZBOJ-OAQYLSRUSA-N 424.497 4.546 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2CCOC(=O)c2cccc([N+](=O)[O-])c2)sc1C 918636936 YTAOAAIEKMUJCI-QGZVFWFLSA-N 416.499 4.515 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)Cc2ccccc21 919682260 XFSPXYNPDMEZRJ-DLBZAZTESA-N 416.481 4.922 5 20 HJBD Cn1ccnc1[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1343926266 IZEFGHVTIKKLRG-CQSZACIVSA-N 400.866 4.621 5 20 HJBD O=C(COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])Nc1ccc(-c2ccccc2)cc1 7505745 GONXOOGNXIBIRB-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)c(Cl)c2)cc1OC 15664849 OYOIAYDMRCNAKM-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 16026613 NWZVCGUKWVTCAR-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 16779175 GOSSTKNIZHQOCQ-LJQANCHMSA-N 424.526 4.668 5 20 HJBD COc1ccc([C@H](CNC(=O)c2ccc([N+](=O)[O-])s2)c2c[nH]c3ccccc23)cc1 18074579 JQSGXCBYFQGIHT-KRWDZBQOSA-N 421.478 4.708 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc(SC)ccc1[N+](=O)[O-] 28400697 DOGALTGUPCWYHM-ZDUSSCGKSA-N 416.499 4.626 5 20 HJBD CCN(CC)c1ccc(-c2nnc(Sc3ccc(F)cc3[N+](=O)[O-])n2C)cc1 28977754 IANPRDWQBZUQBT-UHFFFAOYSA-N 401.467 4.527 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)o2)c1 47370210 KRUXVCURCBNAHC-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD C[C@H](NC(=O)N[C@H](C)CCc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 65512049 HWXIYFKROCKCJE-KGLIPLIRSA-N 420.307 4.739 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c2cccs2)cc1 109263539 CVSBGKRYFMJYKJ-NRFANRHFSA-N 414.458 4.642 5 20 HJBD C[C@H](Nc1cccc(COCC2CC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 303046848 QWVBJIYVJBKDOE-ZDUSSCGKSA-N 403.866 4.614 5 20 HJBD CC(C)COc1ccc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 303080224 UMWPAQYOWUBOBA-UHFFFAOYSA-N 414.849 4.721 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC 303676975 LHFZZWPJPBMPOF-JTQLQIEISA-N 413.257 4.800 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 426179581 ILCCCXLWPQAFLF-INIZCTEOSA-N 424.501 4.861 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cccc(OC)c1[N+](=O)[O-] 435671425 JMLSFFWNZOUXCO-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD COc1cccc(C(=O)Nc2cc(C)ccc2SCC(F)(F)F)c1[N+](=O)[O-] 435881632 VTHZAIWRJZIMFO-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436255327 XGRGFHZOCBSGAV-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 437413150 QJOVGBVVQDAIQS-MQMHXKEQSA-N 422.406 4.775 5 20 HJBD Cc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1C(F)(F)F 437598485 GIMMKIVLNZVQAX-UHFFFAOYSA-N 423.391 4.997 5 20 HJBD CCOc1cc(/C=C\c2ccc([N+](=O)[O-])cn2)ccc1OCC(=O)Nc1ccccc1 439522502 NPZSDYGMYVWHHC-NTMALXAHSA-N 419.437 4.576 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1cccc(CSC2CCCC2)c1 441058307 RYVNDMHFYWWEAV-UHFFFAOYSA-N 413.499 4.656 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 442922827 LZLZDOCGAYRLPN-QZTJIDSGSA-N 412.467 4.744 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(Br)s1 443495090 BJFUWCNWGADZAQ-LLVKDONJSA-N 424.320 4.828 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H](C)CSc3ccc(F)cc3)n2)c1 445575543 SNDBEAAJHLWESP-GFCCVEGCSA-N 403.435 4.612 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)o2)cc1 463135585 YLIFASBGLJXZJI-UHFFFAOYSA-N 402.410 4.678 5 20 HJBD C[C@@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 464517468 FJMPPHZDGWNLIC-YJYMSZOUSA-N 407.392 4.635 5 20 HJBD CC[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465644313 YVZGSZBFBXNSDX-SKDRFNHKSA-N 402.398 4.582 5 20 HJBD CC[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cc(F)ccc1F 465993425 WZIOZHNVNQEAQK-FQEVSTJZSA-N 419.428 4.577 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 471186313 MJZFHBIJFJOTKO-LLVKDONJSA-N 410.879 4.636 5 20 HJBD COCCOc1ccc(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 472215178 STBAHFOPBLAFFQ-ZDUSSCGKSA-N 401.444 4.523 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)ccc1F 475554343 IEOCMLMPIJYZIP-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD O=C(NCc1ccn2ccnc2c1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 475806823 QTRIJZLXPGKUMT-UHFFFAOYSA-N 422.828 4.618 5 20 HJBD COCCC[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccc(Cl)cc1 481480316 FQXCDNAAXFYPPT-MRXNPFEDSA-N 405.882 4.889 5 20 HJBD COc1ccccc1-c1nc(C2CCN([C@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)no1 484317081 PGKBYMUQPNHAIR-MRXNPFEDSA-N 422.485 4.902 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2(c3cccc(C)c3)CCCC2)cc1SC 484425442 OJAVZKDNXBUTPG-UHFFFAOYSA-N 400.500 4.833 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486095649 MAXORQNXRIDEDO-UHFFFAOYSA-N 409.417 4.513 5 20 HJBD O=C(NC[C@H]1CCN(CCc2ccccc2)C1)c1cc2ccccc2c2cccnc12 487107938 JHFCNHFTRLBWDK-OAQYLSRUSA-N 409.533 4.682 5 20 HJBD CNC(=O)c1ccc(N2CCCC[C@@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 487210661 UVGXLIKIHHIPDR-MRXNPFEDSA-N 407.392 4.705 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488875762 LJOOWTUYDUKINO-ZDUSSCGKSA-N 404.492 4.610 5 20 HJBD COCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 488963658 VNCLSFFZAFAFBB-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(SC)c(Cl)c1 490014773 ZADACFCZCUNHLP-UHFFFAOYSA-N 412.920 4.961 5 20 HJBD Cc1cc(C(=O)Nc2n[nH]c(-c3cccc([N+](=O)[O-])c3)n2)c(C)n1C1CCCCC1 490397688 JPDFCTZVSPUUPR-UHFFFAOYSA-N 408.462 4.556 5 20 HJBD O=C(NCCCc1c[nH]c2ccccc12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 494867747 GKPWLLFQZKNMEK-UHFFFAOYSA-N 420.391 4.527 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCc1cccc(OCc2ccccc2)c1 498532916 GQXDDJVRQRWHEN-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)Nc1ccc(Oc2ccnc3ccccc23)cc1 499306357 YUDPLKAXFHXISB-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)cc1 499344406 KNVAUJFWOQSLFV-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1ccccc1Oc1ccccc1 505576209 HDGNZBVIEYGBGG-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)N1CCC[C@H]1c1ccc(C(C)(C)C)cc1 509041972 XURNJOGCKWFRHU-IBGZPJMESA-N 412.486 4.643 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509382979 CEOZUOFWZKBUTG-UHFFFAOYSA-N 421.501 4.518 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1CCC(c2nc3ccccc3s2)CC1 509652255 WYWAMQIVYDZZJW-UHFFFAOYSA-N 413.524 4.703 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(N[C@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 511027457 FABQQKBRCVHCMG-QGZVFWFLSA-N 407.392 4.919 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(N3CCNC3=O)cc2)cc1[N+](=O)[O-] 512991230 ILDLATUQQAGKDY-UHFFFAOYSA-N 417.469 4.504 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(F)ccc2OC)cc1 513303882 PCQWOGZOFYSKHF-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(Oc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 514015042 GCJBUXSCAWUXDL-OAHLLOKOSA-N 417.893 4.871 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)o2)c([N+](=O)[O-])c1 520216997 BDRRVZNQOMJUBJ-PKTZIBPZSA-N 408.454 4.873 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cccs1 523162942 GJLVAAAMTKSOOU-CYBMUJFWSA-N 408.439 4.844 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1N1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 523297290 LLAYLJADHZTIDE-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)ccc21 523324355 GUIQMKIOZMQRFT-UHFFFAOYSA-N 403.442 4.644 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525619319 IJUFGKOQOVARQQ-GOSISDBHSA-N 417.537 4.976 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 531093247 MRIQETRVAPNYGK-KZULUSFZSA-N 410.396 4.624 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])cc2n1 532268667 ZCVFALASCLTCJJ-UHFFFAOYSA-N 422.363 4.719 5 20 HJBD Cc1c(NC(=O)NC[C@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)cccc1[N+](=O)[O-] 536487764 HENGPNSNZDRNHM-KUHUBIRLSA-N 420.872 4.510 5 20 HJBD O=C(NCCc1csc2ccccc12)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537212824 OUJCRTVRJGGCMM-UHFFFAOYSA-N 403.463 4.844 5 20 HJBD C[C@H]1CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@@H](c2ccsc2)O1 537648729 YZWBEXNVBRVPBB-UGSOOPFHSA-N 401.513 4.742 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(SCc2cccnc2)cc1 539074678 XXSZHODJLOSQJV-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)c1 541610565 OICNOAKSRFIXNE-MRXNPFEDSA-N 400.450 4.524 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OCc2ccccn2)c1 541612575 BGDYRPQYNKQCLC-HZPDHXFCSA-N 423.444 4.689 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2cccnc2)cc1 541781594 JYBUFVRGBHTQJQ-AWEZNQCLSA-N 410.405 4.591 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1F 543645575 YWZXNCPIYYTYJL-GDLZYMKVSA-N 413.430 4.686 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(NC(=O)c2ccncc2)cc1 543897473 IBSPXLKZVYWPJK-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(/C=C(/c2ccccc2)C(F)(F)F)n1 545671925 LSGQWWIPHRBISU-OWBHPGMISA-N 404.348 4.735 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(F)cc(Br)c3)no2)cc1 546978914 TVRRHSJNNFWDIA-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1C 549426392 QPCKWQIFKPTOMF-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 554832563 GTTBXXAOKDDZDE-OAHLLOKOSA-N 420.347 4.545 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 557980725 LGBUMJYUTJGWFY-INIZCTEOSA-N 407.264 4.589 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(N2CCCC2=O)c1 559147995 LDILJQPRRSFZQH-ZDUSSCGKSA-N 407.392 4.591 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559204682 ZPHYQKRULLSHFT-KSSFIOAISA-N 408.376 4.511 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 561011522 KBUCHTXQVDBZQQ-UHFFFAOYSA-N 403.482 4.953 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NCc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 567371663 CPTQSCKJHHCNSS-LLVKDONJSA-N 422.363 4.523 5 20 HJBD O=C(O)c1cccc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c1 570115777 NKOBATPSKRYWLC-UHFFFAOYSA-N 412.785 4.991 5 20 HJBD CC(C)N1CC[C@@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 583399970 YVCHVPOYYVKNRT-HNNXBMFYSA-N 417.893 4.501 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1c([N+](=O)[O-])cccc1C(F)(F)F 584808024 DEOGMBVBIRJGGL-CJNGLKHVSA-N 414.767 4.527 5 20 HJBD COc1cc(C(=O)N[C@H](C)C(F)(F)F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 588795149 UQUPLRVGNKGOCX-SECBINFHSA-N 418.755 4.730 5 20 HJBD Cc1nc(-c2ccc(CNc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])s2)cs1 589803204 LBMWIOJDOKJBDM-UHFFFAOYSA-N 416.528 4.839 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)C(c1ccccc1)c1ccccc1 608935676 DCULEMQVETVOFS-UHFFFAOYSA-N 418.449 4.618 5 20 HJBD COc1ccc(CC(=O)N(c2cccc(C(F)(F)F)c2)[C@@H](C)C2CC2)cc1[N+](=O)[O-] 609011213 IQASLDWNHJRONW-ZDUSSCGKSA-N 422.403 4.996 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(C(F)(F)F)cc2)cc1SC 609128575 WKXQIKAAVJMUEV-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CN[C@@H]3CCN4CCC[C@@H]4C3)cc2F)c(Cl)c1 609616144 WZFRQZWVSFOWOV-HZPDHXFCSA-N 419.884 4.896 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H](c4ccccc4)C[C@@H]3C)cc2[N+](=O)[O-])CC1 609647724 YICZJUSSUSATKZ-RXVVDRJESA-N 407.514 4.849 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1C 609657615 FOFAOHCJZKSPGG-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD O=C(Nc1cnn(CC(F)F)c1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609737399 MGEQPUMJCPKPNG-UHFFFAOYSA-N 422.775 4.754 5 20 HJBD Cc1ccc(F)c(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609852487 BOZVUUVMUHCJTF-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=C([C@@H]1CCSc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610223761 JEYUZHXOZPSGNN-OAHLLOKOSA-N 410.417 4.765 5 20 HJBD Cc1noc(C)c1N(C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 610483359 SBJBDYKJPUOXNR-UHFFFAOYSA-N 414.849 4.742 5 20 HJBD Cc1cccc(CC(=O)O[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 726830887 QCJYEJSTLGTKKS-QHCPKHFHSA-N 418.449 4.677 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2c(Cl)ccc3cccnc23)cc1SC 728650103 UCWDBDLOILXZCE-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1csc2ccccc12 729959749 ICZBXMOIWQNZSV-OAHLLOKOSA-N 409.511 4.903 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccccc1[N+](=O)[O-] 730692418 YAFVZDNNXJKZEZ-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1Cl 733223045 BOJWYADTJPALQQ-UHFFFAOYSA-N 412.877 4.695 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC[C@H]1CCCN(Cc2ccccc2)C1 734298044 GXCMYFXHINCNMJ-HKUYNNGSSA-N 414.527 4.531 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)c3c2CCC3)cc1 735820615 HHILJYUYPAHBIA-UHFFFAOYSA-N 410.861 4.792 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nc1-c1cccc([N+](=O)[O-])c1 736006423 RMISIYFKLDJCNJ-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CC(C)OCCCN(C)[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 740883984 RFPGUCXFNAQVIW-HXUWFJFHSA-N 419.909 4.675 5 20 HJBD CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)C(C)(C)c1ccccc1 741579898 RJFNLODHMMUJRI-QGZVFWFLSA-N 405.292 4.844 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)cc1 741638609 BYRXNIAGINAUSM-CQSZACIVSA-N 421.409 4.670 5 20 HJBD CCN(c1ccc(Cl)cc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 742283602 LNSQJLHEDKZARI-UHFFFAOYSA-N 409.678 4.770 5 20 HJBD O=C(Nc1ccnn1Cc1cccc(Cl)c1Cl)c1cc(F)ccc1[N+](=O)[O-] 745375892 ZUTGYIASBAEGGK-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F 746437233 LNJPBNRHMIHETO-SFHVURJKSA-N 419.359 4.858 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1csc(-c2cccc(C(F)(F)F)c2)n1 751059547 KZLOKNUQSVKEIH-UHFFFAOYSA-N 424.356 4.965 5 20 HJBD C[C@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 752505101 NEZPVYWEEFLJGA-GFCCVEGCSA-N 402.353 4.765 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC[C@H]1c1ccccc1 755016713 XXHSZUXQSXSRER-IUODEOHRSA-N 421.266 4.762 5 20 HJBD C[C@H]1CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC[C@H]1c1ccccc1 755016714 XXHSZUXQSXSRER-SWLSCSKDSA-N 421.266 4.762 5 20 HJBD COc1cc(C(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 756179312 URTHSVWSZLJFCK-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3ccccc3)C[C@H](O)c3ccco3)co2)cc1 760843790 MQJDDTOVXJKKMF-NRFANRHFSA-N 419.437 4.579 5 20 HJBD Cc1ccc(-c2noc([C@H](CNC(=O)OC(C)(C)C)c3ccccc3)n2)cc1[N+](=O)[O-] 761637771 FZWZVBBOTWTXDA-QGZVFWFLSA-N 424.457 4.610 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(-n4cncn4)c(Cl)c3)cs2)c1 770546907 OZRNJJVCIILUDF-UHFFFAOYSA-N 412.862 4.565 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC(c2ccsc2)CC1 777399987 XEFPYROFPJJWHG-UHFFFAOYSA-N 413.268 4.578 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(Cl)ncc3Cl)n2)cc1[N+](=O)[O-] 782227244 CLKSMUIQOUXNEZ-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2c(F)cccc2c1 797031619 MACBHQWWKANQQT-OAQYLSRUSA-N 403.369 4.624 5 20 HJBD CSc1cccc(C(=O)OCc2nc3cc(Br)ccc3o2)c1[N+](=O)[O-] 803445142 AYQDMFDRFCSBSJ-UHFFFAOYSA-N 423.244 4.577 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCC[C@@H](O)c3ccc(Cl)c(Cl)c3)CC2)cc1 809755326 IVZJVLQJIIMXIE-HXUWFJFHSA-N 424.328 4.584 5 20 HJBD Cc1ccsc1[C@@H]1C[C@@H]1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220862 RYZGLTRJQKQROP-CVEARBPZSA-N 400.481 4.935 5 20 HJBD Cc1cc(O)nc2cc(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc12 813305587 IXNAILXQUQACLU-UHFFFAOYSA-N 421.434 4.638 5 20 HJBD Cc1cc(N[C@H](C)c2ccc(N3CCCOC3=O)cc2)c2cccc([N+](=O)[O-])c2n1 814264622 YTYPJLXHNIWXHB-OAHLLOKOSA-N 406.442 4.971 5 20 HJBD C[C@@](O)(c1ccc(OCc2ccc(Br)c([N+](=O)[O-])c2)cc1)C(F)(F)F 814264862 IIGZCMJLPIVSFY-OAHLLOKOSA-N 420.181 4.706 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 818026594 UYMQVKSNESSRNJ-SECBINFHSA-N 408.197 4.870 5 20 HJBD CCCCOc1ccc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc1OC 822875573 QEHKASUROPCNQY-CSKARUKUSA-N 421.453 4.648 5 20 HJBD Cc1cccc2sc(C(=O)OCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)c(Cl)c12 875313841 UHJFKEGLAUVZAY-UHFFFAOYSA-N 418.858 4.591 5 20 HJBD COc1cc(-c2nc(-c3ccsc3)no2)c([N+](=O)[O-])cc1OCc1ccccc1 904297567 BTKXAEPKNBMNRY-UHFFFAOYSA-N 409.423 4.961 5 20 HJBD COc1cc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1OC(C)C 914843385 UJUSNMYIHLPIET-UHFFFAOYSA-N 400.456 4.535 5 20 HJBD COc1ccccc1SCc1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)o1 1322385344 MJRZWUUMNBXDCG-UHFFFAOYSA-N 412.471 4.546 5 20 HJBD COc1ccc(Cc2nnc(-c3ccc(Br)cc3Cl)o2)cc1[N+](=O)[O-] 1345913280 HUYQJLILCKTKCX-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1cc([N+](=O)[O-])ccc1Cl 16312784 YNVBMGVHEPPPFW-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD Cc1cccn2c(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c(-c3ccccc3)nc12 21832049 YIIXGOKHZKDXSX-UHFFFAOYSA-N 418.478 4.949 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](Cc2ccccc2)c2ccccc2)cc1OC 26905907 SDFZKBUKYGISBB-FQEVSTJZSA-N 420.465 4.716 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 52464566 LASMRVQZTWDNDL-UHFFFAOYSA-N 417.469 4.814 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 59021027 PPASUMYSXXHILD-GOSISDBHSA-N 422.529 4.822 5 20 HJBD COc1ccc(CNCCc2cccc(F)c2)cc1OCc1ccc([N+](=O)[O-])cc1 61581026 UPDRXDTWTGCTMZ-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 69709769 YKXXTHRXAPTDAI-KSFYIVLOSA-N 422.506 4.990 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnn1Cc1cccc(Cl)c1Cl 72042887 OGXPUACRUHSIAS-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])ccc2s1 106039251 OIVKDRSNJPTUPO-UHFFFAOYSA-N 416.480 4.960 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C[C@H](c2ccccc2)O1 109057160 XLSBUZVTJVISNE-GAJHUEQPSA-N 418.449 4.989 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cc(Cl)ccc1OCc1cccnc1 237194548 QJGIEVBEKSLDQD-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccccc1SC 410266263 BTLKAJCKTCCACJ-CYBMUJFWSA-N 406.529 4.546 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@@H](OCCCc2ccccc2)C1 430457349 FSYIGUOQWWOCLC-LJQANCHMSA-N 410.495 4.673 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(CC(F)(F)F)c1ccccc1 430926599 OJKPQNNQVKIAIE-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cc4c(cc3Br)CCC4)n2)cc1 435894481 VVLAKHXXKCAMLE-UHFFFAOYSA-N 415.247 4.508 5 20 HJBD C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230126 XUDLISUEWSOIPS-ZDUSSCGKSA-N 404.416 4.719 5 20 HJBD Cc1ccc(CS(=O)(=O)[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1Br 445561815 UIQBUESZRPBQHD-ZDUSSCGKSA-N 412.305 4.650 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 448456084 XETNOALQWLNWSN-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c1 461105659 FEUZKEWVFUQNHA-QGZVFWFLSA-N 413.232 4.563 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1cc(Br)cs1 462419228 JRMGXCQIFDVSRL-CYBMUJFWSA-N 411.321 4.646 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)CC1CCOCC1 462497899 BHLLIZJKRMWDGQ-QFIPXVFZSA-N 410.514 4.619 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463505676 OPQTVJLBIFCNKD-INIZCTEOSA-N 420.347 4.594 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3ccc(F)cc3[N+](=O)[O-])c2)c1 466296263 CWEYVRNUWZRLNB-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)cc1[N+](=O)[O-] 471919165 BTBGYAGTOCPEGW-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD Cc1cc(NC(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 473771912 SBBGLAPAFBOPSB-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD COc1c(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)cccc1[N+](=O)[O-] 476291623 WQSYYTQTKBRHFU-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1F 477096483 DONJWPICPCFHKY-UHFFFAOYSA-N 410.449 4.707 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)n1 477889770 JKXOFYJXEVQZBF-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=C(CCOc1ccc(Cl)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486070495 DWWKZQPHEPYVEV-UHFFFAOYSA-N 416.783 4.608 5 20 HJBD CCN(Cc1ccc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)C1CC1 486719001 FHZLHDGGULEYCA-UHFFFAOYSA-N 421.419 4.528 5 20 HJBD CSCCc1ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 488251813 RMZNLHNHFFCOAW-UHFFFAOYSA-N 413.421 4.841 5 20 HJBD COc1ccc(CCC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1Br 490547834 RNRVIXNGPTXQGU-UHFFFAOYSA-N 407.264 4.554 5 20 HJBD CC(C)(C)OC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(F)c1 490908505 DXVPKZOKXFIDKT-UHFFFAOYSA-N 400.362 4.688 5 20 HJBD O=C(NCCc1c[nH]c2ncccc12)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 495308411 POCKGDCRBIVEAH-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497667411 GGLFSFFKHFHIME-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1ccc(Oc2cccc(Br)c2)nc1)c1ccccc1[N+](=O)[O-] 503360007 GLLVAEMZVHBQSW-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 508221960 JDRZHARJBVGITN-HRAATJIYSA-N 416.481 4.889 5 20 HJBD C[C@H](NCc1c(F)cc([N+](=O)[O-])cc1F)c1cccc(NC(=O)c2ccccc2)c1 508966582 PCPYPBJBRFXQAK-AWEZNQCLSA-N 411.408 4.976 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 509767099 RUMVEGXTHJJBSG-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD CN(C(=O)CN[C@H](c1cccc2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 510452783 HDIDHONMZCIHQN-HXUWFJFHSA-N 417.387 4.604 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1scnc1C 510653085 USGFVXDKLYDSMH-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD COC(=O)c1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1F 512554440 GNZVHARTUOFONH-UHFFFAOYSA-N 424.425 4.660 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3ccco3)n2Cc2ccccc2)cc1 515289265 UZZPLPKQALOHDI-UHFFFAOYSA-N 406.467 4.829 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 516256261 VVKSPYXISAHGOI-GOSISDBHSA-N 408.285 4.991 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 520086941 WWFLIOHAJVFZMF-ZDUSSCGKSA-N 415.471 4.541 5 20 HJBD CS[C@@H]1CCC[C@H](NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 524209047 XIIJIHBJKXATDU-BHYGNILZSA-N 414.531 4.567 5 20 HJBD CC1CCN(C2CCC(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)CC2)CC1 537123600 YRSPATCJDJJQST-UHFFFAOYSA-N 419.591 4.868 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)s1 538809403 IWCIRSQQJSTAFB-UHFFFAOYSA-N 402.501 4.969 5 20 HJBD C[C@H](NCCC(=O)Nc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 543496855 WAVNSVWLHLZCEB-KBPBESRZSA-N 409.408 4.724 5 20 HJBD CCOCc1ccc(-c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cc1 544177160 HIOAGPGUYILPCV-UHFFFAOYSA-N 408.439 4.972 5 20 HJBD Cc1sc2ncnc(SCCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)c2c1C 544934496 RXGJZCNARNGIOJ-UHFFFAOYSA-N 413.484 4.601 5 20 HJBD O=[N+]([O-])c1ccc(OCCCS(=O)(=O)c2ccccc2)c(-c2cccs2)c1 546627157 ZNZDXSDKLKGENY-UHFFFAOYSA-N 403.481 4.566 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c(OCC(F)(F)F)c1 548942711 KNDGTKQTTIYHGX-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)c1 548958191 MDYLMYDQZHRCGE-UHFFFAOYSA-N 408.439 4.622 5 20 HJBD CCc1nc2cc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)ccc2n1C1CC1 548983323 AKBVGWAKXOUSSV-UHFFFAOYSA-N 416.428 4.733 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](c1cncc(Br)c1)C1CC1 551818124 UFRRKPQBQVSNDB-ORAYPTAESA-N 404.308 4.814 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(-c2ccco2)cc1F)c1ccc([N+](=O)[O-])cc1 552266664 YJFQCYHAMGLTNS-NRFANRHFSA-N 406.417 4.607 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 560065218 MNUQYACTJRIWNM-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccnc1Oc1ccccc1 604448151 RNIIHBVPJQRMJU-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD O=[N+]([O-])c1ccc(CCN2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cc1 608832917 DMZDUKLBRCYRDU-KRWDZBQOSA-N 412.877 4.720 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 609192250 IFEUJCINGQKICJ-QFYYESIMSA-N 407.289 4.570 5 20 HJBD Cc1nc2ccccc2n1Cc1cc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)ccc1Cl 609531530 RXUXUNGPJGUNRL-UHFFFAOYSA-N 409.833 4.535 5 20 HJBD CSc1ccc(C(=O)N[C@@H](Cc2ccc(C)cc2)c2ccccn2)cc1[N+](=O)[O-] 609652851 UIUKTWAALQSMIL-IBGZPJMESA-N 407.495 4.734 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@H]1c1ccccc1 609819249 CVIOTGBRWWCEAP-IIBYNOLFSA-N 411.527 4.514 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2cccc(C)c2)cc1 609842038 ZLNBCKROXGUUIZ-IBGZPJMESA-N 423.473 4.567 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 609856321 DHMCBEOSAFIYSF-OAHLLOKOSA-N 411.241 4.712 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc21 610065891 BKOREKKQNVJFOE-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206347 YXUFFMAUOOQORV-LBPRGKRZSA-N 403.504 4.595 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])sc1Cc1ccccc1F 727707644 ATYPIKWFERQTJQ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1c(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 729413451 UTCAAVOSTDGKFO-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 729955266 ZFWBPVSKYJIZND-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@H](c1ccc(Cl)cc1)c1cccnc1 730427785 DNALRRZLMAPETN-JOCHJYFZSA-N 421.840 4.931 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 735023040 YCVCIOQMMJFWNV-DAKWHLKCSA-N 412.511 4.828 5 20 HJBD CC[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(OC)c1 741134334 SGAQSHIHIFKOMZ-QHCPKHFHSA-N 401.462 4.858 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])c1 744075613 YZYPDKQZMSOEGJ-UHFFFAOYSA-N 404.850 4.586 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1cccc(Cl)c1[N+](=O)[O-] 749993410 GLPZNJDSWGUVFJ-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCCC[C@@H]3C[C@H](O)c3ccccc3)n2)cc1 751559235 RDLGPKAPLXVIAV-RTWAWAEBSA-N 422.485 4.513 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 753939777 QJBVVIIJMDNAKJ-CDXJDZJCSA-N 409.486 4.613 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754633901 YGQWSBMNRVICQC-UHFFFAOYSA-N 421.675 4.874 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)cc2)o1 754977702 QBGKZWHAMJTROR-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CN(C)C(=O)Sc1cccc(COC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)c1 754989789 QMZPSUYVECUJPU-UHFFFAOYSA-N 412.826 4.518 5 20 HJBD COc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1OC1CCCC1 758281088 RIWIRVMRNSHCJM-UHFFFAOYSA-N 409.442 4.587 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 762998032 GXGPNCNNCIGLTD-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 765528125 STIYGBHBEKENRJ-AWEZNQCLSA-N 415.833 4.610 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 767175817 BHWKDRVCJPNLGQ-LJQANCHMSA-N 416.886 4.686 5 20 HJBD CCC(CC)n1nccc1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 769946400 TYYIEFSHJMVKFV-UHFFFAOYSA-N 422.441 4.624 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c1 772280663 FQPVYHDCMQKDNU-UHFFFAOYSA-N 422.441 4.671 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cnn(-c3ccc(C(F)(F)F)cc3)c2)cc1 772802187 QRCGWCXBIYJXMB-UHFFFAOYSA-N 407.377 4.608 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@@H](O)c1ccc(F)cc1 777420384 RICJSHMWSWNNOO-IFXJQAMLSA-N 420.506 4.868 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 777840143 PEVJLYNZGJYFPU-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1C 780283533 RXFSMKMVXLSCJF-CQSZACIVSA-N 402.472 4.589 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccc2c(c1)CC(=O)N2 780337080 VSCKXTIHANNWDZ-LBPRGKRZSA-N 400.456 4.510 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc2nc(C3CC3)sc2c1 781619674 UBZASCJYQUVKEM-UHFFFAOYSA-N 412.427 4.561 5 20 HJBD CC(C)c1ccc([C@H]2CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 782024765 DJZTXDNGGWEGRY-HXUWFJFHSA-N 411.458 4.923 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1OC(F)F 790991115 IKBDASPLPDGUPC-UHFFFAOYSA-N 409.147 4.823 5 20 HJBD COCCCC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1 791906497 PKMVMHMSIQOTME-MRXNPFEDSA-N 421.291 4.645 5 20 HJBD COc1cc(CNc2ccc(OCC(F)(F)F)c(Cl)c2)c([N+](=O)[O-])cc1O 800290634 LRBDSGXYIXGKEE-UHFFFAOYSA-N 406.744 4.516 5 20 HJBD Cc1nnc(SCc2ccc(Br)c([N+](=O)[O-])c2)n1-c1ccccc1 800830193 NJTQRJKKMOQNFN-UHFFFAOYSA-N 405.277 4.539 5 20 HJBD O=C(NCc1cccc(Oc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1)Nc1ccccc1 804659125 SCEZNJIUDWKDOP-UHFFFAOYSA-N 408.370 4.617 5 20 HJBD O=C(Nc1ccc(OC[C@@H]2CCCO2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812918448 IBWXXWOORBNZKD-AWEZNQCLSA-N 411.241 4.712 5 20 HJBD CN(C)Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 813557060 CAIPVIIHCKZLQX-UHFFFAOYSA-N 402.665 4.869 5 20 HJBD COc1ccc(C[C@@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 815574199 HXZRHAVHTYNEAT-IIBYNOLFSA-N 421.497 4.829 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1C 892730516 RPDCPKGLIVBUAJ-HUUCEWRRSA-N 424.501 4.596 5 20 HJBD O=C(NCc1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 914473693 CLGFQRBQPGCRFC-UHFFFAOYSA-N 407.392 4.534 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 915348246 FNWQVQBOURUQOU-UHFFFAOYSA-N 416.481 4.924 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(Cn1c(=O)oc2ccc([N+](=O)[O-])cc21)C1CC1 920304809 BHISLNBGSHVDNA-GFCCVEGCSA-N 421.375 4.705 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccs1 920993228 ZLIPKUCVKLLEEV-LJQANCHMSA-N 402.859 4.594 5 20 HJBD CCOc1cc(-c2nnc(Cc3cccc4ccccc34)o2)c([N+](=O)[O-])cc1OC 1322244365 XDBQTJMJEDQYPM-UHFFFAOYSA-N 405.410 4.796 5 20 HJBD C[C@H](N[C@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1342349400 UCRSGNCTJMYLGO-WDEREUQCSA-N 410.927 4.680 5 20 HJBD C[C@H](OC(=O)c1cn(-c2ccccc2)nc1-c1cccnc1)c1cccc([N+](=O)[O-])c1 1356003157 HPGYGKDPNDSEPV-INIZCTEOSA-N 414.421 4.761 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccccc1NC(=O)c1ccccc1 1357567301 CIEGRXMXLALNOS-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD COc1ccc(OC)c(NC(=O)COC(=O)c2c3ccccc3cc3ccccc23)c1 3393273 BFRPXXASYOPKJV-UHFFFAOYSA-N 415.445 4.806 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3500546 KMBCWPUNKCEENQ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 11025162 PPKOIRIWSQWORG-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CSc1ccc(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1 43857356 YMEIBRZZTAVXHN-KRWDZBQOSA-N 417.487 4.521 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 45799926 LDGXVLMDUSOZHI-QFIPXVFZSA-N 412.489 4.527 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 78772793 FKPALBKTVQEUPO-INIZCTEOSA-N 415.515 4.847 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(NC(=O)C(C)C)cc3)n2)cc1[N+](=O)[O-] 105979812 XQQNKGJRGAEKJL-UHFFFAOYSA-N 424.482 4.874 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 138397733 FDEYOWBNFSQVQQ-CYBMUJFWSA-N 419.865 4.639 5 20 HJBD C[C@H](Cc1cccc(Br)c1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 195678228 KZCZBVGTXUACSG-CHWSQXEVSA-N 423.332 4.585 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)cc([N+](=O)[O-])c1 195695526 KQBQKXLMMJUUHD-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD COc1cc(CN[C@@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OCC(F)(F)F 237350409 MORYSGGISUONNS-MRXNPFEDSA-N 410.392 4.712 5 20 HJBD Cc1ccccc1-c1nnc(C)n1-c1ccc(Oc2nccc(C)c2[N+](=O)[O-])cc1 301699389 OUNNJEIRTYQBBN-UHFFFAOYSA-N 401.426 4.955 5 20 HJBD Cc1nc(Sc2nnc(-c3cccnc3)n2-c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 301721286 QJNUJLHQERYCFI-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-])c1ccccn1 410262329 UNFXXFODWSOXPJ-CABCVRRESA-N 418.444 4.718 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 430949191 MYIAQYVKLNNCLD-NSHDSACASA-N 409.305 4.960 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)c2ccccc2S1 431498551 ACKFFGCELRECMP-LLVKDONJSA-N 407.289 4.888 5 20 HJBD CCCOc1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436061799 COUAKNMGKWEKBN-UHFFFAOYSA-N 404.441 4.539 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 436165401 NQWWHWSGIYDZTC-VEVIJQCQSA-N 424.423 4.870 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(C(=O)Nc3nccs3)cc2)cc1[N+](=O)[O-] 439136320 ZVHAWRBSZFRIEQ-UHFFFAOYSA-N 410.455 4.679 5 20 HJBD CC(=O)c1ccc(OCc2cc(Br)cc3cccnc23)c([N+](=O)[O-])c1 440826143 TZLDNANXBCJQFL-UHFFFAOYSA-N 401.216 4.687 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CC2)cc1 444032392 KHMQAQYNYNXLGH-UHFFFAOYSA-N 412.489 4.673 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(-c4ccsc4)nc3C)n2)c1 445577679 VIHJYUVQZNCCAU-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2N[C@H]2C[C@H](c3ccc(Br)cc3)C2)n1 462810075 TUSLEVRKZMAVOR-DDBAPUKQSA-N 419.323 4.573 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463554151 OACPMEGPGGVQQC-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccccc3Oc3cccnc3)CC2)cc1 464784519 FRUDSTLUZAZFDO-UHFFFAOYSA-N 405.454 4.826 5 20 HJBD CC[C@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 464787547 CFQFFNHFFILLER-LPHOPBHVSA-N 419.934 4.755 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccccc3NC(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 466444075 QLETYDDBVSRADS-UHFFFAOYSA-N 421.501 4.919 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(OC(F)F)c(Br)c2)c(Cl)c1 466468763 KUFAAUBMICZHSL-UHFFFAOYSA-N 421.625 4.902 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2c(F)cccc2Cl)cc1[N+](=O)[O-] 477517903 FGGBIVTUTLJPTJ-LLVKDONJSA-N 412.870 4.507 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 480295680 RERQOTBQMQFXPZ-INIZCTEOSA-N 408.336 4.510 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)c1[N+](=O)[O-] 482722692 WFGDYOMTFFEVAW-UHFFFAOYSA-N 416.869 4.656 5 20 HJBD COC(=O)c1cc(Oc2nc(-c3cccs3)nc3ccccc23)ccc1[N+](=O)[O-] 484639512 DDAKUEIEHHEVOP-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(c4c(F)cccc4F)CC3)o2)c1 485887404 GSAUVAZLHWDABF-UHFFFAOYSA-N 413.424 4.950 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 486947448 CDUZBNLDYZOLHT-ZIAGYGMSSA-N 424.461 4.901 5 20 HJBD Cc1nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])sc1Cc1c(F)cccc1F 487609383 QDFZMIJURDQHGX-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(OCc3c(C)noc3C)c2)cc1[N+](=O)[O-] 488067026 XPXHKDQXJQZHNP-AWEZNQCLSA-N 409.442 4.578 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCO[C@@H](c2ccco2)C1 488156550 AWKFQHCZURASGZ-HXUWFJFHSA-N 410.451 4.553 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1cc([N+](=O)[O-])ccc1OC(F)F 488693122 FAETXVJTHJJQRR-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)c1 490003780 ZXUGOKLYZXRADA-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1cccc([N+](=O)[O-])c1 490334047 DCIBHKDQDVLTMG-HOCLYGCPSA-N 414.531 4.580 5 20 HJBD CCOc1ccc(C(=O)N2CCC(c3c(C)[nH]c4ccccc34)CC2)cc1[N+](=O)[O-] 490395931 HKPXFBVGPFIVAZ-UHFFFAOYSA-N 407.470 4.803 5 20 HJBD CCOc1cc(CN[C@@H](c2ccc(OC)cc2)c2ccccc2OC)ccc1[N+](=O)[O-] 492696408 NJPPCOVKTQTTDZ-DEOSSOPVSA-N 422.481 4.890 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 497266373 WWBSKRYFMVPRIM-UHFFFAOYSA-N 417.874 4.653 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497797649 CWOCUCYPNWEMQC-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)cc1[N+](=O)[O-] 500253949 ZJDZYIAPJFVWAY-UHFFFAOYSA-N 400.328 4.880 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1cccs1)Cc1cccs1 503166945 LWSKLNWYZFCPON-UHFFFAOYSA-N 424.507 4.746 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 505166728 CZJCNVRNFRJLOV-HXUWFJFHSA-N 404.422 4.524 5 20 HJBD CCN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)[C@H](C)c1cc2ccccc2o1 507950488 KSGFMEIAYUBXRA-OAHLLOKOSA-N 404.426 4.750 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])s1 512342264 USKSWRCCSRIYNX-TXEJJXNPSA-N 421.906 4.965 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 516255716 KRTIHYHZMAIRKY-GOSISDBHSA-N 401.875 4.899 5 20 HJBD C[C@@H](NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1cccc([N+](=O)[O-])c1 516942879 HJQPMVQNHPJFJX-CYBMUJFWSA-N 412.421 4.525 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)nc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 517369271 LCFCVYWVSDDPAK-UHFFFAOYSA-N 422.416 4.774 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(c3c[nH]c4ncccc34)CC2)c1 518195260 MJQCSTIBLSWLDV-UHFFFAOYSA-N 404.392 4.870 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 522792494 BADHSIUTZXTJTG-UHFFFAOYSA-N 417.893 4.891 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 525467912 CYPBBNQOAKVWPU-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NCc1cc(Cl)cc(Cl)c1OC(F)F 531536470 NXANWTIFVUJTBG-UHFFFAOYSA-N 420.199 4.502 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C 536982052 OHHWOUBQUCQBNU-YVEFUNNKSA-N 422.279 4.563 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2c(F)cc(Cl)cc2F)cc1[N+](=O)[O-] 537148181 IUDSOEANMNMQKU-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538003456 ZRQUSYWWAWRPQX-JKSUJKDBSA-N 414.220 4.692 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 538693835 MDNGKZKZFZFJJI-HOTGVXAUSA-N 412.795 4.729 5 20 HJBD COCCn1ccc2ccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cc21 538866312 HTROCGZXVXHGHH-UHFFFAOYSA-N 422.466 4.572 5 20 HJBD C[C@H](c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)N1CCOCC1 539920286 JEJFOYJEJLAKLN-MRXNPFEDSA-N 424.526 4.724 5 20 HJBD Cc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)sc1C 543457341 MVDLELMMFOVCCK-UHFFFAOYSA-N 419.572 4.923 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 543471231 DXGGTUPPMJXONH-CVEARBPZSA-N 402.466 4.762 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 544558354 JOWQUDHAGYPLTD-HOTGVXAUSA-N 410.449 4.517 5 20 HJBD COc1ccc(Cc2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)c(Cl)c1OC 546365622 JBSKUEHXXKPKHR-YVMONPNESA-N 419.796 4.549 5 20 HJBD COc1cc(Cc2noc(-c3ccc(-c4ccccc4)nc3C)n2)ccc1[N+](=O)[O-] 546492687 ASSQPUDHYQEDOU-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD Cc1nc2cccc(-c3noc(-c4csc(-c5ccc([N+](=O)[O-])cc5)n4)n3)c2[nH]1 551429971 RNYFAOMFZIMWED-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD O=C(Nc1nncn1C1CC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 552325624 ZJZPRFPMWHWCQD-UHFFFAOYSA-N 415.862 4.578 5 20 HJBD C[C@H](C(=O)N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 555871308 LZQDKNVJKGPANR-VFZGTOFNSA-N 404.747 4.911 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cc1[N+](=O)[O-] 557437883 BTUVTJCMUHKLJT-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD CC(C)(C)Oc1cc(N[C@@H]2CCCN(C(=O)CCC(F)(F)F)C2)ccc1[N+](=O)[O-] 561436080 KSOCSFRMWZLLIN-CQSZACIVSA-N 417.428 4.518 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCO[C@@H]3CCCCO3)c([N+](=O)[O-])c2)cc1 561692362 BDRHHSPCVPQQHO-OAQYLSRUSA-N 413.474 4.501 5 20 HJBD Cc1nc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1-c1ccccc1 566769563 ISTIJOROAPQGMN-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 575795750 SATMJYSKJIJQOX-FZKQIMNGSA-N 410.499 4.865 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C/c2cn3ccc(Br)cc3n2)c2ccncc2)cc1 589555248 GEYIUTOVUQPPPR-UNOMPAQXSA-N 421.254 4.989 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C/c3ccc(C(C)C)c([N+](=O)[O-])c3)nc2c1 603784294 JOAFYDNRYRSYGW-UXBLZVDNSA-N 400.456 4.823 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 603940383 AJRUIURJRXRADS-UHFFFAOYSA-N 417.469 4.621 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1 604124663 BFJOZMUIRQIEQE-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(c3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 608810518 LMWZYFCWSQEZQH-INIZCTEOSA-N 402.454 4.582 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(N2CCCC2)c(F)c1 609297530 NEQJAYIJLZNSOG-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CC2(CCC2)c2c(F)cccc21 610043930 ZADBKNJBQLEVAT-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc([N+](=O)[O-])s1 610154532 BWAHDWDOERQAFP-UHFFFAOYSA-N 410.430 4.910 5 20 HJBD O=C(Nc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1cc(F)c(F)cc1[N+](=O)[O-] 727710669 WXGPGCDMLZPGJZ-LBPRGKRZSA-N 415.421 4.854 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCNc1ncccn1 727756895 JEMUFPSWRZAKGJ-UHFFFAOYSA-N 422.488 4.565 5 20 HJBD COc1ccc(CN[C@H]2CC[C@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 742383727 RZAZNSZBEAMIOP-HKUYNNGSSA-N 402.516 4.556 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C[C@H]3CCc4ccccc43)CC2)ccc1[N+](=O)[O-] 744205359 ZIKGXPMXZXGMPE-GOSISDBHSA-N 424.497 4.626 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 747023338 GXPLCFMMWJLRGZ-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD Cc1c(C(=O)N2CCSc3ccc(Cl)cc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618516 UFMRPMJMNDECIO-UHFFFAOYSA-N 407.835 4.526 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 760872185 ZISDKMZYQUJUAY-YCRPNKLZSA-N 403.910 4.583 5 20 HJBD O=C(Nc1cccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)c1)c1cccc(F)c1 761520750 FKRDZMRJTVXFAD-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCc2ccccc2F)nc1)c1cccc([N+](=O)[O-])c1 763400757 AOYCNJFXAXLCJK-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1cccc(F)c1)C[C@H]1CCCO1 770009464 QVYAVTJLXIYNQH-MRXNPFEDSA-N 407.829 4.600 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 773418891 OUHSGYCERKZJRW-LBPRGKRZSA-N 408.248 4.603 5 20 HJBD Cc1cccc(OCCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776137093 MZSUCYPYAUMOCD-QHCPKHFHSA-N 406.438 4.790 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139536 WMHDIVWDNROGPW-KRWDZBQOSA-N 411.483 4.999 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 783316419 CCGBCJRJWZFLGX-GFCCVEGCSA-N 421.906 4.565 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C(=O)c1ccccc1C(F)(F)F 789078998 OHIGCNHOMPBBCH-NSHDSACASA-N 418.327 4.590 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(C2SCCCS2)cc1 791540671 VSTNHYNNNABPGR-UHFFFAOYSA-N 420.468 4.729 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccco2)nc2ccccc12 804178531 NABSQOUELVWVLE-UHFFFAOYSA-N 401.422 4.718 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@H](O)c1ccccc1 804410398 YPAPUNRWFATHBA-QFIPXVFZSA-N 424.884 4.553 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c([C@@H](C)O)c3)cc2[N+](=O)[O-])C1 804802163 FUTVXGNDQWRIKY-RBSFLKMASA-N 415.465 4.522 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])c2)n1 812897561 LTGSEUCCKAZUEZ-UHFFFAOYSA-N 403.225 4.919 5 20 HJBD O=C(NC[C@H](O)c1cc2ccccc2s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813478684 KQHUNGJQCGPUKW-ZDUSSCGKSA-N 411.266 4.580 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc([N+](=O)[O-])c1Cl 816842598 XNRYDMJYTAVHOM-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 915857011 ILEFAEYVNCUZMA-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCc2c(ncn2-c2ccccc2)C1 929065399 SKGLPIFEMLSEBH-UHFFFAOYSA-N 403.269 4.646 5 20 HJBD CS[C@H]1CC[C@H](N(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 1252198902 PFORIBHIABGZBH-KBPBESRZSA-N 423.585 4.867 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 1319680905 QCDHSEDCGZGWKE-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD O=C1CCCN1c1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)nc1 1320080491 COGZNJAUSWFXPJ-UHFFFAOYSA-N 412.833 4.642 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(C2c3ccccc3Oc3ccccc32)o1 1323965539 WHUYIRXCHZJXLL-UHFFFAOYSA-N 401.378 4.843 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(CCOc2ccccc2[N+](=O)[O-])o1 1330045601 GIMNMQQWKQLLPM-UHFFFAOYSA-N 408.439 4.698 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H](CC(C)C)NC(=O)OC(C)(C)C)n1 1342550285 SNPHHFWEKSDYNZ-HNNXBMFYSA-N 404.467 4.874 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1nc(-c2ccccc2)oc1C1CC1 1342560349 FSERBWUNRLONGW-IAGOWNOFSA-N 422.441 4.664 5 20 HJBD CCOc1ccccc1NC(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 7978619 PPUPHGGRLZNWCD-UHFFFAOYSA-N 406.442 4.788 5 20 HJBD COc1cc(CSc2nnc(-c3ccccc3C)o2)c([N+](=O)[O-])cc1OC(F)F 24913574 KJYCHRLDRQWELI-UHFFFAOYSA-N 423.397 4.856 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2ccccc2C)cc1[N+](=O)[O-] 55781139 JHRPGCXCDDPBFT-SFHVURJKSA-N 400.500 4.509 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 64420639 HZQVUCSGYDEVCZ-ZDUSSCGKSA-N 419.865 4.557 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccccc2)cs1 64722508 HYQSVOSKSLHKAX-NSHDSACASA-N 403.847 4.778 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64860453 DWRTUZXBFICNPM-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 71961321 QYAODIIWAUTXCE-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(SCc2cn3ccccc3n2)cc1 105554678 UHNHMUFIKSLYOW-UHFFFAOYSA-N 422.441 4.926 5 20 HJBD CSc1ccc(C(=O)Nc2ccnn2Cc2cccc3ccccc23)cc1[N+](=O)[O-] 195622861 GLZOLHBZVSZNSS-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD CCOc1cc(Sc2nnc(-c3ccccc3Br)o2)ccc1[N+](=O)[O-] 301929602 MBJJFNNEJQWGOY-UHFFFAOYSA-N 422.260 4.957 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1-c1cccc(Cl)c1 303170458 IRJRSEIXICRNCR-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303958974 MBJQQNOZOGGADK-OAQYLSRUSA-N 412.533 4.943 5 20 HJBD C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 410300029 FULHXVGBQNPBPX-CYBMUJFWSA-N 408.483 4.784 5 20 HJBD Cc1noc(C)c1CCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 410328373 SEPUYGYXSOQLMR-UHFFFAOYSA-N 415.833 4.618 5 20 HJBD CC(C)(NCc1cc2c(cc1Br)OCCCO2)c1ccccc1[N+](=O)[O-] 429233875 AYYRQXGYSVVVOU-UHFFFAOYSA-N 421.291 4.544 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 430317467 RGIRCCDPIRXRCN-SFHVURJKSA-N 401.344 4.528 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)c1C 432174669 DLDVJDYJNVQQHL-LJQANCHMSA-N 405.454 4.502 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 432468685 KTDVESBQVGUMHN-UHFFFAOYSA-N 415.833 4.603 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cnccc2-c2cccc(F)c2)cc1SC 439672429 RHYNOIAUCGVHOW-UHFFFAOYSA-N 413.430 4.779 5 20 HJBD COc1ccc(C2(NCc3ccc(C(C)C)c([N+](=O)[O-])c3)CCOCC2)cc1F 444013008 UFQSEGRXQHIDKY-UHFFFAOYSA-N 402.466 4.661 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444029374 IQDJSNZYCSBYPN-ZBFHGGJFSA-N 418.443 4.978 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc12 444227137 OJVPJOTVIDVEEL-UHFFFAOYSA-N 403.438 4.991 5 20 HJBD COc1cccc(C(C)(C)CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444232648 BKKZHGPGPRXYDH-UHFFFAOYSA-N 418.468 4.537 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc2c(c1)oc1ccccc12 444849944 PPEDVBICNGYVKJ-UHFFFAOYSA-N 401.382 4.692 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@@H]3C3CCC3)n2Cc2cccs2)c1 461309717 PKPHWDYKQQAOEI-LJQANCHMSA-N 409.515 4.732 5 20 HJBD Cc1cncc(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)c1 463690852 ODUDUEJZVFLWAQ-UHFFFAOYSA-N 415.808 4.813 5 20 HJBD O=C(N[C@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1cccc([N+](=O)[O-])c1 464211192 INMYTNFRIVYGMB-OAQYLSRUSA-N 424.840 4.539 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c2ncccc12 464337354 GQLPHMJSWSZEGQ-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD CC[C@@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 464787549 CFQFFNHFFILLER-VQIMIIECSA-N 419.934 4.755 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 466877557 MZIJCBVMJYRKSX-CQSZACIVSA-N 424.432 4.587 5 20 HJBD C[C@H](NCCc1cn2c(n1)SCC2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 468043481 WHGQAUBWRZKDIM-ZDUSSCGKSA-N 400.529 4.519 5 20 HJBD Cc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1Br 469674505 UJCOTOUJYGDMRL-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1cccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1Br 470026491 FPWABQVAMWKMHH-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CCc1ccc(C(=O)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)cc1[N+](=O)[O-] 471865424 LLSDLUONJLICLM-SFHVURJKSA-N 409.269 4.678 5 20 HJBD COc1ccc(-c2ccc(CNCc3oc4ccccc4c3OC)o2)c([N+](=O)[O-])c1 473984324 QQSXBGGFNXWCKN-UHFFFAOYSA-N 408.410 4.908 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1c(F)cccc1Cl 478379187 IZCYMAQFPGTXBN-ZDUSSCGKSA-N 421.856 4.701 5 20 HJBD CCOc1cc(OCc2csc(-c3cccc(OC)c3OC)n2)ccc1[N+](=O)[O-] 478719839 QMNJWZCXINMSLJ-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD Cc1c(CNC(=O)CCc2nc3cc(C(F)(F)F)ccc3s2)cccc1[N+](=O)[O-] 481464147 KQPUMMSSPGANSP-UHFFFAOYSA-N 423.416 4.781 5 20 HJBD Cc1noc(C)c1Cc1noc(-c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)n1 482774526 UUWVOFSMNFSPLL-UHFFFAOYSA-N 402.476 4.979 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 484318591 XYPMDGDMJZNWGS-MRXNPFEDSA-N 407.470 4.777 5 20 HJBD CCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](CC)c1ccccc1 484913091 ZETRASSLMFEEPQ-SFHVURJKSA-N 403.866 4.577 5 20 HJBD CCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 485315795 HBKPXWSNKXZVFQ-UHFFFAOYSA-N 413.865 4.660 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485614439 NGPUFTDROMLEEK-ZNLUXHQJSA-N 417.465 4.647 5 20 HJBD C[C@H](C1CC1)n1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 485758453 QFYVRCDQEKJASC-OAHLLOKOSA-N 406.442 4.594 5 20 HJBD COc1c(Cl)cccc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488384215 ZHHFHFZDECOBOH-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@@H](c2ccccc2)C1 489629178 BFZQLANSOXGBEV-MSOLQXFVSA-N 414.527 4.790 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccccc2Br)cc1[N+](=O)[O-] 493007685 ZQEWONOFQPAVLW-ZDUSSCGKSA-N 406.280 4.568 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3cccc([N+](=O)[O-])c3C)n2)cc1C(F)(F)F 499600948 RRODOSKFVXQLIN-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccc(Cl)cc2Cl)CCOCC1 500877136 RORNWSJUKCDHDF-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(N3CCCC3)n2-c2ccccc2Cl)c1 501487532 MQUJHTRNTHDPSW-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD CN(CC1CCOCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 502860792 ZGKXJKPMRNJQMQ-UHFFFAOYSA-N 404.850 4.539 5 20 HJBD CCc1c(C(F)(F)F)noc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 505918461 HIZQJGBXBDKZCX-UHFFFAOYSA-N 401.344 4.503 5 20 HJBD COc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c(OC)c1 513627691 XDUSPHOAFLNJLN-UHFFFAOYSA-N 407.470 4.670 5 20 HJBD O=C(CCCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)c(Cl)c1 514217213 AVAQXTFBEDSIHN-UHFFFAOYSA-N 421.280 4.840 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1cc([N+](=O)[O-])ccc1C 520014939 NJOAJHTTXQHADU-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD Cc1ccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2ccccc2[N+](=O)[O-])cc1 523163358 XRMZRGUFVHBBRM-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD COc1cc(Cc2nc(O)c3c(-c4ccc(C)cc4)csc3n2)ccc1[N+](=O)[O-] 530721352 INWWMYWXSRPJOS-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD COc1cc(Cc2nc(O)c3c(C)c(-c4ccccc4)sc3n2)ccc1[N+](=O)[O-] 530959803 AHEVDBOLLJITCD-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD CN(C(=O)c1ccc(Br)c(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 533146742 PRVBORWYXZOXSJ-UHFFFAOYSA-N 403.154 4.653 5 20 HJBD Cc1ccc2c(C3=CCN(Cc4cc([N+](=O)[O-])cc5c4OCOC5)CC3)c[nH]c2c1 533487901 SLBHYWWAMAIUEN-UHFFFAOYSA-N 405.454 4.540 5 20 HJBD C[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 535791438 OXAMDDADWYQZEE-ZCFIWIBFSA-N 413.279 4.679 5 20 HJBD Cc1cc(C)cc(C2=CCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)CC2)c1 535816271 CSBNOZHNHHVYPH-UHFFFAOYSA-N 419.525 4.744 5 20 HJBD COc1ccc(CNc2ccc3[nH]ncc3c2)cc1OCc1ccc([N+](=O)[O-])cc1 535941649 BYXRGJJDOVOABU-UHFFFAOYSA-N 404.426 4.671 5 20 HJBD Cc1ccc(OCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c(C)c1 537153335 ZVBVGXCOVSLYPD-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cc(Cl)ccc2N2CCC(CO)CC2)c(Cl)c1 542006667 RHOHECHLYDVKKO-UHFFFAOYSA-N 410.301 4.722 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccncc2)c(Cl)c1 543398559 OGYDYUWCFFFETR-UHFFFAOYSA-N 419.872 4.786 5 20 HJBD O=C(CN[C@H](Cc1ccccc1)c1cccs1)Nc1ccc([N+](=O)[O-])cc1Cl 543450655 PVZDLEQVVBQZGC-GOSISDBHSA-N 415.902 4.822 5 20 HJBD Cc1ccc(NC(=O)N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 543913872 KTVOPBIILOBUPG-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD CCCc1c(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cnn1-c1cc(C)ccn1 544889435 GVGDQBYCJFCSQN-INIZCTEOSA-N 422.489 4.628 5 20 HJBD Cc1ccc(-c2noc(Cc3csc(Cc4ccc(F)cc4)n3)n2)cc1[N+](=O)[O-] 546990133 XHHZSBRPQMFCSU-UHFFFAOYSA-N 410.430 4.730 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1c(Cl)cccc1[N+](=O)[O-] 551690508 IMBYSSWUVDOVBG-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 563987193 XOEGFGYRTBGALO-MDOVXXIYSA-N 400.450 4.846 5 20 HJBD CSc1ccc(C(=O)N2CCC(c3noc4cc(F)ccc34)CC2)cc1[N+](=O)[O-] 571218069 GQYRJPWPTLRLDV-UHFFFAOYSA-N 415.446 4.617 5 20 HJBD CN(C(=O)Cc1csc(-c2ccc(Cl)cc2Cl)n1)c1ccc([N+](=O)[O-])nc1 577419472 UOSORMFNZBAESC-UHFFFAOYSA-N 423.281 4.626 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2nc3cc(Cl)ccc3s2)no1 581633004 UYLHCAIUBJKJDS-SNVBAGLBSA-N 400.847 4.984 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 603445015 LTPLGRFMEBWCNX-UHFFFAOYSA-N 404.470 4.645 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 604478926 LBBIGDOZNNKIQI-UHFFFAOYSA-N 421.478 4.981 5 20 HJBD Cc1ncc2c(n1)CC[C@@H](NCc1ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c1)C2 608781854 MILGYHQBAOKLTG-QGZVFWFLSA-N 424.888 4.786 5 20 HJBD Cc1cccc(C2(CNC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)CCCC2)c1 608874313 KOSNMZRXMIPESV-UHFFFAOYSA-N 418.497 4.546 5 20 HJBD O=C(Nc1cnc(C2CC2)nc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609127372 BGSRVALRGDBLPP-UHFFFAOYSA-N 410.817 4.960 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cn2)cc1 609493922 YQNHFIIQMVEPEX-UHFFFAOYSA-N 416.393 4.597 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1c(Cl)cccc1[N+](=O)[O-] 609498774 HEYCORDECZWLRN-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD CN(Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1)Cc1cscn1 609507680 BVXZRRPMAMBEHB-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C(c1cc(NCCCCCOC2CCCCC2)ccc1[N+](=O)[O-])N1CCCC1 609514597 NFDZXXLCOWLPPH-UHFFFAOYSA-N 403.523 4.762 5 20 HJBD CCc1nc(CCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cs1 609782269 YRRYSFYCKKBNCQ-UHFFFAOYSA-N 412.471 4.770 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 610043826 QDBIBTTWWWSXFJ-UHFFFAOYSA-N 421.291 4.602 5 20 HJBD COc1cc(CN(c2ccc([N+](=O)[O-])c3cnccc23)C2CC2)ccc1OC(F)F 610337638 PTJMUJPYIOBEEK-UHFFFAOYSA-N 415.396 4.922 5 20 HJBD O=C(Nc1ccc(Cl)c2ncccc12)c1ccc(Br)cc1[N+](=O)[O-] 610570520 VOWFRINJKBLAAO-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 611195681 XUVUHDBZBPBVRH-UHFFFAOYSA-N 422.416 4.575 5 20 HJBD CCC1(O)CCC(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 611314621 TZZWJJJZUGRKHH-UHFFFAOYSA-N 420.513 4.621 5 20 HJBD CC(C)[C@@H](CC(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1 728771047 XMXPOBNBWIUQQK-OAQYLSRUSA-N 411.502 4.579 5 20 HJBD Cc1nn(-c2ccccc2)c(Cl)c1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730644507 UAVAGRYMMPCHNS-UHFFFAOYSA-N 406.225 4.753 5 20 HJBD O=C1O[C@H](c2ccccc2)Cc2cc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)ccc21 733740229 VDOXLFXBHDDJHC-FQEVSTJZSA-N 413.389 4.766 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccco2)c1 734330971 XZOGCCZUKXPTAV-ZDUSSCGKSA-N 412.423 4.526 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)[C@H](O)c1ccc(F)cc1 734845768 FPHWRGFIMIJHQA-MWTRTKDXSA-N 409.461 4.714 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cc([N+](=O)[O-])ccc1Br 751106858 FJKHMIFSDNIZKM-UHFFFAOYSA-N 405.248 4.536 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 752979036 RCGIEBDDIDGBDV-LJQANCHMSA-N 422.824 4.955 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1csc(COc2ccc(F)cc2)n1 753632153 AIXGYPFHKZYDJY-UHFFFAOYSA-N 424.413 4.570 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CC1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 755151752 OWPPFERXRPHPQK-UHFFFAOYSA-N 405.458 4.522 5 20 HJBD O=C(Nc1cccc(CC[C@@H](O)c2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 762999705 HYCVNMAHXKVGLH-HSZRJFAPSA-N 415.449 4.995 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)cc1 768468681 YLRGILLVXOFMBN-ZDUSSCGKSA-N 402.545 4.507 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 769196287 UXWHZTZNPLXLOK-HNNXBMFYSA-N 417.531 4.670 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(O)c(C)c3)cc2[N+](=O)[O-])n1 772698745 YVTVTGVAVFDMEV-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD Cn1c(SCc2ccc(Br)c([N+](=O)[O-])c2)nnc1-c1ccccc1F 788099200 KDGLKGVDRBCRFH-UHFFFAOYSA-N 423.267 4.584 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3cccc(Br)n3)co2)cc1 793949564 BPTWMIKQAOWIPG-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD CCCn1c(Sc2nc3ccccn3c2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 799925482 SCFHKDIRCURYGE-UHFFFAOYSA-N 414.878 4.716 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 804176182 FLISJQLDXWOLIH-UHFFFAOYSA-N 421.409 4.610 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc1[N+](=O)[O-] 804722129 UEKVXPNEJHDYAD-UHFFFAOYSA-N 410.377 4.600 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)/C=C/c1cccnc1 879554212 NFUOOYVGUYNHPF-CMDGGOBGSA-N 423.450 4.547 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCc1ccccc1Cn1cncn1 914787221 DYNSFVJTWLEZFH-UHFFFAOYSA-N 411.771 4.519 5 20 HJBD COc1cc([C@@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 914869459 FVNTUAMLOWPFJX-CQSZACIVSA-N 403.504 4.686 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])CC2)cc1 916733006 UINFRLSWGIBASA-UHFFFAOYSA-N 420.387 4.774 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1318729272 GDMKCGWKIGSJEA-UHFFFAOYSA-N 409.467 4.648 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Cc2ccccc2)ccc1[N+](=O)[O-] 22736562 QFQAMQQPWVBEIL-KRWDZBQOSA-N 418.449 4.678 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cccc(Br)c3)no2)c(Cl)c1 27754341 SDRSZIBJUAIJEW-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1c(NC(=O)[C@@H](C)SCc2ccc(Br)cc2)cccc1[N+](=O)[O-] 32478732 HQYYBNMWWCEWDW-GFCCVEGCSA-N 409.305 4.926 5 20 HJBD CCCCN(C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 32799814 XAZLIBRHVBUOQX-SFHVURJKSA-N 420.307 4.769 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3ccccc3)c3ccc(F)cc3)n2)c1 55855294 WCNRCWLFMYKPHG-NRFANRHFSA-N 404.401 4.663 5 20 HJBD Cc1sc(NC(=O)CCCOc2ccccc2F)nc1-c1cccc([N+](=O)[O-])c1 65576737 YADHXCXRDDAICZ-UHFFFAOYSA-N 415.446 4.964 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1ccc(Cl)cc1 65693120 YUPFEFYLKSXPPS-IBGZPJMESA-N 413.886 4.641 5 20 HJBD COc1ccc([C@@H](CNc2ccc([N+](=O)[O-])c3cnccc23)N2CCCCC2)cc1 68838420 DZBVECWODJDTKO-HSZRJFAPSA-N 406.486 4.791 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCCSc1ccc(F)cc1 142595799 WIRQZOKFDRRBBF-UHFFFAOYSA-N 420.509 4.592 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Sc1nnc(-c2cccs2)n1Cc1ccco1 301730931 XNCVSCFAIZQNPP-UHFFFAOYSA-N 402.432 4.846 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CCN(c3cc(Cl)ccc3C)C2)c1 303102162 CRPHJTFVIYTECV-CQSZACIVSA-N 419.934 4.535 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](c3ccccc3C)C[C@@H]2C)cc1[N+](=O)[O-] 303726622 CHFSTTVHTZBIIX-FUHWJXTLSA-N 414.527 4.550 5 20 HJBD COc1ccc([C@@H](C)N(C)C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 426266501 JYAGUPJFHGSJKC-GFCCVEGCSA-N 411.380 4.525 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431458018 PCVODHWXKYFWMN-RISCZKNCSA-N 407.239 4.515 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@@H]1c1ccccc1 434089520 OGZSZDGCKUPVFS-GOSISDBHSA-N 407.495 4.984 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(F)c1F 444298084 LZUFFNKJMAGJSL-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(COc3ccccc3-c3ccccc3)n2)c1 445577130 NKASNIMJGPERBY-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1C[C@H](O)Cc2ccccc21 447720879 TVVJEXVQHQJSQX-QGZVFWFLSA-N 424.840 4.604 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1ccccc1F 448106027 ZMQVFLCJAVDKMV-UHFFFAOYSA-N 412.368 4.841 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1csc(Br)c1 462483077 ZRJPQBVXJFBXPT-AWEZNQCLSA-N 411.321 4.646 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2cc(OC)c(F)cc2[N+](=O)[O-])o1 463428840 GGHAKFTVXSVONF-CQSZACIVSA-N 403.435 4.960 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 465357655 JILCBQLYQIKWLN-OKILXGFUSA-N 413.440 4.620 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cnccc1-c1ccccc1 468116120 CPNWDAYMSXJJFR-UHFFFAOYSA-N 412.243 4.601 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2nc3ccccc3cc2[N+](=O)[O-])CC1)c1ccccc1 473509165 CDXAKHWUQIWIRM-HXUWFJFHSA-N 418.497 4.740 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1OC(F)(F)F 475591376 QJZHRRSVBAHPOH-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CCOC[C@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 477687791 BQVHJYYIUAFHNP-AWEZNQCLSA-N 404.850 4.539 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(Br)cn1 477770124 VBEIYFRWDJSTCO-LLVKDONJSA-N 424.320 4.744 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC2CCC2)c2ccccc2)cc1SC 479410843 PVOPVDVRQNUFNO-KRWDZBQOSA-N 400.500 4.987 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cnn(C)c1 480715963 JXKZCZDRHHRCOL-GHTZIAJQSA-N 414.506 4.717 5 20 HJBD CCCCn1ncc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C(C)C 482723032 WTIAOLBBPYUDEC-UHFFFAOYSA-N 408.886 4.776 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1sc(Br)cc1[N+](=O)[O-] 486091626 HNUMKJQWMWIKAA-UHFFFAOYSA-N 409.261 4.654 5 20 HJBD O=c1c([N+](=O)[O-])cn(CCCCOc2ccccc2C(F)(F)F)c2ccccc12 487891826 STXFYPWIUKKQER-UHFFFAOYSA-N 406.360 4.788 5 20 HJBD Cc1ccc(-c2nc3ccc(F)cc3n2C(C)C)cc1NC(=O)c1n[nH]cc1[N+](=O)[O-] 487915661 PQSJOEMZIZHPDV-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(CC1CCCCC1)c1ccccn1 497364586 IUXSJSPQIJBNNO-UHFFFAOYSA-N 405.401 4.818 5 20 HJBD CC(C)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N1CCCC[C@@H]1C 498083772 CYGIMTCTOJRGIR-KKSFZXQISA-N 423.582 4.604 5 20 HJBD COc1ccc(CNC(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 500807935 LQCQHZUXPUYTLC-UHFFFAOYSA-N 417.512 4.638 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(F)(F)F)cc1 504513337 ASQOPYOIUSIJNB-CQSZACIVSA-N 403.744 4.682 5 20 HJBD CCOc1ccc(C2(CNCc3ccc(C(C)C)c([N+](=O)[O-])c3)CCOCC2)cc1 518277676 NLNOPDBDOICTCZ-UHFFFAOYSA-N 412.530 4.955 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523507261 MOKZSLGFMSXFIC-UHFFFAOYSA-N 423.513 4.882 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cccc(COCCOc2ccccc2)c1 524337591 DJNYWCLTUGNXPY-UHFFFAOYSA-N 421.453 4.512 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 541218028 JTEWYTSAWWZNDP-XYPYZODXSA-N 405.241 4.909 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Nc2cn(CC(C)C)nc2C(F)F)c1Br 541576865 TVHSAULFRIBFJV-UHFFFAOYSA-N 404.215 4.594 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N(C)C[C@H](C)c2nccs2)c([N+](=O)[O-])c1 543239256 KSHNQFYPWCQYQF-JXFKEZNVSA-N 424.526 4.775 5 20 HJBD C[C@@H]1C[C@H](CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 544138303 KKHYRMFHRNMFCQ-HUUCEWRRSA-N 418.877 4.976 5 20 HJBD COc1cccc([C@@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2ccccc2[N+](=O)[O-])c1 544250150 DQPXXHNYJIHNNS-RCZVLFRGSA-N 416.477 4.903 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1C(N)=O 544426118 IPZDXNWERLTRAD-UHFFFAOYSA-N 413.499 4.537 5 20 HJBD COc1ccc(Br)cc1-c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545632595 QTSOLWPETSSZHR-VIFPVBQESA-N 422.210 4.707 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1cc(Br)cc([N+](=O)[O-])c1 545819371 WCBIADNBJCDKHI-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CC(=O)N1CCc2c(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cccc21 546681335 JJSIHDKSTACEDS-UHFFFAOYSA-N 416.481 4.884 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 546845506 DNKBKXMSHTVVFT-LJQANCHMSA-N 421.419 4.833 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)c1 549013316 UDROIGSASZQUMC-PMERELPUSA-N 424.478 4.554 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3c[nH]c4ccc(F)cc34)no2)c1 551370657 ORTNQRNVKNOUAH-UHFFFAOYSA-N 406.295 4.875 5 20 HJBD C[C@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(F)c2ccccc12 570241678 MZOXRTGTNYIXGC-AWEZNQCLSA-N 409.417 4.536 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC(F)F)c1 573580093 HGPZIIJYNVPUBP-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(Br)cc1F 575377218 YCCLHGWUCPPSNR-JTQLQIEISA-N 422.254 4.562 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)Nc2cccnc2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 583120384 AAHKXSMKJGSZKG-ZDUSSCGKSA-N 424.844 4.638 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC2(CCOCC2)CC1 585751581 HKXAYNZCUJLESE-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)c2ccccc12 588797352 JGRIIZXYLDIING-INIZCTEOSA-N 421.472 4.981 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(-c2ccc(F)cc2)o1 603781421 VVKRDUAUCOUYMW-SDNWHVSQSA-N 403.369 4.648 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](CC(C)C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 604468568 TZIPRTZQBUIEBN-QFIPXVFZSA-N 420.513 4.974 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2nc(N3CCCC3)sc2c1 609783153 GBJVZJZMMODEMJ-UHFFFAOYSA-N 416.890 4.639 5 20 HJBD Cc1csc(C2(NC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CCCC2)n1 609844321 DFONNSXNFXRFIG-UHFFFAOYSA-N 412.418 4.552 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609995527 ADWIOGDSGDIKDJ-NRFANRHFSA-N 404.470 4.825 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H](CC(F)(F)F)c1ccccc1 615053154 XYZRWBGNQAVCST-LJQANCHMSA-N 424.422 4.965 5 20 HJBD COc1ccc(Oc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c([N+](=O)[O-])c1 727431762 VOHOAFZOXLTRDH-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD Cc1c(C(=O)Nc2ccc(Nc3ccccc3)nc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 728190019 YQXYMBABJPGOHD-UHFFFAOYSA-N 407.386 4.511 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 736020084 OCULFNRSIBCOMV-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD C[C@@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)s1 742502038 ABVLWNCBTHEJLA-SECBINFHSA-N 417.712 4.834 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])n2)c(F)cc1F 748799961 HTZVYUHNLLOWSR-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD O=C(NCCSc1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410345 MFVMROPFMFLABZ-UHFFFAOYSA-N 415.696 4.533 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 749909325 PEKTVTWEOQDQQY-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)c1[N+](=O)[O-] 753453426 DHSWSZBHYDOUDQ-GDLZYMKVSA-N 418.490 4.876 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 753970705 DLPXUBNHJFPFAZ-UHFFFAOYSA-N 416.842 4.720 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC(n2cccn2)CC1 754386870 XMGSVIQRFANAEP-UHFFFAOYSA-N 414.531 4.551 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CNCc1cc([N+](=O)[O-])ccc1Cl 756900243 LKSHXVDAWVREGK-UHFFFAOYSA-N 405.285 4.994 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@H](Cc1ccccc1)c1cccnc1 759448632 ZSTPAIUGOZWOAU-JOCHJYFZSA-N 401.422 4.541 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 759982040 VLAKVKDSZHYZIJ-UHFFFAOYSA-N 417.219 4.965 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@@H](CO)CC(F)F 760687651 XXTBXNWMLGWCIH-QGZVFWFLSA-N 409.432 4.679 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC=C(c2ccccc2Cl)CC1 769285122 FZKWUWBEJYVBCA-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD O=C(NOCc1ccc(C(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 771769218 UAEHZWILENEGMZ-UHFFFAOYSA-N 423.269 4.886 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)OCc1nc(-c2ccccc2Cl)cs1 774972146 HZOMAOPDIMEFJG-UHFFFAOYSA-N 422.821 4.633 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548558 FXYXNWKKYYTSPV-GJZGRUSLSA-N 416.909 4.526 5 20 HJBD CSC1(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)CCC1 781862150 LLMBKFILWCIUQC-UHFFFAOYSA-N 420.874 4.692 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCc1ccc([N+](=O)[O-])c(F)c1 782598443 NKRRNJKYSKEMSO-UHFFFAOYSA-N 423.487 4.725 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cccc(Br)c1 783706269 FWCUVPQBNBLGIR-JTQLQIEISA-N 417.215 4.529 5 20 HJBD Cc1ccc([C@H]2C[C@H](C)N(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)cc1 786218346 OPJJLLKROIZBHU-ZFWWWQNUSA-N 403.276 4.684 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2N)nc1-c1ccccc1 787021126 CTNOMVGFVDPSRL-LJQANCHMSA-N 420.469 4.933 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(C(=O)N(C)c3ccc(C)cc3)c([N+](=O)[O-])c2)cc1 787288694 ATGLJAIACXYGOG-UHFFFAOYSA-N 417.465 4.765 5 20 HJBD Cc1cc(C(=O)COc2cc(F)ccc2[N+](=O)[O-])c(C)n1Cc1ccc(F)cc1 787592410 YHQACAMMOKTMFI-UHFFFAOYSA-N 400.381 4.601 5 20 HJBD COc1ccc(OC)c(CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)C(C)(C)C)c1 801708407 DSAUXWMNFHXJMR-UHFFFAOYSA-N 422.428 4.531 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)N[C@@H](C)c2ccc3ccccc3c2)c1F 803462891 VCITUUHDEALEKM-LSDHHAIUSA-N 424.428 4.618 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3cccc(Cl)c3[N+](=O)[O-])c2)cs1 814774601 VQOBEOTXYHAWKS-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD Cc1ccc2nc(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2c1 816090951 FALOGJUGWFCBKJ-UHFFFAOYSA-N 405.435 4.932 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C2CC2)[C@H](C)c2ccccc2Cl)cc1[N+](=O)[O-] 914479546 DQQUHWRHJVZKOJ-GFCCVEGCSA-N 403.822 4.831 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2CCOC(=O)c2cccc([N+](=O)[O-])c2)c(C)s1 918638295 QVFMWNBELVJZIA-QGZVFWFLSA-N 416.499 4.515 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc(Cl)c([N+](=O)[O-])c1 920229176 NMEBTBVXDQABEA-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 1321966131 OZVVXZVQHRKTIJ-GFCCVEGCSA-N 403.410 4.823 5 20 HJBD COCCO[C@@H](C)c1nnc(-c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 1323037415 FCXIZBPJTOSQIG-LBPRGKRZSA-N 419.821 4.815 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccccc1Oc1ccccc1 3530008 YMYODZYQKVEBCW-UHFFFAOYSA-N 407.378 4.611 5 20 HJBD CCn1c(SCC(=O)Nc2c(C)cccc2C)nc2cc3ccccc3cc2c1=O 7577773 PSRAXTLHTCNISL-UHFFFAOYSA-N 417.534 4.917 5 20 HJBD O=C(Cc1ccc(C(F)(F)F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 16467739 OUEKAZSRAGLFEV-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CC(C)Nc1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])s1 20667997 GNHRISJTUMCLPS-UHFFFAOYSA-N 400.485 4.649 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 21840176 DAEROVWWKLVHMB-LJQANCHMSA-N 411.801 4.676 5 20 HJBD CN(C)C(=O)c1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 41817239 SROKTBPEHZCXOV-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD CCn1ncc2c(C(=O)Nc3cccc([N+](=O)[O-])c3C)cc(-c3ccccc3)nc21 45728265 FZFSRQGWWYEESY-UHFFFAOYSA-N 401.426 4.587 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)CC3 47865911 UUBVJTCAYYIPBB-UHFFFAOYSA-N 416.384 4.576 5 20 HJBD C[C@H](NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1)c1cccc([N+](=O)[O-])c1 55465877 GJXBOJMYXXMDGF-LBPRGKRZSA-N 401.875 4.792 5 20 HJBD CCOCCCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 55496791 NJRCLKGFKLIVRF-UHFFFAOYSA-N 408.907 4.946 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1Cl 55689532 ASAQWEZFKLILHR-LBPRGKRZSA-N 419.840 4.654 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 56404487 APYLACVVXKNLTI-CABCVRRESA-N 401.463 4.514 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)n(-c2ccccc2C(F)(F)F)n1 60854974 VYXLXVFZKGWHAB-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1nc(-c2ccc(-c3ccccc3)cc2)cs1 63569051 RHECVCNAWNRLHW-UHFFFAOYSA-N 419.466 4.611 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cc2)n1 108436533 BDQDWVBGEYQYFV-UHFFFAOYSA-N 416.440 4.671 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Sc2ccccc2Cl)cc1 109488379 UXUBKEWRXPGLRR-UHFFFAOYSA-N 416.890 4.933 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@H]3CCCc4c3cnn4Cc3ccccc3)sc2c1 111193646 KOVABYIHAZMIEI-KRWDZBQOSA-N 405.483 4.939 5 20 HJBD CN(CCc1ccccn1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 302899393 OTVPMCXUEBTWFD-UHFFFAOYSA-N 424.888 4.570 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc(C2CCCCC2)cs1 303508801 SNCZNGDPCDXJQY-AWEZNQCLSA-N 419.572 4.939 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426276176 PPOJOQWQMSPDGY-UHFFFAOYSA-N 419.762 4.748 5 20 HJBD CC[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nc(C)cs1 426350487 FWZLYJAITNAPJT-GOSISDBHSA-N 411.483 4.820 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc2c(cc1Cl)CCC2=O 429472473 JNXPGRDRPKPWKQ-UHFFFAOYSA-N 411.266 4.761 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2c3cccc(F)c3CC[C@@H]2C)cc1SC 430667583 FZLLKQZWPVXCTH-JEOXALJRSA-N 404.463 4.518 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](OCCCc4ccccc4)C3)o2)c1 430917175 XSRJNNHFKRZNNN-JOCHJYFZSA-N 421.497 4.516 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC2C[C@H]3CC1C[C@@H](C2)C3 436248252 PSWVKFDYSMXJGN-KKNUOHPOSA-N 408.448 4.610 5 20 HJBD NC(=O)c1ccc(CS[C@H](c2ccccc2)c2ccc(F)c(F)c2)c([N+](=O)[O-])c1 437452502 LSSWCFNPLZZETL-HXUWFJFHSA-N 414.433 4.995 5 20 HJBD CCCN(C(=O)Cc1csc(Cc2ccc(F)cc2)n1)c1cccc([N+](=O)[O-])c1 441449117 IATCFBIHEVZYOA-UHFFFAOYSA-N 413.474 4.767 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(F)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 447322561 YZZIYGKQUKTMQJ-QFIPXVFZSA-N 405.429 4.591 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](C)C[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-] 461311936 VVDXXMRFUSWCNY-KSSFIOAISA-N 418.490 4.585 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2cccs2)nc2c1c(C)nn2C 464725611 YPKINJWBNQOEMQ-UHFFFAOYSA-N 421.482 4.728 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3cccc(F)c3)C3CCCC3)o2)cc1 464901417 XOCSHVIIVOAXKC-UHFFFAOYSA-N 410.449 4.771 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 466858152 OBXYPIMODNCWMV-KRWDZBQOSA-N 406.548 4.766 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2cccc(Br)c2)C2CCOCC2)cc1 467394507 OYDYYMOZKQMIPS-LJQANCHMSA-N 405.292 4.615 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cc2ccccc2o1 468272103 SQMCVYHGECFAAO-ZDUSSCGKSA-N 400.456 4.571 5 20 HJBD Cc1cnn(Cc2ccccc2F)c1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 470190358 LTUAHFCIGLFIJW-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc([N+](=O)[O-])cc1F 470368940 HHEHNNXFMDUFKD-SNVBAGLBSA-N 412.402 4.741 5 20 HJBD Cc1ccc(-c2cnc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)s2)c(C)c1 470627727 QZGNMPAJUKNUCG-UHFFFAOYSA-N 420.450 4.841 5 20 HJBD Cc1ccc([C@@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 472010349 DLQPQZRGQRSPNT-VGOFRKELSA-N 404.470 4.756 5 20 HJBD COC[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(C)o1 472748022 HHKNRXHBYRXQFS-LJQANCHMSA-N 411.414 4.798 5 20 HJBD CCOC(=O)[C@H](CC)S[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 476557489 ANPDKYRNJVYFGL-RBUKOAKNSA-N 416.499 4.658 5 20 HJBD CN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)C1c2ccccc2-c2ccccc21 477524939 MMRYLASSCFQVFZ-UHFFFAOYSA-N 410.433 4.623 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2C)c1 480764747 SPSQHEASJGGKAH-UHFFFAOYSA-N 419.485 4.902 5 20 HJBD CCc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1Cl 482776239 CTWCQLJDXQIBIC-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc([C@H]2CCC(F)(F)C2)no1 482814971 CYKQOOFZPLVJLC-VIFPVBQESA-N 406.311 4.554 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cl)c(Br)c2)c1[N+](=O)[O-] 486436700 SWYLFHPSZPAOKA-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)C(C)(C)C)cc2)cc1SC 487932730 SEORYNZICQHZCY-UHFFFAOYSA-N 402.472 4.806 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCCC2)C1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 491918614 ABTUMFIHBFLFJC-LJQANCHMSA-N 423.513 4.819 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)CC3 498721624 ZMUZJQIDKLNQCY-KRWDZBQOSA-N 418.497 4.616 5 20 HJBD CCN(CCc1cccs1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 501127161 BJQFNNDUWGWMJQ-UHFFFAOYSA-N 418.462 4.858 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 501936289 XTXLNSZTXKYBMI-FQEVSTJZSA-N 405.454 4.582 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])ccn2)CC1 505550184 JXWAJOXBLSDHCP-UHFFFAOYSA-N 403.866 4.531 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(OCc4ccccc4)CC3)cs2)c1 506281684 MCRBWPKNEHVXIH-UHFFFAOYSA-N 409.511 4.900 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(CCC(F)(F)F)cc1 507707944 HBYDPYSMAWZPAL-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)cc1 509590535 DXSLSCUGTVACSW-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD CN(Cc1c(F)cccc1F)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 511943687 ZIGIURZQLRTQRU-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(F)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 518245741 CJZFMRVSEFDCJC-QHCPKHFHSA-N 419.456 4.854 5 20 HJBD CCN(Cc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 518474160 QRNFFLCBWRHPMG-CYBMUJFWSA-N 410.417 4.797 5 20 HJBD CCC[C@@H](CC)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 520095386 MRVUANKDZRBSDH-OAHLLOKOSA-N 401.429 4.525 5 20 HJBD Cc1[nH]nc(C(=O)N(Cc2ccc(-c3ccc(F)cc3)s2)C(C)C)c1[N+](=O)[O-] 520548295 GDUKGPGDLQEIIS-UHFFFAOYSA-N 402.451 4.545 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 523504347 RKSHRYSVTCXCEH-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD CC(=O)N(c1nc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cs1)C1CC1 523708322 XIAMENVTINUGSX-NDENLUEZSA-N 406.467 4.551 5 20 HJBD CN(C)[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 531333305 GIVKJHHIBAGBIP-LJQANCHMSA-N 416.934 4.764 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 533688365 MJZODROEXHXKDI-SECBINFHSA-N 415.646 4.511 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)[C@H]3CCc4c(Cl)cccc43)co2)cc1 534060642 IYENBHUPPCSJCP-XRHLQHRESA-N 402.859 4.839 5 20 HJBD CN(C(=O)[C@H]1CCSc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150898 YBHUBIAAIWSDIW-AWEZNQCLSA-N 411.508 4.961 5 20 HJBD Cc1ccc([C@H](OC[C@H](O)CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 540376285 OERHIZXKCNHHRV-QTLGCAHFSA-N 420.509 4.721 5 20 HJBD CC(C)[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 541294314 PNDPHFPMAQMMAW-GOSISDBHSA-N 418.906 4.730 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 542565190 MWVMRXXDUYOEIZ-QFBILLFUSA-N 418.490 4.886 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1F 544626490 OQNYUNMNROTXMU-UHFFFAOYSA-N 416.340 4.671 5 20 HJBD CCOc1ccc2cc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)[nH]c2c1 545855687 VVVZNYNZCIOEAO-UHFFFAOYSA-N 403.398 4.597 5 20 HJBD COc1ccc(CN[C@@H](C)Cc2cccc(O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 560816796 QUZKRJCRISGJFX-KRWDZBQOSA-N 422.481 4.609 5 20 HJBD Cn1cc(CN2CCC(c3cccc(F)c3F)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 577452571 RBCBMUXJUHTKSB-UHFFFAOYSA-N 412.440 4.653 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 577595205 CINAPLCBWVXAOA-CQSZACIVSA-N 413.474 4.647 5 20 HJBD C[C@H](C(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1cccc([N+](=O)[O-])c1 581980601 DOQVFUJIIBWLJD-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD CN(CCc1nc(-c2ccccc2)no1)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 582268440 PECKAWHZRCBEIA-UHFFFAOYSA-N 404.426 4.579 5 20 HJBD CSc1cccc(-c2nc(-c3cnc(Oc4ccccc4)cn3)no2)c1[N+](=O)[O-] 583778948 VOGQTAHGUPDRKJ-UHFFFAOYSA-N 407.411 4.616 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 603620486 YFPJUQQSFYTZDZ-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD CCc1nc([C@H]2CCCCN2c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])no1 603872255 MTTDHYUVCBYHMW-GOSISDBHSA-N 406.442 4.503 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CCc2c(Br)cccc21 609042738 LTECUMJEZQAYFO-CQSZACIVSA-N 410.655 4.820 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)NCCc3ccc([N+](=O)[O-])cc3)s2)cc1 609356394 YCBCXQAAHJLPBI-INIZCTEOSA-N 424.526 4.938 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)cc([N+](=O)[O-])c1 609441451 CJDWVIZIDREUMH-HXUWFJFHSA-N 403.413 4.777 5 20 HJBD Cc1cc(S(=O)(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)ccc1[N+](=O)[O-] 609674602 FXBLXPUNRPKEBW-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(CN4CCC(O)CC4)c3)s2)cc1 609851362 AXTNVXLIFGEIMX-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@]2(C1)OCc1ccccc12 613671878 MEMXOHPZAQPPBI-HSZRJFAPSA-N 424.522 4.901 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 619651347 MBKAKNHAGLJROY-UHFFFAOYSA-N 421.478 4.981 5 20 HJBD COc1ccc(COC(=O)c2csc(-c3ccccc3Cl)n2)cc1[N+](=O)[O-] 727561138 HRMKFPDJTRXLDK-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccccc2OC(F)F)cs1 727716282 YPBAWGCCUHUEOH-JXMROGBWSA-N 417.393 4.972 5 20 HJBD C[C@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 729260883 PBKNAUOIODJGAB-HNNXBMFYSA-N 410.477 4.553 5 20 HJBD CCN(CC)[C@@H](C(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 733874099 HRPNKJWHZSLODZ-QGZVFWFLSA-N 413.371 4.774 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cc1 734988056 VOYCLTFRHUMIQQ-MUUNZHRXSA-N 417.556 4.985 5 20 HJBD O=C(OCC1(C(F)(F)F)CC1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 739336014 MIZRXFVUMHEZPI-UHFFFAOYSA-N 424.162 4.587 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccncc1)c1ccc(F)cc1 748572301 MURBNRCDSLYXHO-VBKZILBWSA-N 412.442 4.942 5 20 HJBD C[C@@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])Cc2ccccc21 750803155 HRKZASVYNFMXSW-CYBMUJFWSA-N 407.392 4.562 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751663663 GZUUXHXTUUUSDC-NSHDSACASA-N 408.332 4.677 5 20 HJBD O=C(N[C@@H](c1ccccc1)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1Br 754635658 JKTDJJAINZFYNG-ZDUSSCGKSA-N 421.144 4.530 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1F 755058864 FTCPQXOOMOVGQW-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 756460009 OCJSUCJKMPQMEM-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cnc3ccccc3c2)c(-c2cccs2)c1 757744455 KLNHYIGDBPRDKE-UHFFFAOYSA-N 412.448 4.639 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C 760026647 GOPXBJPCUPNCNV-KRWDZBQOSA-N 404.898 4.695 5 20 HJBD CCCC1(CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 760027445 AOHPSEWFTJSJDY-UHFFFAOYSA-N 415.877 4.811 5 20 HJBD Cc1cc(-c2nc(-c3ccc(N)c([N+](=O)[O-])c3)no2)ccc1NC(=O)OC(C)(C)C 761245319 WZCWFBKABRWYFY-UHFFFAOYSA-N 411.418 4.549 5 20 HJBD COc1cc(COC(=O)Cc2sc(C)nc2-c2cccs2)c([N+](=O)[O-])cc1F 766173484 UDEUMWPAWSNPJV-UHFFFAOYSA-N 422.459 4.522 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCCc3nc4cc(Cl)ccc4s3)CC2)cc1 773034996 JNKYBNHZGSXAGL-UHFFFAOYSA-N 416.934 4.659 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@@H](c2ccc(Br)cc2)C2CCC2)c1 775202632 IBYSHVDDUBTLSC-RBUKOAKNSA-N 405.292 4.522 5 20 HJBD Cc1ccc2c(CC(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])coc2c1 776135014 QEUPSZHHAHLHSP-HSZRJFAPSA-N 402.406 4.920 5 20 HJBD COCCC[C@@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 777901737 FSOAHSPNXKSVOO-CQSZACIVSA-N 406.866 4.828 5 20 HJBD Cc1nnc(-c2ccccc2C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])o1 780331311 SLUIIESHNMBQID-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD C[C@@H]1C[C@@H]1[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781651195 LGLTUOQRNTYGAP-GIJMVEMTSA-N 417.849 4.603 5 20 HJBD COc1ccc([C@@H](NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 789667631 KGNHGINRVYJOQP-NRFANRHFSA-N 411.389 4.530 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NCc1cc(Cl)ccc1OC(F)F 790556473 HPSKGNOEGKGSBU-UHFFFAOYSA-N 423.174 4.501 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc2ccc(Cl)cc2[nH]1 812993010 FDZKVUDBSUFRFE-SSDOTTSWSA-N 413.648 4.922 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCC(c2c(Cl)cccc2Cl)CC1 813850485 YNRTZUUYFXTYKH-UHFFFAOYSA-N 412.248 4.643 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2cn3c(n2)CCCC3)c1)c1ccccc1[N+](=O)[O-] 814342434 DSCSKWNCELLHNR-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cs1 815512039 FWRLIEIOKUUBHE-VIFPVBQESA-N 404.366 4.525 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 816005240 FDLAAHZLQHWOOK-JOCHJYFZSA-N 421.840 5.000 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc(C3CC3)nc3ccccc23)cccc1[N+](=O)[O-] 856802058 GNKARXLEVYBBOG-CQSZACIVSA-N 419.437 4.513 5 20 HJBD Nc1c(Cl)cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)cc1[N+](=O)[O-] 904458386 OEIAYGBMHAFZJT-UHFFFAOYSA-N 409.789 4.735 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Oc1ccccc1-c1nc2ccccc2s1 914715768 RPFPCPCAPUOZAO-UHFFFAOYSA-N 406.419 4.856 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(CCCc3ccccc3)o2)c(Br)c1 1118070784 PPVWMLRICXZSOG-UHFFFAOYSA-N 402.248 4.506 5 20 HJBD COc1ccc(C(NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccc(OC)cc2)cc1 1317830668 RTJZLRPRLAGCMA-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD O=C(COC(=O)c1sccc1-c1ccccc1)Nc1cc([N+](=O)[O-])ccc1Cl 10986796 PUWATRNARJSCHK-UHFFFAOYSA-N 416.842 4.772 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2cccc([N+](=O)[O-])c2C)cc1 11432162 BKWUOMPBVRYEKK-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD Cc1cc(OCC(=O)N(C)[C@@H](c2ccccc2)c2ccc(F)cc2)ccc1[N+](=O)[O-] 26332600 LWLWVSIILYPXEG-QHCPKHFHSA-N 408.429 4.669 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCc2sccc2[C@H]1c1cccs1 50507982 OOWDOGOCWUOYGL-FQEVSTJZSA-N 413.524 4.612 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2)c1 56224180 QKRANZMUXPMWCW-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2oc(C3CC3)nc2c1 64420361 QQVJWAMFNUCFRL-JTQLQIEISA-N 401.806 4.673 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC[C@@H](c2nc3ccccc3o2)C1 110450311 HMYZCGXFNVFERV-GFCCVEGCSA-N 402.248 4.883 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)cc2Br)cccc1[N+](=O)[O-] 219052728 YGDDNFACCRWPBN-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3ccc([N+](=O)[O-])c(C)n3)CC2)n1 302750522 VEIJJUYRJAZTDF-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 303948845 SPPYGOQKRFMMMA-CQSZACIVSA-N 411.483 4.651 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1cccc(F)c1)C1CC1 426267076 NGCMXXDFOPABHR-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(F)cc1)C1CCOCC1 427746048 YTWLFYVFTZVJDM-LJQANCHMSA-N 414.477 4.510 5 20 HJBD C[C@H](NC(=O)C1(Cc2ccccc2Br)CC1)c1cccc([N+](=O)[O-])c1 429892085 SRGXPZZYPPHUNM-ZDUSSCGKSA-N 403.276 4.557 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H](c3ccc(F)cc3)C2)c([N+](=O)[O-])cc1OCC 431575282 QOZFACCYVJSRJH-OAHLLOKOSA-N 417.437 4.553 5 20 HJBD COc1cccc(C(=O)NCc2ccc(-c3ccc4c(c3)C[C@@H](C)O4)cc2)c1[N+](=O)[O-] 436037505 IWUFVUGAIBXMGZ-OAHLLOKOSA-N 418.449 4.524 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1O)[C@@H](c1ccc(Cl)cc1)c1ccccn1 436965095 KCGNXOJWQXZYQA-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 462172939 ANGBLLAZBREATN-OXQOHEQNSA-N 416.861 4.728 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(C)=O)cc1C(F)(F)F 462428783 NSKDNRBAWMBOLL-CQSZACIVSA-N 423.391 4.779 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463334663 KBGZSJRPNGGMRP-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1c(F)cccc1Sc1ccccc1 463576402 IAGKCFHWKNNQPN-UHFFFAOYSA-N 412.442 4.824 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464636697 HGYOGZUDMASSJG-CQSZACIVSA-N 420.918 4.799 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)NCCc1ccccc1[N+](=O)[O-] 467992767 HNULTESTLNKLAB-UHFFFAOYSA-N 405.454 4.846 5 20 HJBD CC(=O)c1ccc(Oc2ccc(-c3nc(Cc4ccc([N+](=O)[O-])cn4)no3)cc2)cc1 472786436 IJCHFZHYQGYTKE-UHFFFAOYSA-N 416.393 4.626 5 20 HJBD NC(=O)c1ccc(CNC2(c3cccc(C(F)(F)F)c3)CCCCC2)c([N+](=O)[O-])c1 477653588 SIZBDPSAHTUENC-UHFFFAOYSA-N 421.419 4.662 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)oc2ccccc12 481799598 HYDKDIRVDHQBBT-LLVKDONJSA-N 403.232 4.903 5 20 HJBD CC1(C)Oc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2O1 486531396 NELYZGNLTJPYQM-UHFFFAOYSA-N 420.421 4.933 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1CCCC[C@H]1c1cc2ccccc2[nH]1 490154944 MMVDXEMSEWVHRZ-FQEVSTJZSA-N 415.453 4.629 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc21 492934866 BTWLNDHKYJQEIW-JXFKEZNVSA-N 421.501 4.881 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2cccc(Br)c2)cc1[N+](=O)[O-] 493104198 IKFTYIGRMQUWLD-CYBMUJFWSA-N 406.280 4.568 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1C[C@H](CO)c2ccccc21 498383105 ANVPYYUBRYZZNJ-MRXNPFEDSA-N 412.511 4.613 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 499424505 VQCXVSJFEUNSFB-CYBMUJFWSA-N 423.332 4.887 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 500648215 SZMBQYOGRUNZMZ-BIENJYKASA-N 400.500 4.983 5 20 HJBD CC(=O)c1sc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])nc1-c1ccccc1 501422523 VKHWWVXDBLMCIC-UHFFFAOYSA-N 409.467 4.837 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509249275 WCPMUCSPIHMUBG-UHFFFAOYSA-N 421.881 4.716 5 20 HJBD C[C@@H](CC(=O)Nc1cc(C(F)(F)F)ccc1Cl)NCc1ccc([N+](=O)[O-])cc1 512116343 SPSCUQXTJUDCKB-NSHDSACASA-N 415.799 4.774 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1C[C@@H]1CCCO1 514898455 ISIOZGCJRKBONB-OYHNWAKOSA-N 419.437 4.600 5 20 HJBD Cc1cc(C(C)(C)C)cc(C)c1CCNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 522051312 NYJFXLHSQUSNOK-UHFFFAOYSA-N 421.497 4.735 5 20 HJBD CCS[C@@H]1CCC[C@H](NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 530903676 CHAFWWZZIWDALU-UONOGXRCSA-N 422.469 4.698 5 20 HJBD C[C@H]1C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C[C@@H](C)O1 534316570 MBMQSDNHCZFZJC-CLLJXQQHSA-N 409.280 4.663 5 20 HJBD Cc1cccc(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)c1[N+](=O)[O-] 534399566 NYLSESUEGVGGLR-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2Cn2cnc3ccccc32)cc1[N+](=O)[O-] 534462737 UJVYDBWMAJEJOC-QGZVFWFLSA-N 406.486 4.547 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)c1C 536264507 SZQIVFINMITWLS-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CN(C)c1ccc2cc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])ccc2n1 540545470 YGKRPKPYFXSXOY-UHFFFAOYSA-N 413.437 4.523 5 20 HJBD Cc1ccc(Sc2ccc(CNc3nnc([C@@H]4CCCO4)o3)cc2[N+](=O)[O-])cc1 540793176 QPNMMNLVOFLRDG-KRWDZBQOSA-N 412.471 4.901 5 20 HJBD CCc1ccc([C@H](NCc2cccc(N3CCCC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 541548867 ILPOEYRFMOAXIQ-XMMPIXPASA-N 419.481 4.756 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)cc1F 541685683 CGWUMKYWQMIBDY-CQSZACIVSA-N 414.437 4.730 5 20 HJBD COc1ccc(NC(=O)CCC(=O)c2cc(Cl)sc2Cl)cc1[N+](=O)[O-] 543000077 AAPHHZOEPNWZRE-UHFFFAOYSA-N 403.243 4.573 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1F 544422932 IACLEUNAFPFJLE-SECBINFHSA-N 424.310 4.545 5 20 HJBD Cc1ccc(-c2nc(C)sc2Cc2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1 544911208 WADBFQDKQYIZMA-UHFFFAOYSA-N 406.467 4.900 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 545850279 MWOWFQXIQBBTQO-ZDUSSCGKSA-N 418.409 4.683 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 545993955 LKCQFJSIZKYRRQ-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD COc1cc(OC)c(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1OC 546232864 NHVXQQOOWUOKBX-LLVKDONJSA-N 417.443 4.524 5 20 HJBD Cc1cccc(NC(=O)N2CCC[C@@H](c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)C2)c1 546281549 FXLYVIUNDQBXIJ-QGZVFWFLSA-N 421.457 4.673 5 20 HJBD Cc1ccc(Cc2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cn1 547065747 DFJURZQIQWCEHW-OAHLLOKOSA-N 416.441 4.507 5 20 HJBD Cc1c(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])oc2ccc(Br)cc12 552267938 PYDMUROCXCCVHZ-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 553716448 AFMLDOAALNCAEX-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Oc2ccc(F)cc2F)CC1 562109740 MMMGJAHYPWWFLE-UHFFFAOYSA-N 405.401 4.511 5 20 HJBD C[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Oc2cccnc2)cc1 567542476 JKKOWFKRTJMKQJ-OAHLLOKOSA-N 417.425 4.683 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)c1 571464698 CJOQOIOAKNWKMM-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1nc(-c2ccccc2F)cs1 574561555 MGZDTFKERXVNFP-UHFFFAOYSA-N 400.435 4.742 5 20 HJBD O=C(CCSc1cccc(Cl)c1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 581390906 JYSZZWZEPJMCQZ-UHFFFAOYSA-N 408.863 4.530 5 20 HJBD CCCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)[C@H]1CCc2ccccc21 603527975 XDPRHAYWCIUTQW-NRFANRHFSA-N 407.514 4.737 5 20 HJBD COc1ccc(OCCN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 603730771 BRPWDDJVNTUUQN-UHFFFAOYSA-N 422.437 4.547 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CO[C@@H]3CCC[C@@H](C)C3)c2)c(C)c1[N+](=O)[O-] 608806948 WVGDBDXXCHYABV-FOIQADDNSA-N 414.506 4.532 5 20 HJBD C[C@H](CN(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 608947933 ISIWXOBHOZPXRA-CYBMUJFWSA-N 412.433 4.968 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1cc(Cl)ccc1SC(C)C 609219325 YWNXVXSUGDCSIB-UHFFFAOYSA-N 416.908 4.558 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1c1nc2ccccc2s1 609783993 WWUWELNWXQNWJE-INIZCTEOSA-N 401.875 4.764 5 20 HJBD COc1cc(CN2CCS[C@H](C)[C@@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 609829419 JKIYQFIYWQSRHE-BFUOFWGJSA-N 424.469 4.883 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)N2CCc3c2cccc3[N+](=O)[O-])cs1 609974094 DIMOGFIWCIPGQN-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccc([N+](=O)[O-])s2)c2ccc(Cl)cc2)c1 610282184 KDGNVUNGIFERSI-SFHVURJKSA-N 422.915 4.915 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1cc(Br)c(F)cc1Cl 610585134 ATSGZELTLDBTBV-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccccc3)C3CCOCC3)cs2)c1 734510407 VHYHWKZPFVIJFX-NRFANRHFSA-N 409.511 4.976 5 20 HJBD CCc1ccc(OC(=O)c2sc(NC(=O)c3ccco3)cc2C)c([N+](=O)[O-])c1 734739498 FPLUYWQTIIDMLO-UHFFFAOYSA-N 400.412 4.592 5 20 HJBD CCCN(Cc1cc([N+](=O)[O-])ccc1Cl)C1CCN(C(=O)c2ccccc2)CC1 735490996 ZKUSUEJBFDSMOG-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD C[C@@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741834802 QHWPBAZHAAJOPA-GFCCVEGCSA-N 413.817 4.634 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 741913623 UPUQANBXSYJDPG-UHFFFAOYSA-N 420.208 4.841 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@]12CCCc1ccccc12 744156224 CTJFDKXVYAEVGW-OFNKIYASSA-N 404.422 4.587 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccccc2)no1 745567404 JAOXRGYUDOENNY-JTQLQIEISA-N 407.304 4.582 5 20 HJBD C[C@@H](OC(=O)CCCC1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 745600763 KAPVRFLRDVSEGU-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD O=C(O[C@@H](c1ccccn1)C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 747352922 ZSRDICCORALISV-AWEZNQCLSA-N 409.345 4.574 5 20 HJBD Cc1c(C(=O)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748714659 ICYDEIHAAKUCJD-INIZCTEOSA-N 422.441 4.621 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@H](O)c1ccco1 752271444 UOUUSLSENAADSV-SFHVURJKSA-N 415.833 4.609 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755422032 UZPNNTKUHFZNLN-AVRDEDQJSA-N 408.502 4.728 5 20 HJBD Cc1nc(COc2cccc(C(=O)NCc3cc([N+](=O)[O-])ccc3Cl)c2)cs1 756993306 QKPYPTAOLWDWIY-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1ccc(F)cc1[N+](=O)[O-] 758112598 FHWVUFWOQZNNHF-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccccc1Oc1cc(F)cc(F)c1 759354498 PISVQPJFKOAMJW-UHFFFAOYSA-N 424.363 4.654 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2ccc(Cl)cc2)o1 765034724 JMMGWBHZRDFPFD-AWEZNQCLSA-N 415.833 4.610 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CN(C(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 768231576 WBVHLLMVXQVKSD-INIZCTEOSA-N 413.474 4.522 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 769757454 ZJMKECBTTMEMAO-ZDUSSCGKSA-N 405.907 4.603 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CC1 772483421 USCCKPOYBVKKBC-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc2c1CCCN2C(=O)OC(C)(C)C 778282750 QPFJMPFTNKSEQV-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(OCc1cc(-c2ccco2)on1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781258091 NONGPZMWEVPJDX-UHFFFAOYSA-N 422.343 4.565 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1)OCc1ccccc1 784631041 MARZDVKXCSFWMV-UHFFFAOYSA-N 424.384 4.840 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 788568056 DPCYDBDJFINXGV-INIZCTEOSA-N 418.453 4.811 5 20 HJBD Cc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)nc(-c2ccc(Cl)cc2)n1 800144251 OVMHPSCVJXWSOS-UHFFFAOYSA-N 400.797 4.713 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1nccn1-c1ccccc1 803068350 GEUAAFOJTGVCQX-UONOGXRCSA-N 415.833 4.506 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809915185 TVXBZKHOEUNSKM-UHFFFAOYSA-N 414.849 5.000 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812830763 VDTBCTBTEBARSY-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD CC[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 813250671 QQZFAVPSROKGOY-CQSZACIVSA-N 413.257 4.800 5 20 HJBD Cc1c(CNc2ccc3c(c2)nc(C(F)(F)F)n3[C@@H](C)CCO)cccc1[N+](=O)[O-] 864039660 HLAQOZRUSSILFD-LBPRGKRZSA-N 422.407 4.827 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)ccc12 918400467 XMUBXSKYAYONFC-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)cc([N+](=O)[O-])c1 920901915 AKTPDGWJFSBTIB-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 1317990560 QWUSCROESDEZOO-CQSZACIVSA-N 423.425 4.557 5 20 HJBD CCN(c1ccccc1)c1ccc(CNC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1318834916 ABFFRDPKQMBEIM-UHFFFAOYSA-N 416.481 4.978 5 20 HJBD O=C(Nc1ccc(-n2cncn2)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 1321570095 OEZCYLZKCFHHTQ-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 11331812 OJVGUJCKDBOVHB-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)n1 15637482 ZDWKMENXNMHBLZ-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD Cc1ccccc1NC(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 22254255 FRASLUPJOXTEFW-OAHLLOKOSA-N 403.507 4.514 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2ncnc3sc4c(c23)CCC4)cccc1[N+](=O)[O-] 23405574 FLDFJECUWCJZCK-LLVKDONJSA-N 414.512 4.516 5 20 HJBD CCc1cc(N2CCC(Nc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64113881 GGSIQXXZBRYSLR-UHFFFAOYSA-N 403.486 4.695 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1ccccc1-c1ccccc1 109203679 QSTPEQHRDCTQPE-QGZVFWFLSA-N 422.506 4.938 5 20 HJBD CN(C(=O)Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc([N+](=O)[O-])cc1 115508979 NZTMDJVVACTAOO-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1)N1CCCCC1 301895407 YALTUSPTXXIWGY-UHFFFAOYSA-N 416.481 4.895 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1nc(C)cs1 303873520 XVPPNNDVYXUMSU-CQSZACIVSA-N 411.487 4.595 5 20 HJBD CC(C)(C)Oc1cc(N[C@@H](Cn2ccccc2=O)c2ccccc2)ccc1[N+](=O)[O-] 409529953 NJQUUWVSLZSXCL-IBGZPJMESA-N 407.470 4.787 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc3cc(Cl)ccc3n2Cc2ccccc2)cc1CO 428094271 VBJPHVHNIKOBFW-UHFFFAOYSA-N 423.856 4.718 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1ccc(Br)cc1[N+](=O)[O-] 430919973 SNQJGLNWSJXOKN-UHFFFAOYSA-N 413.312 4.607 5 20 HJBD Cn1c(Sc2cc(C(F)(F)F)ncc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 436267974 FUCLQBSOTXLDJS-UHFFFAOYSA-N 415.784 4.609 5 20 HJBD COc1ccc(OCCSCc2coc(-c3ccc(F)c(F)c3)n2)c([N+](=O)[O-])c1 437288539 QSNGQFNPKDCQJS-UHFFFAOYSA-N 422.409 4.849 5 20 HJBD O=C(NC[C@@H]1CCCC[C@@H]1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444106469 GOULKWVJQMMNTC-YOEHRIQHSA-N 402.416 4.780 5 20 HJBD CCC(CC)[C@H](CCNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)OC 444247354 LXIFKJVSWKQCLF-IBGZPJMESA-N 416.468 4.531 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444299238 TZYILWDCOSTPEU-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2c3ccccc3CCC[C@H]2C)cc1SC 462579424 PGNORRGSFIBRFU-ZUOKHONESA-N 400.500 4.769 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1F 464712899 SAFYAUJDUDWHEK-VIFPVBQESA-N 415.646 4.570 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc([N+](=O)[O-])cc1F 470620896 HLVLBOBHGMXHGI-MRVPVSSYSA-N 424.816 4.787 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 470772216 JDFPABFZTFFLFW-SJLPKXTDSA-N 408.376 4.572 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC1(c2ccccc2Cl)CCOCC1 475641078 QPSBMPMQCRPZGK-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD CCn1c(SCc2ccc(Cl)cc2OC)nnc1-c1ccc([N+](=O)[O-])cc1 475891337 HMRQZLMGQQHMCR-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Br 477939819 JIUAFPSHAMUEOJ-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD CCc1c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cnn1-c1ccccc1C 485323180 RVIJMYRYTYZPFS-UHFFFAOYSA-N 419.485 4.848 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1C 485857933 LJSBVCQVBAHJKS-UHFFFAOYSA-N 423.860 4.713 5 20 HJBD O=C(NCCSc1ccccc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486350825 NQZQCRFFBNOTFF-UHFFFAOYSA-N 408.479 4.696 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N(C)[C@@H](C)c2cc3ccccc3o2)cc1[N+](=O)[O-] 486594542 NJEVULCCNHITMX-LBPRGKRZSA-N 408.863 4.685 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 487078027 LTDKDYNKIJLSLG-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD COC(=O)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1 487387017 JUYMPUANUKQBIZ-UHFFFAOYSA-N 416.499 4.701 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)c2)no1 488276288 AQSSDSGVONPLPV-UHFFFAOYSA-N 412.471 4.954 5 20 HJBD CC(C)(C)OC(=O)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 490932203 KPGRXLIYBCKFKC-UHFFFAOYSA-N 408.813 4.524 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc(OC(F)F)c([N+](=O)[O-])c1 490946768 CVYIIUNMFBRZEX-ZDUSSCGKSA-N 418.318 4.662 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)[C@H]3OCCc4sccc43)o2)c([N+](=O)[O-])c1 492191401 ANHMUOLCCBHVKN-LRTDBIEQSA-N 414.483 4.717 5 20 HJBD CC[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccccc1OC(F)F 498809188 RJZOKQKICVJBSA-OAHLLOKOSA-N 405.401 4.652 5 20 HJBD COCC[C@H](N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1ccco1 502646018 DLPATWGUEYMPMU-SIKLNZKXSA-N 423.469 4.543 5 20 HJBD O=C(NC[C@H]1CCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 505714750 SKWOSEUXNDGNDN-NSHDSACASA-N 406.379 4.515 5 20 HJBD COc1cc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)ccc1O 506358283 WZVGPBUYOZLJBU-UHFFFAOYSA-N 402.834 4.854 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](C[S@@](C)=O)c2ccccc2)cc1[N+](=O)[O-] 508689393 GOISALACIZLZRO-IIMAJNMQSA-N 423.538 4.572 5 20 HJBD CC[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(OC)c(OC)c1 509596056 MOZOGDHKRMOEQF-LJQANCHMSA-N 415.490 4.683 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)CSc2cccc([N+](=O)[O-])c2)cc1 509648588 JDYDVESZHOWODL-HXUWFJFHSA-N 400.500 4.839 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccccc1[N+](=O)[O-] 511728583 ZEMKHATVEANKLL-KOMQPUFPSA-N 406.404 4.954 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 513571507 GEDDONHAICBNFM-LJQANCHMSA-N 407.495 4.874 5 20 HJBD O=C(Cc1ccc(-n2cccc2)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 514815309 LMFKSXYBHDEDIF-UHFFFAOYSA-N 404.451 4.690 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3[nH]2)cc1 515608955 MQWQRIDUDJBJJM-UHFFFAOYSA-N 402.410 4.546 5 20 HJBD CC1CCN(C(=O)c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)CC1 515872837 MSDPKIQXCDXTRX-UHFFFAOYSA-N 423.494 4.934 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@@H]2CCCC[C@H]12 516111466 UKRLWBIGVFYYFY-XNRPHZJLSA-N 400.397 4.577 5 20 HJBD COc1ccc(CSCc2cccc(C(=O)N3CCCCC3)c2)cc1[N+](=O)[O-] 517178126 QVUYYOMZGFLRBE-UHFFFAOYSA-N 400.500 4.663 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H]1CCc1ccccc1 520389930 YBFMCGCPPUFOSN-CRAIPNDOSA-N 402.878 4.639 5 20 HJBD C[C@@H](NC(=O)N[C@H](COc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 521697302 SFYSFRGYYMSUSY-VGOFRKELSA-N 405.454 4.775 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 522850995 CBBXMVDJTSQPGA-SFHVURJKSA-N 407.430 4.712 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCN(Cc2ccccc2)c2ccccc21 523144168 AITZYJYHBBGODF-UHFFFAOYSA-N 405.429 4.791 5 20 HJBD COC[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 524253988 FBCHGLKRYORKPA-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD COCCN(C(=O)[C@@H]1CCC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 525529571 GZIYNDSYRJMGDX-GDBMZVCRSA-N 403.504 4.524 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 530787052 SXSSHYIYRARPFX-SSEXGKCCSA-N 422.506 4.615 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NC2CCC(F)(F)CC2)c([N+](=O)[O-])c1 536389376 FZTZANFROXPABV-UHFFFAOYSA-N 418.396 4.602 5 20 HJBD CN(C(=O)Cc1ccccc1C(F)(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152739 OYQQCMKDXMXRSK-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@H]2c2nc3ccccc3s2)c1 537297486 LYBFBAAXGNNJAJ-KRWDZBQOSA-N 411.483 4.646 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccccc1N1CCCC1 540849400 WWCUKUGLICUCBA-UHFFFAOYSA-N 413.543 4.968 5 20 HJBD COc1cccc2c1CCN(C(=O)Nc1cccc(Oc3ccc([N+](=O)[O-])cc3)c1)C2 542955495 ANSZRJWHWRGZJB-UHFFFAOYSA-N 419.437 4.986 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 543854613 NHTLBYYNFUILLV-UHFFFAOYSA-N 418.375 4.728 5 20 HJBD C[C@@H](c1ccc(Br)cc1)c1noc(CNc2ccccc2[N+](=O)[O-])n1 546545150 FYIYHHCEXIVCGD-NSHDSACASA-N 403.236 4.504 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(C[C@H](c4ccccc4)C(F)(F)F)n3)c2c1 547197709 FWZYOTWCOKOKTB-MRXNPFEDSA-N 416.359 4.939 5 20 HJBD C[C@H]1CC(CCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C[C@H](C)O1 549336418 YYDGTPLULUAGKW-IRXDYDNUSA-N 411.502 4.530 5 20 HJBD NC(=O)c1ccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1N1CCCCC1 549808139 LQCUEUAEFCNKNX-UHFFFAOYSA-N 420.469 4.556 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1C(=O)CSc1ccccc1[N+](=O)[O-] 553221116 MVHPHCKNLKTQSZ-WBVHZDCISA-N 400.500 4.695 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])n1 553486845 LNDLUSGGBXPZBA-SNVBAGLBSA-N 403.266 4.655 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(-c2ccccn2)cc1 555014036 TXVOTRSGXXPCNF-UHFFFAOYSA-N 416.359 4.997 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1Br 555195547 GGVXOWDAXJXEHN-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(F)cc1)C1CCOCC1 564094647 YPDHKUOSUGMAOV-UHFFFAOYSA-N 401.438 4.509 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3c(c2)[C@@H](SC)C(=O)N3)no1 570884339 ANFSICXVBJEBGJ-FZKQIMNGSA-N 424.482 4.737 5 20 HJBD CC[C@@H](Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2)c1cccc([N+](=O)[O-])c1 573381451 GMTKDYXQNDHSOZ-OAHLLOKOSA-N 407.442 4.862 5 20 HJBD C[C@H](C(=O)N[C@H](C)CCc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 574971502 ZKZLTPITBDXTSW-KGLIPLIRSA-N 405.292 4.598 5 20 HJBD Cn1ccnc1[C@@H](NCC1(Cc2ccccc2)CCCC1)c1ccc([N+](=O)[O-])cc1 577543439 WPHLPXVXIXXIAB-QFIPXVFZSA-N 404.514 4.810 5 20 HJBD O=C1[C@H](Sc2ncc(-c3ccc(Cl)cc3)o2)CCN1c1cccc([N+](=O)[O-])c1 577642100 PLNSCPWGFXEENJ-QGZVFWFLSA-N 415.858 4.801 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1ccc(F)c2ccccc12 583492251 YNKMXKBZEBZRPY-AWEZNQCLSA-N 419.412 4.692 5 20 HJBD O=C(Nc1ccccc1Sc1ccccc1)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 590646442 HQMXOCOMTHHPAF-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD COCCCNC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603465546 MNQHPCGYZAYLDG-UHFFFAOYSA-N 414.405 4.531 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccc(C(F)(F)F)cc1)C1CC1 603954879 HKKRUZFXXFZDFV-UHFFFAOYSA-N 408.376 4.574 5 20 HJBD COc1ccc(CNC(=O)N[C@H](CCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 604078730 DBJULTXHVIGKPP-OAQYLSRUSA-N 419.481 4.777 5 20 HJBD Cc1occc1-c1nnc(Sc2ncc([N+](=O)[O-])cc2Cl)n1-c1ccccc1 609890707 KXCLPRBPRPWLRW-UHFFFAOYSA-N 413.846 4.944 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 609913072 VDKYVAHIKNAESZ-OAHLLOKOSA-N 422.460 4.967 5 20 HJBD CC(C)(C)c1ccccc1NC(=O)COc1ccc([N+](=O)[O-])cc1Br 609962466 FKZVJJJIDUBDHF-UHFFFAOYSA-N 407.264 4.672 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)[C@@H]2CCO[C@H]21 610162141 AMVBOOJZIOOTSI-VHKYSDTDSA-N 402.878 4.944 5 20 HJBD Cc1nc2ccccc2c(C)c1CC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610210175 XQNDGOGIAJPUPZ-QFIPXVFZSA-N 407.495 4.577 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(OCC2CCCCC2)CC1 610237038 SEHPUYAMIOUYDJ-OAHLLOKOSA-N 424.925 4.603 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccsc1 611200023 DAGJZUBSZZQRSH-GOSISDBHSA-N 419.572 4.724 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 732307011 KOOLWHHFKPVGJM-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H](C)c2cccnc2)n1 733394633 JRWJSLNTEIUPAR-LBPRGKRZSA-N 401.469 4.824 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 747321144 XGOYISNBHVMKQN-YPMHNXCESA-N 405.838 4.729 5 20 HJBD C[C@@H]1CC(=O)c2c(OC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc(F)c21 747629277 YEOXKGSREUSXNX-SNVBAGLBSA-N 412.398 4.767 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)ccc1F 750539394 CWUVALNGPOPSLS-JTQLQIEISA-N 405.769 4.664 5 20 HJBD O=C(OCCCO)c1c2c(nc3ccccc13)/C(=C/c1ccc([N+](=O)[O-])cc1)CCC2 752310652 UIHMWWXOUGTGBI-BMRADRMJSA-N 418.449 4.559 5 20 HJBD CCn1ccnc1[C@H](CCc1ccccc1)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 764017391 WSHSHVYKSRJBNS-UTKZUKDTSA-N 407.470 4.832 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@@H]2c2ccccc2)c1=S 764871583 WODBDIOIWFFIMS-LJQANCHMSA-N 409.515 4.804 5 20 HJBD O=C(O[C@H]1CCOC2(CCOCC2)C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765682919 IDZYKJYTDKBWEW-INIZCTEOSA-N 421.515 4.515 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC([C@H](O)c2ccccc2)CC1 775524909 IRJWIKHNQRKJDY-OAQYLSRUSA-N 414.527 4.681 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790293121 UMFZVBDBMDFNTR-UHFFFAOYSA-N 413.449 4.666 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc(C(F)(F)F)cnc1Cl 790879368 ZHBMDIDJGIEXQF-UHFFFAOYSA-N 401.772 4.713 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@H](C(=O)Nc1ccccc1)c1ccccc1 791357532 CVZRCYRKNHJSEH-NRFANRHFSA-N 422.462 4.610 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Br)c(OC(F)(F)F)c1 800802265 GISAOOQTFZMPAR-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc12 804551909 WQDVENOMKQZDAV-ZDUSSCGKSA-N 420.926 4.755 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C(=O)c1ccc(F)cc1F 811373706 KVPFPBFRHAZBGD-ZETCQYMHSA-N 404.152 4.608 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cn3cnc4ccccc43)no2)ccc1Nc1ccccc1 904736906 HEHGZTKIKOQUCO-UHFFFAOYSA-N 412.409 4.786 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC(Cc2c(F)cccc2Cl)C1 1253393615 ROCPYJQWNSVBKD-UHFFFAOYSA-N 416.758 4.721 5 20 HJBD CC1CCN(C[C@@H](C)c2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)CC1 1329074667 MGSGYWWELSMVLQ-CQSZACIVSA-N 413.503 4.604 5 20 HJBD CCOc1cc(CSCc2nnc(-c3ccc(Cl)cc3)n2C)ccc1[N+](=O)[O-] 1343633412 DYDBFAZVBHDCNE-UHFFFAOYSA-N 418.906 4.876 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2)c1 5020704 HCMKMIUXMWNZPM-IBGZPJMESA-N 410.451 4.810 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(Cl)s2)cs1 7181393 DJDYPECWDCZMSQ-UHFFFAOYSA-N 408.892 4.752 5 20 HJBD COc1ccc(/C=C2\N=C(C(C)(C)C)OC2=O)cc1OCc1ccc([N+](=O)[O-])cc1 14177585 KOJUGKLQDKVTKJ-BOPFTXTBSA-N 410.426 4.525 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2cccc(F)c2)nc2cc3ccccc3cc2c1=O 18467440 JQAOIKMPLDZICG-CQSZACIVSA-N 421.497 4.828 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc4ccccc34)cc2)c(C)c1[N+](=O)[O-] 19677072 YIGIAZVSYLMUTQ-UHFFFAOYSA-N 400.438 4.862 5 20 HJBD CC[C@@H]1CCCCN1C(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1CC 22178312 AIWZAINCHVFEJG-QFBILLFUSA-N 423.582 4.841 5 20 HJBD Cc1ccc(NC(=O)CSc2ccccc2C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 57561746 PFOSLEBCFPNVDT-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)NCc3ccc([N+](=O)[O-])cc3)cccc2c1=O 59785923 MDCJBHWNNFOLID-UHFFFAOYSA-N 414.417 4.607 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1OC 64322084 GPWBVPCVPMYQMT-UHFFFAOYSA-N 407.426 4.632 5 20 HJBD CCc1cc(N2C[C@H](c3ccsc3)O[C@H](C)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301615274 BFJZSLGMAPNGTK-AUUYWEPGSA-N 410.499 4.642 5 20 HJBD COc1cc(NCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])ccc1Cl 432701471 ZUIDEICQXVOSBK-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD O=C(N[C@H]1CCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436140100 PZSZBDHKIQMSDH-IBGZPJMESA-N 408.379 4.516 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438014285 BZPKLTHXOAJTHC-KRWDZBQOSA-N 401.463 4.645 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c(C)c1 438842823 SYQMHSILQYEZKX-CQSZACIVSA-N 401.488 4.679 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 443901470 QEUMRPISZPMUOZ-PXAZEXFGSA-N 410.417 4.932 5 20 HJBD CCOC(C)(C)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 444376784 ULRCZDXOCVLCDH-UHFFFAOYSA-N 422.865 4.594 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(Br)s1 462387932 IXJOJEJZUWQWNC-ZDUSSCGKSA-N 411.321 4.646 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)cc1OC 462435663 IWNQKADVZKJPNL-MRXNPFEDSA-N 420.893 4.541 5 20 HJBD C[C@H](Sc1nnc(-c2cccs2)n1C[C@@H]1CCCO1)c1ccccc1[N+](=O)[O-] 463047130 FNDRXEUNRCTSLO-KBPBESRZSA-N 416.528 4.947 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463139960 JKHYCLIPVJLCLO-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CC[C@H](CSc2ccccc2)C1 465990674 PJANVNJOCYDPQL-IBGZPJMESA-N 413.543 4.741 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 466229960 LEWZAVBTFRSVAP-GFCCVEGCSA-N 416.890 4.932 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)n1 467964764 LISABYJXJWTSKS-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCSc3ccncc32)cc1[N+](=O)[O-] 469525130 JDEVKMXENNYNLW-UHFFFAOYSA-N 403.529 4.881 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc2cc[nH]c2c1 473557071 WBWRSVCTVJQKBS-UHFFFAOYSA-N 403.388 4.717 5 20 HJBD Cc1nc(COc2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)cs1 486135141 OFAADLDWGKMEGV-UHFFFAOYSA-N 423.494 4.744 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(CC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 486136408 STXRYHAAGQEIHJ-UHFFFAOYSA-N 423.513 4.703 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OC[C@@H]1CCCCO1 488372718 AIIRSZUKEJKVGP-INIZCTEOSA-N 416.499 4.907 5 20 HJBD C[C@@H]1CN(c2ncnc3cccc([N+](=O)[O-])c23)C[C@H](c2cccc(C(F)(F)F)c2)O1 488712164 JMBSUSHSJYMHQP-SJKOYZFVSA-N 418.375 4.523 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489441462 DUTFJFRCIKDPRS-AGHHOFFYSA-N 411.502 4.740 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 489486293 NFYHEFZKJQEEEX-GFCCVEGCSA-N 423.282 4.521 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(CCc2ccccc2)CC1 494016178 JNVRPFYBTLJSFJ-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 496556714 TVBFDPKHQNBEIE-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD O=C(N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])c2cccnc12 498185699 KYDLLOXPFPSLAF-QGZVFWFLSA-N 413.380 4.552 5 20 HJBD Cc1ccc([C@H](OC[C@H](O)CN(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 501877711 ZKEVKICXMHOHIV-ILBGXUMGSA-N 420.509 4.502 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cs2)cc1 501940140 RBZGDHIPJQPMSN-UHFFFAOYSA-N 409.467 4.708 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)c2)cc1 502427429 PGFDOZNDWFYLEM-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCc3nc(-c4cccc([N+](=O)[O-])c4)no3)c2C)cc1 504985363 FTWXRSYJIFBQQE-UHFFFAOYSA-N 417.469 4.600 5 20 HJBD CC(C)n1ncc2c(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)cc(-c3ccco3)nc21 505019034 QRWWADDFSRPYRZ-UHFFFAOYSA-N 416.397 4.897 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2/C=C/c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 505372270 IKKOPYARGBQXJB-FEAKQIBJSA-N 418.396 4.523 5 20 HJBD C[C@@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)cc1F 507560215 MCPRQVBOEXQFSR-LBPRGKRZSA-N 416.346 4.958 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])c(OC)c2)n1 508679157 LSJFTRMULQNJAO-MRXNPFEDSA-N 417.849 4.865 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc3oc(C4CC4)nc3c2)cc1[N+](=O)[O-] 509997130 VTIPXJYSVDOFNJ-UHFFFAOYSA-N 416.231 4.937 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(F)(F)F)C2CCCC2)cc1[N+](=O)[O-] 511275161 CXSBYLVQXGCNIH-UHFFFAOYSA-N 409.202 4.613 5 20 HJBD Cc1ccc(-n2nc(C)c(NC(=O)CSCc3ccc([N+](=O)[O-])cc3)c2C)cc1 513814830 IDJUALWLJOMPBP-UHFFFAOYSA-N 410.499 4.578 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c12 514431384 MBZROALTEHSFOZ-UHFFFAOYSA-N 420.469 4.800 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1F)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 516995554 BBAXMOOVNCIETR-UHFFFAOYSA-N 419.372 4.564 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cs1 521080363 SQNHNZAKACAUIQ-ZNMIVQPWSA-N 416.547 4.587 5 20 HJBD Cc1cc(S(=O)(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 522415889 OKXCOYPLBMQDMA-LLVKDONJSA-N 403.287 4.592 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCSC[C@@H]1c1ccc(Cl)cc1 523913939 JJJSRUAFFJTSPD-MRXNPFEDSA-N 407.879 4.579 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc2cc(Cl)ccc2s1 531273624 OPUZFIKDZATUKQ-LLVKDONJSA-N 421.931 4.697 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)n1[C@@H]1CCC[C@H](C)C1 535337795 WRAXXKMBFWGCTN-WCQYABFASA-N 423.926 4.630 5 20 HJBD O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Oc2ccccc2Cl)nc1 535457896 CAVHGDOLPPHBKV-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cccc(C)c2[N+](=O)[O-])cs1 535884641 HVFXICSJNHVFKS-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD COc1ccc(Cc2nnc(Sc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)o2)cc1 535991439 AUPJZICLVCIVTJ-UHFFFAOYSA-N 411.361 4.747 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1c(F)cccc1F 536303654 AEKSTNHTAAJKRF-LLVKDONJSA-N 419.409 4.788 5 20 HJBD CC[C@@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 536877585 PIYICIQNGWLHMF-SJKOYZFVSA-N 421.291 4.930 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@@H]1c1ccccc1 537135418 YYANDMHDSGDAKF-LAUBAEHRSA-N 414.527 4.993 5 20 HJBD Cc1ccc(C)c(NC(=O)Cc2nc(CN[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)c1 538180070 RLDQKVLRXBEEEY-MRXNPFEDSA-N 424.526 4.700 5 20 HJBD CCc1ccc([C@@H](NCc2ccc3c(c2)OCCCO3)c2ccc([N+](=O)[O-])cc2)o1 541543412 IYQAZFUUTLQKTM-QHCPKHFHSA-N 408.454 4.791 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 543891249 OKCGBLLGCZHREI-SFHVURJKSA-N 401.419 4.715 5 20 HJBD CC(C)Oc1cc(-c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)ccn1 544662802 IRAYTMCRQXWMIG-UHFFFAOYSA-N 409.427 4.618 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2csc(Cc3ccc(F)cc3)n2)n1 544738872 AKDMIKUNFBSCQW-UHFFFAOYSA-N 410.430 4.730 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2OC(F)F)cc1OC 546524093 ICWWCLGVLWYXIT-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)c1)c1ccccc1F 546979184 IAFIQMYWHYAGSF-UHFFFAOYSA-N 422.347 4.842 5 20 HJBD COc1ccc2c(c1)CCCN(C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C2 548444136 AWVKXMIGBNOWNE-UHFFFAOYSA-N 409.364 4.603 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551013248 FYULWEWFOKKVPF-JTQLQIEISA-N 420.309 4.993 5 20 HJBD CCn1c([C@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 551057482 ZEXHDSKILQSUBE-OAQYLSRUSA-N 417.469 4.957 5 20 HJBD CCc1[nH]c(-c2ccc(Cl)cc2)nc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 553061126 VHBIAHBYMDVHIO-UHFFFAOYSA-N 412.877 4.515 5 20 HJBD CCOc1ccc2nc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])sc2c1 553259791 IJRIIDHOFFUWIC-UHFFFAOYSA-N 420.450 4.918 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 559361504 GHQKKLVKMHFNKQ-IERDGZPVSA-N 404.470 4.768 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccc(O)nn1 560542658 DPTOMUPIRWPCSJ-NSHDSACASA-N 418.812 4.526 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(C)[C@@H](C)c1ccc(Cl)cc1Cl 564464120 TXEHKJCGIWHBBK-NSHDSACASA-N 424.284 4.622 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)[C@@H]1C[C@H]1C 573716206 RSIHATFELMJGOG-KZULUSFZSA-N 409.467 4.823 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1cccc2ccccc12 578098058 AXQAHYDDYPWOJJ-GOSISDBHSA-N 424.478 4.504 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 582986331 QZKKHXYOPWCRNR-SFHVURJKSA-N 413.232 4.821 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCc2sc(Cl)cc21 584084802 FFUTXDXSXLSHGR-KWQFWETISA-N 401.271 4.534 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)c1ccc(Br)cc1[N+](=O)[O-] 584128055 BTMIVMXOFHXZAN-UHFFFAOYSA-N 417.712 4.825 5 20 HJBD O=[N+]([O-])c1ccccc1OCCN[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 584485108 RWXQQARXIRRVTG-HXUWFJFHSA-N 424.419 4.750 5 20 HJBD CCc1nn(C)c(Oc2ccc(-n3c(C)nnc3-c3ccccc3C)cc2)c1[N+](=O)[O-] 588820579 KNSZSOSUFPMXOQ-UHFFFAOYSA-N 418.457 4.548 5 20 HJBD CC[C@@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(Cl)c1 603568733 MSHVNVPFIUAOJE-MRXNPFEDSA-N 408.907 4.758 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2CCN3CCC[C@H]3C2)cc1 603682254 TUQRSDUPLOPYKN-ROUUACIJSA-N 401.894 4.757 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2nc(-c3ccccc3)c3ccccc3n2)c1 603859845 AWVRJZKNRXXHNT-UHFFFAOYSA-N 401.378 4.784 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 603979631 PLQSFQYUKSJSET-UHFFFAOYSA-N 422.485 4.806 5 20 HJBD COc1c(F)cc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1F 604016960 VAFXDHGZIWHSHV-CHWSQXEVSA-N 419.428 4.616 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nc2ccccc2o1 609216037 YRBAMJFJRWQWAF-UHFFFAOYSA-N 402.410 4.625 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)c2C)cc1 609859146 LNGHAWONXVUSJT-UHFFFAOYSA-N 404.470 4.987 5 20 HJBD COc1cc(C(=O)NCCCSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609863545 COJHNNCGCYYGKP-UHFFFAOYSA-N 410.879 4.532 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610045820 SSOBINBEWMXUMQ-QGZVFWFLSA-N 421.316 4.596 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cc(F)ccc3c2Cl)c(C)c([N+](=O)[O-])c1 616934579 ZVGOVLWWKGUGJZ-UHFFFAOYSA-N 422.821 4.949 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@@H]3c3cccc(Br)c3)cc2N1 725788486 VWSOUHJPLVDCMA-MRXNPFEDSA-N 416.275 4.584 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2c1 730474766 QXZCGAHNUKWOLP-UHFFFAOYSA-N 412.449 4.786 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Oc3ccc(C)cn3)cc2)c([N+](=O)[O-])cc1OC 733235238 BHLMKPLJHUHNDI-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 735645487 LDPRSCRGTLOGPC-UHFFFAOYSA-N 419.802 4.808 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nc(C)n(-c2ccccc2C(C)C)n1 735821544 MHTUIZGFOXHDLY-UHFFFAOYSA-N 413.865 4.821 5 20 HJBD C[C@@H](CC(=O)c1cccc(Br)c1)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 745225850 KALAUGVDVRKWDP-STQMWFEESA-N 420.259 4.871 5 20 HJBD O=C(NCc1ccc(Cl)cc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749408283 GHCLFWSFFOUWEA-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c2cc(Br)ccc2n1 751065323 VEPGKDGXZSHDOG-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])ccc1Br 751076609 IPZTUTLDQGOVEV-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@H](C[C@H](O)c1cccs1)NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 752286137 KMEHBVIZYTWJDH-KUHUBIRLSA-N 411.483 4.642 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2ccc(-c3nc(C)c(C)o3)cc2)cc1[N+](=O)[O-] 753067184 YZICZDNJSCJYNY-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3nc4ccccc4n3C)cc2)c1[N+](=O)[O-] 753100662 SYGNKKFLBBRDFD-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD C[C@@H]1c2ccc(F)cc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755029718 VWULKXPNQMAFQK-SNVBAGLBSA-N 408.227 4.648 5 20 HJBD C[C@H](C(=O)N1CCCCC[C@H]1C[C@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755520722 ODNQUHZLEPSGQU-ZJBJCVSYSA-N 414.477 4.732 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)c1 768335968 CWGBSMLREOXVNK-UHFFFAOYSA-N 410.455 4.791 5 20 HJBD C[C@@H](Oc1ccccc1)c1nc2ccccc2n1C[C@@H](O)c1ccc([N+](=O)[O-])cc1 776277212 PTWSBBRZRHFRIV-OPAMFIHVSA-N 403.438 4.818 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(O[C@H]3CCCC[C@H]3O)cc2)cc1[N+](=O)[O-] 777592717 UGRDYDNNFCZFQM-GNSUPJDDSA-N 400.475 4.849 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@@H](CCc3ccccc3)C(F)(F)F)CC2)cc1 779178795 SNLVDQUUGCVEIB-FQEVSTJZSA-N 407.436 4.717 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H]1/C=C\c1ccccc1 781045639 HEZYTMQDESKUFO-WHLNPGIBSA-N 419.250 4.814 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1cccc([N+](=O)[O-])c1Br 782124842 WMMORRDXFBENNY-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c2)o1 797372457 ZVVGUFZJEIXNIK-UHFFFAOYSA-N 413.817 4.702 5 20 HJBD Cc1nc(-c2ccc(C(=O)O[C@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)cc2)cs1 799616684 GAJRCYMXKMOGRS-MSOLQXFVSA-N 412.471 4.564 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3nc4ccc([N+](=O)[O-])cc4s3)CC2)cc1 812483207 XLCBTBBUZOPOJJ-FQEVSTJZSA-N 413.499 4.553 5 20 HJBD CN(C)c1ccc2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2n1 812930320 LBKFHHCSXZIZMH-UHFFFAOYSA-N 405.241 4.768 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817857004 LGNVLHQXSPYTSL-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD CCCn1c(SCc2cc(F)ccc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 915791423 HSFOOUQCSPPVKS-UHFFFAOYSA-N 407.854 4.800 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 916086082 QNPYHIRUQRKJLA-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD Cc1ccc(OC(=O)CSc2nc(C)nc3sc(C)c(C)c23)c([N+](=O)[O-])c1 918706323 SPDIYFFHRIWJHO-UHFFFAOYSA-N 403.485 4.531 5 20 HJBD CCC/C=C\C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 919241881 DIBQXNRCTMCGON-AJWDXOCTSA-N 402.834 4.828 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)c(Cl)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 1116249781 IEEBGJVZQPWXPJ-UHFFFAOYSA-N 414.893 4.829 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1321805298 ZIZXEJXDWPVHBI-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD COc1cc(CCNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)ccc1O 1321844589 LGPXMVJUCSIRSJ-UHFFFAOYSA-N 402.834 4.555 5 20 HJBD COc1cccc(N2CCC(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)c1 1324622697 IBRVYSAKKZDTIA-UHFFFAOYSA-N 410.301 4.669 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 10964152 RZUQOSRZRNOJIM-UHFFFAOYSA-N 408.863 4.530 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1)c1cc2ccccc2o1 24708739 GDPARROHUUIKCQ-QHCPKHFHSA-N 402.406 4.626 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc2ccccc12 64694380 PCUBBAOVWZNYBB-HNNXBMFYSA-N 400.438 4.640 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)c1 147273713 HUXTVZZTAFPQBJ-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)ccc21 209855308 FACOSIBSTWHERI-JYRVWZFOSA-N 423.469 4.934 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccncc3)n2-c2ccccc2)c([N+](=O)[O-])c1 301083611 KKKMTQOXXORLEK-UHFFFAOYSA-N 417.450 4.591 5 20 HJBD O=C1CCCN1C[C@H](Nc1ccc([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 301413037 LAKMCASGVPRXMI-KRWDZBQOSA-N 411.355 4.528 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(OC(F)F)cc3)n2)cc1[N+](=O)[O-] 302921375 MIRFSGQPDCJKRZ-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccc(CSCC(=O)N2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)cc1[N+](=O)[O-] 303904947 LSWFQBBWVIQWHL-MDZRGWNJSA-N 414.527 4.630 5 20 HJBD Cc1nc2cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])ccc2n1Cc1ccsc1 409571681 OZLHVPPWSGBPTA-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD CCCN(CCc1cccs1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426262868 HQSIUNRSMRXBEM-UHFFFAOYSA-N 415.437 4.839 5 20 HJBD CCOC(=O)c1c(CNC(C)(C)c2ccccc2[N+](=O)[O-])nc2ccccc2c1C 429264218 BQSJPFPTMALZEM-UHFFFAOYSA-N 407.470 4.653 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)c1[N+](=O)[O-] 435552867 XOHDWBLRFKQPTJ-QGZVFWFLSA-N 402.859 4.838 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 436442758 DFTCSTNUIZTSDV-INIZCTEOSA-N 404.747 4.740 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816591 RROJEYLXHATQSO-BZNIZROVSA-N 409.364 4.950 5 20 HJBD Cc1c(CC(=O)Nc2ccc(OCc3ccccn3)c(Cl)c2)cccc1[N+](=O)[O-] 439120978 SZNCHNAMAKWZMU-UHFFFAOYSA-N 411.845 4.712 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3c4ccccc4OC[C@@H]3C)cc2[N+](=O)[O-])C1 443956725 DHLVPFYYKGSAKT-BBWFWOEESA-N 409.486 4.505 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1 446482938 YBWPLGZWSWKRKW-IFXJQAMLSA-N 420.872 4.510 5 20 HJBD Cc1ccc(COc2ccc(CNCc3nc4ccc([N+](=O)[O-])cc4[nH]3)cc2)cc1 446834076 VMNPNJFDTKLJOF-UHFFFAOYSA-N 402.454 4.648 5 20 HJBD Cc1csc(CCCCNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])n1 447681202 WYMMETBUCRHLOL-UHFFFAOYSA-N 410.368 4.844 5 20 HJBD CN(Cc1ccccc1NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccccc1 447704856 TZCIESRGEMTBPE-UHFFFAOYSA-N 407.495 4.962 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1cccnc1 463957510 IBSWIFLLWAYDEG-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD CN(CC(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1)c1ccccc1[N+](=O)[O-] 464170189 VLJWKQHRAYVKDY-UHFFFAOYSA-N 412.833 4.510 5 20 HJBD COc1ccc(C2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 465386268 IXNFPHWQKTVAGM-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 467083624 XOPZPYPIKYGNHL-UHFFFAOYSA-N 410.348 4.776 5 20 HJBD COc1ccc(-c2ccc(CN3CCSC[C@H]3c3nccs3)o2)c([N+](=O)[O-])c1 473061895 AZJJYQHUQMXEGQ-KRWDZBQOSA-N 417.512 4.610 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@@H]2[C@@H]2CCCC2=O)cc1[N+](=O)[O-] 478384503 LTCDQHLINGURQD-DLBZAZTESA-N 404.532 4.707 5 20 HJBD Cc1c(CN[C@H](c2ccccc2)c2nc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 479466899 WTYGCUGRQXCOGC-OAQYLSRUSA-N 400.438 4.832 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 483256330 CMLVYPZBAMUYNZ-CQSZACIVSA-N 409.191 4.744 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484364147 RMGBGFQPTJGUNC-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)CCc2ccc(Br)cc2)c1[N+](=O)[O-] 486252236 JDXMGMKHKUDQLQ-LBPRGKRZSA-N 423.332 4.830 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OCc3ccccn3)c(F)c2)c1[N+](=O)[O-] 486423625 HKDSWOWDXTYJLT-UHFFFAOYSA-N 413.430 4.682 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(COC)cccc2s1 487449097 VPUZYTFCIJOPLB-UHFFFAOYSA-N 403.485 4.715 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1Cl 493081071 PZZZRDJXZYWKOP-ZDUSSCGKSA-N 418.881 4.579 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2 502099843 MURVGIDHKLCBBT-QHCPKHFHSA-N 417.465 4.509 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 502278701 IIYOXQKXWZEETP-YLJYHZDGSA-N 423.494 4.616 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] 510026432 AJNLYDUZSLCZCV-HNNXBMFYSA-N 402.472 4.517 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccccc2Cl)o1)c1ccccc1[N+](=O)[O-] 514611603 NLFMHBRFAWZFLW-UHFFFAOYSA-N 413.861 4.887 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]1c1cccc2ccccc12 518891785 HLVFDKVXTPBUIZ-JOCHJYFZSA-N 413.433 4.979 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)CCCNc2ccc([N+](=O)[O-])cc2)s1 519059839 XBIMMCKZRDJLFC-UHFFFAOYSA-N 413.499 4.979 5 20 HJBD C[C@@H](Cc1ccncc1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 530674001 GOKKVJMCWDKVHB-ZDUSSCGKSA-N 416.275 4.733 5 20 HJBD Cc1ccc(OC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 533434021 DGCMXIHKCXEZJT-MRXNPFEDSA-N 408.458 4.558 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534989837 CEGXZIFRXQAXJX-LLVKDONJSA-N 402.248 4.672 5 20 HJBD O=C(CN[C@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 538176432 KXOCPDMDHANSTE-OAHLLOKOSA-N 419.762 4.609 5 20 HJBD C[C@@H](NCC1(c2cccc(Br)c2)CCOCC1)c1cccc([N+](=O)[O-])c1 540192142 AJSJNIFDSKYBHG-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD COc1ccc(NC(=O)c2cn(-c3ccccc3)nc2-c2ccc(C)o2)cc1[N+](=O)[O-] 542001003 UKWBQIHQIHGFED-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD CCOc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(C(F)(F)F)c1 542540847 RUPPNEDQSCDWSD-JTQLQIEISA-N 400.328 4.894 5 20 HJBD Cc1nc(COc2cccc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)c2)cs1 545046304 FTUCJIVPJQHHHF-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)s1 545153942 ABNXXLICUVLVCX-UHFFFAOYSA-N 406.464 4.539 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3coc(-c4cccs4)n3)no2)cc1 546297779 CTVDEMFOZNVJDI-UHFFFAOYSA-N 400.441 4.577 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C)cc1[N+](=O)[O-] 558226527 IDXBMJOSFKLXDE-CYBMUJFWSA-N 413.865 4.933 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2CF)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 564749748 LIJRDVPRNFTPAV-CQSZACIVSA-N 408.813 4.623 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@]2(CCCO2)[C@H]1C1CC1 568908995 LGKLLZHBQWIPOD-IFMALSPDSA-N 410.495 4.530 5 20 HJBD COc1c(-c2nc(-c3ccccc3OCc3ccccc3)no2)cccc1[N+](=O)[O-] 570483493 DEQJXFZMMINZKD-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=[N+]([O-])c1cnn(CCc2nc(C3(c4cc(Cl)ccc4Cl)CCCC3)no2)c1 571482831 XJYFSTPRTHJIGX-UHFFFAOYSA-N 422.272 4.584 5 20 HJBD CCc1c(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cnn1CCC(C)C 578469171 XKWDJUQVUCUBTR-UHFFFAOYSA-N 408.462 4.522 5 20 HJBD CN1CCC(Oc2ccc(CN[C@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)cc2)CC1 579504349 XSYUUXOCETVKRD-QHCPKHFHSA-N 409.530 4.580 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ccncc2)c(C)c1 584671587 ANSRGCYNQBUBTR-UHFFFAOYSA-N 423.494 4.956 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(OC(F)F)c1 603761293 CYNZJEDWJVCAQJ-UHFFFAOYSA-N 419.306 4.656 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1cccnc1 608879959 JDJWLLORHMITQM-SFHVURJKSA-N 421.522 4.742 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(C)C)cc1[N+](=O)[O-] 609011683 NDFVRUQYZGGZML-LJQANCHMSA-N 410.392 4.678 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccc3c(c2)CCO3)C2CCCC2)c1 609065063 ZZZNFCDPDQRUSC-UHFFFAOYSA-N 412.511 4.837 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 609304210 MZKMVHZHDUTVFY-VBKZILBWSA-N 420.444 4.719 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCc2ccc(O)cc2C1 609780774 BIVLOKVOVCMLAH-UHFFFAOYSA-N 405.410 4.683 5 20 HJBD C[C@H](C[C@@H]1CCOC1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610741016 IYKDPNDVVHZDJY-KGLIPLIRSA-N 404.850 4.585 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3ccccc3[N+](=O)[O-])sc2c1 729729750 ZRAQKGPXWHGAMV-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 732963975 QKNWHPWQBZKPGK-KOESHJNESA-N 423.419 4.919 5 20 HJBD CC1CCC(=CC(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 734203977 IELMRBRLQCTESH-KIYNQFGBSA-N 414.380 4.620 5 20 HJBD Cn1c(-c2ccc(Cl)cc2)nn(CN2CCCc3ccc([N+](=O)[O-])cc32)c1=S 735919276 YTQPUCOETOGORK-UHFFFAOYSA-N 415.906 4.590 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cc([N+](=O)[O-])ccc1Cl 748198096 ITFVBEXKTVDTNF-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3ccncc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748639087 UJIJFXHJNLMKPI-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1cccs1)c1cccc([N+](=O)[O-])c1 762028674 XPFFXOCIRCAYCY-OXJNMPFZSA-N 410.495 4.522 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2n1C 763195069 KTCBUJHHMWYLDI-RYUDHWBXSA-N 421.375 4.908 5 20 HJBD Cc1ccc(NC(=O)c2cccc(OC(=O)c3cc(F)c([N+](=O)[O-])cc3F)c2)cc1 763442451 RKUMJNDSVBLGRN-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD CCOc1ccccc1[C@H](CC)N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775088303 UJEWYMFUBYWVQB-GUYCJALGSA-N 405.882 4.715 5 20 HJBD C[C@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 775423736 QNBCFFYYEVLAJH-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C2CC2)cs1 781181977 FEZIZUONFVEEFI-JTQLQIEISA-N 401.469 4.970 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Cc2ccccc2F)CC1 786640778 WJXYZYDBUQDLIB-OAQYLSRUSA-N 406.413 4.784 5 20 HJBD COc1cc(C(=O)O[C@H](C)C(=O)Nc2c(C)cccc2C(C)C)cc([N+](=O)[O-])c1C 791687436 GAWJXLLDIUYLNQ-OAHLLOKOSA-N 414.458 4.528 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)c1 793372664 XXDARZZAMKWABO-HNNXBMFYSA-N 409.191 4.744 5 20 HJBD CC[C@@H]1CCCC[C@H]1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796323125 DTYOUUXHHUNZAP-OUCADQQQSA-N 416.396 4.700 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](c2ccccc2)C2CCOCC2)ccc1Br 801530794 HHQUSESSKDYXQV-IBGZPJMESA-N 405.292 4.615 5 20 HJBD Cc1ccc(-c2csc(COC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 803358507 MZCKZWISJJKFTH-UHFFFAOYSA-N 421.434 4.638 5 20 HJBD CC(=O)c1ccc(OCCC(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811073052 GLCHZYUUMLBJQT-UHFFFAOYSA-N 412.225 4.617 5 20 HJBD O=C(NC[C@@H](c1cccs1)N1CCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813380271 XSCPKOBLHOIYML-AWEZNQCLSA-N 414.314 4.530 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1cccc([N+](=O)[O-])c1Cl 816898094 STDDBGAZMDJLCN-NSHDSACASA-N 411.683 4.762 5 20 HJBD CCc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3cccc([N+](=O)[O-])c3)s2)cc1 915112714 IENHEKHJMQSWKV-LFIBNONCSA-N 414.874 4.998 5 20 HJBD COc1cc(C(=O)Oc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 916561384 SVEGDONYAJQFNL-UHFFFAOYSA-N 405.712 4.503 5 20 HJBD CCCCCNC(=O)C1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 917063953 YDBQBSNLHCSMLP-UHFFFAOYSA-N 421.847 4.790 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ccc(Cl)c2cccnc12 920779208 VFVJSODIZJPBTK-NSHDSACASA-N 421.236 4.961 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)c1 1118125806 ZMTQEDGITBTDEW-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])cc1)c1csc(Cc2ccc(Cl)cc2)n1 1251320677 QJOMLFCWAWMOGV-UHFFFAOYSA-N 418.858 4.531 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc3c(cc2[N+](=O)[O-])OCCO3)o1 1257826855 CVEIXWRGRGRJSD-UHFFFAOYSA-N 422.422 4.515 5 20 HJBD COc1ccc(CSCc2nnc(COc3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 1328134047 FRFXOMXFHZPLAP-UHFFFAOYSA-N 421.862 4.652 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2c[nH]c3ccccc23)cs1 14711144 FLMCQYXTBQHJPE-UHFFFAOYSA-N 410.480 4.930 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2)c1 16203054 ULSLRYTXLFYDTF-UHFFFAOYSA-N 423.498 4.554 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(Cl)c1 25374806 UBNZFUAGSHCCSG-NSHDSACASA-N 418.858 4.955 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)c1 50866642 WIEXJHYRKOEPMY-JXFKEZNVSA-N 400.500 4.557 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(F)c3)cc2)c([N+](=O)[O-])cc1OC 55949615 SZLDDLUMEZAGBR-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1cccs1)N(CCc1ccc([N+](=O)[O-])cc1)CC2 59384439 QVAGUEUVPNXTCY-QHCPKHFHSA-N 424.522 4.864 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 72016723 KEKAHUTVWQFGCE-AWEZNQCLSA-N 421.413 4.632 5 20 HJBD CC(C)n1ncc2c(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)cc(-c3ccccc3)nc21 115441587 KXGRRKQEOOBVMI-UHFFFAOYSA-N 415.453 4.864 5 20 HJBD COc1cc(C(=O)NC2(c3ccc(F)cc3)CCCC2)c([N+](=O)[O-])cc1OC(F)F 115932675 IDUXHHXZYARKPL-UHFFFAOYSA-N 424.375 4.543 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)C(=O)Nc1ccccc1 237405829 FKDBDOROPGYQBL-OAHLLOKOSA-N 409.417 4.643 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1cccc(CN2CCCCCC2)c1 301396847 GPMXHDYDCOZJHV-UHFFFAOYSA-N 424.501 4.540 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 303034901 UWMXONWYAOMNBX-UHFFFAOYSA-N 411.321 4.989 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCCCCSc1ccccc1Br 303636375 PTEKKFJUMJPTIX-UHFFFAOYSA-N 423.332 4.589 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)Cc1ccccc1Cl 426705415 PFMIHVPUDRDQHH-HNNXBMFYSA-N 414.845 4.541 5 20 HJBD CCCOc1cc(NC(=O)c2ccnn2C2CCCC2)c([N+](=O)[O-])cc1OCCC 428506395 KHNRCEMMFCRZFC-UHFFFAOYSA-N 416.478 4.736 5 20 HJBD CC(C)(C)OC1CC(CCNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 433087211 CBLGNECTTXWQAO-UHFFFAOYSA-N 403.401 4.719 5 20 HJBD CC(C)c1ccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 439141398 SDOHWRAIFSXJJI-LJQANCHMSA-N 412.877 4.625 5 20 HJBD O=C(C[C@@H]1OCCc2ccccc21)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440600006 QEJKNWKWPDWBCM-QHCPKHFHSA-N 402.450 4.832 5 20 HJBD CCc1[nH]nc(C(=O)N(CC)[C@@H](c2ccc(C(C)C)cc2)c2cccnc2)c1[N+](=O)[O-] 444265977 KCBIADXKESWDHL-NRFANRHFSA-N 421.501 4.650 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(c2cccc(C(F)(F)F)c2)CCOCC1 444837683 NUYMKUQQAFFUDG-UHFFFAOYSA-N 423.391 4.749 5 20 HJBD Cc1cc(CNC(=O)c2sc(Br)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 445197933 UPZRERGFLHGBDA-UHFFFAOYSA-N 422.304 4.755 5 20 HJBD Cc1cccc([C@H]2CCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1C 464596700 YWBYUNHKDVRYFM-OAQYLSRUSA-N 405.454 4.833 5 20 HJBD CCC[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 467834133 PCZBHQQSZKAABB-VGSWGCGISA-N 410.518 4.653 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Br)c(F)cc2F)c1[N+](=O)[O-] 468225310 SIYANHQNYJNYDX-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD COc1cc(F)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1OC 470159847 MPLWUBUNKCIIIM-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc([C@H](CC)c2ccccc2)s1 470879071 DNTIPVHMFISLRE-CQSZACIVSA-N 412.471 4.639 5 20 HJBD CC(C)CCc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n[nH]1 471413725 PONBDTFIAHABND-OAHLLOKOSA-N 422.489 4.727 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2cccc(Cl)c2O1 476104426 AQUREQPENFKVPJ-LLVKDONJSA-N 415.858 4.799 5 20 HJBD O=C(c1ccc(Sc2ccc(Cl)cc2)o1)N1CCc2ncc([N+](=O)[O-])cc2C1 477475447 BTCCHEHYQNNDNI-UHFFFAOYSA-N 415.858 4.586 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cccc(NC(=O)Nc2ccccc2)c1 477477655 QBGPMRRKHBJTPS-UHFFFAOYSA-N 408.389 4.559 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(OC(F)F)cc3)no2)c1 478539245 OPRRXMMVIZHRPS-UHFFFAOYSA-N 421.381 4.825 5 20 HJBD CCn1c(SCc2csc(-c3ccco3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 481361772 CNEIDXVROOYLDH-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD O=C(CCc1cc(Cl)cc(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 481846961 ULYXEILRLCOJFF-UHFFFAOYSA-N 423.296 4.904 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)c2ccccn2)cc1SC 482024996 KVOLEABDSOLGCY-UHFFFAOYSA-N 409.467 4.567 5 20 HJBD O=C(NC[C@H]1CCC[C@H](C(F)(F)F)C1)c1sc(Br)cc1[N+](=O)[O-] 486205973 UQEDCFBGSYNJHF-YUMQZZPRSA-N 415.231 4.517 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(F)c2ccccc12 487959579 RODXSFWBEKCWSQ-UONOGXRCSA-N 411.433 4.574 5 20 HJBD CCc1nc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cs1 488672451 WPTJNWFEGYYSCA-CQSZACIVSA-N 410.499 4.717 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccccc1)Cc1ccco1 498237442 OEWPDHKJHHAFBB-UHFFFAOYSA-N 402.353 4.632 5 20 HJBD O=C(Nc1cccc(COCc2ccco2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 502724838 RWZYNJQGJSDVHU-UHFFFAOYSA-N 421.453 4.757 5 20 HJBD CCc1cc(N2CCC(Oc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 503222321 VIXYYUBFWHQHNO-UHFFFAOYSA-N 404.470 4.662 5 20 HJBD CCOc1c(OC)cc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1OC 508588598 OZRMMKBHPCBUSW-DEDYPNTBSA-N 406.438 4.995 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)c1nnn(-c2cccc([N+](=O)[O-])c2)c1C 514488019 YNUDMEMRNMWXIM-UHFFFAOYSA-N 413.865 4.514 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)cc1C 518668286 ZPJSXXAQINCAAS-IBGZPJMESA-N 413.499 4.609 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 520324195 IHCSPDSQSYBNNV-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD Cc1c(NC(=O)c2nnn(-c3ccc(-c4ccccc4)cc3)c2C)cccc1[N+](=O)[O-] 521749851 YKBMITFKGWYVJU-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CCOC(=O)[C@@H]1CCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c2ccccc21 526091280 BGEPPTWKHJZTSJ-QGZVFWFLSA-N 410.470 4.590 5 20 HJBD Cc1ccc(NC(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 534058922 IXZZYGZKHGWEPA-UHFFFAOYSA-N 401.419 4.569 5 20 HJBD CC[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1c(C)noc1C 534538588 ZQZYMIQYUYGSSN-SFHVURJKSA-N 408.458 4.693 5 20 HJBD COc1ccc(Cc2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 534795202 GKQCECPGTMKIKX-UHFFFAOYSA-N 424.438 4.525 5 20 HJBD CC(C)(C)[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(F)cc1F 538224336 WEQJPGBAAUDHMA-SFHVURJKSA-N 402.401 4.800 5 20 HJBD CCOc1cc(/C=C\c2nc(Cc3ccccc3[N+](=O)[O-])no2)ccc1OC(F)F 544910588 OUWHNRPKQJQYGR-NTMALXAHSA-N 417.368 4.739 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 545576586 CSZMKVPXSNJKGF-RAXLEYEMSA-N 414.421 4.713 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(OCc2ccc(F)cc2)c1 548095277 WBJUJTLKEQMCEE-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2cccnc2)CC1 556475725 IOSXQKYZGLLTNZ-UHFFFAOYSA-N 403.479 4.591 5 20 HJBD C[C@H](C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1cccc([N+](=O)[O-])c1 560140926 AZHLWEXRLCRRCR-LBPRGKRZSA-N 401.875 4.792 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 569982109 CSGONZAYWFIKAZ-JOCHJYFZSA-N 405.454 4.534 5 20 HJBD O=C1NCCCN1c1cc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)ccc1F 574432587 ZZVRMRRFHVCCLB-UHFFFAOYSA-N 410.405 4.526 5 20 HJBD O=C(NC1(c2cccc(C(F)(F)F)c2)CC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 576722156 OAUODLWCJMJCAB-UHFFFAOYSA-N 405.376 4.607 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 578076994 GGWKECJEQZTNOP-QGZVFWFLSA-N 417.465 4.575 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC(c2noc3cc(F)ccc23)CC1 580608496 PUDOKIUEBVTLHD-UHFFFAOYSA-N 410.327 4.673 5 20 HJBD CCOc1ccc(CN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 603529263 XIIBTTGDSFMLCQ-MRXNPFEDSA-N 402.516 4.911 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccccc1COCc1ccccc1 603856368 QCGZASFAZUFZMW-UHFFFAOYSA-N 416.437 4.573 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N1Cc2ccccc2-c2ccccc21 603893990 NYZMIFXFUHUANC-HNNXBMFYSA-N 403.438 4.618 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(-c3n[nH]c4c3CCCC4)c2)cc1[N+](=O)[O-] 604240771 YWDFQCZOVGLZGV-UHFFFAOYSA-N 406.442 4.515 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@H](c2ccccc2F)C1 608963194 NWLSYQZAFFOJTC-QFIPXVFZSA-N 422.412 4.740 5 20 HJBD Cc1ccc(NC(=O)c2ccc(SCCCNc3ncccn3)c([N+](=O)[O-])c2)cc1 609463940 DJSJCCLKCLLBGW-UHFFFAOYSA-N 423.498 4.540 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)cs1 609783566 QRTJXGXUYUQKRX-UHFFFAOYSA-N 415.902 4.582 5 20 HJBD C[C@@H]1C[C@H](N(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 609977971 LVGRNXFRAWRLCZ-VQIMIIECSA-N 423.538 4.935 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H](c2ccccc2)CC1 610063126 CPRRPJHBQUMLIB-OAHLLOKOSA-N 403.276 4.767 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCC3)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 727706123 GWPWQOBGYUGGSL-UHFFFAOYSA-N 401.394 4.738 5 20 HJBD CCN(C(=O)c1ccc(N)c([N+](=O)[O-])c1)[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 732963382 MHJBRZHGNCNVEJ-QHCPKHFHSA-N 418.497 4.947 5 20 HJBD O=C(Cc1c[nH]c2ccc(F)cc12)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 734320489 JNTHNHHKBYPGTF-UHFFFAOYSA-N 401.825 4.854 5 20 HJBD COc1ccc(CC(=O)O[C@@H]2CCCc3nc(-c4ccccc4)sc32)cc1[N+](=O)[O-] 741192031 ONYJQLPANSBVTQ-LJQANCHMSA-N 424.478 4.890 5 20 HJBD CC(C)Oc1ccc(NC(=S)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 743022748 JSTSBKKUNBZGDW-UHFFFAOYSA-N 415.515 4.622 5 20 HJBD COc1ccc(NC(=O)c2c3ccccc3cc3ccccc23)cc1-n1nnnc1C 746520488 CCEABRGDFYCPJL-UHFFFAOYSA-N 409.449 4.538 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])sc2ccccc12 750177572 BRGHRTQWPYLTGQ-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC(c3nc4cc(Cl)ccc4o3)CC2)c1[N+](=O)[O-] 753100545 MOAHSSGDGVJYDI-UHFFFAOYSA-N 417.824 4.857 5 20 HJBD CC(C)(CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccccc1 754988474 MXEMRCYAWMFXNJ-UHFFFAOYSA-N 409.255 4.594 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)C[C@@H](O)c1cccs1 755759332 YBRQRJHKNSBOFJ-GOSISDBHSA-N 416.886 4.686 5 20 HJBD C[C@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1cccc([N+](=O)[O-])c1 757666302 RWMNAUJAJLYZEQ-HNNXBMFYSA-N 415.494 4.536 5 20 HJBD CC1(CCNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)OCCO1 760113771 RNOZOSDWDXCQCD-UHFFFAOYSA-N 415.489 4.816 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1C(=O)N(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 761596421 WKEBAUZERDDHOO-MRXNPFEDSA-N 409.486 4.742 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)OCCc1ccccc1[N+](=O)[O-] 762706722 SMSXGUQCEVRBOK-UHFFFAOYSA-N 400.818 4.622 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)o2)cc1 762999459 OJXDDHDCJGBHEP-CYBMUJFWSA-N 413.405 4.674 5 20 HJBD CC(C)n1c([C@H]2CCCN(Cc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C2)nc2ccccc21 774889495 VINDRTKFYILRCS-KRWDZBQOSA-N 423.473 4.813 5 20 HJBD CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495150 AIFPTXPNUHVAKH-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@H](O)c1ccccc1 777416018 JKKONBZMKUYVES-BEFAXECRSA-N 402.516 4.729 5 20 HJBD C[C@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1nc(C2CC2)cs1 781181070 WXMRECNJLFXADC-VIFPVBQESA-N 416.377 4.788 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H](Cc1ccc(F)cc1)C(F)(F)F 781756144 KIGSNPFKJCWCHL-HNNXBMFYSA-N 403.353 4.543 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c2o1 786612190 XMQLWNVIISGPPE-UHFFFAOYSA-N 411.158 4.942 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C)[C@H](C1)C2(Cl)Cl 791246432 FKLMGTWFYYYQQN-GOEBONIOSA-N 403.331 4.751 5 20 HJBD Cc1cc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)nc2cc1F 797355539 OPFGKOUHPLDOAA-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD O=C(NC[C@H](O)c1ccc(-c2ccccc2)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809915437 QPTCGQZLQBRNDJ-IBGZPJMESA-N 411.845 4.770 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccccc1 815553912 NDRRKCNVNOOASW-INIZCTEOSA-N 407.470 4.615 5 20 HJBD O=C(/C=C/c1cc(C(F)(F)F)ccc1Cl)OCC(=O)c1ccc([N+](=O)[O-])cc1 825213455 ZZURUKIFUPURTM-FPYGCLRLSA-N 413.735 4.706 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cs2)cc1 914448834 HKIUANRSRYHFNI-UHFFFAOYSA-N 419.409 4.576 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2ncc(-c3ccc(Cl)cc3)o2)c1 915439695 HEYFRRNHYWGEKW-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3371078 HLMRYDDZYSJHMF-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCC(=O)c2ccccc2)n1 3520619 YOIGPKWEEGKHGQ-UHFFFAOYSA-N 414.464 4.551 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32148901 OUWZLJGVVDAJCQ-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 43730950 HZRGKQHTOIYILY-UHFFFAOYSA-N 409.486 4.771 5 20 HJBD C[C@@H](NC(=O)Nc1nnc(SCc2ccccc2)s1)c1cccc([N+](=O)[O-])c1 47350435 JVMXMNTVXMWEIB-GFCCVEGCSA-N 415.500 4.621 5 20 HJBD CCC[C@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c(Cl)c1 58211605 YHHDILSKTVIBBY-KRWDZBQOSA-N 417.314 4.862 5 20 HJBD CC(=O)c1ccc(Sc2nnc(NCc3ccc(F)cc3)s2)c([N+](=O)[O-])c1 58304132 DBCKSWOQYBPJJY-UHFFFAOYSA-N 404.448 4.551 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(NC(=O)c2ccccc2)c1 58821199 BACBQFILFCFXJV-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1Cl 60998391 BXLJOSFGRJZVHD-JTQLQIEISA-N 402.756 4.680 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CCc2ccc(Br)cc2)c1 64780996 JTLZYUXGNORRFN-GFCCVEGCSA-N 423.332 4.830 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)ccc1Oc1cccnc1 72028760 RXKCKTGBPZZLHI-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(CSc2ccccn2)c1 110106583 MIYDCJHQAHDOOF-UHFFFAOYSA-N 418.478 4.876 5 20 HJBD Cc1nc(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)ccc1[N+](=O)[O-] 301679069 UGXPLVNKSUHXHX-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)CC3 302076540 WLNBBBNPUFMUGS-MRXNPFEDSA-N 418.497 4.590 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 410145937 QQGQMIRCKZPHLS-KOMQPUFPSA-N 418.443 4.944 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cccc(-c2ccccc2)c1 426732882 KQNHLIZZJJALPV-QHCPKHFHSA-N 415.449 4.529 5 20 HJBD CCCOc1cc(NC(=O)C(C)(C)c2c(C)noc2C)c([N+](=O)[O-])cc1OCCC 430103059 BDEVAHDGSWETNW-UHFFFAOYSA-N 419.478 4.694 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1cc(Br)cc([N+](=O)[O-])c1 432757229 IXFLBDCAZCHLBJ-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 432903790 GIQINNNVDOCHJK-MRXNPFEDSA-N 424.501 4.666 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 436165400 NQWWHWSGIYDZTC-LXIYXOSZSA-N 424.423 4.870 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 437507289 LTCIEMVSHAWABB-FUKZOUPISA-N 412.845 4.681 5 20 HJBD Cc1c(CC(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2Cl)cccc1[N+](=O)[O-] 439858685 QVVZTBINEQPVEI-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](CC)c1nc(C(F)(F)F)cs1 439933468 PYOZKAVRUJNOGA-JTQLQIEISA-N 418.397 4.742 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(C(=O)Nc2ccc(F)c(Cl)c2)CC1 440468939 XRACPNMRMGMSGE-CYBMUJFWSA-N 405.857 4.799 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444222573 GQBBDNFWGNIKDR-UHFFFAOYSA-N 413.458 4.763 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 444532706 HDLDRVDBUYVDCC-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1sc(Br)cc1[N+](=O)[O-] 445191694 QYPRQVJVCDWIHO-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(Cc1noc(-c2ccc([N+](=O)[O-])s2)n1)Nc1ccccc1Oc1ccccc1 445328824 WFQYJSFCJNKIJG-UHFFFAOYSA-N 422.422 4.680 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3cc(OC(F)F)cc(OC(F)F)c3)n2)s1 445478823 IFMORZLXPXQPOR-UHFFFAOYSA-N 405.285 4.576 5 20 HJBD CC(C)(Cc1ccccc1Cl)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446096794 WZGGCTFEIMVNAZ-UHFFFAOYSA-N 417.712 4.823 5 20 HJBD COc1ccccc1[C@@H]1CCN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447674676 FVJOXGKFBAJFCR-LLVKDONJSA-N 417.334 4.646 5 20 HJBD CCOc1ccc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1OC 447678345 KKTUNQRMEDVFRX-UHFFFAOYSA-N 421.322 4.663 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462538237 KEXQVPMLHYMFHA-VIFPVBQESA-N 423.705 4.937 5 20 HJBD Cc1c(Cc2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cccc1[N+](=O)[O-] 463162724 FXWSKRDBOYVJNN-UHFFFAOYSA-N 418.438 4.544 5 20 HJBD O=C(NCCCCCc1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465854814 MNBDIJOHSQRLFH-UHFFFAOYSA-N 411.502 4.561 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCN1C 467800999 PNNQKBGMIZXBIH-HIFRSBDPSA-N 419.934 4.612 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 475636067 FJRRHWLMPGLBLE-PXNSSMCTSA-N 401.438 4.735 5 20 HJBD CCOc1cc(C(=O)N[C@H]2CCCC[C@@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OC 476744919 XTGSFIRCACANNH-MJGOQNOKSA-N 412.486 4.533 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(OCc3ccccc3C)c2)cc1[N+](=O)[O-] 485242279 COIBJUUBDKRBLL-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](C)N(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 489983946 MTHQCUOWGSZVDH-TZIWHRDSSA-N 410.517 4.868 5 20 HJBD CC(C)CN1C(=O)c2ccccc2N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500278375 PBKXGPSRXCFBHR-IBGZPJMESA-N 408.483 4.941 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)on1 500304988 HUPLVWYSQUSNOD-UHFFFAOYSA-N 406.320 4.906 5 20 HJBD CN(C(=O)[C@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)c1ccccc1 500800042 ARNMZZUOLKUHKZ-IBGZPJMESA-N 409.489 4.513 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2OC)C1 503132065 OUFKHAYPKZSPPJ-HNNXBMFYSA-N 400.500 4.735 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 514159994 JLTXSMAYHCLWKQ-QGZVFWFLSA-N 420.469 4.727 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cc(-c3ccccc3)nn2CCO)s1 517551443 NARCVMLENRQGGE-UHFFFAOYSA-N 420.494 4.791 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(-c4c(Cl)cccc4Cl)no3)cn2)cc1 517609702 OHCNOHGSTUTKRN-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cccc(Cn4cnc5ccccc54)c3)no2)s1 521048122 DRDHMWKXXXEZIC-UHFFFAOYSA-N 403.423 4.771 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](C)c1ccc(Oc2ccccc2)cc1 523967149 YSGSRAQPUJWDAN-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)c1 534057632 OLRJSJGRZSSLOI-HNNXBMFYSA-N 408.458 4.699 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 535459986 RSPVEBBKTUTODB-UHFFFAOYSA-N 419.875 4.870 5 20 HJBD COc1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c(OC)cc1Cl 536103319 QAOBOPZVVNSWRP-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1)CC1CC1 537843223 MLVBIBBKAYHRBP-UHFFFAOYSA-N 402.539 4.578 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)cc1 538144816 NELIEFLPYDNOOR-CYBMUJFWSA-N 416.275 4.906 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCCO[C@@H](C)c1ccccc1 538251911 QWFWYPQNKFQGQH-INIZCTEOSA-N 402.516 4.993 5 20 HJBD C[C@H](Nc1ccc2c(c1)n(C)c(=O)n2C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 539654925 NIZIQOLXIUUEIU-ZDUSSCGKSA-N 408.483 4.687 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 540434266 VRDWXMTZNGRTHA-LJQANCHMSA-N 410.474 4.921 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2/C=C\c2cccs2)cc([N+](=O)[O-])c1OC 542184348 YFBNJERGHOVGGT-ZBTGYSDGSA-N 416.499 4.772 5 20 HJBD Cc1ccc([C@H](C)NCCc2cn3cc(Br)cc(C)c3n2)cc1[N+](=O)[O-] 546229924 IMNAWTHZGQLWAM-AWEZNQCLSA-N 417.307 4.515 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(Cc3cccc(C(F)(F)F)c3)no2)cc1 546259954 HBZDIVMHSPDLMO-UHFFFAOYSA-N 406.364 4.632 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C\c3ccc(Cl)c(C(F)(F)F)c3)n2)nc1 546490990 SIWYBNXEZLAWEP-KXFIGUGUSA-N 410.739 4.806 5 20 HJBD COc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc(Cl)c1OCC(C)C 552613371 HZECNWCYANXJCL-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD Cc1ccc(C2(c3noc(C(C)(C)c4ccc([N+](=O)[O-])cc4)n3)CCOCC2)cc1 561935774 RCJORGPFSTZFKY-UHFFFAOYSA-N 407.470 4.709 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC2(CCCC2)CC1 567312568 ZWRWNSXUYPNKIH-UHFFFAOYSA-N 402.438 4.889 5 20 HJBD Cc1cc([C@H](C)NCc2cc(I)cc([N+](=O)[O-])c2)c(C)s1 572923006 GUIDFIRAHTYVGA-JTQLQIEISA-N 416.284 4.729 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Oc2cccnc2)cc1 577421161 LBXMCAZHRIIXJP-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD COc1ccc(-c2csc3nc(Cc4ccccc4[N+](=O)[O-])nc(O)c23)cc1OC 603824685 JHIQWHOFRAJYAF-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD O=C(Nc1ccc(-c2cc3ccccc3o2)cc1)c1cc2c(cc1[N+](=O)[O-])OCO2 603941666 LSIWEWITCVQYSA-UHFFFAOYSA-N 402.362 4.989 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 609704665 RBNMHLARPNESLH-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD Cc1c(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 609726863 CSLXRSSNOKMFLR-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD O=C1CCCc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc2N1 609762076 NCZCUHHJDIASEV-UHFFFAOYSA-N 417.421 4.914 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccnn1[C@H]1CCCc2ccccc21 609784798 CODSGBAXNQFSNP-IBGZPJMESA-N 410.861 4.552 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 610226317 XUKFDEFYJLTPGH-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 612504909 DZCSQWPRLNFAHH-AWEZNQCLSA-N 417.181 4.781 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1)c1ccc([N+](=O)[O-])cc1F 613016036 YAMGKDUELWHJEI-MRXNPFEDSA-N 409.461 4.899 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN(CCc3ccccc3)Cc3cccnc3)c2)cc1 728900569 OZRAOQNWWFRZJP-UHFFFAOYSA-N 413.481 4.556 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl 730610659 LAANYCJUVWCEBY-JKSUJKDBSA-N 424.236 4.615 5 20 HJBD O=C(OCC(=O)N(c1ccccc1)C1CCCCC1)c1c(Cl)cccc1[N+](=O)[O-] 730646085 ZIKHURQTDPETMV-UHFFFAOYSA-N 416.861 4.771 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cccnc3Oc3ccccc3)no2)cc1F 733736386 XPPWPEPWCYAECU-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3nc(-c4ccccc4)n[nH]3)o2)c(Cl)c1 733900891 ORJMHNHHIWMKKZ-UHFFFAOYSA-N 409.833 4.583 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cc(Cl)ccc1[N+](=O)[O-] 748198500 LXECSSBNYBFCMU-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD CCCn1c(SC[C@H](O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(Cl)cc1 749640406 UDKKULQNDSKHLE-KRWDZBQOSA-N 418.906 4.742 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)c(Cl)c1 754989441 RTYBGSUELVAKRS-SECBINFHSA-N 415.204 4.533 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1)c1cccc([N+](=O)[O-])c1 761706653 WMYGTYBYSNWTHO-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1ncoc1-c1ccc(F)cc1 770474374 VTQMJXDJVNEGIX-UHFFFAOYSA-N 400.362 4.533 5 20 HJBD Cn1c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc(Cl)c1Cl 776139427 VEQAKLDNRJGMCA-INIZCTEOSA-N 406.225 4.582 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784535599 IXACNEGPFUFQOQ-CQSZACIVSA-N 410.417 4.722 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 787406541 PTLWUELVQMUZCA-BQZPAYMASA-N 403.523 4.623 5 20 HJBD Cc1c(Br)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c1F 790137534 HAWMBFIVEZZGHB-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 810017803 KRQSVRFNFQCMSB-LLVKDONJSA-N 410.305 4.728 5 20 HJBD CCCS(=O)(=O)Nc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811469681 JWROBQJWLFULDY-UHFFFAOYSA-N 418.302 4.665 5 20 HJBD CC(C)[C@H]1N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)CC12CCC2 816798688 SVYRDLADNCOYNS-CQSZACIVSA-N 401.688 4.661 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)OCC(=O)c2ccc(-c3ccccc3)cc2)cc1[N+](=O)[O-] 919228388 PYTZLOVILGJUGO-UHFFFAOYSA-N 420.377 4.518 5 20 HJBD O=C(CCc1nccs1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 919985760 WQHLNGREVLBQKU-UHFFFAOYSA-N 417.874 4.855 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 1319108587 VSVXFKKPKSWROE-UHFFFAOYSA-N 423.416 4.833 5 20 HJBD COc1cc(CNc2ccc(F)c(NC(=O)OC(C)(C)C)c2)c([N+](=O)[O-])cc1OC 1321944054 UKZPTUXMSQFYEA-UHFFFAOYSA-N 421.425 4.710 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC[C@](O)(C(F)(F)F)CC2)o1 1323732443 VYIMSOQMTIVCMI-QGZVFWFLSA-N 418.799 4.788 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nnc(-c4cnn(-c5ccccc5)c4)o3)cs2)cc1 1326083723 HVAIUEFGJMMFBB-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(COc3ccc4ccccc4c3)o2)c1 1341519669 MTABECUJDBQVNC-UHFFFAOYSA-N 416.437 4.977 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2cccc(Br)c2)o1 21323744 NOBGIZDLVAZMPC-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CC(C)CC[C@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 39665332 FFYMFSSKOZHNTE-AWEZNQCLSA-N 415.456 4.771 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(-c3ccccc3Cl)cs2)cc1[N+](=O)[O-] 40926472 WXOLEAGCDDDPKR-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CCOc1cccc2cc([C@@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 42637559 GREBBRVPXPVMDA-HUUCEWRRSA-N 411.458 4.726 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 50868669 YAUCQAJMOUQVIT-DJPFJPOOSA-N 414.527 4.702 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1cccc([N+](=O)[O-])c1 56726660 LMPFHSOWESWSGB-OAHLLOKOSA-N 410.474 4.540 5 20 HJBD COc1cc(OCC(=O)N2CCC(c3cccc4ccccc34)CC2)ccc1[N+](=O)[O-] 58725856 SFWMJRYFOYQDBP-UHFFFAOYSA-N 420.465 4.542 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1Cl 61284619 PIVXUPAMQFEXOW-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD Cc1ccc(NC(=O)N(CCN(C)C)Cc2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 64519038 CEYFGBABCLMFKO-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnn1-c1cccc(Cl)c1 65865018 YQRYPYSYIHCHRW-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CCc2ccc(OC(C)C)cc2)c1 108343623 OHWUQCJYKQPGPQ-OAHLLOKOSA-N 402.516 4.855 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCCOCC3CC3)o2)c(Br)c1 236568236 AHWWUSGJYSCBNU-UHFFFAOYSA-N 409.280 4.524 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@H]2c2ccccc2Cl)c([N+](=O)[O-])c1 301224797 FOXYVQCCAHKKDY-KRWDZBQOSA-N 410.861 4.554 5 20 HJBD CC(C)(C)Oc1cc(N2CCC([C@@H](O)c3ccc(F)cc3)CC2)ccc1[N+](=O)[O-] 301882714 MZJOMQOHDBHLGJ-NRFANRHFSA-N 402.466 4.861 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(c2cc(Br)ccc2[N+](=O)[O-])CC1 302862739 RIYWSJSTMIINAN-UHFFFAOYSA-N 407.239 4.596 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)o1 303752920 AVGWCKUIHCCOSG-IBGZPJMESA-N 409.442 4.762 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426099394 IXDKUFGMWIZZLP-UHFFFAOYSA-N 421.482 4.733 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1 430560677 XDMWDMCHEOSNSN-DLBZAZTESA-N 403.276 4.512 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c2cccnc2c1C 430607530 CHPJCJRLWVHEPL-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CC(=O)Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1F 433702926 NHBKKJABARVHTI-UHFFFAOYSA-N 407.401 4.539 5 20 HJBD O=C(N[C@H]1CCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436134826 PYQHVQPNCFZKFW-IBGZPJMESA-N 408.379 4.516 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)c1 440044683 MYPLWINNVLCJNI-UHFFFAOYSA-N 417.343 4.937 5 20 HJBD CC(C)CO[C@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 441080221 NVCIUPLMFZDHGF-INIZCTEOSA-N 400.500 4.633 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)n[nH]1 444225207 MEMMMOKDMJBUGE-UHFFFAOYSA-N 410.433 4.757 5 20 HJBD COc1cnccc1-c1noc(-c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 445629955 REIUJLINHHBBKZ-UHFFFAOYSA-N 406.423 4.867 5 20 HJBD COCCOCc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 463959774 SNJNOJHUGASCPP-UHFFFAOYSA-N 420.465 4.605 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464636696 HGYOGZUDMASSJG-AWEZNQCLSA-N 420.918 4.799 5 20 HJBD CCSCC[C@@H](C)NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 464715555 TYKGEDSURGTMCI-LLVKDONJSA-N 411.574 4.772 5 20 HJBD COc1ccc(C2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 467168894 KRSYRDWVINLUCA-HNNXBMFYSA-N 408.458 4.594 5 20 HJBD CCOc1cc(C(=O)N2CC[C@H](c3ccccc3)[C@@H](CC)C2)c([N+](=O)[O-])cc1OC 470015805 QAIXCXAWNNHKOH-WMZOPIPTSA-N 412.486 4.658 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)s1 470132515 LDEUARXWMDRYQX-LBPRGKRZSA-N 414.256 4.927 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCCC4(CCC4)C3)cc2[N+](=O)[O-])n1 470692073 CPCVEGTVGMYAMB-UHFFFAOYSA-N 403.529 4.917 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CSc2ccc(C)cc2)cc1SC 472267867 COXMKXUPVFHQHQ-ZDUSSCGKSA-N 406.529 4.544 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1cccc(F)c1)C[C@H]1CCCO1 475561705 CLINQEKCBNBTHS-GOSISDBHSA-N 401.438 4.564 5 20 HJBD CCc1onc(-c2ccccc2)c1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 477114964 QNOBLUAHRXDHFB-UHFFFAOYSA-N 419.359 4.761 5 20 HJBD CC(C)(C(=O)N1CCC(=Cc2cc(Cl)ccc2Cl)CC1)n1cc([N+](=O)[O-])cn1 478082584 KQXMXTWKMXRJIS-UHFFFAOYSA-N 423.300 4.539 5 20 HJBD Cc1ccc(F)c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1Br 484690033 VLYQEPQEMAVMPR-UHFFFAOYSA-N 408.227 4.631 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cs1 485948329 YNUJNOFCSHQADE-AWEZNQCLSA-N 411.483 4.684 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2)ns1 486776992 XSZKFYIQYOESEU-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD Cc1ccc(C[C@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)cc1 489070260 HMQSCWKAISEDBA-NRFANRHFSA-N 418.497 4.817 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1ccc([N+](=O)[O-])cc1 495247687 NTMWGIVURQKCJM-CYBMUJFWSA-N 415.902 4.636 5 20 HJBD CCN(CC)[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 509803497 FFIXFYXUHVEEDL-GOSISDBHSA-N 409.408 4.944 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)cn1 513015123 REDBEFXHQUSVBJ-UHFFFAOYSA-N 409.467 4.705 5 20 HJBD CC(C)c1c(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cnn1-c1ccc(F)cc1 513843468 AKHBBJCBXZPRMM-UHFFFAOYSA-N 408.433 4.636 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNC2CC(C(F)(F)F)C2)cc1 514629020 KSBFZQKHMRSKMX-UHFFFAOYSA-N 416.403 4.878 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC(C)(C)[C@H]3c3cccs3)cc2)c(C)c1[N+](=O)[O-] 516888166 RNHRPNQBDJPWOJ-HXUWFJFHSA-N 424.526 4.741 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1cccc(Cl)c1Cl 518824942 IONLDGHDCCEFHH-SECBINFHSA-N 403.649 4.639 5 20 HJBD O=C(CC1CCCC1)N1CCCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 521660846 RSEYSBIHQNCGGC-UHFFFAOYSA-N 415.537 4.643 5 20 HJBD CC(C)(C(=O)NCc1ccc(COCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 524146005 FCRIYTHGRCJJSG-UHFFFAOYSA-N 418.493 4.906 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2F)c2ccccc2)cc([N+](=O)[O-])c1OC 525556801 PXPDDCDWRJZIRW-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD Cc1cccc(Oc2cc(CNc3cc4ncnc(O)c4cc3[N+](=O)[O-])ccn2)c1C 525703766 OOMHLWVVWNFDGO-UHFFFAOYSA-N 417.425 4.660 5 20 HJBD Cc1cc(C)c(C[C@@H](C(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)C(F)(F)F)c(C)c1 533702191 LXPQXGZYNUVBII-FQEVSTJZSA-N 420.431 4.826 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)Cc2ccccc2[N+](=O)[O-])c1C 533992339 ORZQCGFZJOWAOM-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD CCc1nc([C@@H](C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n[nH]1 535688756 VNBAKPPPVFSGBV-GFCCVEGCSA-N 401.854 4.572 5 20 HJBD Cc1ccccc1-c1cnc(C[S@@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 536304842 HDBGXQXPKLXLIU-PMERELPUSA-N 423.450 4.662 5 20 HJBD C[C@@H](c1ncc(C(C)(C)C)o1)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536308068 XMBDYYKPQPTMHS-JNFKXCBWSA-N 403.460 4.545 5 20 HJBD Cn1cc(CN2CCC(OCC3CCCCC3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 536653614 ZXWSVRVQJGUPLW-UHFFFAOYSA-N 412.534 4.557 5 20 HJBD C[C@H](N[C@@H](C)c1cc2c(cc1Br)OCCO2)c1ccc([N+](=O)[O-])cc1 536878350 RTUJMLWHJTXGTJ-RYUDHWBXSA-N 407.264 4.540 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(N2CCCC2)c1 538276135 HHWRXBJKTWYWKZ-JKSUJKDBSA-N 407.474 4.657 5 20 HJBD O=C(CCN1CCCCC1)Nc1cccc(NC/C=C\c2ccccc2[N+](=O)[O-])c1 539921402 XFFXRUHENOTTED-CLFYSBASSA-N 408.502 4.535 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 541261977 OIVHUKBPMQZUHI-NSHDSACASA-N 424.910 4.648 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)[C@H](C)C2CC2)cc1 541586346 VZWVOWFOFZLHSR-HUUCEWRRSA-N 400.450 4.673 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@@H]3c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 547177556 BVYJQMDPFJJGBN-CABCVRRESA-N 419.359 4.867 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](c1cncc(Br)c1)C1CC1 551818122 UFRRKPQBQVSNDB-BFUOFWGJSA-N 404.308 4.814 5 20 HJBD C[C@@H]1CC[C@@H](c2ccccc2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 553506424 IRFRXSUUCNBYCF-NQIIRXRSSA-N 407.514 4.811 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC1(c2ccc(C(F)(F)F)cc2)CC1 553751924 CKXQOTRLRCXNOQ-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2cc3ccccc3[nH]2)cc1[N+](=O)[O-])c1ccccn1 558372132 QXXXKNDAKNFUFV-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD CCOc1ccc(C(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cc1[N+](=O)[O-] 565501141 UYYVRMHCSTZRIW-OAHLLOKOSA-N 407.426 4.672 5 20 HJBD O=[N+]([O-])c1sc(NCc2cc3ccccc3[nH]2)nc1NCc1cc2ccccc2[nH]1 566938320 SOKMXXNUFDKPTC-UHFFFAOYSA-N 418.482 5.238 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3ccc(Cl)s3)no2)ccc1[N+](=O)[O-] 584258583 ZBWAYOAKOCQAME-CYBMUJFWSA-N 418.862 4.645 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 603862196 KIVTZMZFXANSBC-IAGOWNOFSA-N 422.529 4.570 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccn1)c1ccc(F)cc1 603998384 NMSJPMVGKMKCBM-HNNXBMFYSA-N 411.458 4.843 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604257242 BXBRCAKWXXPEQL-AOOOYVTPSA-N 410.270 4.544 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@@H]1c1cccc(Br)c1 608809776 QFNNHZULPBXOHJ-YMRXKLBXSA-N 421.316 4.510 5 20 HJBD CCCO[C@@H]1CCCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 609382949 YCNSOMLCJHVLII-HXUWFJFHSA-N 411.502 4.628 5 20 HJBD COc1ccc2[nH]cc(CCNCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c2c1 609600587 KCKQFJONZFXPGU-UHFFFAOYSA-N 408.483 4.541 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(CCc1ccccn1)Cc1ccc(F)cc1 609857929 WGQFZMDDRVKEGT-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609880309 FENRFOMFNXKQDM-PSTGCABASA-N 423.300 4.881 5 20 HJBD CC(C)(C(=O)N1CCC(c2cccc(F)c2F)CC1)c1ccc([N+](=O)[O-])cc1F 613689872 YSANNUFPCLVDAD-UHFFFAOYSA-N 406.404 4.696 5 20 HJBD O=C(COc1ccc2ccccc2c1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442107 AKRSIZHICQRIGM-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD CCc1nc(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)nn1-c1ccccc1Cl 735645039 ZYPWHYNVIKMVDD-UHFFFAOYSA-N 421.240 4.572 5 20 HJBD CSc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2s1 739471322 PAMVJPGHCVIHMT-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 742890744 WVSHAFSNYSRJMX-GSHXUFRSSA-N 411.458 4.832 5 20 HJBD O=C(Nc1ccc(CC(=O)N2CCOCC2)cc1)c1c2ccccc2cc2ccccc12 746515697 WFWLDYDUSNWNNJ-UHFFFAOYSA-N 424.500 4.647 5 20 HJBD C[C@@H](CCC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 750095933 MMIROPTWRWMEQV-UONOGXRCSA-N 404.850 4.702 5 20 HJBD COc1cc2nc(/C(Cl)=C/C=C/c3ccccc3[N+](=O)[O-])nc(O)c2cc1OC 750901234 PYQWOOVPLOIXCI-USFPABIYSA-N 413.817 4.554 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(SC(C)(C)C)cc2C)ccc1[N+](=O)[O-] 751252364 ONDNVUABTRZROS-UHFFFAOYSA-N 404.488 4.820 5 20 HJBD C[C@H](C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1F 754484226 XUWKSUVPRUXDDT-BJOHPYRUSA-N 413.232 4.784 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)s1 755024971 UXQBZSPXHPVRIJ-VIFPVBQESA-N 413.297 4.656 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756966314 YXIBTDAGENCFIW-UHFFFAOYSA-N 402.665 4.897 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758730595 IUMCMGZOTYENNR-HNNXBMFYSA-N 423.469 4.621 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccnn3CC3CC3)o2)c(Br)c1 763499995 KPAOZZMYGZHEEN-UHFFFAOYSA-N 417.263 4.836 5 20 HJBD COc1ccccc1[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccco1 773082348 VGDLOKQWQNVOEA-QHCPKHFHSA-N 407.470 4.544 5 20 HJBD C[C@@H](NC[C@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 775336600 MWJSSXZTQBAXHP-MWTRTKDXSA-N 410.445 4.697 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 776137088 MWODTXGCYQHUMD-SFHVURJKSA-N 400.337 4.538 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COc2cc(C=O)ccc2[N+](=O)[O-])on1 777923440 SYFBFQIQXLVNJJ-UHFFFAOYSA-N 404.378 4.803 5 20 HJBD CS(=O)(=O)c1cc(SCc2cccc(SC(F)(F)F)c2)ccc1[N+](=O)[O-] 781158648 BSUWYBIHMDVLFP-UHFFFAOYSA-N 423.459 4.903 5 20 HJBD CCOC(=O)/C(=C/c1cc(Br)c(Cl)s1)c1ccc([N+](=O)[O-])cn1 794949963 RRSUIFFDKBPJNG-BJMVGYQFSA-N 417.668 4.571 5 20 HJBD Cc1ccc2c(CC(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c[nH]c2c1 811218048 LXEQIWWHUPRXKA-UHFFFAOYSA-N 407.451 4.794 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)CSc2ccccc2[N+](=O)[O-])C(C)C)c1C 877870998 QRCNEYLDRFPZMJ-FQEVSTJZSA-N 416.499 4.510 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1(c2ccc(Br)cc2)CCC1 890493021 CUDSMAHMQLBNJT-UHFFFAOYSA-N 411.202 4.696 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 916086081 QNPYHIRUQRKJLA-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD O=C(Nc1ccccc1CN1CCc2ccccc21)N1Cc2ccc([N+](=O)[O-])cc2C1 1319144261 BAWRNURLDRROOF-UHFFFAOYSA-N 414.465 4.705 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 1319607029 LSOSVZPDVCCKMA-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1ccc([C@@H](NCc2csc([N+](=O)[O-])c2)c2ccc(OC)cc2OC)cc1 1320647584 CORYURTXPCETDH-OAQYLSRUSA-N 414.483 4.561 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 16004649 XJXGVEZPIZVYML-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 16141812 LMZFOJBYHJZPQN-OAQYLSRUSA-N 423.513 4.574 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)cc1[N+](=O)[O-] 22161453 KTYPUSXCYUYXHQ-LBPRGKRZSA-N 422.890 4.771 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50553221 TYJSJYDRMHDVIY-UHFFFAOYSA-N 410.480 4.600 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 55673201 IKEZCLYCMBYDJP-LBPRGKRZSA-N 418.375 4.505 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 58746571 UMBFCDINCTTYLH-AWEZNQCLSA-N 406.417 4.505 5 20 HJBD O=[N+]([O-])c1cccc(Oc2nc(-c3cnccn3)nc3sc4c(c23)CCCC4)c1 60858139 CYNZLAVRTDJXGB-UHFFFAOYSA-N 405.439 4.728 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 64777056 TYYMZBFBOLUXQE-UHFFFAOYSA-N 406.282 4.605 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(Oc2ccc(F)cc2)nc1 65733390 JLIZMMWXAPZPOK-UHFFFAOYSA-N 406.373 4.515 5 20 HJBD COc1cc(C(=O)N(C)c2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 65845192 GPZXDUSEXCENOS-UHFFFAOYSA-N 402.353 4.635 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 105789331 ACBSZIJUMUSJMM-UHFFFAOYSA-N 409.486 4.771 5 20 HJBD O=C(O[C@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 169322051 UZAYANVWCYGNKV-QGZVFWFLSA-N 406.225 4.696 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@@H]2/C=C\c2ccccc2[N+](=O)[O-])cc1 213252170 JGOBKKAZJOGBLW-DTSIOAQHSA-N 401.422 4.715 5 20 HJBD Cc1nn(C)cc1[C@@H](C)NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237407323 QGQLMJQEBTXOFZ-CYBMUJFWSA-N 400.866 4.933 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1ccc(NC(=O)c2ccc(F)cc2)cc1 301460897 ZDTAMCOZMRWXEE-UHFFFAOYSA-N 409.417 4.745 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@@H]1c1cccc(Cl)c1 427925322 SJESMBISWACPDG-QGZVFWFLSA-N 411.845 4.539 5 20 HJBD CCCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccc(C)cc1 432575808 UBCGNEQBUMVAPI-UHFFFAOYSA-N 417.469 4.704 5 20 HJBD O=C(O)C/C(=C/c1ccc(O)c([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2s1 436854903 VMZLWNXIMYQOFO-YHYXMXQVSA-N 424.356 4.944 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 440618538 BAFOTKVXONNXFU-NSHDSACASA-N 410.483 4.696 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1 442512629 SHVPFRFGZVRCBQ-QGZVFWFLSA-N 417.465 4.728 5 20 HJBD CC(C)(CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(F)c1 444038281 PLUIPVNYKKYXTH-UHFFFAOYSA-N 406.432 4.667 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccc(Br)c1 445995086 KKQRNEAUNRKQRX-GOSISDBHSA-N 403.276 4.654 5 20 HJBD O=C(N[C@@H]1CCO[C@H](c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 463090894 DKEBOHDOXKDOJO-OFNKIYASSA-N 417.465 4.989 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CC[C@]2(CCOC2)C1 465090621 BWQHYDUAOCJAGR-FQEVSTJZSA-N 418.902 4.652 5 20 HJBD Cc1ccc([C@H](NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)C2CC2)cc1 465492933 GVXJVVADLKRLHN-QFIPXVFZSA-N 409.486 4.607 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Br)c1Cl 466272824 XUPJHDSVBUOKHM-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1Br 470026694 GRJSNMBRLDKESJ-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD COC1CCC(C)(CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 471267285 JKFFFALDWCVBNA-UHFFFAOYSA-N 411.502 4.532 5 20 HJBD CC(C)c1c(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)cnn1-c1ccc(Cl)cc1 482742591 OZEDYNQLVIYXFZ-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(OC(C)C)c(OC)c1 484461581 WCVJDIYWCSLWTB-AWEZNQCLSA-N 418.515 4.994 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1csc(-c2cccs2)n1 485382243 JKPYMWBCEVZHMK-GFCCVEGCSA-N 408.526 4.764 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487953703 XJPFISQDXNUGRB-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1 496478256 YXAWDEGWWBSTPH-JOCHJYFZSA-N 407.445 4.943 5 20 HJBD CC[C@@H](C)Sc1ccc(NS(=O)(=O)c2ccc(OC)c([N+](=O)[O-])c2)c(C)c1 498432773 IRLOJPBHRBWJMQ-CYBMUJFWSA-N 410.517 4.603 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OC 501440160 CIXVPTVBRQSNJG-AWEZNQCLSA-N 418.515 4.995 5 20 HJBD CC1CCN(C2CCC(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)CC1 502325961 KWICUAGGFIEXFY-UHFFFAOYSA-N 401.532 4.582 5 20 HJBD O=C(c1csc(Cc2cccc(F)c2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 504569678 AQZQYGNOULOSBN-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(-n2cccn2)c(F)c1 505216112 MCEZGIASGJWWGJ-CYBMUJFWSA-N 414.462 4.523 5 20 HJBD Cc1c(CC(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 505359371 JJRIZLJVEIVZEV-INIZCTEOSA-N 420.309 4.884 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1ncc(-c2cccc(Br)c2)o1 506538087 MUCCOFDYBUWUGA-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 509236932 QLZFDNUGCLLQQH-MRXNPFEDSA-N 412.490 4.566 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1OC 509980730 CKOIWNLMDBRVDP-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](CCC(C)C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 511535120 OOTAJMRVAYGORV-GOSISDBHSA-N 417.893 4.789 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 513126786 YGHSITYRTDRJFJ-INIZCTEOSA-N 405.454 4.707 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1 518343492 FVQIRFZQJQHFPD-DHZHZOJOSA-N 400.394 4.789 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1 523497417 CKRCRUAZDHWTTL-UHFFFAOYSA-N 400.438 4.826 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 525257362 CDYGAHJOBUGBSC-UHFFFAOYSA-N 424.284 4.655 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 525257760 RRRYHCKGBONBIO-JOCHJYFZSA-N 410.518 4.530 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)ccc1OC(F)F 527126861 LTEGCAXOFRJPHY-UHFFFAOYSA-N 408.401 4.600 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])ccc2s1 533143570 KFUPYYFFACAPAZ-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1cccc(Br)c1)C(C)C 533247266 IOOUJSYUOHFCEZ-UHFFFAOYSA-N 420.307 4.515 5 20 HJBD CCOc1c(OC)cc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1OC 533783938 QZEWRJVFDWIVAR-UHFFFAOYSA-N 400.353 4.642 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1cccc([N+](=O)[O-])c1)C1CCCCC1 534005359 GAICWHCINSVCCO-UHFFFAOYSA-N 410.518 4.724 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1 539069604 OVEBFKXNODCKBT-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])sc2C1 539418798 MGHSDWPSOHDCBA-CYBMUJFWSA-N 408.483 4.881 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1ccc(Br)cc1Cl 539774094 KZNGVKOZYAZNFP-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(OC(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 540319846 CFPXPQJNIPIZEC-JTQLQIEISA-N 418.343 4.657 5 20 HJBD C[C@H](NCC(=O)N(C)[C@H](c1ccccc1)c1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 540377250 LHUJRXJVWNMYRI-BXKMTCNYSA-N 421.472 4.633 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(C(C)(C)C)no1 540754965 DORFSVFPEWIBPJ-LBPRGKRZSA-N 406.508 4.659 5 20 HJBD O=C(Nc1nc(Cc2ccccc2Br)cs1)c1ccccc1[N+](=O)[O-] 542208174 QTDFBESXNBCQGD-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD C[C@@H](C[S@](C)=O)NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 542309271 CTJGQLTZELTRCP-HBIPHATFSA-N 404.532 4.541 5 20 HJBD COc1cc(C)c([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)cc1OC 543436889 BRERJYGLLYXBJB-LLVKDONJSA-N 410.268 4.559 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C\c3ccc(OCc4cccnc4)cc3)n2)cc1 545553247 XRAQBEWQRDIUBJ-XGICHPGQSA-N 400.394 4.789 5 20 HJBD C[C@@H](Oc1ccc(-c2ccccc2)cc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 547192086 OQAJKEAMQNUXGX-OAHLLOKOSA-N 402.410 4.771 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cccc(Br)c2OC(F)F)c(Cl)c1 547341444 DNQFLYKZIZLBOS-UHFFFAOYSA-N 421.625 4.902 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])CCC2 550161261 HJZAKVUQPZESSV-KRWDZBQOSA-N 408.483 4.571 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(Cl)cc2)CCC1)c1ccc([N+](=O)[O-])cc1 552248261 AUVHSYGYCPKNJB-FQEVSTJZSA-N 410.905 4.783 5 20 HJBD CCN(CC(=O)N(C)c1ccc([N+](=O)[O-])cc1)C(c1ccccc1)c1ccccc1 553080037 QNCAWOAQTATPER-UHFFFAOYSA-N 403.482 4.669 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(N5CCCC5)cc4)no3)cc12 561923112 JOWGWCTWALKDBA-UHFFFAOYSA-N 408.461 4.999 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1OCC 578563294 UDKFWRREAYLEIR-UHFFFAOYSA-N 420.893 4.750 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2csc(-c3ccccc3)n2)cc1SC 588079570 CZFHCCHEEQUEAC-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD O=C(NCc1ccc(F)c(F)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 603517797 UUPMUVYHTKAIRZ-UHFFFAOYSA-N 400.406 4.954 5 20 HJBD CCCN(C(=O)COc1ccc([N+](=O)[O-])c(OC)c1)[C@@H](C)c1cc2ccccc2o1 604457946 ONUQUKQEJNXEOK-HNNXBMFYSA-N 412.442 4.728 5 20 HJBD O=C(Nc1cccc(COCCOc2ccccc2)c1)c1cc(F)cc([N+](=O)[O-])c1 609442296 WFWFZGXZTCMZJR-UHFFFAOYSA-N 410.401 4.582 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)NCCOc1ccc([N+](=O)[O-])cc1 609774019 OSSVTTPCYAGCLW-UHFFFAOYSA-N 421.453 4.683 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nc(Cc4cccs4)no3)n2)c(Cl)c1 609961089 HLFPJMPVPBBYKH-UHFFFAOYSA-N 422.253 4.790 5 20 HJBD CC(C)(C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1 610042986 RZLPXYPVOCDYFI-UHFFFAOYSA-N 414.465 4.909 5 20 HJBD Cc1ccc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1OCCC(C)C 610070434 RBCLSEXCTBTGQQ-UHFFFAOYSA-N 409.442 4.834 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@@H]1c1c(F)cccc1Cl 610107065 QRXJRLRKVLFXDL-UWVGGRQHSA-N 400.740 4.731 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 610860129 JJQLSRRZXDZXFJ-UHFFFAOYSA-N 421.478 4.981 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610962414 DEHRKEYAPOCGTP-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD C[C@@H](CCc1cccn1C)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206332 HYAMRZQYTLSRKZ-HNNXBMFYSA-N 401.532 4.719 5 20 HJBD Cc1nc([C@H]2CCCCN2Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)no1 611263302 RFAFBIKMIIZITP-GOSISDBHSA-N 412.421 4.945 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c(N2CCCC2)n1 611584789 MLQBBQPJTNCKAY-UHFFFAOYSA-N 408.527 4.917 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(/C=C/c2nc(COCC(F)(F)F)no2)s1 612874352 NIPQIXSSEZIUMK-SOFGYWHQSA-N 411.361 4.956 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(F)c(F)c2)CC1 613181510 BVVVANLJJAWEGW-MRXNPFEDSA-N 402.441 4.848 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCCOc2ccccc21 618687124 IKUSNZOFKDTWGQ-UHFFFAOYSA-N 404.422 4.603 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 728519887 BNILOKOIJCFVCI-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1cccc(CN2CCOCC2)c1 741332754 AQQFIJRJQVSVPA-UHFFFAOYSA-N 412.489 4.577 5 20 HJBD Cc1c(NC(=O)[C@@H](C)[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 742469286 UWTZLDOHHMONSN-HNAYVOBHSA-N 413.474 4.744 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])s1)Sc1ccc(Br)cc1 742730930 VIGMGBRXOHTWFX-UHFFFAOYSA-N 415.334 4.720 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(F)cc2)c2cccs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 744325391 HERIOURRWGNMQF-GOSISDBHSA-N 415.402 4.531 5 20 HJBD C[C@@H](OC(=O)CCSc1ccc(F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746149529 DLXCRHKJEBFHLL-GFCCVEGCSA-N 417.418 4.571 5 20 HJBD Cc1c(C(=O)Nc2ccc(CNc3ccccc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748384655 DVJUTGXPELGIBZ-UHFFFAOYSA-N 420.425 4.984 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)o2)c([N+](=O)[O-])c1 749296077 NQEIZMAPXDXOBW-AWEZNQCLSA-N 411.414 4.931 5 20 HJBD CCN(Cc1cccs1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410361 MPTMEQRJCQEVIS-UHFFFAOYSA-N 403.685 4.735 5 20 HJBD C[C@@H](OC(=O)c1ccc(OC(C)(C)C)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750970145 KNKKMKCLQYBSFC-CYBMUJFWSA-N 411.414 4.740 5 20 HJBD O=C(O[C@@H](c1ncccn1)C1CCCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 761398161 IRMSGAZMLBOVNW-QGZVFWFLSA-N 410.455 4.597 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1ccc([N+](=O)[O-])cc1Br 770355262 UIMHXVKTIGCTHG-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])C3)cs1 772859394 UJIXBQIUCMUYJB-UHFFFAOYSA-N 417.487 4.734 5 20 HJBD Cc1ccc(C(=O)CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776138673 JYMLEBFAHKGASA-QHCPKHFHSA-N 404.422 4.594 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cccc(SC)c1[N+](=O)[O-] 777074777 ODEDHLRBFMMJLF-CYBMUJFWSA-N 416.499 4.626 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2nc(C)no2)cc1 777687423 BGLUMBFHBHMSMB-HNNXBMFYSA-N 414.487 4.848 5 20 HJBD CC[C@@H](Oc1ccccc1Cl)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786589228 ZUKQKZVKSQKQOT-QGZVFWFLSA-N 416.817 4.804 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1Cl 790300171 XCJZKYJDTDCPJB-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)ccc1Cl 793368881 BCUQWCIKTQKDQM-CQSZACIVSA-N 409.191 4.744 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 800226302 YSQYMWHRNBHHCT-HZPDHXFCSA-N 413.271 4.818 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)C1(c2ccccc2)CC1 808510794 INPWWJSEGXHEIV-CQSZACIVSA-N 422.462 4.565 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809977507 YLPJYBHUGXZQRF-SNVBAGLBSA-N 423.256 4.811 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNCc2c(F)ccc(C3OCCO3)c2Cl)cc1 812835109 MGXWOUVHEVPQEJ-UHFFFAOYSA-N 408.857 4.545 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc21 813105561 OOLDBUCJLVKWRG-UHFFFAOYSA-N 405.241 4.918 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 921200476 PXTBDENUAIKXLL-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1116426808 CFFTUJHMOUBZSG-VIFPVBQESA-N 420.746 4.819 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCCC4=O)c(C)c3)o2)c([N+](=O)[O-])c1 1251871078 ZQOVUNCDBURGNU-UHFFFAOYSA-N 421.453 4.911 5 20 HJBD C[C@@H](NC(=O)N1CCC(Nc2ccccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 1318298567 RXVXFQJZYMGRAA-CQSZACIVSA-N 402.882 4.595 5 20 HJBD O=c1nc(-c2cc([N+](=O)[O-])ccn2)[nH]c2cc(-c3ccc(Cl)cc3Cl)sc12 1321463478 HBTDPWNHNZPICY-UHFFFAOYSA-N 419.249 4.929 5 20 HJBD COc1ccc(-c2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)ccc2OC)cc1 1321570615 ZNLDJOYJMDDOEM-OAHLLOKOSA-N 421.453 4.718 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3[C@H](c3ccccc3)C2)c([N+](=O)[O-])c1 1520160546 KTHYWHFIEZTIMH-FQEVSTJZSA-N 403.438 4.783 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 21458900 YAIQSIXGXCOCRZ-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCSc1ncc(-c2ccc(F)cc2)o1 41905605 RNKZRCXTJMWBAH-UHFFFAOYSA-N 417.418 4.518 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)s1 48189041 WLNLGKPPEYWQQE-SNVBAGLBSA-N 402.394 4.705 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)n1 59350106 DRRIOYVFHDOKOG-UHFFFAOYSA-N 424.482 4.560 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Br)cc1)C1CCC1 59810223 MSYAVPSLQCQVRW-LJQANCHMSA-N 403.276 4.557 5 20 HJBD CCOc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c(C(F)(F)F)c1 65744916 XCTNVRAESHIDRK-UHFFFAOYSA-N 407.348 4.606 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)ccc21 109205892 GHYCAIXYYMCSMQ-UHFFFAOYSA-N 403.442 4.644 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCc3ccccc3N2C(=O)c2ccc([N+](=O)[O-])cc2)cc1 303126249 OETOJRKMSHQWJG-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD CCc1c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cnn1CCC(C)C 427406895 KKJZMUSEMPLJFZ-UHFFFAOYSA-N 410.927 4.784 5 20 HJBD O=C(Nc1cc(-c2ccc(Br)o2)no1)c1ccc(Cl)cc1[N+](=O)[O-] 427679879 VCUONHKDAOXAHY-UHFFFAOYSA-N 412.583 4.511 5 20 HJBD CC(C)(CO)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 432971744 KKEDMAUPFDSLLU-UHFFFAOYSA-N 422.934 4.928 5 20 HJBD C[C@H](NC(=O)Nc1c(F)cc(Br)cc1F)c1cccc([N+](=O)[O-])c1 438659071 YBDBGVKQLSRTHG-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccncc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443807374 WBTIGTCWEXVKFU-GOSISDBHSA-N 401.344 4.528 5 20 HJBD Cc1csc([C@H]2CCCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)n1 444085326 GYUJPBYEKHTFHB-AWEZNQCLSA-N 421.497 4.605 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1sc(Br)cc1[N+](=O)[O-] 444833793 JJWMHWRXTFMZLL-SECBINFHSA-N 417.281 4.525 5 20 HJBD COc1ccc(-c2n[nH]c(SCc3ccc([N+](=O)[O-])cc3C(F)(F)F)n2)cc1 448102059 HQNDGIUJJBNYQY-UHFFFAOYSA-N 410.377 4.700 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 460253094 ICPKUURWSFRMLT-UHFFFAOYSA-N 410.499 4.983 5 20 HJBD Cc1ccnc(Oc2cc(NS(=O)(=O)c3ccc(C)c([N+](=O)[O-])c3)ccc2C)c1 460825136 RDTRZFHXDNTIJW-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC)C[C@H]1CCN(Cc2ccccc2)C1 462608354 WCUWXTQKAASRSN-XZOQPEGZSA-N 423.557 4.534 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)c1 466260983 ZGMPWIYEPHYSKV-MRXNPFEDSA-N 407.495 4.562 5 20 HJBD CCOc1cc(CNC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)ccc1OC(F)F 475553340 ONXJUCIAUIANOF-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21 477880236 PTDXCOOWVCEJBT-KZULUSFZSA-N 422.453 4.871 5 20 HJBD COc1cccc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])n2)c1 483662538 YUCHLIUBAKBMCJ-YRNRMSPPSA-N 422.485 4.715 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(-c2ccccc2)s1 484423399 GXWKMDPGIVNCJS-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](C)Cc1ccccc1C(F)(F)F 484674047 DESIALRSJOBGHM-ZDUSSCGKSA-N 410.392 4.716 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2Cl)C1 484720237 LPBVDQACWQFFOY-KRWDZBQOSA-N 406.891 4.574 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(C(=O)c2ccc(CC(C)C)cc2)CC1 486188066 OOFBVPFQNRKNLW-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD Cc1ccc(CN2C[C@H]3CCC[C@@]3(c3nc(-c4ccccc4)no3)C2)cc1[N+](=O)[O-] 486466044 HUPXYYXMCRBEAX-AUSIDOKSSA-N 404.470 4.507 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 486666682 VJHWSIYELZFYTI-UHFFFAOYSA-N 420.513 4.987 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 488067872 KNZJGWUWEHRPTC-KRWDZBQOSA-N 417.465 4.671 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc2c(s1)CCCCCC2 508340117 MYLZCZNIFQGRRV-UHFFFAOYSA-N 417.534 4.909 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCN3CCC[C@@H]3C2)ccc1Oc1ccccc1Cl 509572300 VVWMIOWSHUCOEA-IAGOWNOFSA-N 401.894 4.757 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])cc1F 510517495 IMYJJWWAMVSJLI-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CC1 511424809 SZHRNSRECDFDDR-KRWDZBQOSA-N 406.891 4.554 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)Nc1cccc([N+](=O)[O-])c1 512402810 ADCFBNRHJRSNBN-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(C)ccc2NC(C)=O)cc1OC 513620586 SOBDQYTZKQKOLX-CQSZACIVSA-N 415.490 4.907 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1c(F)cccc1Cl 514533344 GUBALDBGRPNAIE-UHFFFAOYSA-N 422.787 4.815 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2)cs1 518892415 IXILLJCUNSJNPJ-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2C)cc1[N+](=O)[O-] 520011569 PIKFCSCAFURXLW-INIZCTEOSA-N 424.501 4.602 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 522536362 MTEWTIZJSUSEIJ-DLBZAZTESA-N 401.677 4.645 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3cccc(-n4cncn4)c3)c([N+](=O)[O-])c2)cc1 522980563 ODYMJRVNYWMXII-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525619320 IJUFGKOQOVARQQ-SFHVURJKSA-N 417.537 4.976 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)c1ccc(OCc2cccnc2)cc1 525849137 GACMGLKXACGCQJ-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD Cc1ccc([C@@H](C)NCc2cn(-c3cccc(Cl)c3Cl)nn2)cc1[N+](=O)[O-] 533639182 XCBXALQJVDZRQD-GFCCVEGCSA-N 406.273 4.642 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)NCCC1CCCCC1 534059108 MHSSQHHPLOJVNL-UHFFFAOYSA-N 403.504 4.570 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1ccc(Cl)cc1[N+](=O)[O-] 534205847 DEFAMQUCHXURCX-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3ccccc3)CC3(O)CCCC3)co2)cc1 535556841 RFYCLFYBXVFOJN-UHFFFAOYSA-N 407.470 4.557 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccncc2)cc1 537137905 NKRWWENZQCNIRR-AWEZNQCLSA-N 410.861 4.600 5 20 HJBD COc1ccc(-c2nc(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)cs2)c(OC)c1 537555450 GHKVPHNPQCAPBV-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD C[C@@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 537946334 AFUFOQHFOXIEDX-UZLBHIALSA-N 402.466 4.575 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])co2)cc1 541261700 KFLCEDLDGHWKQN-UHFFFAOYSA-N 417.874 4.620 5 20 HJBD CCn1c([C@@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])nc2ccccc21 541423512 OCFXHHQOAAEQAO-GFCCVEGCSA-N 418.906 4.587 5 20 HJBD C[C@H](C(=O)Nc1ccc(OC(F)F)c2ncccc12)c1ccc([N+](=O)[O-])cc1F 542541783 DSANCTDJFNZVEU-JTQLQIEISA-N 405.332 4.626 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])cc1OC(F)F)c1ccccn1 544846813 MTFUAETXVZGSOR-LJQANCHMSA-N 401.369 4.801 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(C(F)(F)F)cn2)no1 545005812 GBCASULVCCWUBM-JTQLQIEISA-N 410.377 4.836 5 20 HJBD CCCc1ccc(C(=O)CCc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 545781677 OJHWNLWGROUTJM-UHFFFAOYSA-N 418.453 4.818 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nc(Cc3c[nH]c4cc(Br)ccc34)no2)c1 545841017 LPDRDZLJCMTIKE-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cc1ccc(-c2noc([C@H](C)SCC(=O)Nc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 546277328 ZEUFSEDNTYBDSJ-LBPRGKRZSA-N 416.434 4.525 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3csc(-c4ccncc4)n3)cc2[N+](=O)[O-])CC1 546618182 OQZDXQKGIDGEED-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 549448522 MOGHRRVXDDJGIZ-SJORKVTESA-N 406.511 4.877 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3(Cc4cccc(F)c4)CCOCC3)o2)cc1 550274950 IUQZRVUNJCCNHH-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(C(F)(F)F)cc1N1CCCC1 552252901 BVIKSKVSCAWPLP-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD C[C@H](NC(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 557807079 BLHASPRUJMWZKE-KGLIPLIRSA-N 409.408 4.947 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2cc3sc(N4CCCC4)nc3s2)n1 568681153 WGGAPRICJOPJHY-UHFFFAOYSA-N 413.484 4.507 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C(C)=O)[C@H]2C[C@@H]2C)cc1 570192985 GLRRNSIYPVTEQL-REDKUUPNSA-N 409.486 4.564 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccoc3)nn2-c2ccccc2)c1 571703587 AOHJYUBICBDUHF-UHFFFAOYSA-N 418.409 4.692 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccccc2F)c1 578702027 JKBYRQUCKYRWGI-UHFFFAOYSA-N 410.405 4.805 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 580797088 QGOMBMIHEGOZIQ-QRQCRPRQSA-N 407.495 4.596 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CCC(F)(F)[C@H](C)C2)c([N+](=O)[O-])c1 583387613 ZFCVBNCUMVWXFQ-BEFAXECRSA-N 403.429 4.560 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(F)c(Br)c1 590145580 PSGLIPWDETZSBT-SNVBAGLBSA-N 422.254 4.562 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 603595361 GTPMCRHNRAIPHJ-LBPRGKRZSA-N 400.456 4.708 5 20 HJBD CCOc1ccc(NC(=O)COc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 603818070 DSJMSXORYGLCPQ-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD O=C(Nc1ccc(CCCc2ccccc2)cc1)c1cc2c(cc1[N+](=O)[O-])OCO2 608809173 WQSKJYGJUORPPQ-UHFFFAOYSA-N 404.422 4.751 5 20 HJBD O=c1c(Cl)c(NCc2ccc(-c3ccccc3)o2)cnn1-c1ccc([N+](=O)[O-])cc1 608830797 GYMLZJZEFQDHIV-UHFFFAOYSA-N 422.828 4.666 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H]1CSc2ccccc21 609791336 GPLPFJRNBOADQX-LBPRGKRZSA-N 414.430 4.669 5 20 HJBD CC(=O)CCc1ccc(O[C@H](C)C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 609860529 YXKNGAAABNRROU-GOSISDBHSA-N 424.497 4.860 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H]3[C@H]2[C@@H]3C(F)(F)F)cc1[N+](=O)[O-] 610494719 OCALNHXHTIUMKW-MAZHCROVSA-N 402.438 4.756 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@H](n2ccnc2)C1 612539164 YZHLAJSYACEAIU-SFHVURJKSA-N 414.531 4.551 5 20 HJBD C[C@@H](OC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)c1cccc([N+](=O)[O-])c1 728924265 TZSAQOMZIQBGMF-IGDFAFLASA-N 408.292 4.933 5 20 HJBD CC(C)C(=O)COC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 730845950 BEGXZBDRTAFNOX-WJDWOHSUSA-N 424.478 4.904 5 20 HJBD CSc1cccc(N(C(=O)c2cc([N+](=O)[O-])ccc2Cl)C2CCN(C)CC2)c1 732740148 PCQSMRZDCVRVKE-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD COc1cc(COC(=O)C[C@H](C)c2cccc(F)c2)c([N+](=O)[O-])cc1OC(F)F 734906726 RGMXAESYIXAUHM-NSHDSACASA-N 413.348 4.581 5 20 HJBD O=C(Oc1cccc([N+](=O)[O-])c1)c1csc(-c2ccc(Br)s2)n1 737465175 IHDVFPZNMMTLDL-UHFFFAOYSA-N 411.258 4.762 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)Nc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1 742395125 IKSBBDMYKGEAKO-INIZCTEOSA-N 413.474 4.772 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 744870319 VJELTXMFCJATBA-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD C[C@@H](NC(=O)c1ccccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccccc1 750160844 OSNXLEADOCWJBJ-CQSZACIVSA-N 423.856 4.992 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1OC 750196156 SBDKUIKOLVMOSW-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(c2ccc(F)cc2)CC1 752060625 YSKQNPGMSPAWQA-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 752110405 FBBLPNCHOUIJFM-AZUAARDMSA-N 402.878 4.757 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761173231 DMIBBFZGLGGTBT-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)cc1Cl 761504889 AATYHBVFQSLGIJ-HNNXBMFYSA-N 416.840 4.817 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc1Cl 768542253 SAMQUBJBKDYFGT-OAHLLOKOSA-N 416.840 4.817 5 20 HJBD Cc1noc(COc2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)n1 770570747 AUSZVFWGZZRESW-UHFFFAOYSA-N 423.454 4.601 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H]2CC(C)(C)OC2(C)C)cc1[N+](=O)[O-])c1ccccn1 783152109 CUYMEBMMJTYYLO-LIRRHRJNSA-N 413.474 4.666 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916481 LOPBYOUSVZXLSF-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Oc2ccc(CC(C)=O)cc2)cc1 816208024 WXUQDPGQTNFKOO-UHFFFAOYSA-N 421.405 4.746 5 20 HJBD COCCOc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 864020661 PYVQNPZZLYSPET-UHFFFAOYSA-N 404.772 4.904 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4[nH]3)cs2)c1 917328990 QJKOVKIBOPGMIF-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 918135787 LDOHWMQDAVSHRC-HNNXBMFYSA-N 420.425 4.606 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1319891111 XRCMQYJGAPHTMC-UHFFFAOYSA-N 420.263 4.692 5 20 HJBD COc1ccc([C@H](NCc2csc([N+](=O)[O-])c2)c2ccc(OC)cc2OC)cc1 1320647583 CORYURTXPCETDH-NRFANRHFSA-N 414.483 4.561 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccc(C(F)(F)F)cc1 10723407 OCVZNFXKFBZZOG-UHFFFAOYSA-N 411.379 4.917 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)Oc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC 10962142 PFUIPIGITLFDGY-UHFFFAOYSA-N 422.865 4.842 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1Cl)c1ccccc1)Nc1ccc([N+](=O)[O-])cc1 19161236 JGVPEFKTQWMQAL-FQEVSTJZSA-N 423.856 4.748 5 20 HJBD CC[C@@H]1CCCCN1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 26996487 YFJIGEBYSRNERM-MRXNPFEDSA-N 413.440 4.621 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](c2cccs2)N2CCC(C)CC2)c1 27574676 CRJGKKSIVPTARS-SFHVURJKSA-N 419.572 4.581 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(F)cc1C(F)(F)F 46781445 AGVSMAFBGHXMOO-JTQLQIEISA-N 402.369 4.550 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(N3CCc4ccccc4C3)nc2)c2ccncc12 52409726 NAIHHTLBYMOZTL-UHFFFAOYSA-N 411.465 4.713 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53301206 WLFXBDAAGHNQAT-AOMKIAJQSA-N 416.481 4.922 5 20 HJBD O=[N+]([O-])c1ccc(Oc2nc(-c3cnccn3)nc3sc4c(c23)CCCC4)cc1 60858117 RERWXUSDXNHDQT-UHFFFAOYSA-N 405.439 4.728 5 20 HJBD Cc1sc(NC(=O)Cc2cccc(OC(C)C)c2)nc1-c1cccc([N+](=O)[O-])c1 66025325 GIISAUWCZDIFNL-UHFFFAOYSA-N 411.483 4.995 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC1(c2ccccc2)CCC1 105313592 YOWONEKXQHCYIS-UHFFFAOYSA-N 410.417 4.901 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCc2sccc2[C@@H]1c1cccs1 139626263 HKLBNHWKILBFKP-LJQANCHMSA-N 416.549 4.984 5 20 HJBD Cc1nc(COc2ccc(/C=C(/c3ccncc3)c3ccc([N+](=O)[O-])cc3)cc2)no1 195673132 IBWYXRMTWUCGLF-HYARGMPZSA-N 414.421 4.849 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2OC(F)F)c1)C1CCCC1 302144855 HBFUUHCFYZTWCD-UHFFFAOYSA-N 405.401 4.937 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H](c4ccn[nH]4)C3)cc2[N+](=O)[O-])cc1 303098315 BFFPRXOJTXHWAQ-KRWDZBQOSA-N 422.510 4.797 5 20 HJBD O=C(Nc1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1)c1cccs1 427572021 LHWFNIMGABXBHN-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 431404768 VMUPGDFENFMOQK-UHFFFAOYSA-N 424.501 4.714 5 20 HJBD CC1(C)C[C@H](NCc2cn3cc(Br)ccc3n2)c2cc([N+](=O)[O-])ccc21 433144608 CUXFZUAZUGGDDQ-KRWDZBQOSA-N 415.291 4.517 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3cccc(Cl)c3s2)cc1SC 436416158 YOKAJHPBYRYCQX-UHFFFAOYSA-N 423.903 4.865 5 20 HJBD O=C(Nc1ccccc1OC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437237324 ODANAQUYPKJMID-INIZCTEOSA-N 424.375 4.814 5 20 HJBD Cc1c(CC(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cccc1[N+](=O)[O-] 439059207 PLPXRUMEKJFUAO-UHFFFAOYSA-N 404.426 4.728 5 20 HJBD Cc1noc(C)c1CSCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440607788 CXJLGQOIKKJRFT-UHFFFAOYSA-N 411.483 4.666 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H](C)CO1 443888085 XWMRNOBPNADDQH-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD CCNc1ccc(C(=O)N(CCc2ccc(C)cc2)CC(F)(F)F)cc1[N+](=O)[O-] 443901454 ZXSWLHYSLUFKJP-UHFFFAOYSA-N 409.408 4.582 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)cc1C(F)(F)F 446023741 ILTBRSIROMJUJO-UHFFFAOYSA-N 417.181 4.947 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)cc1 460584040 MPNKCLMUXFXIPZ-UHFFFAOYSA-N 410.857 4.783 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](C)C[C@H]2c2ccccc2F)cc1[N+](=O)[O-] 464213632 VCJXEIXCYGLRTE-KDOFPFPSSA-N 418.490 4.585 5 20 HJBD CC(C)c1cc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n(-c2ccccc2)n1 464662562 KXXWHRCHQIXTEL-UHFFFAOYSA-N 403.442 4.566 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](C)Oc1ccc(Cl)cc1 466373915 OYJOQYSUCYODNS-HNNXBMFYSA-N 405.882 4.636 5 20 HJBD Cc1ccccc1[C@H](OC[C@@H](O)COc1c(F)cccc1[N+](=O)[O-])c1ccccc1 466998422 NSMYMJYROZYUMN-XMSQKQJNSA-N 411.429 4.588 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](C)[C@@H](n3ccnc3)C2)cc1[N+](=O)[O-] 469388417 KUPHMBRSGMFJRT-APWZRJJASA-N 416.547 4.653 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1c1cccc(C(F)(F)F)c1 470185213 JYEILAHUOSQUPK-INIZCTEOSA-N 408.376 4.746 5 20 HJBD CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CC[C@@H]1c1ccccc1 470873551 ZUANQXTUTPJVBE-RXVVDRJESA-N 407.514 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 475556043 ZXFSRNWUEHMSMB-SFHVURJKSA-N 402.539 4.720 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 476386186 CFZIXQZUZYBLHV-UHFFFAOYSA-N 412.895 4.673 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc(C)n(-c2c(Cl)cccc2Cl)n1 476703735 UYMPXISVVPWCDN-UHFFFAOYSA-N 420.256 4.660 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccc([N+](=O)[O-])c4cnccc34)c2)n1 477806291 LLVNJFHNZYNRBM-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(CC2CCC2)CC2CC2)cc1[N+](=O)[O-])c1ccccn1 478630490 OINSJUPEPXLUAO-MRXNPFEDSA-N 408.502 4.815 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)nn1-c1ccc(Cl)cc1 479168113 QPMONPGXCZWBKL-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD Cc1ccc(-c2nc(CS(=O)(=O)[C@@H](C)c3cc([N+](=O)[O-])ccc3C)co2)cc1 485370016 AMTHWMLCCDFLAP-HNNXBMFYSA-N 400.456 4.543 5 20 HJBD CSc1cccc(C(=O)Nc2ccc3nc(N4CCCC4)sc3c2)c1[N+](=O)[O-] 485761637 DORSJGKGUWFYLT-UHFFFAOYSA-N 414.512 4.779 5 20 HJBD C[C@@H]1CCc2nc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)sc2C1 485857634 KFUGQGPAOLHVOY-LLVKDONJSA-N 407.470 4.654 5 20 HJBD COc1ccc(CSCC(=O)N(C)Cc2cccc3ccccc23)cc1[N+](=O)[O-] 486294248 VJLZOTSROVWHGG-UHFFFAOYSA-N 410.495 4.648 5 20 HJBD CCc1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 486393522 JLIZDDBMNPGYKU-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(CN3CCCC[C@H]3C)cc2)c1[N+](=O)[O-] 486469674 VYNLCLPTMWIFEW-MRXNPFEDSA-N 413.543 4.621 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCc2ccc(Br)cc21 487565876 MLHPWLDGOHCKJG-UHFFFAOYSA-N 403.257 4.775 5 20 HJBD COc1cc(CNc2ccc(CC(F)(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)F 490440804 ZTHKRMICIZNLLS-UHFFFAOYSA-N 420.334 4.964 5 20 HJBD COc1cc(C)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1C 495010356 SPYRGXXJOJHNLF-UHFFFAOYSA-N 411.380 4.869 5 20 HJBD C[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)cs1 500095737 HAJMCTMSTVRXPS-HNNXBMFYSA-N 410.499 4.788 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2cc3ccccc3o2)s1)c1ccccc1[N+](=O)[O-] 505296157 QTWMLFREMFDMBW-UHFFFAOYSA-N 408.439 4.776 5 20 HJBD CC1(C)CC[C@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 508427180 SLRRNMGOJFZTJO-HNNXBMFYSA-N 413.440 4.525 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2CCN(CCc3ccccc3)CC2)o1 517538993 XELXYTOIVQOMLR-UHFFFAOYSA-N 405.498 4.652 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(Cc1ccco1)c1ccc(F)cc1C 519883473 KWZUYVSRJBFCNJ-UHFFFAOYSA-N 418.446 4.593 5 20 HJBD CCOC(=O)c1ccnc(N[C@@H](c2ccccc2)c2ccc(OCC)cc2)c1[N+](=O)[O-] 523112482 HUYGKMDHACSHAG-FQEVSTJZSA-N 421.453 4.767 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2cccc(-n3ccnc3)c2)cc1[N+](=O)[O-] 523732385 ADHXKPMKXKNZHU-UHFFFAOYSA-N 413.481 4.838 5 20 HJBD O=C(CN1CCCC[C@@H]1c1cc2ccccc2[nH]1)Nc1ccc([N+](=O)[O-])cc1Cl 532712442 OGPBDKMSJSSBSI-HXUWFJFHSA-N 412.877 4.895 5 20 HJBD CCOCCOc1c(Cl)cccc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534058272 AHGUZEIQJAJPCH-UHFFFAOYSA-N 417.849 4.931 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](C)c1cccc(Cl)c1Cl 537112055 CNAQAJFVYHPPDL-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD CC(C)CN(C(=O)[C@H]1COc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539357063 RIZSGYYRQIXIHB-KRWDZBQOSA-N 423.494 4.884 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 542178925 LYLZNQYYFBXFHA-AWEZNQCLSA-N 406.282 4.989 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)c1Br 543482034 QEZUHUDCPHAOGM-HIFRSBDPSA-N 410.243 4.532 5 20 HJBD COc1cc(Cc2noc(CC(C)(C)CC(=O)c3ccc(C)cc3)n2)ccc1[N+](=O)[O-] 545045862 VZLIWUAPMKSQJI-UHFFFAOYSA-N 423.469 4.727 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccccc2n1C1CC1 546784251 XMSAGABJRMYTCN-UHFFFAOYSA-N 424.526 4.958 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(C(=O)N2CCCC[C@@H]2C)c(Cl)c1 556078682 YTGRVWIQOCHXKZ-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1ccccc1[C@@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CCO1 556082131 YGCPWULDVBGJQQ-HNNXBMFYSA-N 417.334 4.530 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)cc1 558303495 OUOPEUMLHNXEDQ-SFHVURJKSA-N 407.495 4.910 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1N1CCCCC1 562017897 LHZMHPTZSBHAMR-UHFFFAOYSA-N 416.909 4.945 5 20 HJBD O=C(c1cc(NCc2ccc(OC3CCCC3)cc2)ccc1[N+](=O)[O-])N1CCCC1 572507918 IJNCQZFUYGGPRB-UHFFFAOYSA-N 409.486 4.764 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(-c3ccc(Cl)s3)cn2)cc1[N+](=O)[O-] 577932991 DRBVRHJXKGUXSJ-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 583461426 OGGPOIJCWMTTLH-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2nc(-c3ccccc3)no2)CC1 589373315 ZFLOTTRKUYZYIM-UHFFFAOYSA-N 421.457 4.619 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@H]3Cc4ccccc43)cc2[N+](=O)[O-])n1 604108020 MBTYTIZBNARFDG-OAHLLOKOSA-N 411.508 4.581 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@H](c3cccc(F)c3)C2)c([N+](=O)[O-])c1 608974294 SOHIXJDSXWSNOI-QFIPXVFZSA-N 406.413 4.543 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN([C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)CC3 609195932 MOEHRZKIRPNVRK-AWEZNQCLSA-N 403.442 4.554 5 20 HJBD CSCCCCC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 610046280 UDZDRFRLJAABDP-UHFFFAOYSA-N 400.460 4.512 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)cc([N+](=O)[O-])c1OC 610173611 NLNGGQFXWZWIGN-HNNXBMFYSA-N 422.437 4.895 5 20 HJBD CCc1ccc([C@@H](Nc2ccc([N+](=O)[O-])s2)c2cccc(S(C)(=O)=O)c2)cc1 610282163 ATDHYTFTQXBZLH-HXUWFJFHSA-N 416.524 4.824 5 20 HJBD Cc1[nH]nc(C(F)(F)F)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610437389 RIGLTHMNIRIXIG-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD Cc1ccc(CN(C)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)nc1 616152123 UEMSBEMLXBWSDD-UHFFFAOYSA-N 413.481 4.783 5 20 HJBD C[C@H]1CC(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@H](C)O1 619798797 LVQJTSBXINOLRF-GJZGRUSLSA-N 406.548 4.953 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1nc(Cl)ccc1Cl 726368859 NRJPOEZMEOHERG-UHFFFAOYSA-N 413.260 4.600 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 732987460 VIWQDCWZXQIRNR-HNNXBMFYSA-N 414.355 4.820 5 20 HJBD Cc1ccc(C(C)C)c(OCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735443403 QRMWVOZLMFOQLB-UHFFFAOYSA-N 410.426 4.804 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743789847 YGQUGWBLEKAUHQ-UHFFFAOYSA-N 423.450 4.829 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 746045146 SYNGFTYWAVBEIH-UHFFFAOYSA-N 419.407 4.740 5 20 HJBD O=C(Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 747178462 KQTHBBCJRQMPSU-UHFFFAOYSA-N 421.363 4.577 5 20 HJBD C[C@@H](OC(=O)CC1C[C@@H]2CC[C@H](C1)N2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 748233994 AWQRDGXMPFAQSO-DUZACMNTSA-N 418.490 4.767 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)c1C 749508198 GCTGCEBMZVCMEX-UHFFFAOYSA-N 410.474 4.597 5 20 HJBD O=C(NCc1ccc(C(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 783899256 UNPLYVCEHQYUSY-UHFFFAOYSA-N 407.392 4.534 5 20 HJBD O=[N+]([O-])c1cc(/C=C/c2nc(O)c3cc(Br)sc3n2)ccc1Cl 787476536 LLYBNHUJVPZUNW-DUXPYHPUSA-N 412.652 4.891 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792963165 NVSOMRCDWVTVFX-ZDUSSCGKSA-N 417.893 4.704 5 20 HJBD CCCN(C(=O)c1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 799411618 PYZQXJXWMBIABT-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(Br)c(Cl)c1F 800146454 VLSJFYFSDFAXQI-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cccc([N+](=O)[O-])c1Cl 816901062 ANZPULPVHBVERR-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2ccc(-n3cnc4ccccc43)nc2)no1 904480944 LWWOLSUGZSRBDO-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)ccc2s1 914778913 IOORXJMNUVUHCB-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1cc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc(C)c1OC(F)F 915987821 LELHBSSCMXWPDX-VOTSOKGWSA-N 413.380 4.679 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1NC(=O)OC(C)(C)C 916429221 MVMQRCBHMMKLCP-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CC(C)(C)Cc1nc(-c2cccc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2)no1 1118414570 BVNNKONZTLVJKZ-UHFFFAOYSA-N 405.414 4.950 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1 1333075215 FGMRRFQNLFICMT-UHFFFAOYSA-N 403.385 4.994 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Nc2ccccc2Cl)CC1 1344554179 FFHBKWNPTLJHTL-UHFFFAOYSA-N 418.881 4.755 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 5863952 BTTXCSIIQXGNSK-UHFFFAOYSA-N 410.470 4.564 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)cc1[N+](=O)[O-] 14707312 KBSRITNROXMEKB-UHFFFAOYSA-N 404.398 4.681 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1cccnc1Oc1ccc(Br)cc1 31809412 ABHFPHMWDUJHNR-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1Cl 41779707 QHVAGESWSJZKRR-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1ccccc1-c1nc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cs1 58698480 MHIUNEIPYOEOLQ-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD C[C@H](c1nnc(SCc2ccccc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 68886751 RMVWKVBZBOTVLJ-CYBMUJFWSA-N 417.922 4.744 5 20 HJBD C[C@@H](NC(=O)NCCc1ccc([N+](=O)[O-])cc1)c1cc(F)c(Cl)cc1Cl 195613654 FKWNKRJQXGYVMD-SNVBAGLBSA-N 400.237 4.644 5 20 HJBD CC1(c2ccccc2)CC(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 410079096 WKPOTLYUPUHUMU-UHFFFAOYSA-N 418.443 4.810 5 20 HJBD C[C@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 426175259 GECPIJGTGFRHEB-HNNXBMFYSA-N 410.474 4.553 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccc(-c2ccccc2)cc1 426733400 MLTQYKWJFYZPTR-QHCPKHFHSA-N 415.449 4.529 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C)c1 429044800 FGLUWAVOQAAPAC-HZPDHXFCSA-N 412.490 4.623 5 20 HJBD Cc1c(NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)cc(Br)cc1[N+](=O)[O-] 431184268 ZSKMYLGHSFVXSA-GHMZBOCLSA-N 409.202 4.973 5 20 HJBD CCOCCOCc1cccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1C 431208852 KOFIAJNCULYVPZ-UHFFFAOYSA-N 415.490 4.513 5 20 HJBD CC1(C)C[C@H](NCc2cccc(NC(=O)c3ccccn3)c2)c2cc([N+](=O)[O-])ccc21 433167920 PPXNJHNTZVRGRH-QFIPXVFZSA-N 416.481 4.754 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@@H](c2ccc(F)cc2)C(C)C)c(C)c([N+](=O)[O-])c1 433835092 GHPPHECKGJORPI-LJQANCHMSA-N 417.437 4.690 5 20 HJBD CCN(Cc1nc2cc([N+](=O)[O-])ccc2o1)Cc1cc(Br)ccc1F 434835573 DKGBYKMBOMXTRV-UHFFFAOYSA-N 408.227 4.660 5 20 HJBD Cc1c(NC(=O)Nc2cnn(CCC(C)C)c2C)cc(Br)cc1[N+](=O)[O-] 435329638 QJHBIHGLHJRRPV-UHFFFAOYSA-N 424.299 4.861 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])cc2O)cc1 436987394 HVLBJPWDLXPBMF-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD C[C@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)CC[C@H]1c1ccccc1 437811631 RXTYRYTVCWUVHC-XJKSGUPXSA-N 405.401 4.854 5 20 HJBD O=C(Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1)Nc1cccc2ccccc12 445338251 BIQGXAMDXIIGCZ-UHFFFAOYSA-N 414.377 4.725 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc2oc(C3CC3)nc2c1 445516337 XPBGOIFONGRHGJ-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD O=C(Nc1cccc2nccn12)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460325658 QIINRNSBIRQXCF-UHFFFAOYSA-N 421.844 4.760 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460357354 RZEXOWWZCUUIFG-WMZOPIPTSA-N 410.417 4.905 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)c1 463177259 JYTNMVWWKZSUKX-QPJJXVBHSA-N 416.422 4.815 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@H]1CCOC(C)(C)C1 464690333 LZIUPNORFNEMGS-IBGZPJMESA-N 411.502 4.627 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 465635104 JMIFKNWAKCPRBA-MRXNPFEDSA-N 405.454 4.831 5 20 HJBD CCO[C@@H](CC(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1)C1=CCCC1 467103696 RAMJPMSQWFYGMZ-SFHVURJKSA-N 401.488 4.871 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@H](c4cccc(Cl)c4)C3)o2)c1 467188789 YUEHNKDRZOMUTB-FQEVSTJZSA-N 413.861 4.519 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC3(CCN(Cc4ccccc4)C3)CC2)cc1[N+](=O)[O-] 474162266 ZOHMTGDNRKFPGV-UHFFFAOYSA-N 421.541 4.847 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@@H](c1ccc(C)cc1)c1cccnc1 477216812 PGJKXDMBMXEHQE-QHCPKHFHSA-N 407.445 4.618 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 481558784 ZQSULFBZWQUZEW-QMMMGPOBSA-N 419.791 4.507 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2OCC(F)(F)F)cc1SC 482038995 LCFDCPNZGPLKIS-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD CCOc1cc(N2CCN([C@@H](C)c3ncc(-c4ccccc4)o3)CC2)ccc1[N+](=O)[O-] 482887167 HRBHWUZPGUJEEN-KRWDZBQOSA-N 422.485 4.532 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CC2)cc1 485500708 RDGAFUYOAUJDTC-UHFFFAOYSA-N 418.396 4.524 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)c1[N+](=O)[O-] 485752747 TURGZRFJUCBJQR-UHFFFAOYSA-N 421.478 4.846 5 20 HJBD O=C(NC[C@H]1CCCC[C@H]1C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486177468 OMGOSLURHWQGCU-HTQZYQBOSA-N 415.231 4.517 5 20 HJBD O=C(NCc1nc2ccccc2[nH]1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487589111 IZJMVZTWANXVLL-UHFFFAOYSA-N 404.451 4.552 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(N2CC=CC2)c1 489563013 AYCJRTUTTFYGLJ-UHFFFAOYSA-N 411.527 4.649 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(OC(F)(F)F)c1 491345240 FKFKFFISTNTHDP-CYBMUJFWSA-N 423.391 4.929 5 20 HJBD CCOc1ccc([C@H](C)NC2CCN(Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 504912345 VAEAEYLOAIGOES-HNNXBMFYSA-N 419.472 4.587 5 20 HJBD COC(=O)c1ncc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1Cl 508491930 WGFUJLALHBYBOP-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(OC(F)F)cc1 510843942 PBLHWKFMGUCDRJ-VIFPVBQESA-N 417.315 4.680 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)CSCc2ccc([N+](=O)[O-])cc2)cc1 513814935 KDKKCJXEFUFFCQ-FQEVSTJZSA-N 400.500 4.590 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc([C@@H](C)c4cccc([N+](=O)[O-])c4)n3)cc2)n1 516114031 XLTUBSQMKYXLQE-INIZCTEOSA-N 403.442 4.523 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCO[C@H](c4cccc(OC(F)(F)F)c4)C3)ccnc12 516904167 RHFHXOOBKWNALZ-SFHVURJKSA-N 419.359 4.620 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517705099 HSKAJIREIQVLHZ-NSHDSACASA-N 419.359 4.701 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCc2cc(Cl)cc(F)c21 520256881 ITMRNCMKBOAMIX-UHFFFAOYSA-N 403.841 4.581 5 20 HJBD COc1ccccc1[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccccc1 520796369 CTBMEQCSWJZMIO-JOCHJYFZSA-N 408.479 4.601 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 524391742 BGTJRLWKLNQKHK-UHFFFAOYSA-N 418.497 4.563 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1nc(-c2ccc(Cl)cc2)no1 525180401 MOHIUBKXUSMFMV-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1ccc(Oc2ccccc2)nn1 535025450 VKILKRJETLSQAW-UHFFFAOYSA-N 416.231 4.827 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])c1C 535468072 HVGMEVJOYOXCBF-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD Cc1c(NC(=O)NC[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)cccc1[N+](=O)[O-] 536487762 HENGPNSNZDRNHM-IFXJQAMLSA-N 420.872 4.510 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)CSc1ccccc1[N+](=O)[O-] 543143818 SUXPBTIBGFIVDC-UHFFFAOYSA-N 407.495 4.619 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1 543440057 QGTNNYVFIGPGBG-JTQLQIEISA-N 418.475 4.717 5 20 HJBD C[C@H](N[C@@H](C)c1nc(C(C)(C)C)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 543511206 GECGNGKPLUTJRS-RYUDHWBXSA-N 401.492 4.811 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 544083810 CDAWDZONTJZKJB-UHFFFAOYSA-N 407.879 4.574 5 20 HJBD C[C@H](C(=O)NCc1ccccc1CN1CCCC[C@@H]1C)c1ccc([N+](=O)[O-])cc1F 544427344 NQAOPSHMFKVSLW-IRXDYDNUSA-N 413.493 4.528 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1nc(O)c2c(-c3ccccc3)csc2n1 548934469 GQYXLPGCQBFMKE-UHFFFAOYSA-N 420.494 4.647 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1 549121814 BUFRIHHAQBETRK-QGZVFWFLSA-N 413.371 4.612 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 555312099 JRSVHTJAAZYOJK-INIZCTEOSA-N 406.438 4.816 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1F 564540952 CXCNILMKAQGRPP-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)c1c[nH]c2cc([N+](=O)[O-])ccc12 566848315 TYOKGCMOMSKJOV-UHFFFAOYSA-N 403.423 4.705 5 20 HJBD Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1cc(C(F)(F)F)cc(S(C)(=O)=O)c1 578565304 ORADRGGYRDTQQQ-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 580797086 QGOMBMIHEGOZIQ-NYHFZMIOSA-N 407.495 4.596 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NS(=O)(=O)c1c(Cl)cccc1C(F)(F)F 581342778 HVWMKHYJUAWZSP-UHFFFAOYSA-N 408.785 4.630 5 20 HJBD C[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc2n[nH]cc2c1 584223009 FOAKMLYQWMRSMC-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD Cc1cc(C)cc(-c2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)c1 598735387 JMOMETMUFCQIPI-CQSZACIVSA-N 412.471 4.689 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1OCC(C)C 603597558 VGEUMEFYFWVWKH-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD CCC[C@@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744400 JZIDAFHYBRGZBO-ACJLOTCBSA-N 420.307 4.815 5 20 HJBD CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](C)c1ccccc1Br 603962613 KLRGPTZXNVXDHP-CQSZACIVSA-N 405.292 4.900 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 608911103 JPSKKDBDNDIRSF-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1c1ccccc1C(F)(F)F 608962375 DLTROPPFYGNDSY-KRWDZBQOSA-N 407.392 4.779 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609151480 QCAXNHXDMDXQRE-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)c3c(C)noc3C)cc2[N+](=O)[O-])n1 609606034 PLUCACRSTCEIPW-JTQLQIEISA-N 418.500 4.607 5 20 HJBD Cc1noc(C)c1CSCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762225 QUOONUOKNVTATP-UHFFFAOYSA-N 413.455 4.864 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Cc2ccc(Oc3ccccc3F)c(F)c2)cc1 609925126 AQDULADUWXATST-UHFFFAOYSA-N 405.378 4.639 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ncc(-c2ccccc2)o1 610101982 NKFKPLZAUZKGQM-INIZCTEOSA-N 408.458 4.931 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 610962444 IUZVZCDEEDIASQ-UHFFFAOYSA-N 413.287 4.585 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3csc(Br)c3)C3CC3)nc2c1 611039097 CXLQLSJEFGSNRR-UHFFFAOYSA-N 408.277 4.725 5 20 HJBD CCN(Cc1ccncc1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 617179444 DPJPZQHNCPVDLU-UHFFFAOYSA-N 424.888 4.918 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cccc([N+](=O)[O-])c1 617701683 RYDOGBCBGKPALN-NSHDSACASA-N 403.410 4.663 5 20 HJBD C/C(=C/C(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1Cl 727633995 OQWPVPKFWPUFTB-QINSGFPZSA-N 415.877 4.506 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)OCc1c(F)cccc1[N+](=O)[O-] 728993808 FEQQPWSDILRCRD-UHFFFAOYSA-N 412.851 4.858 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 731955429 KUQXYAZXIMPBJG-SFHVURJKSA-N 421.478 4.567 5 20 HJBD Cc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1N1C(=O)CCC1=O 732938443 GJLKELZNQQQDHJ-UHFFFAOYSA-N 409.445 4.602 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H]3CCCc4nc(C)ncc43)c([N+](=O)[O-])c2)cc1 734832549 KKTFFEDSGNRSIY-HXUWFJFHSA-N 417.469 4.743 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H](C)c3ccncc3)cc2[N+](=O)[O-])n1 735966303 ICMLEQFQXOCXSI-GFCCVEGCSA-N 401.469 4.824 5 20 HJBD O=C(NCc1ccc(F)cc1)c1ccc(NCc2cc(Cl)ccc2[N+](=O)[O-])cc1 740003973 GJAZCSCFBYGRCJ-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2nc3c(s2)CCCC3)cc1[N+](=O)[O-] 741159118 BHFOTJXONKKBOJ-UHFFFAOYSA-N 408.527 4.988 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 741884312 BGTREWCUBWVCPM-UHFFFAOYSA-N 420.208 4.841 5 20 HJBD O=C(OC1CCN(CC(F)(F)F)CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 747185402 LBTLXJAPNAYEQL-UHFFFAOYSA-N 424.375 4.571 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccncc3)n2Cc2ccccc2)c(F)c1 747805028 MDUOXBFAJCSPAR-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD CNc1ccc(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 748022410 QBJAGQDTAWGFOM-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755420912 KVMPZNAZKCZWIK-GLJUWKHASA-N 415.877 4.643 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1ccc(-c2c(C)noc2C)cc1 758250298 KMKREXCNPHOQDL-UHFFFAOYSA-N 422.846 4.596 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnc(Cl)c(Br)c1C 759283342 PNIZWRDHGCRICV-UHFFFAOYSA-N 412.671 4.892 5 20 HJBD COc1ccccc1[C@H](CN[C@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)OC(C)(C)C 765143032 ZADKHCINEMPECM-BEFAXECRSA-N 415.490 4.520 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)O[C@H](C)c1nc(O)c2c(C)c(C)sc2n1 769887205 JUNCYCHWGIJZTH-GFCCVEGCSA-N 415.471 4.765 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Oc1ccc(-c2noc([C@H]3CCCO3)n2)cc1 770288471 AIVXLBRKGYTREX-QGZVFWFLSA-N 423.425 4.641 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)Nc1ccc(Br)c([N+](=O)[O-])c1 770329878 BDIAAZWFVPYNDK-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(O)(c3c(F)cccc3F)CC2)ccc1[N+](=O)[O-] 777819656 YAJDPMOETPIHKO-UHFFFAOYSA-N 406.429 4.538 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 779977397 LKGRXQANDABYKJ-CYBMUJFWSA-N 403.460 4.805 5 20 HJBD COc1ccc(CC(=O)Nc2cc(Cl)c(C)cc2Br)cc1[N+](=O)[O-] 782596922 VEMMYLDORRHYKE-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD C[C@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1noc(-c2ccccc2)n1 784660109 TXHQWVVUXNBQQQ-NSHDSACASA-N 419.846 4.695 5 20 HJBD Cc1cc(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)ccc1[N+](=O)[O-] 787670598 OPZNRLNFUVIDEH-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1cccc(Cl)c1[N+](=O)[O-] 789694799 JMBFBPFIOUUJGP-NSHDSACASA-N 414.676 4.564 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccc(SC(C)(C)C)cc1C 794860367 GTMJJSFGTDCUFC-UHFFFAOYSA-N 410.517 4.603 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 798288971 YRWCCVFGGSQBLH-UHFFFAOYSA-N 422.466 4.683 5 20 HJBD CC(C)(C)OC(=O)NC[C@]1(C)CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 800878817 LJJBXJDFGHSZDW-NRFANRHFSA-N 407.511 4.513 5 20 HJBD COc1ccc(C[C@@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 815574197 HXZRHAVHTYNEAT-HRAATJIYSA-N 421.497 4.829 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(COCCOc2ccccc2)c1 918622903 CNRBYBNBOPUUNT-KAMYIIQDSA-N 418.449 4.842 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1 920904449 FXIWIAPGNCPWJR-INIZCTEOSA-N 420.421 4.880 5 20 HJBD CCOc1nc(C(F)(F)F)ccc1-c1nc(-c2cc(C)c([N+](=O)[O-])cc2C)no1 1117548297 USACEQREMUVZAI-UHFFFAOYSA-N 408.336 4.741 5 20 HJBD O=C(CCc1nc(-c2ccccc2)no1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1323922917 HTAIRQGAUNMHDU-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CN(CC(=O)Nc1cc(Cl)ccc1Cl)Cc1cc([N+](=O)[O-])ccc1Cl 11011759 RRRGNRYMTWSWSR-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)Nc1ccccc1Oc1ccccc1 28424265 OMARKAYGHWXZSP-INIZCTEOSA-N 420.421 4.880 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1c(Cl)cc(Cl)cc1Cl 28630785 FHHAKMOIBVVCHU-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)no1 31115710 KAUZWOSLUBMIQD-UHFFFAOYSA-N 417.874 4.968 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nccn3-c3cccc(Cl)c3)n2)cc1 59017346 QMTGJHFLQQIOPD-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 64906480 FTXZIYKJTDVALT-UHFFFAOYSA-N 424.482 4.955 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(N2CCCC2)c(F)c1 108111243 HSCHWBIKEXHPHN-GFCCVEGCSA-N 407.442 4.593 5 20 HJBD O=C1Cc2ccc(Cl)cc2N1Cc1ccc(NCc2ccccc2[N+](=O)[O-])cc1 237788283 UTDAKCQOBJQXKH-UHFFFAOYSA-N 407.857 4.950 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 301160434 RWNFRGOSODALIJ-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD Cc1cc(=O)oc2cc(Oc3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)ccc12 302187726 WUERWYZIVJHKBB-UHFFFAOYSA-N 404.378 4.776 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)Oc1ccccc1Br 303426388 CAMXYRJFKZAVDM-AWEZNQCLSA-N 421.291 4.562 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1 303795120 VQZBDMWFQLOQBS-LLVKDONJSA-N 410.348 4.533 5 20 HJBD CCCn1c(SCC(=O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(Cl)cc1 409815838 KRBXXVHLCFBHSS-UHFFFAOYSA-N 416.890 4.892 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429788658 JWWXLSRPVFGKJE-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1ccn(C2CCN(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)CC2)n1 433065313 BLYHHYBGQUOCEL-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 433772777 FBWXACMVFDJSCJ-UHFFFAOYSA-N 404.470 4.595 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C(C)C)cc1 435940719 SFJXUNOCKFPJFV-QHCPKHFHSA-N 400.478 4.817 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1-c1ccc(Cl)cc1 437058725 OPSMIGSXSLQWON-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@@H](C)N4CCc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 438523317 LRZXBVJWJBONQP-QWHCGFSZSA-N 424.526 4.549 5 20 HJBD Cc1ccnc(Oc2cc(N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)ccc2C)c1 439617931 LJKQXBSIINHMKW-INIZCTEOSA-N 406.442 4.838 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC([C@H]2CCCO2)CC1 439900405 LRQAAXAQUOWTQT-HXUWFJFHSA-N 418.559 4.908 5 20 HJBD Cc1cncc(N(Cc2ccccc2Br)C(=O)c2ccc([N+](=O)[O-])o2)c1 441408545 KVSBSTINUHBGCZ-UHFFFAOYSA-N 416.231 4.501 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444284484 GOSHXGVKSNHQPR-FATZIPQQSA-N 420.896 4.841 5 20 HJBD C[C@@H](NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12)c1nc(C(C)(C)C)cs1 445170113 BLDSHYJRGSJWHO-CYBMUJFWSA-N 405.523 4.926 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(Cl)cc21)N1CCc2ccc([N+](=O)[O-])cc2C1 447240749 ZWYQOTWMEHNEOD-QGZVFWFLSA-N 403.891 4.553 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H]1C[C@H](c2ccc(Br)cc2)C1 461039681 YHBXTOIKCXLBDL-AULYBMBSSA-N 408.227 4.564 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 462744034 GBMPEHYPYFJTPL-CQSZACIVSA-N 420.425 4.764 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](C)c2ccc(Cl)c3ccccc23)cc1[N+](=O)[O-] 462954717 RRAKGLNBVJAVOS-CYBMUJFWSA-N 411.845 4.526 5 20 HJBD O=C(c1ccc(-c2ccccc2F)o1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464658083 BPALJTWWLIYKRJ-UHFFFAOYSA-N 410.401 4.678 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 468195152 MPNMYOWWRJEWPW-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD C[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1c(F)cncc1F 476162265 QFWGYKKCQMZDOA-LBPRGKRZSA-N 414.368 4.943 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NS(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 476384450 AQZWKQRCCHBWBM-UHFFFAOYSA-N 412.895 4.673 5 20 HJBD CCn1c(SCc2csc(-c3ccoc3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 481358371 LDXUXNJMFOUYMS-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD COc1cccc(C(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2cccc(OC)c2)c1 481792207 VZEQWASWFKWMMG-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)C(F)(F)F)cc1 482356272 ZZLANVAFPLZUAX-SJKOYZFVSA-N 411.380 4.576 5 20 HJBD Cn1cc([C@@H]2CSCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cn1 483419880 LKZWXYHCISRPJY-SFHVURJKSA-N 418.906 4.532 5 20 HJBD CSc1cccc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486228971 GBRJGWDMKCRFRX-GOSISDBHSA-N 422.510 5.000 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C1CCN(C2CC2)CC1 486279538 BTXYTDULWDVFGD-UHFFFAOYSA-N 403.529 4.620 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N[C@@H]3CSc4ccc(Br)cc43)c12 486497878 PODLCALSQLXMNU-GFCCVEGCSA-N 403.261 4.559 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(F)c2ccccc12 487959577 RODXSFWBEKCWSQ-KBPBESRZSA-N 411.433 4.574 5 20 HJBD NC(=O)c1ccc(-c2nc(CSCc3c(Cl)cccc3[N+](=O)[O-])cs2)cc1 490882272 DVBHOKZTMLLJRZ-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1 498161435 SPODJUFNZDNHSP-UHFFFAOYSA-N 420.469 4.658 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1C 501631334 VHQCHFALGCHUEJ-KGLIPLIRSA-N 415.877 4.619 5 20 HJBD O=C(NCCc1csc2ccccc12)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 501657464 KLUPBFDZVJKRFY-UHFFFAOYSA-N 424.400 4.723 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(Nc3ccccc3)nc2)cc1[N+](=O)[O-] 503680071 LDQTYDSJRBSXSB-UHFFFAOYSA-N 424.482 4.614 5 20 HJBD COCc1noc(-c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)n1 504832810 ABENQVRJJAWALY-UHFFFAOYSA-N 400.441 4.552 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2cc(F)ccc2F)c([N+](=O)[O-])cc1OCC 509751812 PPBORXYRKXMODQ-GFCCVEGCSA-N 423.416 4.895 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 513509266 QKFZMPPKQCMKDR-CQSZACIVSA-N 418.664 4.800 5 20 HJBD CCCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@@H](C)c1ccccc1Br 515704925 DLGMFXLGNAASDB-AWEZNQCLSA-N 421.291 4.736 5 20 HJBD COc1ccc(CN[C@H](C(=O)c2c[nH]c3ccccc23)c2ccccc2)cc1[N+](=O)[O-] 520408082 HAWPIAHUTKBMIP-QHCPKHFHSA-N 415.449 4.799 5 20 HJBD O=C(c1cccc(COc2cccc(F)c2)c1)N1CCCc2ccc([N+](=O)[O-])cc21 522872788 OVALALRWHZUOHX-UHFFFAOYSA-N 406.413 4.906 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCc3cc(Br)cc(C)c32)c1 524456876 QEQNFFULBPVYRT-UHFFFAOYSA-N 421.316 4.981 5 20 HJBD COc1ccc(Br)cc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 525561798 ZUGUVDQXWHDYEU-UHFFFAOYSA-N 419.275 4.955 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H](Oc2cccc(Cl)c2)C1 536251440 URKAFEDNYAIRII-INIZCTEOSA-N 414.767 4.561 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C1CC1 536487830 IBOQMRZMXXCMNS-SNVBAGLBSA-N 409.393 4.885 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2ccccc2s1 538196631 SONHVNVNLYMHNU-UHFFFAOYSA-N 401.513 4.678 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)NC2CC2)c1 538493943 OAQZJXWWGDDMNJ-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 538528112 QUOJKSJXVAEACP-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD COc1ccc(NC(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 541100913 KHAAWAOVGGXVJZ-UHFFFAOYSA-N 416.821 4.542 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@H]1CCCCS1)c1ccccc1 541280681 HUWUIGJDCLBDHV-VQIMIIECSA-N 419.934 4.803 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 543289561 MTNXZLYIPCMTBE-LBPRGKRZSA-N 417.874 4.873 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)c(Cl)c1Cl 543491770 QLDQLRBWJNBVKD-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 545829346 MANLBLZLIJXTKL-UHFFFAOYSA-N 401.438 4.635 5 20 HJBD CCOc1ccccc1C(F)(F)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 545850009 QMXGKNBHRRGVMI-UHFFFAOYSA-N 414.368 4.589 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(Cc2nc3ccccc3n2C(F)F)no1 546320615 LVLVMRCZILBCQW-UHFFFAOYSA-N 413.384 4.639 5 20 HJBD COc1cccc(/C=C/c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c1OC(F)F 547108572 HGKAIIQJVZIEFI-RMKNXTFCSA-N 403.341 4.734 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc([C@@H](CC)c3ccccc3)s2)c1 549013310 ZCWUMWSONMHZCK-HNNXBMFYSA-N 412.471 4.639 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1ccc([N+](=O)[O-])cc1Cl 551368652 PFROFOKCJPRJNU-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD Cc1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cccc1-c1ncco1 552235179 NNAIZHGSQYRXME-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COCCC[C@@H](CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Cl)cc1 556926538 GTTNPFZFWWGWIL-KRWDZBQOSA-N 418.921 4.852 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1OCCc2sccc21 558078731 NTZBYGCXCKUAHB-KPZWWZAWSA-N 406.529 4.591 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CC[C@@](F)(c3cc(F)cc(F)c3)C2)cc1[N+](=O)[O-] 565612504 NJDPONSEXRNJEE-XCLFUZPHSA-N 407.392 4.523 5 20 HJBD CC(C)Cn1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1C(F)F 578041320 FZBAEBFKICGKDO-UHFFFAOYSA-N 406.311 4.656 5 20 HJBD C[C@@H](C(=O)N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 582583539 ALSIVQQXFKMOFP-MEBBXXQBSA-N 418.318 4.720 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 582691088 MTJXYXCXIDEUDP-INIZCTEOSA-N 416.437 4.694 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 609263158 KAUKTAXFFTZCKF-DNVCBOLYSA-N 400.500 4.838 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2cccnc2[N+](=O)[O-])C3)cc1 609449020 HMOAYZAGWUJTPQ-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD Cc1cc(Cl)cc2c1N(C(=O)C1CCN(c3ccccc3[N+](=O)[O-])CC1)CCC2 609788509 BMUVBLIUUNPRRO-UHFFFAOYSA-N 413.905 4.752 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cccc(CN3CCOCC3)c2)s1 610910463 NPKXDYOHMIRGSW-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD O=C(N[C@@H]1CCC(F)(F)C1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 611212632 QMAGAZFZZGGUPV-CYBMUJFWSA-N 409.820 4.778 5 20 HJBD O=C(c1cc(=NCc2ccccc2)cc[nH]1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 611470724 CCSMRSCLRNPUTO-UHFFFAOYSA-N 416.481 4.613 5 20 HJBD Cn1ccnc1[C@H](NCCc1ccc(-c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 611560849 OSQJMDMMYIOUKW-XMMPIXPASA-N 412.493 4.917 5 20 HJBD CS(=O)(=O)c1ccc(-c2nc(CSc3ccc([N+](=O)[O-])cc3F)cs2)cc1 618963308 NSGVGGINDPCMBG-UHFFFAOYSA-N 424.500 4.553 5 20 HJBD CCCN(CC(=O)NC)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727768120 WZIHTMLXQVSTLT-UHFFFAOYSA-N 414.505 4.532 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCCn1cccn1 729262294 KPBDRDFIVSSWBI-UHFFFAOYSA-N 409.489 4.950 5 20 HJBD CCOc1ccccc1[C@H](CC)Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-] 732027520 VQICSQGTGWTSHN-AWEZNQCLSA-N 414.430 4.553 5 20 HJBD CC(C)(C)[S@](=O)CCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 735084947 CYTWOQDWJBTCQJ-HHHXNRCGSA-N 410.923 4.677 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1Br 736528184 QMTCRHCHNUTMBE-WCQYABFASA-N 407.239 4.515 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccccc2C(F)(F)F)c(Br)c1 742396671 BOQBQLKUXCCJFL-CQSZACIVSA-N 405.170 4.522 5 20 HJBD CCCCOC(=O)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 742465528 IZRSOPCBMPDAAF-UHFFFAOYSA-N 410.348 4.823 5 20 HJBD CS[C@H]1CC[C@@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 742740949 QFGLXWLFJXPNOO-CVEARBPZSA-N 419.934 4.874 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)c1 748618310 DMYAKCLHKMHATB-UHFFFAOYSA-N 423.425 4.864 5 20 HJBD Cc1c(C(=O)OCc2csc(-c3cccs3)n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748946354 GUFUZVYWHNAIEQ-UHFFFAOYSA-N 419.440 4.662 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(N3CCSCC3)c(Cl)c2)c1[N+](=O)[O-] 753451451 YHBLLMCDYBOAPE-UHFFFAOYSA-N 409.870 4.501 5 20 HJBD O=C(C[C@H]1CCCCO1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 755123560 VXOYSAUIYPCAQJ-OAHLLOKOSA-N 404.850 4.725 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)NCc1cc([N+](=O)[O-])ccc1Cl 756990604 XSYDAUGRKRCKQM-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCCC[C@H]3C[C@H](O)c3ccco3)co2)cc1 760847743 PWWNXOBXCFCIIJ-PMACEKPBSA-N 411.458 4.711 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)n1 763074278 QXQWONZRFJNDKW-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD Cc1cnc(C2CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])CC2)s1 763090465 LOYLQVSDMLKQHE-UHFFFAOYSA-N 416.381 4.671 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1OC 763422804 VCGHXTBWYUDZPN-GFCCVEGCSA-N 408.401 4.552 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCCSC(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 770939354 FGFACUZOFIGHOF-NSHDSACASA-N 415.393 4.573 5 20 HJBD O=C(CCSCc1ccccc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 791692334 YFVVHRRIPCEFDV-JOCHJYFZSA-N 408.479 4.946 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 794214225 RHPAKYHNNLIOBN-UHFFFAOYSA-N 405.458 4.536 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H](c2ccc(C)cc2)c2ccccn2)cc1Cl 795207344 BIGIVAKTOUYVCR-HXUWFJFHSA-N 411.845 4.940 5 20 HJBD O=C(C[C@H]1CCCOC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 796533306 KJQXLDYORGYWPB-OAHLLOKOSA-N 404.850 4.582 5 20 HJBD COc1ccc(-c2ocnc2C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 815245982 GHOHGVORPQBVQE-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD COCCCn1c(S/C=C/c2ccc([N+](=O)[O-])o2)nnc1-c1ccccc1Cl 821688039 CWMRXGCCXFHQSF-FMIVXFBMSA-N 420.878 4.899 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H]1c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 904698264 HNAAJLFXCIJSQP-CYBMUJFWSA-N 416.459 4.932 5 20 HJBD Cc1occc1C(=O)Nc1cc(Cl)ccc1C(=O)OCc1ccc([N+](=O)[O-])cc1 914956619 SHTRUJIIGUONKZ-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD CCc1ccccc1N1C(=O)/C(=C/c2ccc(-c3cccc([N+](=O)[O-])c3)o2)NC1=S 917620791 NWUWTIMCITUKLC-AQTBWJFISA-N 419.462 4.679 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)/C(=C\c1ccc(F)cc1)c1cccs1 917828673 QRYVARLFRSBFPP-WQRHYEAKSA-N 412.442 4.661 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1 920270235 XLZDYGJGFZFMIF-AWEZNQCLSA-N 412.467 4.964 5 20 HJBD COc1cc(CCO)ccc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1117626048 GHZVUOIAOKGESO-UHFFFAOYSA-N 402.834 4.664 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])o2)c1 1322074765 DXVREBUCXLRKJZ-UHFFFAOYSA-N 423.347 4.782 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@H](CO)c2c(F)cccc2F)o1 1339154493 GXJXQNIFKOVMSD-MRXNPFEDSA-N 408.788 4.610 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccc(OC)c(Cl)c2)cc1OC 1515067000 NSIQMSPFDRBDGS-NSHDSACASA-N 409.822 4.582 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 10647043 MXOUQKPTFUXRLC-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1ccccc1)N(Cc1cccc([N+](=O)[O-])c1)CC2 15297297 UZRGBDQDZBNRMY-XMMPIXPASA-N 404.466 4.760 5 20 HJBD CCCCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccccc1 20002170 XHZIRORRLIJCIR-UHFFFAOYSA-N 406.486 4.903 5 20 HJBD Cc1c(Cl)cccc1-n1c(Sc2ccc([N+](=O)[O-])cn2)nnc1-c1ccncc1 23615002 SJCQFSRXQZTMOJ-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(Cl)c1 25374802 UBNZFUAGSHCCSG-LLVKDONJSA-N 418.858 4.955 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(F)cc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 28895623 VBFYPCZWHLFBCF-NXEZZACHSA-N 417.334 4.570 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(OC)cc(OC)c2)cc1 32656042 GUZGZDGOYLWXEL-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1C 44756102 VMDUVCULHQMZEW-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 55471467 COARENOFEBLWDV-AWEZNQCLSA-N 404.430 4.620 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(OC[C@H]2CCCO2)c1 55654305 ORMGNZFPSAFWRW-MRXNPFEDSA-N 412.467 4.928 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3ccc(F)cc3)c3cccs3)n2)c1 55827779 FYLOZEWWYMBEER-IBGZPJMESA-N 410.430 4.725 5 20 HJBD Cc1cc(C)cc(-n2ccnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 59017341 XUINRAWKCPNKBE-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CCN(C[C@H]1CCOC1)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 63090101 MGYLZKRARXQLHH-MRXNPFEDSA-N 400.500 4.553 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Sc2ccccc2Cl)cc1 65745001 ZJVDBIHQUZMYTL-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD CC(C)c1ccc([C@@H]2CCCCN2c2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 302087260 NXKZVASCXYAHOH-INIZCTEOSA-N 408.545 4.915 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@H](C)c3cccnc3)cc2[N+](=O)[O-])n1 303066268 NCWKUDXBCPKRTM-CYBMUJFWSA-N 414.512 4.739 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 303531472 WTPFCEOMUGKCSL-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1Br 428895013 MVLGHRROKJRIBW-LLVKDONJSA-N 408.252 4.557 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccc(COC2CCCC2)cc1 432342086 FVBBUTKBCQQEOA-UHFFFAOYSA-N 421.453 4.620 5 20 HJBD CC(C)COc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 433197197 QGSARODOKUNBGZ-UHFFFAOYSA-N 413.474 4.705 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1OC 436079418 ZULVUCBYTVFDPU-UHFFFAOYSA-N 424.403 4.619 5 20 HJBD CSc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 437482965 QIRCMYSFCCEXOS-UHFFFAOYSA-N 424.472 4.654 5 20 HJBD O=C(Nc1ccc2c3c(cccc13)CC2)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437602374 IRUHIHQSTVSHFT-UHFFFAOYSA-N 417.465 4.922 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 438363454 HOOLGBTXWRVPOF-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD CC(=O)Nc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 439108179 CJEPFEOBAZGDCO-UHFFFAOYSA-N 409.364 4.948 5 20 HJBD O=C(Nc1cccc2c1OCCO2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440678232 DXIDZIGSRVICAN-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2c(F)cccc2F)C1 444339177 OXMMJLSDYDPXAZ-CYBMUJFWSA-N 422.406 4.728 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 445651875 YQZLLEPYOBZQDW-ZDUSSCGKSA-N 409.421 4.790 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 461764066 IGBNONNIIPHZBG-UHFFFAOYSA-N 418.453 4.791 5 20 HJBD Cc1ccc(NC(=O)CCc2nc3cc(Br)ccc3s2)cc1[N+](=O)[O-] 463244367 SSSBCYPSNKONDE-UHFFFAOYSA-N 420.288 4.847 5 20 HJBD Cc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1I 467379713 BFUVBVQMFTWNPM-CYBMUJFWSA-N 410.255 4.667 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc2nc(Cc3ccccc3)oc2c1 467941757 LWDMCPQBLIMGRP-UHFFFAOYSA-N 416.437 4.991 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1-c1ccccc1 468179188 LCRPHJCUUKHQEH-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CC(C)(NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1F 473434904 OTZFEELZXMNDAY-UHFFFAOYSA-N 400.237 4.504 5 20 HJBD Cc1cc(NC(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 473783058 CSXDOGFNSJUPOG-NSHDSACASA-N 414.409 4.790 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 478208175 NVEFVEFEPBQTMU-GOEBONIOSA-N 408.426 4.594 5 20 HJBD Cc1c(CNC(=O)NCc2ccc(COCc3ccccc3)cc2)cccc1[N+](=O)[O-] 478755979 ORKUWEXLYCBIBT-UHFFFAOYSA-N 419.481 4.619 5 20 HJBD CCC[C@@H](NCC1CCN(Cc2nc(C)c(C)o2)CC1)c1cccc([N+](=O)[O-])c1 482868863 LWALMDXMVSRSJQ-OAQYLSRUSA-N 400.523 4.543 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 483662546 YVENREUKUQBRIT-QRFRQXIXSA-N 406.486 4.631 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3ccc(F)cc3)CC2)cc1SC 484441042 QZIXKBOOULMEHS-CQSZACIVSA-N 418.490 4.874 5 20 HJBD O=C(N[C@H]1C[C@H]1c1c(F)cccc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485488929 HZILOBYSVYLMTB-UZLBHIALSA-N 424.403 4.738 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(OCc3cscn3)c2)c1[N+](=O)[O-] 486240243 PMIGSNWFAAJIIX-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD O=C(N[C@@H]1CCCc2nc(O)ccc21)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 486856935 ITLVECDWPITDGL-HXUWFJFHSA-N 421.478 4.654 5 20 HJBD COc1cc(CN[C@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OCC(F)F 487911557 MOMHCEJDPYVQKM-LLVKDONJSA-N 400.809 4.752 5 20 HJBD COCc1c(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)sc2cccc(F)c12 488038962 IIHYWJZRACQREC-LBPRGKRZSA-N 402.447 4.894 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(N2CCCC2)c1 491323707 QJIOKXQNHSRYMU-QGZVFWFLSA-N 408.502 4.630 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 497159887 HPEDSDVNTNJETC-SZNDQCEHSA-N 414.893 4.515 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1c(Cl)cccc1[N+](=O)[O-] 497881364 RFKKRFQCBYSXRV-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(F)cc1Br 499490494 JMYCPSVTKXNAOD-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3c(c2)CCC3)cc1[N+](=O)[O-])c1ccccn1 506116028 OCVRHUVMQPVYMZ-OAHLLOKOSA-N 402.454 4.904 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1cccc(OC)c1 506180928 WYCSSYRZOQIGOZ-MRXNPFEDSA-N 420.469 4.838 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1 513666192 KOJCZLSWHABCFL-AWEZNQCLSA-N 419.865 4.580 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)N2CCCc3c2cccc3[N+](=O)[O-])n1 513842431 HUKMHQJQYZTHES-UHFFFAOYSA-N 406.442 4.535 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2C(F)(F)F)cs1 522737252 DFNIGEXVWZDPHE-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CCOc1cc(C(=O)Nc2cccnc2-c2ccc(C)s2)c([N+](=O)[O-])cc1OC 527340915 ARDGJQWOQIUSLY-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccc(Br)s1 533230581 YTFCZHAKFKHRQM-UHFFFAOYSA-N 422.304 4.748 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](c1ccc(F)cc1)c1cccs1 533888657 WGVYNYDABLENII-OAQYLSRUSA-N 409.442 4.725 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1Br 536530524 CXXVCGGTAGDQGI-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 538693828 MDNGKZKZFZFJJI-CVEARBPZSA-N 412.795 4.729 5 20 HJBD COC(=O)C(C)(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 539352958 SCDNWKQDPGHABD-UHFFFAOYSA-N 416.499 4.798 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 541089036 HOVDGAMSQCEHSQ-OAHLLOKOSA-N 424.297 4.895 5 20 HJBD CSc1ccc(C(=O)Nc2cnn(-c3ccccc3)c2C(F)(F)F)cc1[N+](=O)[O-] 542919671 QDCJSRVVIXMSGS-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 543150638 YCMVSQRFCTYPIE-UHFFFAOYSA-N 405.332 4.541 5 20 HJBD CSc1ccc(-c2nc(-c3ccc(C(=O)N4CCC[C@H]4C)cc3)no2)cc1[N+](=O)[O-] 545079509 QOUACLDUZFQORW-CYBMUJFWSA-N 424.482 4.658 5 20 HJBD Cc1cccc(NC(=O)N2CCC[C@H](c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)C2)c1 546281548 FXLYVIUNDQBXIJ-KRWDZBQOSA-N 421.457 4.673 5 20 HJBD Cc1cc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc([N+](=O)[O-])c1 552421514 YSSFNRNMWGVIGQ-LJQANCHMSA-N 422.403 4.820 5 20 HJBD CCOc1ccc([C@@H](NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)C(C)C)cc1OCC 557309875 ITJZJLGUTOENFH-QFIPXVFZSA-N 412.490 4.755 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)c(Cl)c2Cl)ccc1[N+](=O)[O-] 557522544 NBULQGZNHISYCU-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CC(C)(CO)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CC1 562211422 BQQANWARKKGQAP-GOSISDBHSA-N 423.307 4.504 5 20 HJBD CC1(C)CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@H]1[C@H]1CCCO1 566037763 OTKRESKQGPQNML-UXHICEINSA-N 411.458 4.808 5 20 HJBD C[C@H](C(=O)N(Cc1cc(Br)ccc1F)C1CC1)c1cccc([N+](=O)[O-])c1 569300084 KIWMZKGEVAZQKP-LBPRGKRZSA-N 421.266 4.791 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H](Oc3ccccc3)c3ccccc3)n2)c1 570603225 LYPVRWYZYZPMNY-JOCHJYFZSA-N 417.421 4.746 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 576019464 ALVJAPXTQHXDHS-QHCPKHFHSA-N 400.478 4.913 5 20 HJBD CN(C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 577144450 FJIYLRMZJDFGQL-KLPPZKSPSA-N 410.845 4.920 5 20 HJBD CCc1coc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 581206940 NSZCWOCRWAMBIH-UHFFFAOYSA-N 400.822 4.663 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c2cnn(Cc3cccs3)c2n1 603457488 NYRZLIWRYAMONL-UHFFFAOYSA-N 421.482 4.627 5 20 HJBD COc1cc(CNCc2cc(F)cc(F)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 603586144 RKDMPDBXVFXWPM-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD C[C@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 603955331 REDVWUXVYDTABF-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccnn1[C@@H]1CCCc2ccccc21 608961705 ABDMIVRDGPBLME-OAQYLSRUSA-N 419.485 4.548 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 609704666 RBNMHLARPNESLH-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccc(OCc2ccccc2)cc1 609784471 USMVQBQLTHWNHZ-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2ccccc2F)CC1 609927720 JKUIHFRXSKSEQE-UHFFFAOYSA-N 409.364 4.747 5 20 HJBD O=C(Nc1ccc(F)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 610042747 PBDIWBSVUSECOJ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610303808 HIQQWBKPUJCIBP-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD C[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 611336681 YCLUAUDMDLFTHE-PGGUUEOZSA-N 400.525 4.912 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@@H](Cn3cnc4ccccc43)C2)cc1[N+](=O)[O-] 619544562 OOKAIDGCCGCOBL-QGZVFWFLSA-N 406.486 4.620 5 20 HJBD Cc1c(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 727709093 IIAGQPOBUPGZNE-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C/C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 729871907 MOAOUILSGJMCKM-NRMKIYEFSA-N 423.425 4.579 5 20 HJBD CC(C)Oc1ccc([C@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1 731973877 MPFKROODWFZKPW-LBPRGKRZSA-N 414.430 4.551 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 735250338 FJTGMYJXNDSDHE-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD CC[C@@H](C)c1ccccc1OCC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442389 GPMKTASNRJMLTI-OAHLLOKOSA-N 410.426 4.886 5 20 HJBD COc1cccc(CN(Cc2cc([N+](=O)[O-])ccc2Cl)C2CCC(O)CC2)c1 735490898 UQQGGLDHYBXRLR-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccc(Cl)cc2)no1 743071293 MYTIWQCFJPTXAH-SECBINFHSA-N 422.228 4.834 5 20 HJBD COC(=O)[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1Cl 744480065 UHCRGLVSEGIMNV-AWEZNQCLSA-N 418.616 4.626 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)c2ccc(C(C)C)cc2)cc1SC 744952602 MMDUVGXQXQMVFD-CYBMUJFWSA-N 417.483 4.877 5 20 HJBD CN(Cc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)OC(C)(C)C 745339997 XNPGHCRZRXPPQL-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CC[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 745929649 JQZGRYHBMXIFEU-VIFPVBQESA-N 408.789 4.996 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1ccc(Br)c([N+](=O)[O-])c1 748641563 VASHMCFHCWNOIU-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@H](OC(=O)COc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccc(Cl)cc2)o1 751603934 MMKAMTRXKVAYAP-LBPRGKRZSA-N 402.790 4.586 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cc(-c2ccco2)nn1-c1ccccc1 752980535 LLDLINRHBWXQFB-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] 756532394 NMDOVRCCYDYVAY-UHFFFAOYSA-N 406.822 4.743 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)cc1[N+](=O)[O-] 756800992 PYTVWICIAZCCOZ-UHFFFAOYSA-N 422.812 4.852 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1OC(C)C 756920229 BDKMJRUAULRIMI-ZDUSSCGKSA-N 421.881 4.604 5 20 HJBD C[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2n1C 764532121 FWWJJXRPWKZBIL-LBPRGKRZSA-N 421.375 4.737 5 20 HJBD CCc1nn(C)c(Cl)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 767545106 ZHKYQNMTETUOJH-UHFFFAOYSA-N 400.822 4.589 5 20 HJBD Cc1cccc([C@@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 769304111 WBWFLVCTYVSKJQ-FQEVSTJZSA-N 408.479 4.518 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@@H](O)c2cccs2)c2ccncc12 772901686 RRVKDUVUDLRAEV-OAQYLSRUSA-N 405.479 4.945 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1cccc([N+](=O)[O-])c1Br 782164850 VWYFFLLYSFFURR-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Cl)C(=O)N(Cc1ccc2ccccc2c1)C1CC1 783313772 UWOZKJRVNSGFRP-UHFFFAOYSA-N 423.856 4.531 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784536565 UNSQMPLWPSESDA-ZDUSSCGKSA-N 404.344 4.990 5 20 HJBD Cc1cnc(COC(=O)c2cc(-c3ccccn3)nc3ccccc23)c(C)c1[N+](=O)[O-] 789446992 XZTYNCFJVZSVQO-UHFFFAOYSA-N 414.421 4.574 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 789907971 MEOATIDGJMVKHY-IBGZPJMESA-N 412.396 4.631 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1csc(-c3ccco3)n1)CC2 792895700 AKCMDCGWBGRWEK-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] 800354546 WWUFNJRNOGDUPS-UHFFFAOYSA-N 408.254 4.596 5 20 HJBD O=C(NCc1cccc(COCC(F)(F)F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809918585 XXWYNMRLHHQWSY-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812929549 IWWNEYPXCKPBHW-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cn(-c2ccccc2)nc1-c1cccnc1 813243047 ZYAYDDMQAQPXPR-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 813629496 YFIUWBZUSSZZMM-DJJJIMSYSA-N 410.392 4.726 5 20 HJBD O=[N+]([O-])c1cc(CNC2(Cc3ccc(F)cc3Cl)CCOCC2)ccc1Cl 815159624 WQGIMNIGIZEQIC-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD Cc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 817038817 JOSNKHFKTFOHKE-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(Cl)ccc1Br 913727106 LNBDTQQNERAHKJ-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD O=C(O)/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 915854880 APVFYFGCDFFXRE-MDWZMJQESA-N 404.378 4.737 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 916668871 GZWBAMXPWIZKIB-UHFFFAOYSA-N 405.241 4.973 5 20 HJBD CC(C)(c1cccc(C(F)(F)F)c1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1322205169 JVNUJORRTYSBKA-UHFFFAOYSA-N 407.348 4.902 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2c(c1)oc1ccccc12 1322552027 SNESHQJDRFOHEQ-UHFFFAOYSA-N 415.361 4.901 5 20 HJBD COc1ccc(-c2nnc(-c3cccc(Cl)c3[N+](=O)[O-])o2)cc1Br 1326314696 GJASOCOCSYKTBG-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[S@@](=O)Cc1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1340715716 FJJYMGHTSDHVFB-HHHXNRCGSA-N 404.875 4.999 5 20 HJBD Cc1c(C(=O)COC(=O)CSc2ccc([N+](=O)[O-])cc2)sc2ccccc12 16620219 PWTNQAOPSGBBQM-UHFFFAOYSA-N 401.465 4.636 5 20 HJBD Cc1[nH]c(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)nc1Cc1ccccc1 31839766 HUYXBUUYTLKWGU-UHFFFAOYSA-N 407.455 4.559 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)cs1 53139687 KOOQWXYUZAHKGE-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCCc2cc(OC(F)(F)F)ccc21 106217026 KHBTXNSUBCKFKA-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD O=[N+]([O-])c1cc(CNC2CCN(C3CC3)CC2)ccc1Oc1ccccc1Cl 237932472 AOJTUIDSAMQDMV-UHFFFAOYSA-N 401.894 4.757 5 20 HJBD Cc1ccc([C@H](Nc2cc(C)ccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301591155 ZBZPAQHXMFESRE-QFIPXVFZSA-N 410.495 4.817 5 20 HJBD Cc1nc(CNc2ncc([N+](=O)[O-])cc2Br)oc1-c1ccc(Cl)cc1 301630884 CKYYAKHNOBGZIU-UHFFFAOYSA-N 423.654 4.981 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303709281 TYNRFRVAPGHIAK-UHFFFAOYSA-N 403.847 4.866 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 303747396 AVHRMMCAQDEPLM-OALUTQOASA-N 406.404 4.856 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 435868873 VPVCERIXHNVTQX-UHFFFAOYSA-N 412.367 4.875 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436089789 OTGIKMRSXIRMIB-UHFFFAOYSA-N 411.505 4.920 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@@H](c2ccccc2C(F)(F)F)C1 436324584 LLXQLJSCGBEUMT-CQSZACIVSA-N 421.297 4.605 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437118723 SELSVTIPCQNEJQ-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437376002 VNRFLHKYIGDSCP-UHFFFAOYSA-N 409.442 4.833 5 20 HJBD CSCCc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 437982387 WGVUWEKALWJHLW-UHFFFAOYSA-N 415.515 4.576 5 20 HJBD CC(C)C(C)(C)CNC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 438384958 KUGUAPZBVUPEND-UHFFFAOYSA-N 415.456 4.628 5 20 HJBD CC(C)N1CCC[C@H](CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442024743 LQWUUBBPJOOQOM-QGZVFWFLSA-N 413.543 4.596 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](c3ccccc3C(F)(F)F)C(F)(F)F)[nH]c2c1 444672724 GJFOXLUBOHCEBQ-HNNXBMFYSA-N 418.297 4.883 5 20 HJBD COc1ccc(CSCc2nc(-c3ccc(C(C)C)nc3)no2)cc1[N+](=O)[O-] 445499809 BGISOMXPCUGPJS-UHFFFAOYSA-N 400.460 4.605 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1c(F)cccc1F 447350601 KLVILFOCYDAHGH-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 462605947 WRCVJQCUGZEYEV-UYAOXDASSA-N 409.530 4.505 5 20 HJBD CC[C@H]1CCCC[C@@H]1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464154851 BTYYACZPCBLKHP-KSSFIOAISA-N 403.504 4.667 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2cc(Cl)ccc2O1 464666663 IDYMFZXLBQJTIN-LLVKDONJSA-N 415.858 4.799 5 20 HJBD Cc1sc(S(=O)(=O)Nc2ccc(-c3ncc(C(C)C)o3)cc2)cc1[N+](=O)[O-] 466962973 BEKRNWJEXLKYEC-UHFFFAOYSA-N 407.473 4.544 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC(C)(C)c2cccc(Cl)c2F)cc1SC 471652464 JQSCHWRQUTUWJQ-UHFFFAOYSA-N 412.870 4.783 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(n3c(C)nc4ccccc43)CC2)cc1[N+](=O)[O-] 474512701 GUDGYNFCXQLDPX-INIZCTEOSA-N 421.501 4.669 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N[C@@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])c1 480487603 GELJOWRKCDFDBR-HXUWFJFHSA-N 408.433 4.652 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccccc3)nc2)cc1SC 482986089 NGKKUMSWBRIMNE-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD O=C1CCCN1C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1 485397667 XWESHURFLCIIGL-IBGZPJMESA-N 420.444 4.572 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Br)c(Cl)c2)c1[N+](=O)[O-] 486436329 SQFZOJAIHLVRQB-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD COc1cccc(CCCNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 486547374 PIJBGSIMGPHOAW-UHFFFAOYSA-N 420.465 4.545 5 20 HJBD COC(=O)c1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 488387166 CARYPSVIPBEJOI-UHFFFAOYSA-N 406.435 4.521 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC(C(F)(F)F)CC1 491053170 SUGUAIRVFVUNMP-UHFFFAOYSA-N 407.392 4.621 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCOC2)cc1[N+](=O)[O-] 494768386 DVXYZWUZZOVCOP-LBPRGKRZSA-N 422.890 4.638 5 20 HJBD O=C(Nc1cccc(Nc2ccccc2)c1)c1cc([N+](=O)[O-])ccc1SCCO 497869439 LXTGHAFRWYOODH-UHFFFAOYSA-N 409.467 4.675 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3c[nH]c4ncccc34)c(C)s2)cc1[N+](=O)[O-] 503898352 TWDNTGFOBMSVPQ-UHFFFAOYSA-N 423.454 4.554 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](OC)c1ccc(OC)cc1 504564585 WMLOJXQCCKUKSR-JLTOFOAXSA-N 418.515 4.612 5 20 HJBD Cc1cccc(C(=O)N2CCCN(Cc3ccc(-c4ccccc4[N+](=O)[O-])o3)CC2)c1 506420430 DWAMXBKMFQSBAJ-UHFFFAOYSA-N 419.481 4.511 5 20 HJBD O=C(c1ccc(CCC(F)(F)F)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 507526442 GCDBTWXGDFFLLH-SFHVURJKSA-N 410.417 4.978 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(OC(F)F)cc1 509339645 CECPHLDNQYVRAL-CQSZACIVSA-N 407.417 4.877 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cc(F)ccc2OC(F)F)cc1[N+](=O)[O-] 510477424 STWFKHLQXVHROC-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)cs1 515642063 MOOYWIXTMAGEJA-CQSZACIVSA-N 411.483 4.673 5 20 HJBD CCC(=O)Nc1cccc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 521815033 ALTOMYIWRUMHMU-UHFFFAOYSA-N 409.442 4.502 5 20 HJBD CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](c1ccc(F)cc1)c1cccnc1 524836097 FHYVXKSCGNNZEB-QFIPXVFZSA-N 423.444 4.779 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])s1 525048248 BIPRAPNGPHICFH-NWDGAFQWSA-N 411.911 4.562 5 20 HJBD CCc1nc([C@H](C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n[nH]1 535688757 VNBAKPPPVFSGBV-LBPRGKRZSA-N 401.854 4.572 5 20 HJBD C[C@@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1ccc([N+](=O)[O-])cc1 536878353 RTUJMLWHJTXGTJ-VXGBXAGGSA-N 407.264 4.540 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)CC(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 538020494 GMLKFDOQSPHQBH-KBPBESRZSA-N 409.408 4.990 5 20 HJBD Cc1ccc(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c(Cl)c1 540450874 SMGYMBUFVUZEQR-UHFFFAOYSA-N 401.875 4.886 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc(-c2cccs2)ns1 541273493 VTXAOLCZLRGJEG-UHFFFAOYSA-N 412.905 4.559 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)c(C)c1 542511025 PDYPHFNVORKJIA-MRXNPFEDSA-N 412.461 4.576 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1NC(=O)c1ccco1 542539180 HJAYXBQOAFMKHN-CYBMUJFWSA-N 411.389 4.630 5 20 HJBD CSc1c(F)cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1F 543134027 PGCVWARIUYHRIU-UHFFFAOYSA-N 422.331 4.788 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2csc(-c3ccc4c(c3)OCCO4)n2)n1 547105044 ZWJQQSJGUVJOBX-UHFFFAOYSA-N 422.422 4.515 5 20 HJBD COc1ccc(Cc2nc(C3(OC)CCC(c4ccccc4)CC3)no2)cc1[N+](=O)[O-] 551958748 RCENBHWODIBUMQ-UHFFFAOYSA-N 423.469 4.777 5 20 HJBD COc1cc(C(=O)N2CCC(c3c(Cl)cccc3Cl)CC2)ccc1[N+](=O)[O-] 554726985 XLOLGHKDTAALGQ-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD Cc1ccc(C2(CN[C@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)CCC2)cc1C 560536774 MIXZYMGCJPKQRF-JOCHJYFZSA-N 404.514 4.746 5 20 HJBD Cc1cc(CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c2[nH]c(C)c(C)c2c1 560807195 JKHFJRWLQSXPMX-UHFFFAOYSA-N 403.486 4.692 5 20 HJBD Cn1ccnc1[C@H](NCCc1cc(Cl)cc(Cl)c1)c1cccc([N+](=O)[O-])c1 563693060 KVTKPSCPKLUWMB-GOSISDBHSA-N 405.285 4.557 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-c2nc3ccccc3n2C)c1)c1cccc([N+](=O)[O-])c1 567562383 DIDYXIBRVFXBBD-OAHLLOKOSA-N 400.438 4.891 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)C[C@@H]1CCN(Cc2ccccc2)C1 575010345 NHKRLZVXTBCOEG-IBGZPJMESA-N 422.554 4.672 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1sc2ccccc2c1Br 589328689 JZTHVYYLFYIKAN-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1ccc(Cl)cc1[N+](=O)[O-] 589509370 CYHLVSXXQVYHOR-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nnc4n3CCCCC4)C2)c([N+](=O)[O-])cc1C(F)(F)F 590132554 KIOQWKFHEJKWHC-CQSZACIVSA-N 423.439 4.624 5 20 HJBD COc1cc(CNCc2ccc(C(C)(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)F 590371008 MXXSZMQAONZWOZ-UHFFFAOYSA-N 416.371 4.649 5 20 HJBD O=C(CNc1ccccc1Sc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 595337311 WDDFJZQDPLBQAF-UHFFFAOYSA-N 405.479 4.747 5 20 HJBD Cn1c(C(=O)N(Cc2cccs2)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 603976059 XGWBLUJVGRBTCI-UHFFFAOYSA-N 420.288 4.604 5 20 HJBD CN(c1ccccc1-c1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 604439623 WUYTVTHKQRSUET-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)n2)cc1Cl 604565489 ICHZBDDYIZKIIC-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CCC[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608851145 LZVOJLPIJINDIG-CQSZACIVSA-N 410.348 4.614 5 20 HJBD O=C(NC1CC1)[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(F)cc1 609769596 TUEXVQKCABDRTQ-QFIPXVFZSA-N 421.428 4.958 5 20 HJBD COC(=O)C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(C)(C)C)cc1 609980826 GYGQOLACOZRYOI-SFHVURJKSA-N 418.877 4.580 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 610159999 XRXTZKTUIDRKCD-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD Cc1cnc(CNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)s1 610244020 OKGGUYFWSUKXCH-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 610476279 MKXVUJDGJKOZQV-RYUDHWBXSA-N 400.319 4.556 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(CCc2ccccc2)[nH]n1 611402844 IESJXQYCQBJQLM-UHFFFAOYSA-N 410.499 4.856 5 20 HJBD CCOc1cc(NC(=O)c2c3c(nc4ccccc24)CCC3)c([N+](=O)[O-])cc1OCC 619212948 BDQDADZIEWFYTD-UHFFFAOYSA-N 421.453 4.681 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2ccc(C(F)(F)F)cc2)cc1SC 728649486 LALQGTWYFWIARB-UHFFFAOYSA-N 401.362 4.701 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2c(F)cccc2[N+](=O)[O-])on1 728989573 YUUSJLCACYAMJD-UHFFFAOYSA-N 402.403 4.680 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729939431 CYAPQZLBNYQSFQ-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)OC(=O)CCn1cc([N+](=O)[O-])cn1 735799068 KXVLZFFEGMHZNI-LBPRGKRZSA-N 420.878 4.571 5 20 HJBD CC(C)(CCC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1)NC(=O)OC(C)(C)C 737555762 XLAOLXDECXELPG-UHFFFAOYSA-N 419.522 4.954 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1cc(F)ccc1[N+](=O)[O-] 744883519 YIHVUBRZVKEFAW-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(OCc2ccccc2F)cc1 747444669 CFWGNYADBJVMPK-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD O=C(Cc1csc(-c2cccs2)n1)Nc1ccc(Br)c([N+](=O)[O-])c1 755371094 UKGIBVQJMFSAHV-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 759505851 QPFCSZXYCZEYJB-AUUYWEPGSA-N 411.414 4.614 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 763321806 MQWKOHZEHFJCDG-HNNXBMFYSA-N 421.409 4.824 5 20 HJBD COc1cc(CNc2ccc([C@@H](C)NC(=O)OC(C)(C)C)cc2)c([N+](=O)[O-])cc1F 766067556 WPDVODVVQZHTOC-CYBMUJFWSA-N 419.453 4.940 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1F 776131152 COWIVDNHQHSQRQ-SFHVURJKSA-N 404.756 4.868 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccc(F)cc2)on1 776138496 LVBPACLGYZDJGJ-NRFANRHFSA-N 419.368 4.730 5 20 HJBD C[C@@H](C(=O)Nc1ccc(CNC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 777831501 FAWIYCORISKMGJ-CYBMUJFWSA-N 417.437 4.501 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccc2C(=O)N[C@H](C)c2ccccc2)c1F 788124944 QXOIKHRLPGZIKC-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD C[C@@H](N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc(N)c([N+](=O)[O-])c1 788640409 RZDSMLRUQOMFBB-VOIUYBSRSA-N 403.482 4.942 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1cc([N+](=O)[O-])ccc1Br 795482509 JHCSTJZNFFRMJJ-UHFFFAOYSA-N 420.240 4.612 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 800888157 RAPUNUMMTBBNCX-MUJYYYPQSA-N 422.453 4.506 5 20 HJBD O=C(CCSc1cccc(Cl)c1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 808701430 XMXIUXNQDAIJGT-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809965666 NBWYGWCCZCKDKV-FZMZJTMJSA-N 418.321 4.779 5 20 HJBD C[C@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1c(F)cccc1F 811219719 URCPEGUHNSNREI-NSHDSACASA-N 404.394 4.843 5 20 HJBD CCN(Cc1ccc(OC)c(OC)c1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812836683 RWGNENOESCVABF-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n(-c2ccccc2F)n1 812901392 VHQKYTPVAMVMGY-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CCN1Cc1ccccc1 813043966 WJOJJOMLBMOZNX-CJNGLKHVSA-N 422.312 4.685 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(N2CCCOC2=O)cc1 814263447 MIVMUKBHOWGKSU-LBPRGKRZSA-N 407.373 4.716 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 854572464 YJOQGHVCNWZQMF-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD COc1ccc(NCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])c(F)c1 864042548 RLBYDUMOFWYTKU-UHFFFAOYSA-N 412.417 4.942 5 20 HJBD CCC(CC)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866486497 JHSVUYAGVDSVIN-SFHVURJKSA-N 404.850 4.907 5 20 HJBD Cc1c(C(=O)O[C@@H](C(=O)c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 875883185 QITGOGKZYGLQNF-OAQYLSRUSA-N 420.377 4.592 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1COc1ccc(Cl)cc1 915308212 ULXKNVICMODNPL-CQSZACIVSA-N 410.257 4.977 5 20 HJBD CC(C)c1ccc(OC2CCN(c3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)CC2)cc1 917083695 ZXUZCSMCFOJYDQ-UHFFFAOYSA-N 423.513 4.658 5 20 HJBD Cc1c(OC(=O)C2CCN(C(=O)c3cccc4ccccc34)CC2)cccc1[N+](=O)[O-] 917756124 ZTBBWBXYVFYPLD-UHFFFAOYSA-N 418.449 4.514 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 1319658681 YKDMAIFHASNBBJ-LLVKDONJSA-N 412.870 4.507 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2Br)nnc1-c1ccco1 1320393513 HXPOMIDLPULZFI-UHFFFAOYSA-N 409.265 4.521 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ncc(-c2ccc(Cl)c(Cl)c2)o1 1324335292 UYTSFPAVXKKXLL-VIFPVBQESA-N 410.213 4.813 5 20 HJBD C[C@@]1(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CC=CCC1 1785751532 HWHKIOQLTWBZSS-JOCHJYFZSA-N 423.469 4.682 5 20 HJBD O=C(Nc1cccc(Cl)c1N1CCOCC1)c1cc2cc([N+](=O)[O-])ccc2s1 14126630 REEKZSMTTTUTPR-UHFFFAOYSA-N 417.874 4.552 5 20 HJBD C[C@@H](OC(=O)C1(c2ccccc2)CCCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17668559 CRDUQEPDPGSQPK-OAHLLOKOSA-N 407.426 4.761 5 20 HJBD Cc1occc1-c1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])n1C 22060592 AHJVDJYURFTMCD-UHFFFAOYSA-N 420.450 4.674 5 20 HJBD C[C@H](OC(=O)Cc1ccc2ccccc2c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 24978298 NIKAZLDLTNQBJI-ZDUSSCGKSA-N 412.829 4.514 5 20 HJBD Cc1ccc(-c2nn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c(=S)n2C)cc1 27533324 HKDOPQPRWDNQGA-UHFFFAOYSA-N 417.922 4.578 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 63069657 PMZQYDAYMWPCIR-SFHVURJKSA-N 401.875 4.899 5 20 HJBD CC1(C)CC[C@@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc21 63620992 AIGCGEZWPUJTOU-HXUWFJFHSA-N 407.514 4.740 5 20 HJBD O=[N+]([O-])c1ccc2nc(NC3CCN(Cc4ccc(F)c(F)c4)CC3)sc2c1 111190700 ZSPYYAZDMIVJFJ-UHFFFAOYSA-N 404.442 4.559 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])c2ccccc2)cc1 147019057 CDDYWYCPDVZQBM-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD CCc1cc(Oc2ccc(Br)nc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301610715 CULOCYQETIYPDN-UHFFFAOYSA-N 401.220 4.564 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 303640836 RYOQWVMDRPGBPI-FQEVSTJZSA-N 405.401 4.782 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 409767866 BOJIEVSZBBWSRC-PEELUZDISA-N 406.841 4.651 5 20 HJBD Cc1c(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cccc1[N+](=O)[O-] 437012353 DXCVPPXKEFSLKI-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 437153594 HAHYNXGQPHLECO-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(CC(F)F)c1cccc(Cl)c1 440674410 OOSNOEMLDQQDMP-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD CCCN(C(=O)Cc1ccc(OCc2c(C)noc2C)cc1)c1cccc([N+](=O)[O-])c1 441448940 MEHKEFYFVVKYEQ-UHFFFAOYSA-N 423.469 4.764 5 20 HJBD COc1ccc(C2(CNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CCC2)cc1 444296775 RAYWHBXGWIEGJF-UHFFFAOYSA-N 412.489 4.542 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccnc3)n2C2CC2)c(C(F)(F)F)c1 448112439 RTZDXOVPUSVAJG-UHFFFAOYSA-N 421.404 4.894 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCNc1ncc(C(F)(F)F)cc1Cl 460812451 JLORQHMRIJUCIR-UHFFFAOYSA-N 416.787 4.720 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 463963888 KAIIRDCIDQNPFO-GOSISDBHSA-N 402.539 4.720 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Br)cc1F 464718300 ZTTAJXLNCJCBTG-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD CC(C)[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1F 468386596 ZTQWEADRDCJVHJ-NRFANRHFSA-N 417.456 4.603 5 20 HJBD O=C(Nc1ccccc1O[C@H]1CCOC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 470764061 FOZSMOJFPMQGSL-SFHVURJKSA-N 419.437 4.758 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccs1)CC(F)(F)F 470788547 MQPTZGHPTZBOSP-LLVKDONJSA-N 404.435 4.728 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 472712156 YXDYZRBYCARPPB-UHFFFAOYSA-N 402.501 4.934 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cnc(C(C)C)nc3)cc2[N+](=O)[O-])n1 478646052 NIBMQSFFBXDEJE-UHFFFAOYSA-N 415.500 4.677 5 20 HJBD COc1cccc(Cc2nnc(NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)o2)c1 479784331 YCPCBPLKILSGGL-UHFFFAOYSA-N 422.466 4.918 5 20 HJBD COc1c(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cccc1[N+](=O)[O-] 481902226 VAZHSBADTBGTRU-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Cl)c1F 484056706 DJWLAEAJNSSHIJ-UHFFFAOYSA-N 402.756 4.905 5 20 HJBD O=[N+]([O-])c1cc(CNCc2c[nH]nc2-c2c(F)cccc2F)cc(C(F)(F)F)c1 487171388 KWNDKEKGZQHWNA-UHFFFAOYSA-N 412.318 4.572 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCC(=O)Nc2c(C)cccc2[N+](=O)[O-])o1 488818194 GAXITAZKKXUBLY-HNNXBMFYSA-N 412.471 4.559 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC2(CC1)OCCc1ccsc12 492604715 XWZMHESRTPORDH-UHFFFAOYSA-N 402.516 4.508 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)CCCc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] 492939187 YJSIGEYVHPYCBJ-KRWDZBQOSA-N 422.485 4.893 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2s1 498881359 AVRWUIGVNQQAIY-HXUWFJFHSA-N 407.426 4.863 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 499046535 UCMYTSRDXKXMCP-UHFFFAOYSA-N 417.425 4.601 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(F)c1 499625760 JBXZLHNYGXOJIV-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccccc1COCc1ccccc1 499978606 JNUJFKMFUFAUKT-UHFFFAOYSA-N 405.454 4.752 5 20 HJBD O=C(N=c1nc(-c2cccc(Oc3ccccc3)c2)[nH]s1)c1ccccc1[N+](=O)[O-] 505210648 OOUWURSXOFODRH-UHFFFAOYSA-N 418.434 4.580 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)[C@@H]3CCCN(C4CCC4)C3)o2)c([N+](=O)[O-])c1 512392093 RWBCXWCJEAVQAZ-DLBZAZTESA-N 413.518 4.606 5 20 HJBD CN(C)C(=O)Cc1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 513021160 YXKNJZLWRSUQLG-UHFFFAOYSA-N 418.497 4.606 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 515067927 LHFHJAMJHYCXOB-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3ccc([N+](=O)[O-])cc3F)cn2c1 518739140 PLDCVKRLJOBMPE-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 520555470 WHVZSCBHIUCFHE-AWEZNQCLSA-N 420.469 4.509 5 20 HJBD COCC1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCC1 522017309 AOTCTKYYGRMQIA-UHFFFAOYSA-N 422.840 4.613 5 20 HJBD CC[C@H]1CCCN(C(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)CC1 522678622 JXBSSANCYQYZHF-KRWDZBQOSA-N 423.513 4.544 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](c1ccc(F)cc1)c1cccnc1 525149080 WWBXYHIEEPNLCQ-QFIPXVFZSA-N 423.444 4.779 5 20 HJBD CCC(C)(C)N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 527444811 JHLARYURLVXOPY-UHFFFAOYSA-N 406.486 4.637 5 20 HJBD Cc1ccccc1[C@H](OC[C@H](O)CN[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 538174488 BNEHYSSIJYBOCS-DNVKUUNQSA-N 420.509 4.721 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1COc2ccccc21 538561646 IZCUYOBADRTYGT-RDTXWAMCSA-N 400.500 4.782 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 541638086 HEANFLOWTSXFMC-UHFFFAOYSA-N 402.447 4.570 5 20 HJBD CC(=O)Nc1cc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])ccc1Cl 543120951 JOQDTPIAKWOSMC-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD C[C@H](Oc1ccc(F)cc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 547153733 JYAVUJRAUDIFGI-JTQLQIEISA-N 422.210 4.610 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(C(=O)c2ccccc2)c1 548943475 MSMCNNJAHGIMCS-UHFFFAOYSA-N 403.438 4.532 5 20 HJBD CCS(=O)(=O)c1ccc([C@@H](C)Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 557742732 URCRJJBUIBCORA-LLVKDONJSA-N 402.394 4.580 5 20 HJBD CC(C)n1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)cn1 560328827 XPZMGPXTNBRIKY-UHFFFAOYSA-N 413.865 4.890 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCCc2c(OC(F)F)cccc21 569494137 BCHPYMYEPFOPBP-UHFFFAOYSA-N 420.415 4.876 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 569550642 MWDSAOVUBBFGHF-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD C=Cn1cc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cn1 571849388 NGYIGNOVRBBTQS-UHFFFAOYSA-N 402.813 4.766 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1C1CC1 578676015 QRWLQHBKDWVNLD-JTQLQIEISA-N 421.295 4.963 5 20 HJBD CC(C)(NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(C(F)(F)F)c1 581093505 HUMPSXAQNSBIDT-UHFFFAOYSA-N 406.364 4.689 5 20 HJBD COc1ccc(CSCC(=O)Nc2csc(-c3ccccc3)n2)cc1[N+](=O)[O-] 588079300 LJJOZFRHTTVSKD-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD C[C@H](NC(=O)CCSc1ccccc1Br)c1cccc([N+](=O)[O-])c1 603966950 QEBWSTCMOMIJPB-LBPRGKRZSA-N 409.305 4.717 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(OCc4ccc(F)cc4)c3)n2)cc1 604005925 MZUYCMHABMWOCG-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 604016351 UJZKDFFKTMSBLY-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD COc1ccc(CC(=O)N2CC=C(c3cccc4ccccc34)CC2)cc1[N+](=O)[O-] 609016594 QFLINOSXAXPPKT-UHFFFAOYSA-N 402.450 4.615 5 20 HJBD CCOc1cc(C(=O)Nc2sc(C)nc2-c2ccccc2)c([N+](=O)[O-])cc1OC 609064119 UORDZHZYKDSALI-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@@H](c2ccccc2)C1 609780769 BBYCDIFBGCBVLO-JOCHJYFZSA-N 419.437 4.993 5 20 HJBD CCC1(O)CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 611314572 LYYPINWPRQGXIW-UHFFFAOYSA-N 423.307 4.791 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 613229232 OCPLPZCTPNRWRL-KJXAQDMKSA-N 410.514 4.790 5 20 HJBD Cc1nc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)sc1C(F)(F)F 619659288 FLHGSEVYJIHURR-UHFFFAOYSA-N 424.198 4.702 5 20 HJBD CC[C@H](C)c1ccc(OC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 726730154 ZNWSDHBZTFAVSU-YCRPNKLZSA-N 410.470 4.627 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(Oc2ccc(F)cc2)cn1 729378228 HEIPFBMBZJPQDZ-UHFFFAOYSA-N 406.373 4.515 5 20 HJBD O=C(c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1Cl)N1CCCCC1 730152441 CMHRHJOJJAKUQK-UHFFFAOYSA-N 418.906 4.683 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ccc1F 730457327 ZQICHILYCYWRBO-UHFFFAOYSA-N 411.336 4.611 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(COc2cccc(F)c2)c1 733914372 NHOHQWGIGHLKQD-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@@H](c2ccccc2Br)C1 734835324 VDELJLMURYIPLT-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1(c2ccccc2Cl)CCOCC1 741932669 YVNZJHWQSFGXIP-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD COc1ccc(Cl)c(NC(=S)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 742984577 LQIUGVSOAMVZFT-UHFFFAOYSA-N 403.794 4.667 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(N(C)Cc2ccccn2)c(F)c1 744202354 DZIHWHCCBNZMDC-INIZCTEOSA-N 423.444 4.612 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)c1 745388817 WAMCJQDAODHHSX-KRWDZBQOSA-N 424.375 4.742 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccc(C(F)(F)F)cc1 748388185 FRLKEXJJTYOOJK-ZRDIBKRKSA-N 422.359 4.507 5 20 HJBD CCc1nc(C(=O)Oc2cccc([N+](=O)[O-])c2C)nn1-c1c(Cl)cccc1Cl 748622312 DDYDZZRNDISGCU-UHFFFAOYSA-N 421.240 4.572 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2s1 754711317 MAEXDUCIDWXWGY-MNOVXSKESA-N 404.879 4.536 5 20 HJBD C[C@@H](CSc1ccc(F)cc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760531692 GBNWRYMIDMLEDC-ZDUSSCGKSA-N 416.430 4.861 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 762992137 YUIHPRDXBGDEPR-CYBMUJFWSA-N 424.457 4.914 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nc2ccccc2n1C(F)F 764978268 VOVWYEFHGJGMLJ-CQSZACIVSA-N 403.385 4.652 5 20 HJBD CC(C)n1cc2c(n1)[C@@H](Nc1ccc([N+](=O)[O-])c(OCc3ccccc3)n1)CCC2 765059997 HXXXYYJSHWLRTL-SFHVURJKSA-N 407.474 4.836 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3cccs3)n2Cc2ccco2)o1 768412809 SKFKCGPCNKIDPB-JXMROGBWSA-N 400.441 4.912 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H](C3OCCO3)C2)ccc1Oc1ccccc1Cl 769306273 PQZMCKOISANRPW-MRXNPFEDSA-N 418.877 4.625 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3cc(F)cc(C(F)(F)F)c3)[n+]2[O-])c1 772805132 FXMNGYIEYGURAU-SNAWJCMRSA-N 405.307 4.619 5 20 HJBD COC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775482611 SCPBMDKROPBBDN-UHFFFAOYSA-N 403.460 4.550 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc3c(c2)C[C@H](OC)C3)cc1OC 783890841 HZMJYRRPMNWPBU-MGPUTAFESA-N 414.502 4.754 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1noc(-c2ccccc2)n1 784658503 PBZWZPRAZZGRKG-AWEZNQCLSA-N 411.417 4.649 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)c1F 790422677 XMBRQXNRWAOYIP-UHFFFAOYSA-N 415.396 4.541 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NC(c1cccs1)c1cccs1 790754258 HPIYVDRTQRWYSO-UHFFFAOYSA-N 410.879 4.959 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(Cc3cccc4cccnc34)no2)c1 795710499 VLZXLQCOSGWVCH-UHFFFAOYSA-N 415.453 4.774 5 20 HJBD CCCN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](C)CC(=O)Nc1ccccc1 800238476 FIRLSKDZIRQRNV-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(Nc3ncnc4sc([N+](=O)[O-])cc34)ccc21 804409962 SHQYETWTWOQCAW-UHFFFAOYSA-N 413.459 4.641 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)cccc1[N+](=O)[O-] 806126911 SPIICSDUTKNLFD-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1c(F)c(F)cc(F)c1F 811216262 IBCWAHDYVNXOOI-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)cc1[N+](=O)[O-] 816762353 XDELUILQBPGERC-QMMMGPOBSA-N 419.812 4.875 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1noc(-c2ccc(Cl)cc2)n1 913387015 IJOJTGHSQVCXAC-NSHDSACASA-N 419.846 4.522 5 20 HJBD Cc1nc2ccnn2c(C)c1CCC(=O)OCc1nc2ccccc2c2ccccc12 919481875 CJCMLFSVBLVZJR-UHFFFAOYSA-N 410.477 4.724 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)Cc2ccccc21 919682267 XFSPXYNPDMEZRJ-SJORKVTESA-N 416.481 4.922 5 20 HJBD O=C(/C=C/c1cc(Cl)cc(Cl)c1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 1248616577 DGUYVCJNYOZACR-SNAWJCMRSA-N 420.208 4.708 5 20 HJBD O=C(c1cccs1)C1CCN(Cc2ccc(Br)c([N+](=O)[O-])c2)CC1 1321273893 QGRLONJVSXPCOR-UHFFFAOYSA-N 409.305 4.514 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(Cn3cnc4ccccc43)o2)ccc1Nc1ccccc1 1345726458 JNEOBCYMIUGITN-UHFFFAOYSA-N 412.409 4.786 5 20 HJBD COc1ccc2c(c1)c(-c1nc(Cc3ccccc3[N+](=O)[O-])no1)c(C)n2C1CC1 1347017872 IXRKWOAWCGRVAI-UHFFFAOYSA-N 404.426 4.842 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3[C@@H](c3ccccc3)C2)c([N+](=O)[O-])c1 1520160547 KTHYWHFIEZTIMH-HXUWFJFHSA-N 403.438 4.783 5 20 HJBD Cc1cc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1Br 5119348 AEMANZSLLMUAOY-JTQLQIEISA-N 412.671 4.758 5 20 HJBD O=C(CSCc1ccc(Cl)cc1)Nc1ccc([N+](=O)[O-])cc1Br 20969360 RHHMKZYKOPNGJP-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc3c(C)ccc(C)c3s2)cc1[N+](=O)[O-] 31886527 DFBBJJVXQSZTNS-UHFFFAOYSA-N 417.512 4.702 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 31991611 WBYMBBBHPZTBRL-UHFFFAOYSA-N 410.455 4.554 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1csc([N+](=O)[O-])c1 46614817 CKEOHMGIIOPPII-UHFFFAOYSA-N 413.455 4.562 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2cc(F)c(F)cc21 50312649 YTRLWVFSBHITJX-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 53461123 TWSMBXPWMDMECR-LBPRGKRZSA-N 418.375 4.505 5 20 HJBD Cc1ccc(-c2ccc(CN(C(=O)Cn3cc([N+](=O)[O-])nc3C)C(C)C)s2)cc1 65744781 XPVQZYVKEQMYQM-UHFFFAOYSA-N 412.515 4.574 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](Cc3ccccc3)c3ccccc3)o2)cc1 96981809 OKWWUBDTHRSSTE-OAQYLSRUSA-N 400.438 4.718 5 20 HJBD Cc1sc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)nc1-c1ccc(F)cc1 107512723 MDFXYDTYQNLSBV-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccccc1OCc1ccc(F)cc1 115521450 JLIKUPSMZCZIJS-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2nccc(C)c2[N+](=O)[O-])C3)cc1 301257350 DQDYXYHHQJMUTB-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD Cc1cc(C)cc(-c2nnc(Sc3ncc([N+](=O)[O-])cc3Br)o2)c1 301508428 CFBKCRKCIXKRDC-UHFFFAOYSA-N 407.249 4.570 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)ccc2OC(C)C)n(-c2ccc([N+](=O)[O-])cc2)n1 303004702 NOFMEQFWOHKCNM-UHFFFAOYSA-N 414.849 4.782 5 20 HJBD COc1ccc([C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1OC 303621616 NBROSZNLPSAVFE-LJQANCHMSA-N 423.469 4.647 5 20 HJBD CCn1nc(C)c(Cc2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)c1C 426416615 KKVFUPQVFHCMRH-UHFFFAOYSA-N 419.441 4.861 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(N3CCC[C@H]3c3nc4ccc(Cl)cc4[nH]3)n2)cc1 428710198 SCHXPRCHBFFEQH-INIZCTEOSA-N 410.821 4.516 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1cccc(OCC(F)(F)F)c1 431784683 ZAONFHJKJLASAW-UHFFFAOYSA-N 410.392 4.516 5 20 HJBD Cc1c(Br)cccc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437782666 YJUUVYIHJXEJGG-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD O=C(N[C@@H]1C[C@@H]2OCCC[C@@H]21)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 438921696 RCYMFODKYHYIGD-OIISXLGYSA-N 418.902 4.697 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2cccc([N+](=O)[O-])c2)n1 439645812 KAHFEQOANMPITD-UHFFFAOYSA-N 400.822 4.749 5 20 HJBD O=C(N[C@@H]1CSc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444037369 MXTMGJHVLNJPQQ-QGZVFWFLSA-N 408.429 4.536 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc([C@H]3CSc4ccccc4O3)no2)c(Br)c1 446016891 WASRSNZFHIOWED-CYBMUJFWSA-N 420.244 4.633 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCN(Cc2ccccc2)c2ccccc21 460103846 LMGODILTQZLCDH-UHFFFAOYSA-N 401.466 4.581 5 20 HJBD O=C(CCc1ccccc1Br)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460353832 QVNAPVZQKPVIMN-GOSISDBHSA-N 421.316 4.564 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCSCC2)cc1C 462484469 SANVNUVGXBGEHX-GOSISDBHSA-N 413.543 4.664 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(Cc2ccc(F)c(F)c2)CC1 468914416 INFAHKQJALIAQN-UHFFFAOYSA-N 400.381 4.714 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc([N+](=O)[O-])cc1Br 471504854 WIQOCRDTVKJUEW-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](OC(C)C)c1ccccc1OC 471567790 SSAHEEHFVGDGPE-IBGZPJMESA-N 418.515 4.612 5 20 HJBD Cc1c(CNC(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)cccc1[N+](=O)[O-] 479676409 WGCRDCCTYGCQJG-FQEVSTJZSA-N 410.861 4.540 5 20 HJBD COCCOc1ncccc1CN[C@@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480635146 WDCKRUOJNNOYQT-HNNXBMFYSA-N 413.499 4.594 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1ccccc1[N+](=O)[O-] 481482910 QJZMATUSHSYTRJ-HNNXBMFYSA-N 413.543 4.614 5 20 HJBD COCc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 481773454 HIKNVQQLIGNZER-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@H]1CCCC[C@@H]1C(F)(F)F 482223958 YFXWQOKLSUDQQL-LOWVWBTDSA-N 408.804 4.500 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484263193 DWVGDQTUVOHUBX-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD C[C@@H](NC(=O)COc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 485565431 ZOTJQGHBJNJUJC-MRXNPFEDSA-N 424.428 4.569 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)CC(C)C)c1 485943601 JGZCRNODFKTYJD-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD C[C@@H]1CSCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 489507813 CQYOOWKKMBAZKL-AWEZNQCLSA-N 419.934 4.685 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@H](C)c1ccc(Oc2cccnc2)cc1 489797305 QMUCHZTYRMBZFT-DLBZAZTESA-N 420.469 4.850 5 20 HJBD COCCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@H](C)c1cccc(C(F)(F)F)c1 500321513 JPEQNAWVEAIFBJ-CQSZACIVSA-N 410.392 4.772 5 20 HJBD CC(=O)C[C@H](C)NC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 501455896 SPJHEMYRIHMOEM-VMOJBDSFSA-N 423.494 4.619 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(Oc3ccc(F)cc3F)CC2)cc1[N+](=O)[O-] 501661571 AXGZERYZNLLHJO-UHFFFAOYSA-N 418.440 4.854 5 20 HJBD O=C(c1ccccc1)N1CCCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 508024331 FKHRDLZLPCFGPM-UHFFFAOYSA-N 421.522 4.671 5 20 HJBD COc1ccc(CSCC(=O)N(c2ccc(Cl)cc2)C(C)C)cc1[N+](=O)[O-] 509174956 WPWNULJLLDYEGV-UHFFFAOYSA-N 408.907 4.932 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)NCc1ccccc1[N+](=O)[O-] 510194715 TUGPYIDRESWIMS-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](c3c[nH]c4ccccc34)C(F)(F)F)o2)cc1 513157872 DQMWLFJSMWYDNQ-QGZVFWFLSA-N 417.347 4.519 5 20 HJBD COc1cc(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)ccc1OCCC(C)C 515550195 CALGTRJRQKQYRU-UHFFFAOYSA-N 413.474 4.525 5 20 HJBD Cc1ccc(OCc2ccc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])o2)c(C)c1 519753276 HLCMGULQARSZHP-UHFFFAOYSA-N 408.454 4.698 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1 522880159 NDNHLTCTGORWFC-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 525075823 TWYNAEGAGGEBHC-INIZCTEOSA-N 420.465 4.699 5 20 HJBD O=C(NCc1ncccc1F)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 527023685 FHXSHVKCXNQWDF-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4ccsc4C3)cc2[N+](=O)[O-])n1 534076038 SUFYATBAZQGSHK-UHFFFAOYSA-N 417.537 4.771 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H]1c1cccc(Br)c1 534270208 POPHEMIVXNSMGD-HOCLYGCPSA-N 421.316 4.755 5 20 HJBD CC(C)CCc1nc(C[S@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cs1 536305119 LGJQOSRKNKDZHD-MUUNZHRXSA-N 419.528 4.744 5 20 HJBD COc1ccc([C@H](C)CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 540453086 OBJIUUIMSVDWHV-CQSZACIVSA-N 411.483 4.884 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 542232602 UNKLFIRGQAJOPD-GHMZBOCLSA-N 410.845 4.825 5 20 HJBD Cc1sc(CCNC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccccc1 542599832 FCKATMCTXXEYKT-ZDUSSCGKSA-N 413.474 4.628 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OCCOc3ccccc3[N+](=O)[O-])cc2)cc1 542979608 HEULZKOOXIDLNZ-UHFFFAOYSA-N 406.438 4.638 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2NCc2ccccc2C)ccc1[N+](=O)[O-] 543013458 CUBXLTMULXVEIK-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543155640 PRRMIESUDMNPNK-LLVKDONJSA-N 421.931 4.697 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 543445125 FIYWOWMDZVLDMH-MRXNPFEDSA-N 409.364 4.991 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccc([N+](=O)[O-])cc1F 544403228 ZNQWMCXVJXGNSP-LBPRGKRZSA-N 410.430 4.852 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCC2CCCCC2)CC1 545935575 VDSVMKUKGJCWAU-UHFFFAOYSA-N 409.914 4.519 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 546327827 PUHCVUBUSNAFKP-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4c[nH]c5ccc(F)cc45)no3)cs2)c1 547164508 PYCGYPQYEMPEAK-UHFFFAOYSA-N 421.413 4.980 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cccc1[N+](=O)[O-] 558863605 AERRLMLPJSZOKU-ORAYPTAESA-N 421.419 4.990 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)c1 559187031 VAVIGGDPRYCXEG-DLBZAZTESA-N 400.784 4.887 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccccn3)cc2)c([N+](=O)[O-])cc1OC(F)F 564521016 KGZZVVRVTQKBDW-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 570387572 GJLHRICFRKJTLN-MRXNPFEDSA-N 403.438 4.898 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OC 603772847 NUWWMVAVNPRWIR-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 603990255 KMDKOJJIEVFBPZ-QZTJIDSGSA-N 410.518 4.523 5 20 HJBD CC1=NN(c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)cc2)CC1 603997259 ICFCTLHVWZMILM-UHFFFAOYSA-N 421.482 4.865 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](CC(F)(F)F)c1ccccc1 604447189 SFIWVFQLHSSKHS-FZMZJTMJSA-N 416.783 4.825 5 20 HJBD CCN(C(=O)NCCc1ccc([N+](=O)[O-])cc1)[C@@H](C)c1cccc(C(F)(F)F)c1 604495541 BJGSYUOFFIEZET-AWEZNQCLSA-N 409.408 4.949 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1nncn1C 609222729 FUQWVEPOKMRDFO-OAHLLOKOSA-N 409.490 4.664 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CCCC[C@H]1c1cc2ccccc2[nH]1 609381363 DZAQWIZKRZBBIE-QFIPXVFZSA-N 415.453 4.629 5 20 HJBD C[C@](CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)(C(=O)O)c1ccccc1 609768799 KOTVAPHZFZTCCU-HSZRJFAPSA-N 420.421 4.758 5 20 HJBD COc1cc(CN2CCS[C@H](C)[C@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 609829422 JKIYQFIYWQSRHE-YJYMSZOUSA-N 424.469 4.883 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 609855574 GMNUVAVJKPWRLV-JZYVYDRUSA-N 418.721 4.888 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@H](C[C@H]2CCOC2)C1 611355698 GZVORGSTYZIPAF-QZTJIDSGSA-N 410.470 4.666 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n(-c2cccc(Cl)c2)n1 612447734 MWLBZYHXHLELRV-LBPRGKRZSA-N 402.813 4.624 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 613019213 FLJILLJZQXKHFR-UWJYYQICSA-N 414.477 4.638 5 20 HJBD Cc1oc(-c2cccs2)nc1CC(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 729117612 GXIMBCPBXKKIQD-UHFFFAOYSA-N 416.455 4.693 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C(=O)OCc2ccccc2)c1 730061769 WVKBYQGBOAVAMG-UHFFFAOYSA-N 419.437 4.616 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@H](C)c1ccc(C(F)(F)F)cc1 733521610 DURYHXVAFCTQEG-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cc(C)nc21 734782257 RAOSETAZNXRVPD-LBPRGKRZSA-N 402.838 4.629 5 20 HJBD CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 735802954 OMDGWIYTOJGSBR-UHFFFAOYSA-N 418.862 4.889 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(C)(C)C)sc3c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742775810 AYXHEIXFYJAQFF-UHFFFAOYSA-N 414.443 4.971 5 20 HJBD C[C@@H](OC(=O)c1c[nH]cc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744751290 LCMJGGLLBVRCNL-CYBMUJFWSA-N 404.382 4.558 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2)c1 745332230 FCBSJJZTVDQOCP-QHCPKHFHSA-N 418.449 4.677 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])co2)cc1 745564756 FEXLEJSBRZUPKT-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD C[C@H](Nc1ccc(OCc2ccccc2F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 747458649 NPZDUCWJVIIFIZ-HNNXBMFYSA-N 409.417 4.752 5 20 HJBD Cc1cc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)nc(-c2ccc(Cl)cc2)n1 751703298 GBQGAILFRNDEHL-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1cc([N+](=O)[O-])ccc1Br 757802242 OQRNHHNURWPVRA-MRXNPFEDSA-N 417.259 4.534 5 20 HJBD O=C(Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764211442 RTEBIKKEUNKVER-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD CO[C@@H](C)COC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782308204 KVWLJEMSACQITO-LUYJPIOASA-N 412.467 4.713 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1sc(N2CCCC2)nc1Cl 782425668 UJMAASOTJWBLAC-UHFFFAOYSA-N 420.800 4.576 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Cl)c1C 783275870 UJFOJOUZQWSEPU-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD Cc1nc(C)c2c(C)c(CNCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)sc2n1 785522243 PMIVCRSNIAQGPU-UHFFFAOYSA-N 410.421 4.833 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2N)cc1 787048517 BHNAZZIASCDPDD-OAHLLOKOSA-N 405.454 4.686 5 20 HJBD C[C@H](CCOC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)OCc1ccccc1 789102108 PUGFMPIOGBJVRV-QGZVFWFLSA-N 401.462 4.834 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)c2C(C)C)cc1 792514912 FIXBRECPDORTAP-UHFFFAOYSA-N 423.429 4.681 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2ccc(Cl)cc2)n1)c1ccccc1[N+](=O)[O-] 796441541 AFTGKCVHUCBKNG-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@H](c1nc2ccccc2o1)C(F)(F)F 798206914 CGXNSJTUYXLERN-QGZVFWFLSA-N 419.315 4.607 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)cc2)n1 801709935 BRGTXNIMRIOQCX-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD O=C(OCc1nc2cccc(Cl)c2[nH]1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 802885663 PKCXQFSPXRWDET-UHFFFAOYSA-N 414.830 4.605 5 20 HJBD COc1ccc([C@H](C)NCc2ccc(Br)c([N+](=O)[O-])c2)c(C)c1OC 805832433 ZGANOHVMFZWEMJ-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)ns1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812983271 KKYPSQCDPULNSG-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nccn1-c1ccccc1 813249168 ZPYCPOYAJWYBGT-LLVKDONJSA-N 405.241 4.578 5 20 HJBD O=C(NCc1ccc(CN2CCCCC2)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 915307793 VQAIGMCTDOQEQU-UHFFFAOYSA-N 402.882 4.556 5 20 HJBD COc1ccccc1[C@H](CNc1ccc([N+](=O)[O-])c(C)c1)NC(=O)OC(C)(C)C 917089746 XBPHSZFSFWZOCE-KRWDZBQOSA-N 401.463 4.590 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccccc1C(F)(F)F 917263814 RORODNXWWCGRHI-JTQLQIEISA-N 422.315 4.544 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])OCc1cc(Cl)ccc1OCc1cccnc1 918842853 PEJOVMSVYVGKES-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1323691560 SLGNNGIOKQQYHM-ZDUSSCGKSA-N 424.444 4.795 5 20 HJBD CN(C)c1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 1325365006 KFBLJJXSWJRHBU-UHFFFAOYSA-N 421.522 4.785 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(NC3C[C@@H]4CCC[C@H](C3)N4Cc3ccccc3)c12 1326084605 NZUDBYQZBPXJBQ-YQQQUEKLSA-N 403.486 4.536 5 20 HJBD CC1CCN(c2ccc(-c3nnc(-c4cccc(N(C)C)c4)o3)cc2[N+](=O)[O-])CC1 1329570714 XREMDQUCXBTFSD-UHFFFAOYSA-N 407.474 4.614 5 20 HJBD COc1cc(-c2nnc([C@H](C)Oc3ccc4ccccc4c3)o2)c([N+](=O)[O-])cc1OC 1345740514 PDCKVMHRFYETCV-ZDUSSCGKSA-N 421.409 4.955 5 20 HJBD C[C@@H]1c2cc3c(cc2C2(CCCC2)CN1C(=O)Nc1cccc([N+](=O)[O-])c1)OCCO3 10465765 DTAZVZYNHITBDL-OAHLLOKOSA-N 423.469 4.787 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(Cl)cc2Cl)cc1OC 24732633 PRXBFLQPBYNFNZ-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 58733856 NDQUAOQTARBGMX-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3ccc(F)cc3)c(C)c2)c1 63624149 NXXQBIRHZTZRIZ-UHFFFAOYSA-N 410.401 4.773 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64860434 XDQNCGQQJCVJRB-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CC(C)c1nc(CCNC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cs1 72081919 OHWSQFUUXUMOBU-UHFFFAOYSA-N 410.499 4.891 5 20 HJBD CCOc1cc(NC(=O)c2csc(-c3ccc(C)o3)n2)c([N+](=O)[O-])cc1OCC 97262886 DWBCEGAZPXCCRQ-UHFFFAOYSA-N 417.443 4.669 5 20 HJBD O=C(CSc1nc2ccccc2c(=O)n1C1CCCCC1)c1cccc([N+](=O)[O-])c1 216815121 MZADFOWARURXPG-UHFFFAOYSA-N 423.494 4.785 5 20 HJBD C[C@@H](c1ccncc1)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 301857864 JKAKGXYTGUMMNI-KRWDZBQOSA-N 403.486 4.689 5 20 HJBD CC(C)(C)Oc1cc(NCc2cccc(NC(=O)[C@H]3CCCO3)c2)ccc1[N+](=O)[O-] 301897903 WEOYVZRUNKKVNT-LJQANCHMSA-N 413.474 4.502 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC(C(F)(F)F)(C(F)(F)F)C1 303667555 IVEBNECNHKJRKW-UHFFFAOYSA-N 400.319 4.699 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cccc(F)c1Br 326968104 PAXHDFOPVDEAIT-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436246683 KWNFMCSYGSCVKY-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(Oc2ccccn2)c1 437481730 ZKHDYCLYGBNORO-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD Cc1c(CC(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 438839879 WDKPPTFNBOFHCC-LJAQVGFWSA-N 414.527 4.666 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccc1)Cc1ccncc1 439870297 GHCIDNALTUALGR-UHFFFAOYSA-N 420.469 4.665 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@H](c2ccco2)C1 441122268 WJBAXOVJBZJHBH-FQEVSTJZSA-N 409.398 4.586 5 20 HJBD O=C(N[C@H]1COc2ccc(F)cc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441290723 PTHHTVLTPZZERI-INIZCTEOSA-N 410.426 4.749 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446421646 XLULWJRWJUQWOS-UHFFFAOYSA-N 415.808 4.549 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCCc2n[nH]cc21 447636634 MVMAQZPIUFDFDL-UHFFFAOYSA-N 414.874 4.716 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CCSc3ccc(Br)cc32)c1 460150524 LKYBZTUMZHWMJG-HNNXBMFYSA-N 421.316 4.975 5 20 HJBD CC(C)Nc1cc(F)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 461460403 KYJISYXXXFPGGD-UHFFFAOYSA-N 403.457 4.753 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 464274659 IWNWWIWYSSPHFW-OSPHWJPCSA-N 414.480 4.904 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1Br 466296696 GCUBYLIVHZELQT-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@]1(CO)CCC[C@@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467518923 CCPRAMJMRKLEPX-FXAWDEMLSA-N 420.918 4.680 5 20 HJBD C[C@@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC[C@@H]2CCCCO2)c(F)c1 467987391 APKKCURSWYEFTR-APWZRJJASA-N 402.466 4.575 5 20 HJBD Cc1ccc([C@@H](NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c2ccccn2)cc1 472106042 MNJVAOXEXQJRNL-OAQYLSRUSA-N 424.888 4.583 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NCc1ccc(Cl)s1 475858741 VMHXJMKPKUEXCE-UHFFFAOYSA-N 408.888 4.733 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 479065251 IPLUBYDXPZDTCD-UHFFFAOYSA-N 412.461 4.867 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OC(F)(F)F)cc1 481670740 AHKGMRGJONZLBR-QWRGUYRKSA-N 400.328 4.613 5 20 HJBD COc1cccc(C(NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2cccc(OC)c2)c1 481740968 NHXGPXQYSMZHSG-UHFFFAOYSA-N 407.426 4.523 5 20 HJBD CSc1cccc(C(=O)NC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)c1[N+](=O)[O-] 486401607 DPSGRFSHLOHXQF-OXJNMPFZSA-N 400.500 4.523 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccn3)n2-c2ccccc2)c(F)c1 489408478 RZEMZOKDHAPBOI-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(C(F)(F)F)cs1 490046022 PWCQJDLXGTYLTL-BDAKNGLRSA-N 413.381 4.532 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 499310162 PYMYJJAAMRJFKY-QFIPXVFZSA-N 420.444 4.593 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C\c3cccc([N+](=O)[O-])c3)n2)cc1C(F)(F)F 499610477 KEJGFVPODPXTBB-YVMONPNESA-N 418.331 4.792 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC=C(c2ccc(F)cc2)CC1 502343137 WUSBZCOUNFBTLA-UHFFFAOYSA-N 409.442 4.787 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N(CC)CC)cc1 502546535 OPJQNDQUKSLISE-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c(OC)c1 505156872 OYXHXVVPJBTTMZ-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 506176307 WZKVBUQJJOKTOK-SFHVURJKSA-N 417.531 4.565 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1nnc(-c2c(Cl)cccc2Cl)o1 506569943 GSUBXAWXVJNLTN-UHFFFAOYSA-N 401.593 4.579 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cc2)n1 513421213 UKXFJEQYDWWIOR-UHFFFAOYSA-N 408.458 4.608 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2ccccc2-c2nnco2)cc1OC 513580167 LSSUZCWUSWSVFY-CQSZACIVSA-N 413.430 4.657 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1ncc(-c2ccc(Cl)cc2)o1 514898196 PJFFWXOBSUMGIB-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD COc1ccc(CNC(=O)N2CC=C(c3cccc4ccccc34)CC2)cc1[N+](=O)[O-] 520161467 DEPMBZSQUHMNNL-UHFFFAOYSA-N 417.465 4.756 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 520435432 SKSYNPDGUMNICY-YJYMSZOUSA-N 406.866 4.538 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(CN2CCCCCC2)cc1 521002603 CHWHHSAEZKJXDB-UHFFFAOYSA-N 406.486 4.564 5 20 HJBD Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 521480476 GORCZIUPGWRPPZ-IERDGZPVSA-N 416.481 4.889 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 522260364 QBEZXXSEABOTEW-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD O=C(NCc1ccc2c(c1)CCO2)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522709374 JEYVADTZSYGODY-UHFFFAOYSA-N 405.410 4.644 5 20 HJBD CC[C@@H](c1ccccc1)N(CC(C)C)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 525561713 UWTWOBPXRBBMCH-SFHVURJKSA-N 400.475 4.862 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N(C)Cc1cccc(C(F)(F)F)c1 532929746 VHYSISXFLJVYAY-NSHDSACASA-N 415.799 4.726 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 533768815 ZYDXZIZOZOJPAZ-ZDUSSCGKSA-N 401.492 4.726 5 20 HJBD Cc1cc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)ccc1F 534036260 HEBJJRHKFRWUIF-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@@H](C2CCOCC2)C1 535892364 OMHJVNNYWFYIQZ-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(OC)c(OC)c(OC)c1 537388604 FRHJUAVHCCLTCP-UHFFFAOYSA-N 420.487 4.765 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc(C(F)(F)F)cs1 538256256 GHOHCWKQPXZISK-UHFFFAOYSA-N 419.450 4.843 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1 539889568 FTMQRTNXUJOWQE-MRXNPFEDSA-N 402.516 4.750 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(COCC2CC2)c1 541303590 CRKXEECFYQSMNZ-UHFFFAOYSA-N 406.891 4.906 5 20 HJBD C[C@H](C(=O)N(CCc1ccccc1)Cc1cccnc1)c1ccc([N+](=O)[O-])cc1F 541664939 TZAXTTPIVJWFJS-KRWDZBQOSA-N 407.445 4.504 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 542033951 UZCYZWIYTMYJJV-CYBMUJFWSA-N 412.471 4.918 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccccn3)no2)ccc1NCc1ccccc1Cl 544922137 OQCBJSCYPRRBHT-UHFFFAOYSA-N 421.844 4.896 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2ncc(C(F)(F)F)cc2Cl)no1 545017002 DCCACKULHBJSRB-AATRIKPKSA-N 410.739 4.806 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 545221048 KTQOCMXTAJYYID-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@@]1(c2ccccc2)CCCCC1=O 551444521 WWYXYCZJDBRHLY-XMMPIXPASA-N 419.481 4.763 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccncc2OCc2ccccc2)cc1[N+](=O)[O-] 552318009 NLYUGJXSOOVUAX-QGZVFWFLSA-N 407.470 4.818 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)c1ccc([N+](=O)[O-])cc1 560160496 YYYNLXNWRRKFNN-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD C[C@H](Cc1cncc2ccccc12)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 567426778 KLPHXWQVNRYYGZ-OAHLLOKOSA-N 403.442 4.527 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 568348099 WSGJFJAVCPWJBY-MRXNPFEDSA-N 403.438 4.898 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N1CCC(F)(c2ccccc2C(F)(F)F)CC1 581033747 RSSVKOHWRCVHIA-UHFFFAOYSA-N 400.376 4.604 5 20 HJBD CC(C)(c1nc(-c2ccc(Oc3ncccn3)cc2)no1)c1ccc([N+](=O)[O-])cc1F 589082517 KHGGHUDYRAODFA-UHFFFAOYSA-N 421.388 4.692 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c2ccccc2)cc1 603832751 NMJRFYCBFFEBDK-NRFANRHFSA-N 401.422 4.604 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)c1 603969970 KDVWJHZOZYHZLS-INIZCTEOSA-N 424.501 4.822 5 20 HJBD Cc1nn(CCC(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 608803535 PJQOBMKPYUHDRP-CYBMUJFWSA-N 424.423 4.569 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2Oc2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 608805845 MOLVOEDKZJWLNH-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 609192220 GMPUVALKLAQFIA-MGPLVRAMSA-N 407.289 4.570 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1Cl)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609410904 IRZKHPXNVGSWDD-HNNXBMFYSA-N 411.285 4.590 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1c(F)cccc1[N+](=O)[O-])C2 609464287 BJGQXZNGAKSUDV-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD COc1ccc(Br)c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 609483078 ZRIRKASMFZVNBD-UHFFFAOYSA-N 419.275 4.955 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](c2ccc(F)cc2)C[C@@H]1C 609909452 JPVLQPLOSQDZNG-KCQAQPDRSA-N 406.841 4.559 5 20 HJBD O=C(c1ccc(F)c(C(F)(F)F)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610210266 DOQVBDQLEUEGHQ-INIZCTEOSA-N 400.353 4.641 5 20 HJBD CCCn1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1cccc(C)c1 611703491 TVCPEEUCMDVMDH-UHFFFAOYSA-N 406.471 4.666 5 20 HJBD CCc1ccc([C@H](C)C(=O)OCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 727924839 XVSQOTYFLUKDCE-LBPRGKRZSA-N 409.385 4.614 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCC(=O)c2cc(C)c(C)c(C)c2C)cc1SC 728650001 AEMAWAVRIKXCAM-UHFFFAOYSA-N 417.483 4.599 5 20 HJBD C[C@@H](c1ccccc1)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1 728705321 UIIRJHRBWGHHCZ-KRWDZBQOSA-N 400.438 4.739 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 729659657 XXMVFGCPCXHCRC-SECBINFHSA-N 421.400 4.935 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cc([N+](=O)[O-])ccc1Cl 731337150 OGVKOQAVIFQPTF-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)CCc2ccccc2F)cc1 731592705 PKYNXRDWFJZCLR-UHFFFAOYSA-N 424.428 4.850 5 20 HJBD Cc1nnc(-c2ccc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)cc2)o1 740712070 FBCTXPDRCYVVRE-UHFFFAOYSA-N 415.409 4.949 5 20 HJBD CC(C)OCCCN(C)[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 740883983 RFPGUCXFNAQVIW-FQEVSTJZSA-N 419.909 4.675 5 20 HJBD Cc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2F)nc(-c2ccc(Cl)cc2)n1 751688138 LLHCUIDCMCDTCF-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD CC(C)(C)c1csc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)n1 754993060 FPRYBBNWJOWGPG-UHFFFAOYSA-N 402.245 4.503 5 20 HJBD Cc1ccc(OC(=O)COc2ccc(C3SCCCS3)cc2)cc1[N+](=O)[O-] 755248534 GMOIQRHYTFODKY-UHFFFAOYSA-N 405.497 4.756 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 763023316 WIRPWLLQFNRPHG-DYESRHJHSA-N 407.470 4.585 5 20 HJBD C[C@@H](C(=O)N(c1ccc(N(C)C)cc1)[C@H](C)c1ccccn1)c1cccc([N+](=O)[O-])c1 763219421 JOXWROGAPORKOR-QZTJIDSGSA-N 418.497 4.954 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770418087 OEPHRFHZMYHQCD-GOSISDBHSA-N 412.396 4.556 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(/Cl)c1nc(O)c2ccc(C(F)(F)F)cc2n1 772798409 VBQPQTPVCHQZGB-WUXMJOGZSA-N 411.723 4.705 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc3ccccc3nc2Br)c1 788113848 ZNTNTHLTDNWTBK-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD Cc1nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])ccc1[N+](=O)[O-] 799348121 YUXWEPJZZCBZNF-UHFFFAOYSA-N 410.411 4.610 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc([C@@H]4C[C@H]4c4ccc([N+](=O)[O-])cc4)n3)c2)n1 904525618 VCHFEBNFYLUONH-LEWJYISDSA-N 415.453 4.778 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ccc(OC(F)F)cc1 912912548 DJGSARDOUZGDHI-AWEZNQCLSA-N 419.428 4.678 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Oc2cccc(NC(C)=O)c2)cc1 915136553 VJONSBZZJNGATG-UHFFFAOYSA-N 422.393 4.573 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c(C)c1 1115877949 QUVVIAAOUHHEQY-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(Cl)s3)C2)cc1[N+](=O)[O-] 1116167844 NKNYIWPBDLYOBZ-HNNXBMFYSA-N 408.907 4.821 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 1251444304 XCPSRPYOXRHLJT-UHFFFAOYSA-N 408.433 4.767 5 20 HJBD O=C(CCc1nc(-c2ccccc2)no1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 1318707014 YQIYBPMNAVINLR-UHFFFAOYSA-N 406.442 4.553 5 20 HJBD COc1ccc([N+](=O)[O-])cc1O[C@@H](C)C(=O)Nc1ccc(OCc2ccccc2)cc1 10845623 YHXHLBYDHJRWFU-INIZCTEOSA-N 422.437 4.588 5 20 HJBD CCCCSc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 22490190 JUZLHLHTJKCTJW-UHFFFAOYSA-N 409.518 4.681 5 20 HJBD C[C@H]1CCCC[C@H]1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 24177190 OAKZEXWXUNXPHN-HTAPYJJXSA-N 418.497 4.685 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Cl)c1 24997795 LFHNEHVQCFJYQV-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)cc1OC 29470532 INGSBIGWGHSOOU-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)Nc1cccc([N+](=O)[O-])c1 56328203 RZICFVMBMMSEJL-UHFFFAOYSA-N 401.316 4.656 5 20 HJBD COc1cc(C(=O)Nc2c(C)cccc2C)c([N+](=O)[O-])cc1OCc1cscn1 57194181 CZTBBSVAMGCVRH-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2[nH]ncc12 63716021 URFYWRKKAVJYDL-AWEZNQCLSA-N 416.441 4.600 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64899093 JGKKACJQDKRYGJ-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 65511774 QQYGTBWMCREUMC-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD C[C@@H](COc1ccc(F)cc1F)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 237470755 NGKJSKNNUQIWFP-LBPRGKRZSA-N 405.426 4.554 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c4cnccc34)cc2F)CC1 301161967 PUBHYUKMNPOPDM-UHFFFAOYSA-N 409.465 4.556 5 20 HJBD COc1ccc(CSCC(=O)N2[C@@H](C)[C@H](c3ccccc3)C[C@H]2C)cc1[N+](=O)[O-] 303904944 LSWFQBBWVIQWHL-JTDSTZFVSA-N 414.527 4.630 5 20 HJBD O=C(Nc1cccc(F)c1-c1nc[nH]n1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 428232321 UJEGIXUJCBVZIB-UHFFFAOYSA-N 418.388 4.515 5 20 HJBD COCc1c(Br)cccc1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 429080823 LICYEPKWRGFRJX-LBPRGKRZSA-N 422.279 4.695 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 431134047 DKOSHUVYSDVKLF-GOSISDBHSA-N 421.266 4.793 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)cc(Br)cc1F 443882468 UADOKGLBAICHRQ-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2c([nH]c3ccccc23)C1 444283396 CQTGRVXKKFMJAK-UHFFFAOYSA-N 411.436 4.500 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@H]3c3c(F)cccc3Cl)n2)c1 445579316 MLYVIWWPXQDAMY-OLZOCXBDSA-N 403.797 4.641 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 445996308 PQNDVBDBVAXFSN-IAGOWNOFSA-N 421.419 4.786 5 20 HJBD Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)oc1-c1ccc(Cl)cc1 447266048 JSKOGOLIGAZVKC-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@@H](Nc1cc(Br)cc(C(=O)N2CCCC2)c1)c1ccc([N+](=O)[O-])cc1 448025472 DIFRRZVZLTUGRC-CYBMUJFWSA-N 418.291 4.766 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c1 460849844 WLPHGLCVMBFLRU-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD CCCCN1C(=O)C2(CCCCC2)N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 463022922 KORIWAVAVLUUTK-SFHVURJKSA-N 414.531 4.652 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)c1 464149052 CTCWTMUJVPOXED-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(C1CCC(c2ccccc2)CC1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464639411 OTNYEWVUWOGWMA-UHFFFAOYSA-N 408.498 4.939 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(CC)(CC)c1ccc(OC)c(OC)c1 466508300 FDHVLAZHETUHAX-UHFFFAOYSA-N 416.474 4.707 5 20 HJBD CC(=O)Nc1cccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1C 468123419 DNWWFGIICYWNOZ-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2C)ccc1OCC(C)C 478802694 VMZQYLNCSQLGKE-MRXNPFEDSA-N 415.490 4.507 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 479438162 HZQULFCGQHLSBL-UHFFFAOYSA-N 412.829 4.683 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC2CCCC2)c1 481485923 YVHNIPYCKMKYCP-UHFFFAOYSA-N 416.499 4.907 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484194527 NVHHPGCMCKHZIV-UHFFFAOYSA-N 420.473 4.631 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccsc1)N(CC)CC 484492914 UZRNGZHKTUTFKH-KRWDZBQOSA-N 407.561 4.581 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 484895909 QQDZEUUJNJICMS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1ccc(-c2ccco2)cc1F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 487571841 JXMKREHFIPEYFF-UHFFFAOYSA-N 409.417 4.849 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C2(c3cccc(Br)c3)CC2)cc1[N+](=O)[O-] 488089279 JECFHAXUUSEVMK-CYBMUJFWSA-N 403.276 4.575 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)COc2ccc([N+](=O)[O-])c(OC)c2)c1C 488848251 AAUDJEXADDPIGJ-UHFFFAOYSA-N 416.474 4.635 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 489636766 SRDCEHIHDZAQPI-HZPDHXFCSA-N 422.403 4.992 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(-c2ccncc2)cc1 489805440 XXUKEOKSUWZJFM-CYBMUJFWSA-N 413.380 4.749 5 20 HJBD COc1cc(CNCc2ccc(Cl)cc2F)c([N+](=O)[O-])cc1OCC(F)(F)F 494441775 BCINGFOSOWSUHU-UHFFFAOYSA-N 422.762 4.627 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1OC(F)F 497998646 MQQUBIJUHIBRQA-NSHDSACASA-N 419.409 4.811 5 20 HJBD O=C(c1cccc(Oc2ccncc2)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498149644 OVZBGPFQESQZHA-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3ccccc3C2)cc1[N+](=O)[O-])c1ccccn1 498204506 IYPGNVDEELRZNZ-KRWDZBQOSA-N 416.481 4.752 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccncc2)n1-c1ccccc1 504529883 LFGGYDTXYOOTOP-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD Cc1cccc(CN(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506152870 JNGJMTCDRJZSPS-KRWDZBQOSA-N 404.470 4.744 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCc1ccccc1[N+](=O)[O-] 511093138 CHNFMEXFLOHCHB-SFHVURJKSA-N 403.482 4.763 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cn3cc(Br)ccc3n2)c(C(F)(F)F)c1 511716229 SDUUUCPRJUYREZ-UHFFFAOYSA-N 415.169 4.636 5 20 HJBD CC(C)c1ccc(CNC2CCN(Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 513631710 GIBSYAIESICZKN-UHFFFAOYSA-N 403.473 4.751 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)CC2)c(C)c1 515903943 RNFXLDCBPRXGEY-KRWDZBQOSA-N 409.486 4.577 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccccc1Cc1ccccc1 516344353 OKPPITZACRVHBZ-UHFFFAOYSA-N 402.859 4.640 5 20 HJBD C[C@@H](Nc1ccccc1OC[C@@H]1CCCCO1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 516838789 TUNQAPZFRXKWJZ-QAPCUYQASA-N 424.457 4.766 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 520141494 QQIVGSRWNSZIKL-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(-c2ccc(Cl)s2)on1 523550703 UOSKNCDOLIAKKB-UHFFFAOYSA-N 415.818 4.607 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C[C@H]1C 524888730 GRBJZTIYTPLHBU-SJLPKXTDSA-N 407.580 4.678 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1ccccc1COCc1ccccc1 530784717 UUIWWMHVVBJHEF-UHFFFAOYSA-N 404.466 4.561 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cnc(-c3ccccc3)s2)cc1SC 534341511 GQDOAVUUJJJQJK-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 534990648 QVCQGGCJSNNNPE-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD C[C@H](N[C@H](C)c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3)c1cccc([N+](=O)[O-])c1 539278505 RJWXTLLALXIGLZ-FRRDWIJNSA-N 412.515 4.842 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCO[C@@H]1c1ccccc1 541307306 LKWLBPXIICPHQL-FOIQADDNSA-N 420.918 4.624 5 20 HJBD C[C@@H](C(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1ccc([N+](=O)[O-])cc1F 541629003 LXJOCHWPZQJQKG-CYBMUJFWSA-N 418.852 4.612 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCCOc2ccc(F)cc21 542221939 RFBZPYJXFVXNNJ-CQSZACIVSA-N 410.854 4.510 5 20 HJBD Cc1ccc(OC2CCN(C(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 543121765 TVUDDSSDHLKGGR-UHFFFAOYSA-N 420.918 4.719 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nn1-c1cccc(Cl)c1 543457028 HFRXSJXSQMLPJQ-LBPRGKRZSA-N 402.813 4.624 5 20 HJBD Cc1ccc(-c2noc(Cc3ccc(OCc4c(C)noc4C)cc3)n2)cc1[N+](=O)[O-] 544966601 QGFVSVWEAMRRSO-UHFFFAOYSA-N 420.425 4.728 5 20 HJBD Cc1ccc(C)c(SCc2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)c1 546231997 VGXYURTZRCQGRR-UHFFFAOYSA-N 408.439 4.808 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c(Cl)c1 546634678 MDDCOTUMWGOYHN-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD O=C(CCc1nc2ccccc2s1)Nc1cc(Br)cc([N+](=O)[O-])c1 551333841 ZZUVNEPESKYSFA-UHFFFAOYSA-N 406.261 4.538 5 20 HJBD COc1cc(OC)cc(Oc2ccc(CNC(=O)c3c(C)cccc3[N+](=O)[O-])cc2)c1 551335255 SRSUMXPMLYDJRF-UHFFFAOYSA-N 422.437 4.643 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 557496425 BLHJHWTZAPYGMN-QRVBRYPASA-N 415.287 4.766 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCN(Cc2ccccc2)CC1(C)C 558755628 PCDVTSIRVLDNIU-FQEVSTJZSA-N 410.518 4.634 5 20 HJBD COc1ccccc1[C@H]1C[C@@H](C)CCN1C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 561510556 ZGNUFHMNLFIBKE-AUSJPIAWSA-N 400.450 4.846 5 20 HJBD COc1cc(Cl)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1C 574362754 KBYIDWWPFOQWLN-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD CN1CCC(Oc2ccc(CN[C@@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)cc2)CC1 579504348 XSYUUXOCETVKRD-HSZRJFAPSA-N 409.530 4.580 5 20 HJBD O=C(Nc1ccccc1)c1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 580718413 RLODDFMNAHVTFB-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3ccnc(-n4cccn4)c3)c3ccccc3n12 606758696 JCHNXQMUJPUHHE-UHFFFAOYSA-N 418.460 4.782 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1csc(-c2ccco2)n1 609769907 QVZSSYXBOOYECW-UHFFFAOYSA-N 417.468 4.543 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609853712 IIPWRCAHOYWLGI-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD CC(C)C(=O)N(C)Cc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 610174756 AJMXONNMCWSBGS-UHFFFAOYSA-N 423.513 4.799 5 20 HJBD COc1cc(C(=O)N2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 610186751 MNBDZYMPSXPFRW-UMVBOHGHSA-N 420.412 4.612 5 20 HJBD Cc1nc(-c2ccsc2)ccc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610209937 LMRXSKOFNWDFQD-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611203604 ZXFUHJFXEGOBET-UHFFFAOYSA-N 413.499 4.584 5 20 HJBD Cc1csc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n1 612522681 NSAVPWFIMUGJSU-UHFFFAOYSA-N 405.545 4.615 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1)c1cccc([N+](=O)[O-])c1 617769741 WMCGSYSQRXCFSD-VFNWGFHPSA-N 422.460 4.981 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3ccc(OC(F)(F)F)cc3)o2)cc1 726606094 SSUYYZOQINKNTA-SFHVURJKSA-N 422.359 4.577 5 20 HJBD Cc1cccc([C@H](C)C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 740347482 KGYRLKDUCXAFLU-QWHCGFSZSA-N 424.375 4.596 5 20 HJBD CC(C)(C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 740542554 DBOPKDKCSCPTRQ-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD CS[C@H]1CC[C@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 742383255 LBDZFRLDEPGKIF-RXVVDRJESA-N 408.527 4.821 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3cccc(F)c3Cl)CC2)ccc1[N+](=O)[O-] 744202715 COBXEJSIDFLLEP-UHFFFAOYSA-N 422.840 4.612 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C3(c4ccccc4)CCC3)CC2)ccc1[N+](=O)[O-] 744205351 YZNGYFSOEAFBIK-UHFFFAOYSA-N 424.497 4.627 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)[nH]c1C 747875075 IHOHGXVBKZTOBG-UHFFFAOYSA-N 419.466 4.978 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)c1 749985469 KNQGYMSCFAEDTR-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CCCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1cccnc1 750121266 NKKDFDBDBXRWIL-UHFFFAOYSA-N 414.512 4.963 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1Cl 756990689 JKJILXYLDQAVEN-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CC23CCC3)cc1)c1cc([N+](=O)[O-])ccc1Cl 759693804 JSVMEXFPLLXIQB-INIZCTEOSA-N 400.818 4.596 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764202629 IDXCRNMXBKYFJZ-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCC3(CCOCc4ccccc4)CC3)CC2)cc1 769986405 OKAZLIDKDALSBG-UHFFFAOYSA-N 409.530 4.540 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(-c3ccc(Cl)cc3Cl)no2)c([N+](=O)[O-])c1 771199029 IEHMWPQJUXDLLH-UHFFFAOYSA-N 409.185 4.645 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 777210922 DYIKWOXDHAXUNL-NJYVYQBISA-N 423.469 4.620 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 791020651 BQVIZMWFTBVZQO-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 791040518 GYQQXDZDTYKEGS-UHFFFAOYSA-N 406.801 4.606 5 20 HJBD CCOc1ccc([C@@H](C)NCCC2=CCN(C(=O)OC(C)(C)C)CC2)cc1[N+](=O)[O-] 794834269 GSERMVLVBGOGID-MRXNPFEDSA-N 419.522 4.601 5 20 HJBD CC(F)(F)CCOC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 797231428 KPTHJQROQXPXNC-UHFFFAOYSA-N 415.396 4.579 5 20 HJBD C[C@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798668512 ANFXAISOBUOOGP-QMMMGPOBSA-N 406.719 4.648 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 809912553 GUGMJYXTCYFYCY-ZDUSSCGKSA-N 405.813 4.514 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(CCc1ccccc1)Cc1ccncc1 809912921 OTCTWYQJBXIBMK-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD Cn1c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccsc2c1=O 809954292 RSXOJPMXWUQISO-UHFFFAOYSA-N 402.284 4.502 5 20 HJBD CN(Cc1ccccc1)CC(C)(C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813091444 HWKBOOGXWOMXTA-UHFFFAOYSA-N 410.301 4.542 5 20 HJBD CCOC(=O)/C=C/c1ccc(NCc2ccc(Br)c([N+](=O)[O-])c2)cc1 863994601 GEJFHTWVDMEVIA-UXBLZVDNSA-N 405.248 4.546 5 20 HJBD O=C(COc1cc(F)ccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccc(Cl)c1 917170659 UQFDTSPQWDEYQF-NRFANRHFSA-N 414.820 4.672 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(OCc4ccccc4)cc3)n2)cc1 917810482 BCUXIIRSOYIZLC-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD CCOc1cc(CSCc2ccc(C(=O)N3CCCC3)cc2)ccc1[N+](=O)[O-] 1116760954 RBRXTSBPWAZQCA-UHFFFAOYSA-N 400.500 4.663 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(-c2ccccc2COc2ccccc2)no1 1321086338 QBDKNFJKVBQZBA-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD CC(C)c1ccc(C(=O)COC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 5536565 VRWGJPZLLHZHGT-UHFFFAOYSA-N 406.232 4.520 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccc(OC)c(F)c3)cs2)cc1[N+](=O)[O-] 18707012 DHQCBZSGPGSVRL-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](C)c3ccccc3Cl)cc2)c(C)c1[N+](=O)[O-] 20011436 KKRRUCMTAAEKGE-ZDUSSCGKSA-N 412.877 4.601 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(-c2ccc(F)cc2)s1 23266644 HMFQIZNXUJAMOD-UHFFFAOYSA-N 415.398 4.686 5 20 HJBD CC(C)CC(=O)Nc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 25495042 OXJXZJIGIGVKOU-CYBMUJFWSA-N 418.881 4.672 5 20 HJBD Cc1c(NC(=O)[C@@H](C)NCC(c2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 30523660 SEAMTTNRZKJXJP-GOSISDBHSA-N 403.482 4.652 5 20 HJBD COc1ccc2nc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)sc2c1 64859642 HYPLCKSBLRPRQP-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 71895045 XSOLDSHQHPLKKN-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cc(C)cc([N+](=O)[O-])c3)cc2)cc1 195690355 SAILSMRXRPTVRG-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCN(C4CCCCC4)CC3)cs2)c1 238005410 YWKJMPQNEJQHJE-UHFFFAOYSA-N 400.548 4.605 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(Cl)c1 261399667 PTGFSLWIFSPVPH-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1NCc1ccc(Oc2ccc(F)cc2)cc1 302718392 NXHQAQOIKFPXIC-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD COc1cc(C)cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1C 428057430 VLNVCIYPXWMOIU-UHFFFAOYSA-N 408.404 4.837 5 20 HJBD Cc1nc(CN2CCC(CNc3c(Cl)cc(Cl)cc3[N+](=O)[O-])CC2)oc1C 429215643 QJRCSCHUDNRRIK-UHFFFAOYSA-N 413.305 4.831 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 430329574 JPMVDIAHDATORX-SECBINFHSA-N 415.646 4.511 5 20 HJBD CC(C)(C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1ccccc1[N+](=O)[O-] 431342109 QSYFPOLUULKDFZ-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 432609604 HMGLTGINDDVSFY-MRXNPFEDSA-N 419.466 4.648 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1C(=O)N(C)C 434185892 BZQPWSIWLRBMEV-UHFFFAOYSA-N 419.437 4.650 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436081552 YJURZZOETMUDFV-UHFFFAOYSA-N 411.486 4.981 5 20 HJBD CC(C)OCc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 438228804 RPJVGQXAEJAMAI-UHFFFAOYSA-N 413.474 4.595 5 20 HJBD CC(=O)Nc1ccc(F)c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440597374 LVPOHUBDADYARF-UHFFFAOYSA-N 407.401 4.539 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2OCc2ccccc2)c([N+](=O)[O-])cc1OC 442186296 CQRSTTFINXLQSO-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1ccc(Br)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 443870600 UBMUUEMQIUBRAR-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)oc12 445489186 DKRJJXUGTKKEKW-AWEZNQCLSA-N 409.442 4.569 5 20 HJBD Cc1cc(NCc2ccc(CN3CCCC3=O)cc2)c(C(F)(F)F)cc1[N+](=O)[O-] 461772174 GCKVXMNNUBNKRT-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC(F)(F)F)c1nc(C)cs1 462482065 DFJSUOFTEUFWEQ-ZDUSSCGKSA-N 401.410 4.524 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccccc2)[C@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 462635256 CAJHRUPWUBLZKR-GOSISDBHSA-N 406.486 4.588 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(Cl)cc1 462927036 GHRITMUZHBZJFH-MRXNPFEDSA-N 408.792 4.785 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CN(C)Cc2ccccc2)CC1 462988412 JGOIWBFZYCTVNC-QHCPKHFHSA-N 423.557 4.534 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc(C2CCCCC2)no1 467031863 HLLINDUPSKNTOH-HNNXBMFYSA-N 415.494 4.707 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2cccc(Br)c2n1 471053374 RMYUAPYJJYXNKG-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 474752305 VAHBGHPLFRPEHN-GJZGRUSLSA-N 412.877 4.698 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)C3CCOCC3)cc2[N+](=O)[O-])cc1 474867130 HEABVLBCVVGIMA-HNNXBMFYSA-N 400.500 4.599 5 20 HJBD COc1c(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)cccc1[N+](=O)[O-] 476339958 ZMHPCHLEJDKZIT-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD COc1ccccc1-c1nc(C2CCN([C@@H](C)c3ccccc3[N+](=O)[O-])CC2)no1 484321914 BYDRZZPPWCGDRK-HNNXBMFYSA-N 408.458 4.594 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](OC)c1ccc(Cl)cc1 489292153 LUOZNBOSACLHMZ-KZULUSFZSA-N 408.907 4.866 5 20 HJBD COC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 498595745 YZIWPLFAOIKNHG-CQSZACIVSA-N 420.918 4.898 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500621377 OUHQPPHNBLDKMB-HZPDHXFCSA-N 400.381 4.657 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 505097574 DSOOVIVXLRWCGG-UHFFFAOYSA-N 406.282 4.871 5 20 HJBD CN1CCC(C)(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 509447013 AKDPXHCYGPNTPE-UHFFFAOYSA-N 417.893 4.502 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCN3CCCC[C@@H]23)ccc1Oc1ccccc1Cl 509615111 CRNZNLDQUORGGJ-MSOLQXFVSA-N 401.894 4.757 5 20 HJBD C[C@@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 512978243 ZSPXRMGCMPWFQI-LBPRGKRZSA-N 424.375 4.907 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 513827901 YZFYFFIRYIVXHR-ILZDJORESA-N 406.486 4.631 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)c(F)c2)c1[N+](=O)[O-] 520290317 DMIYQHMVJQRTQM-ZDUSSCGKSA-N 412.421 4.693 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(c2noc3cc(F)ccc23)CC1 521702578 QVQHTXVGBZFSGF-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 522327619 OKAVIGBUYGJOSB-FOIQADDNSA-N 407.474 4.572 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523509741 UESHNFJOWOBDII-UHFFFAOYSA-N 407.470 4.619 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2cccc(Br)c2)CC1 524858366 DCTWZTXUXYAUNE-GFCCVEGCSA-N 421.316 4.643 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2cccs2)[nH]1 527173441 OBARUPVXCNUOCB-UHFFFAOYSA-N 416.528 4.869 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(Cl)cc1 534794437 BRHJFWQDMUPBLC-UHFFFAOYSA-N 403.847 4.775 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)cc1 543889356 KKSJNIGLFNSPJQ-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 544858926 AELKCWZMTNPMDF-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD COc1ccc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 545530180 QULSJTXACIDZGB-JTQLQIEISA-N 407.785 4.510 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cc1 547032548 IRELCLVBFSBTBN-BJMVGYQFSA-N 414.421 4.713 5 20 HJBD O=C(NCc1cc2c(s1)CCCC2)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548688578 ZHDGSTXIRPMEOC-UHFFFAOYSA-N 424.472 4.830 5 20 HJBD CC(C)(C(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1ccccc1[N+](=O)[O-] 552190604 ZNCFWTKHZZEZDM-UHFFFAOYSA-N 409.461 4.899 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1 553894712 QPUYDBJYBRUHRP-HXUWFJFHSA-N 417.893 4.558 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1cccc(Br)c1)c1ccccc1[N+](=O)[O-] 554059318 WFSNWCQORQKYNI-LSDHHAIUSA-N 403.276 4.512 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 555871306 LZQDKNVJKGPANR-PSLIRLAXSA-N 404.747 4.911 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])s2)cs1 555872703 PFBUUOOMFRJWJJ-UHFFFAOYSA-N 401.513 4.682 5 20 HJBD O=C(NC[C@H]1CCCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 569834593 MMFJLVMMUAZCCJ-LBPRGKRZSA-N 420.406 4.905 5 20 HJBD COCc1nc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)c(-c2ccccc2)s1 571205949 JGWNBPPPCIPKBB-UHFFFAOYSA-N 422.466 4.814 5 20 HJBD Cc1noc(C(C)(C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 573823103 ZYEJZEIXIGOLSW-UHFFFAOYSA-N 402.838 4.757 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 575240751 BQJHKTIOVMBCNY-AWEZNQCLSA-N 411.845 4.964 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OC3CCOCC3)cc2)no1 589128247 SJDOIFMSEBIAKC-KRWDZBQOSA-N 423.469 4.939 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1 589372902 URWSXJJFUAAOMM-UHFFFAOYSA-N 410.405 4.805 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603495330 AZUOSUBOLWGINL-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD COc1ccc2cc(CN(C)c3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)ccc2c1 603943345 OMQOKKYVDMDUBZ-UHFFFAOYSA-N 419.481 4.629 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604095438 SVXFYCZLJMXELS-URLYPYJESA-N 423.313 4.715 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1c(C)cccc1[N+](=O)[O-] 604493713 BUYTWMRUQHXPKI-ZDUSSCGKSA-N 416.421 4.694 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccc(F)cc2)C2CCCCC2)cc1[N+](=O)[O-] 609010058 VVUMSAHRMIWWGR-UHFFFAOYSA-N 400.450 4.647 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3cccc(OCc4cccnc4)c3)no2)c1 609475444 GQQHTHVSSZSEEI-MDZDMXLPSA-N 400.394 4.789 5 20 HJBD CSc1ccc(-c2nc(-c3cccc(Cn4nc(C)cc4C)c3)no2)cc1[N+](=O)[O-] 609475803 YSUXVSIFCSCZTB-UHFFFAOYSA-N 421.482 4.895 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CC[C@@H](c3ccco3)C2)cc1 609528771 AJXNFUKGAYOOSW-OAHLLOKOSA-N 408.410 4.618 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1cccc(COCc2ccccc2)c1 609783890 KPTXGTIFIDYUCE-UHFFFAOYSA-N 424.884 4.824 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)o1 609975662 QVDGDLHTVZOBQR-FQEVSTJZSA-N 420.469 4.524 5 20 HJBD C[C@@H](NC(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1)c1cccc([N+](=O)[O-])c1 610171828 VOVVWHBCNVVRKP-CQSZACIVSA-N 408.458 4.724 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 610172933 LTBWKGNLDVHUIZ-UHFFFAOYSA-N 417.893 4.894 5 20 HJBD CC1(C)[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)[C@H]2CCCO[C@H]21 611206315 GLRKRHKYQVZNEZ-ATZDWAIDSA-N 404.532 4.563 5 20 HJBD Cc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)sc1C 611207028 SCTXAEWSLRLLAL-UHFFFAOYSA-N 405.545 4.675 5 20 HJBD COc1cccc([C@@H](C)c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c1 612917172 GCKNHZPBERCPNH-GFCCVEGCSA-N 408.439 4.929 5 20 HJBD C[C@H]1COCCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613401300 XFOIIMJXYRHDQU-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725823053 NHBILDPKVYXGQF-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1cccc(C2(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3Cl)CCCC2)c1 726829718 UALYXUQXLXCOFW-UHFFFAOYSA-N 416.861 4.550 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(NC(=O)c2cccs2)c1 727711216 QQKBXKDLKPHCMR-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(O[C@@H](c1cccc(F)c1)c1ccccn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 729196004 UBKHCCIFJAOSGV-QFIPXVFZSA-N 421.428 4.676 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C/C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 729871903 MNYCADLQCFOOHL-AYJWMTRPSA-N 423.425 4.579 5 20 HJBD C[C@@H]1CCC[C@@H](OCc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)c2)C1 745092334 UBPLFERSTHWAJC-JLTOFOAXSA-N 413.430 4.851 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cc1F 746076621 FVWBFQSEYAHHQT-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 754166541 UJTJYOHRWXTHOY-ZDUSSCGKSA-N 403.410 4.681 5 20 HJBD O=C(Nc1cccc(OCc2cccc(F)c2)c1)c1cc(F)c([N+](=O)[O-])cc1F 770409901 FHQSRFLCNIURJT-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)[C@H](O)c1c(Cl)cccc1Cl 773036595 DPFKWOMVTYWTEQ-RBZFPXEDSA-N 424.328 4.582 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC([C@@H](O)c2ccccc2)CC1 775524902 IRJWIKHNQRKJDY-NRFANRHFSA-N 414.527 4.681 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nnc(SCc3ccc(F)cc3)s2)cc1 776280437 ZSWCPJNPNBKUHX-OAHLLOKOSA-N 423.516 4.703 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)CC2 776404164 IVQWAVOREMQVCX-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)[C@@H]1[C@H]2CCC[C@H]21)c1ccccc1 776697251 ZLKDNFIDDUGFHW-SFUIVIKGSA-N 414.845 4.517 5 20 HJBD CCn1cc(C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)c(=O)c2cc(Cl)ccc21 778773206 VBKXDGHNKLEEDJ-ZDUSSCGKSA-N 414.845 4.809 5 20 HJBD COc1ccc(C)cc1[C@H](C)OC(=O)Cn1c2ccccc2c(=O)c2ccccc21 781629700 QAOYVBRFKNJQDA-KRWDZBQOSA-N 401.462 4.776 5 20 HJBD CCC(CC)[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccs1 783313206 PXZQUHBEBOYKQM-INIZCTEOSA-N 409.895 4.542 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN(Cc2ccccc2)C[C@@H](O)c2cccs2)c(F)c1 795929755 FAOOBAVFUBTXFX-LJQANCHMSA-N 404.438 4.670 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ncc1Br)c1ccc(F)c2ccccc12 813830533 GNPDZOYJYRMPPJ-JTQLQIEISA-N 418.222 4.536 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815784984 QRQXLFGVTBOEEQ-MRXNPFEDSA-N 406.360 4.790 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@H]1C[C@@H]1C 819099236 RQNLURRTRGLQQB-BTDLBPIBSA-N 422.268 4.915 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cs1 919212939 ZZQPDZPKEXXXMH-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nnc(-c3ccc(Br)cc3Cl)o2)c1 1322305355 APIWHOJAXGZXTA-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2ccc(Br)cc2)c([N+](=O)[O-])c1 1526862988 NCNDCAWFCPPCEX-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD C[C@@H](Sc1ncccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 11033837 CKHWUGQZWKLHOQ-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CNc3ccc(Cl)c([N+](=O)[O-])c3)c2)cs1 15293983 IHTWMYRGRZQGQG-UHFFFAOYSA-N 402.863 4.731 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC(c3nc4ccccc4s3)CC2)cccc1[N+](=O)[O-] 26369505 LAEIAKBYGJVSCN-HNNXBMFYSA-N 424.526 4.720 5 20 HJBD C[C@@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 30449267 GMZZLZRPMRDMRJ-OAHLLOKOSA-N 409.417 4.752 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2c3ccccc3C[C@@H]2C)cc1[N+](=O)[O-])c1ccccn1 52916632 LRXPOKHNOBCQPD-HOTGVXAUSA-N 402.454 4.754 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1)C1CCCCC1 59851750 WBFYZPOFMDPOSL-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CCCCc1nc(-c2ccc(OCc3nc(-c4cccc([N+](=O)[O-])c4)no3)cc2)no1 63539207 ZRAUSIJMVPWRQU-UHFFFAOYSA-N 421.413 4.616 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cc(Cl)ccc1Oc1ccccc1 142970823 YVQMODWRSQTVMJ-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD CC(C)NC(=O)[C@H]1CCC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 236687566 GCKJFUVHUTZVNJ-LSDHHAIUSA-N 419.909 4.681 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCC[S@@](C)=O)cc2[N+](=O)[O-])c1 236740753 VCKNONUOUUYZRE-MUUNZHRXSA-N 404.532 4.677 5 20 HJBD COc1cc(Br)c(CNc2ccc3cc([N+](=O)[O-])ccc3n2)cc1OC 301175211 YVRMMDCFQPXZFG-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1ccc(C(=O)Nc2ccc(F)cc2)cc1 301649958 JFYYVKHIUKSWFQ-UHFFFAOYSA-N 409.417 4.745 5 20 HJBD Cc1nc(NC(=O)c2csc([N+](=O)[O-])c2)sc1Cc1ccc(F)c(F)c1F 410417294 KCFXIHFQAKSCBE-UHFFFAOYSA-N 413.402 4.682 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 430784040 PAZPEFLTTHMKLW-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD CCN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)[C@H](C)c1cccc(OC)c1 431781073 ISJCRJHJBDSXMM-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD COc1ccc(CN(CCc2ccccn2)C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cc1 432370023 DOPKOQWQHOMZKT-UHFFFAOYSA-N 420.469 4.584 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435937421 IVRZHJWRZFAVIB-UHFFFAOYSA-N 406.869 4.616 5 20 HJBD CCCOc1cc(NC(=O)N2CCS[C@@H](CC)C2)c([N+](=O)[O-])cc1OCCC 437609831 WIEWNEYNGAARSL-AWEZNQCLSA-N 411.524 4.532 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@@H](C2CCOCC2)C1 441065959 PHHYWJPXUCAHQE-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD Cc1nc(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])sc1C 441073021 ZFMGLYYJBHPOTL-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD C[C@H](Nc1ccccc1NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])c1ccccc1 443528513 JGMKJSXRELEWLX-AWEZNQCLSA-N 415.446 4.708 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(F)cc2-c2nc3ccccc3s2)c1[N+](=O)[O-] 444191505 XSXUMAXJDYBJGS-UHFFFAOYSA-N 411.418 4.548 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCCC[C@H]2C)cc1 462442379 SVAMAYFQFJLKIB-IEBWSBKVSA-N 423.513 4.817 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](c2ccccc2)C1 463103127 UESMZVQDYFIUTL-VQIMIIECSA-N 400.500 4.747 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)CC(F)(F)F)cc2[N+](=O)[O-])n1 464464575 DLMHJUGNWTVWRD-MRVPVSSYSA-N 405.423 4.582 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)NCCc1ccccc1[N+](=O)[O-] 466153225 IPDZKPDPIVLAGK-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD CCc1c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cnn1-c1ccccc1 466678124 ROGDFDOLNLIPND-UHFFFAOYSA-N 405.458 4.539 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc(C)c(NC(=O)CN2CCCCC2)c1 468747486 XDNDYHQYSCNJCG-QGOAFFKASA-N 422.529 4.843 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 470671463 SZFJYTTWZREHRS-UHFFFAOYSA-N 416.499 4.600 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCCc1cccc(OCc2ccccc2)c1 471103375 HFWFYGLMVMWOQG-UHFFFAOYSA-N 420.465 4.545 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 471529270 GQZCFHNLDYWSAO-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD Cc1ccc([C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 472173154 NCHXXAQAMGRYAE-HXUWFJFHSA-N 410.861 4.540 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 476141504 IJRAKAIEVQIFLB-PXNSSMCTSA-N 416.481 4.793 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@@H]2Cc2ccccc2)cc1OC 476741041 QHMRNHYBHYAADU-IEBWSBKVSA-N 412.486 4.533 5 20 HJBD Cc1nc2cc(Cl)c(NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc2s1 480309286 KQDCUVGZXPCEOB-UHFFFAOYSA-N 401.835 4.828 5 20 HJBD COC(=O)c1nc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)sc1C 480579519 JQFFKEJICJVNRW-HNNXBMFYSA-N 419.503 4.665 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 481622663 HFLSUKHDMJWFKK-SAZUREKKSA-N 422.406 4.775 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1nc(-c2cccs2)oc1C 485345186 JPMDYCBZFGLRFF-ZDUSSCGKSA-N 406.485 4.604 5 20 HJBD CCOc1cccc(NC2CCN(C(=O)[C@H](CC)c3ccccc3)CC2)c1[N+](=O)[O-] 485831005 GGTCFTRFJQJGGG-LJQANCHMSA-N 411.502 4.590 5 20 HJBD CN(C)C(=O)c1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 486868525 NVZPPSLXFXHIPI-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)ccc1Oc1ccncc1 487679596 SWFZXSDCNZCBQY-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cnn([C@@H](C)C3CC3)c2)cc1[N+](=O)[O-])c1ccccn1 490925982 KLBBTIVHDXFXCQ-GJZGRUSLSA-N 420.473 4.583 5 20 HJBD O=C(Nc1nnc(-c2ccccn2)s1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 491300988 QUDLXHALPHQTNG-UHFFFAOYSA-N 418.438 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCNC1(c2ccc(Cl)c(Cl)c2)CC1 500437326 VMLJSCQVGOUDHR-UHFFFAOYSA-N 424.284 4.518 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC3(CCCCC3)C2)cc1[N+](=O)[O-] 503192910 SPLFXRLVOGOCTO-UHFFFAOYSA-N 424.522 4.954 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C 505592838 VHOFNSVUZKLVGG-MGPUTAFESA-N 401.532 4.518 5 20 HJBD Cc1ccccc1-n1ccnc1SCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509733426 FPJZKTUDLQWFFB-UHFFFAOYSA-N 424.526 4.618 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](n2ncc3ccccc32)C1 511721075 FLYOOJRIRKSEGG-QGZVFWFLSA-N 410.499 4.534 5 20 HJBD COCCOc1ccc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1OC 514458600 VIAUTZNHNCLQAH-RCCKNPSSSA-N 406.438 4.613 5 20 HJBD O=C(NCc1ccccc1OCC(F)(F)F)Nc1ccc([N+](=O)[O-])cc1Cl 516272385 FPYKRACWIPYELE-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 517885321 PGNILSUPMUBJIZ-AWEZNQCLSA-N 409.471 4.727 5 20 HJBD CSc1ccc(C(=O)N(Cc2cccc(Br)c2)C2CC2)cc1[N+](=O)[O-] 520738297 RXGKDMHQTPWRAN-UHFFFAOYSA-N 421.316 4.884 5 20 HJBD CCC(CC)CNC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 521485021 SKKORBUJQHSBSZ-UHFFFAOYSA-N 406.486 4.542 5 20 HJBD CCN(Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)C(C)C 522972323 HEASZMOWSZEOKF-UHFFFAOYSA-N 407.417 4.679 5 20 HJBD CC1(C)CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 524165384 CMTBIUWIVUDMBX-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)c1C 530679421 CCUIDGIGZXZWGG-UHFFFAOYSA-N 400.475 4.798 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)n1[C@H]1CCC[C@@H](C)C1 535337796 WRAXXKMBFWGCTN-YPMHNXCESA-N 423.926 4.630 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](Oc2cccc(Cl)c2)C1 535453014 BNYODQKHMDAKHF-CQSZACIVSA-N 414.767 4.561 5 20 HJBD COc1ccc(C[C@H](C)CN(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 536407547 CCDZNWBOMOPVEQ-ZDUSSCGKSA-N 410.392 4.573 5 20 HJBD C[C@@H](N[C@H](CO)c1c(F)cccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537983432 LNMGOHHXGDQJFD-MLGOLLRUSA-N 404.438 4.986 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 538371363 OXUXHQMBSYJDNE-SFHVURJKSA-N 415.877 4.836 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(CN2CCSCC2)c1C 539841288 VZBISXXREJNAAT-UHFFFAOYSA-N 413.543 4.630 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 542589440 BGCRKFMGBSGPHM-LSDHHAIUSA-N 410.499 4.705 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 542772720 DKAQVVIPBVLTAG-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(Cn3ccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 544364621 HIBITMGFZKYAAV-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD C[C@@H](Oc1cccnc1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545078885 VBRIDVHOAUPEKR-CQSZACIVSA-N 404.382 4.972 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545827745 PMGGCFBMHLAXCW-UHFFFAOYSA-N 424.856 4.719 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549404519 SGGZAHYVBDIMFX-UHFFFAOYSA-N 404.797 4.789 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCCn2c(cc3ccccc32)C1 552203405 LSNSELBJFKRVMH-UHFFFAOYSA-N 403.360 4.614 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 563987896 YYBYQCIPKVGICE-UHFFFAOYSA-N 412.490 4.594 5 20 HJBD C[C@H](C(=O)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 575849444 VICXIHCVPFEQHS-YCRPNKLZSA-N 409.873 4.847 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(n3ccc(C(F)(F)F)n3)CC2)c1 577127840 USAHCGCSTQEOMS-UHFFFAOYSA-N 422.329 4.666 5 20 HJBD COc1cc(C(=O)Nc2ncc(-c3ccccc3)s2)c([N+](=O)[O-])cc1OC(F)F 603595591 VAGRYROITVSCAQ-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD COc1cc(CCNC(=O)Nc2ccccc2-c2ccccc2)c([N+](=O)[O-])cc1OC 603646080 QIUVUCADNUXSGN-UHFFFAOYSA-N 421.453 4.643 5 20 HJBD O=C1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc2N1 603739649 IWXZDZCEEVTIBO-UHFFFAOYSA-N 403.394 4.524 5 20 HJBD O=C(Nc1ccc(-c2cc(O)n[nH]2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603879635 KHVHOFQQBFRPFF-UHFFFAOYSA-N 416.393 4.735 5 20 HJBD Cc1ccc(COc2ccc(C[C@H](C)NC(=O)c3ccccc3[N+](=O)[O-])cc2)cc1 608900578 OEMBBEVGSHINEO-SFHVURJKSA-N 404.466 4.843 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 609678451 OLYQRNGRZBPQSK-QGZVFWFLSA-N 413.518 4.965 5 20 HJBD C[C@H]1CN(Cc2ccccc2)CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 610122086 QTLSVWMAEJQOMP-INIZCTEOSA-N 409.511 4.546 5 20 HJBD CCO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 611202240 XPPBILSRWNSMIR-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@@H](N2CCCC2)CC1 611206244 DCGBRHRGBWFSMH-QGZVFWFLSA-N 417.575 4.720 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(COC(C)(C)C)cc1 611206900 CDCUGHILIAKCKT-UHFFFAOYSA-N 418.515 4.901 5 20 HJBD CC(=O)c1ccc(OC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 728243625 JNLFRJMFQOYFCK-UHFFFAOYSA-N 410.348 4.532 5 20 HJBD COc1ccc(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1OC1CCCC1 728499715 ZQMHHXSWJDNVRC-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])OCc1cnc2ccccc2c1 730698656 YXNBSMGPFLRINI-UHFFFAOYSA-N 419.359 4.707 5 20 HJBD O=[N+]([O-])c1cn(CN2CCC[C@H]2c2ccccc2C(F)(F)F)nc1-c1ccncc1 742148007 NCIJREZIALYSNZ-KRWDZBQOSA-N 417.391 4.667 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(COc3ccc([N+](=O)[O-])cc3)n2)c1 747863582 FKUMOJIPIDSZKO-UHFFFAOYSA-N 412.402 4.571 5 20 HJBD COc1ccc(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 748016073 GMTGBGANNKYOCS-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cnc1Cl 749243805 IRUZLTMGLBBADS-ZDUSSCGKSA-N 411.849 4.772 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)cc2)oc1C 751095246 KKSWXFYQWWBZNP-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(N3CCCCC3)cc2)cc1[N+](=O)[O-] 751921090 DTVWAPNAMBZWDB-ROUUACIJSA-N 410.518 4.571 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 752108669 DABXLKJBTOITAM-ICSRJNTNSA-N 408.454 4.850 5 20 HJBD Cc1ccncc1/C=C\C(=O)O[C@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-] 753471037 CBHRMYJIQJEYOK-OTIVFRFGSA-N 419.275 4.506 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 754755308 WUKMSVIXXBVGNB-DZGCQCFKSA-N 421.266 4.953 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)CCCC(F)(F)F)CC1 756794543 STSPNFNAXORHCZ-CQSZACIVSA-N 401.429 4.682 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1nc2ccccc2n1C(F)F 762903472 PUYNAZWAEFUDSS-JTQLQIEISA-N 400.341 4.739 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1N1CCC(c2cc3ccccc3[nH]2)CC1 766403882 OBMVIYMHSQWUDS-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD COc1cc(OC)c(OC)cc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 770593165 NJXLLADRQRRIAI-UHFFFAOYSA-N 400.456 4.961 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC[C@H]1c1ccc(F)c(F)c1 780680843 JIRRALGKRJEVEX-QFIPXVFZSA-N 404.416 4.937 5 20 HJBD C[C@@H](C(=O)OCc1cncc(OCc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 784696261 QIYOLEIIGFWGEL-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 790553664 HTMDCWCJLQKZQH-NSHDSACASA-N 419.865 4.931 5 20 HJBD COc1cc(COC(=O)Cc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OC(F)F 791378310 YEINZXZAODBIQU-UHFFFAOYSA-N 417.364 4.644 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@@H]1CCC(F)(F)C1 796524950 SKQUDRKVEFIEHG-CYBMUJFWSA-N 415.348 4.709 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)ccc1Cl 805434817 AXEINNCNDISBKC-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD CC(C)(CCc1noc(-c2ccccc2Sc2ccccc2[N+](=O)[O-])n1)[N+](=O)[O-] 812811487 AQXYBRBMGRBMOR-UHFFFAOYSA-N 414.443 4.784 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@H]3Cc4ccccc4CN3Cc3ccccc3)o2)c1 1117260130 RKOACSWPMVYHAN-JOCHJYFZSA-N 412.449 4.944 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1N 1256680290 UOVZGWNBVMMZDH-SNVBAGLBSA-N 418.247 4.519 5 20 HJBD NC(=O)CCc1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 1319874802 WDJORBMZGYSBTQ-UHFFFAOYSA-N 409.417 4.556 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccc(F)cc2n1 1321628963 NWZNDJIKJGQEPG-LBPRGKRZSA-N 422.372 4.559 5 20 HJBD COc1ccc(-c2nnc(-c3cc(F)cc(C)c3[N+](=O)[O-])o2)cc1Br 1322610938 MWLONWSEZQBWMU-UHFFFAOYSA-N 408.183 4.530 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc(COc2ccccc2-c2ccccc2)o1 1322688308 NVTHADJIZXDPFF-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@@H](CCO)c2ccccc2)o1 1323117756 VQSAYJZKWPBRRH-MRXNPFEDSA-N 400.862 4.764 5 20 HJBD CCc1ccc(-c2nnc(-c3cc(OC)ccc3Br)o2)cc1[N+](=O)[O-] 1341640540 NYCQBTJIAHVGHO-UHFFFAOYSA-N 404.220 4.645 5 20 HJBD Cc1ccccc1-c1nnc(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 5505539 BIRPDIJZMIGZHN-GFCCVEGCSA-N 409.427 4.857 5 20 HJBD Cc1cccc(NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)c1 7914639 ZGWDXERKNQGFCH-UHFFFAOYSA-N 412.449 4.937 5 20 HJBD CC(=O)c1ccccc1NC(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 7978650 QMGFGWYMWGQRAD-UHFFFAOYSA-N 404.426 4.592 5 20 HJBD Cc1ccccc1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 9066913 MPKRLDVBBQMPSZ-UHFFFAOYSA-N 422.462 4.850 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1OC 17742417 IYCFOLZVRLOZHP-UHFFFAOYSA-N 401.463 4.836 5 20 HJBD COc1cc(CN(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1OC(F)F 17958109 SJOXQSWDFOTJKM-UHFFFAOYSA-N 422.409 4.692 5 20 HJBD CC(C)N(C)CC1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)CC1 39564029 BJBBXSKTUYSCBM-UHFFFAOYSA-N 410.518 4.531 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)c([N+](=O)[O-])c1 47982995 PKJVVDYWNMCOFP-UHFFFAOYSA-N 407.354 4.600 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 55620399 CYLXXEMIFKXDJK-UHFFFAOYSA-N 400.478 4.891 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(OC(C)C)cc2)cs1 61253594 VPDBYARKHCESIO-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2[nH]ncc12 63715032 LYMVESAZTANETN-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD COc1cc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Br)ccc1OC(C)C 301522047 HHNYMLNLILFSEV-NSHDSACASA-N 410.268 4.721 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3cccnc3)n2-c2ccccc2)c(F)c1 301779806 ISVWFJCKLWUYLN-UHFFFAOYSA-N 411.393 4.667 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 303291204 LADSPWALNXBGAX-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD CC(C)(C)n1cc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(-c2ccccc2Cl)n1 409453897 RAKWCGVDFLLTNC-UHFFFAOYSA-N 412.877 4.797 5 20 HJBD C[C@H](NC(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 409518664 LTMAMNVNTWFDIG-GUYCJALGSA-N 419.865 4.689 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cc2ccccc2s1 410309214 RRSVDVRBBLZGDJ-CYBMUJFWSA-N 406.467 4.701 5 20 HJBD Cc1ccc(CCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)s1 429819746 LVSHJXXBLAVAPZ-UHFFFAOYSA-N 400.422 4.879 5 20 HJBD COc1cc(Br)c(CNc2ccnc3c([N+](=O)[O-])cccc23)cc1OC 432313666 LCGIUQNXVTUBDW-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 433761794 GLXKENHXCBVBRT-OAQYLSRUSA-N 421.501 4.650 5 20 HJBD CC(=O)c1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)n(C)c1 435449398 QZGKNQZKVKUPQR-UHFFFAOYSA-N 401.469 4.601 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccc4c(c3)CCC4)c(C)s2)c1[N+](=O)[O-] 435809772 QUKZGJSTWTXZRI-UHFFFAOYSA-N 409.467 4.776 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2O)cc1 436966198 LFPBIBGGOOIBNS-QFIPXVFZSA-N 405.454 4.510 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442657014 XFMDQFNSPYFVBI-IBGZPJMESA-N 422.506 4.990 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2c(Br)cccc2C1 462601805 KGBVDJQOVLVAFJ-OAHLLOKOSA-N 417.303 4.511 5 20 HJBD Cc1cccc([C@@H]2CCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1C 464596699 YWBYUNHKDVRYFM-NRFANRHFSA-N 405.454 4.833 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 465293482 CNPBTWFKJDXSCJ-HDICACEKSA-N 418.497 4.780 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCCC[C@@H]2n2cccn2)ccc1Oc1ccc(F)cc1 467761786 DQKZBZGNHJRKQJ-PMACEKPBSA-N 410.449 4.996 5 20 HJBD CC(C)Nc1cc(F)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 469696340 TXFYJSGYEJZCAT-UHFFFAOYSA-N 400.435 4.930 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 470137965 MXGJKMLLMPLFPC-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 471181916 OPHRCFXJCXBTFM-UHFFFAOYSA-N 415.453 4.551 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2o1 471555626 MYBMUHURSWYWDE-UHFFFAOYSA-N 407.357 4.706 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2cccc(F)c2)n[nH]1 478405579 MMCAIIAMXXFOMR-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)O[C@H](C)C2 480467558 VZRHXPOUXDDGAO-CQSZACIVSA-N 411.458 4.513 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CCc2ccccc2)C2CCCC2)cc1SC 482415301 OKVXXUVBCRCVRH-UHFFFAOYSA-N 414.527 4.953 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@H]2[C@H](CCCN2Cc2ccccc2)C1 483770911 CLFDEHICKZYIKB-IRLDBZIGSA-N 422.529 4.730 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1Br 484797636 NOZQGUHVJKBQFU-UHFFFAOYSA-N 417.181 4.947 5 20 HJBD COc1ccc(-c2noc(CS[C@H](C)c3cc([N+](=O)[O-])ccc3C)n2)c(OC)c1 486127907 WSQQYTZEASGFGV-CYBMUJFWSA-N 415.471 4.965 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2noc(-c3ccc(Cl)cc3)n2)c1[N+](=O)[O-] 486427265 BFBIMPKGMWBSFX-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD CC[C@H](NC(=O)CCSc1ccc2c(c1)OCCCO2)c1cccc([N+](=O)[O-])c1 487845202 SWQULEGDJLANQZ-SFHVURJKSA-N 416.499 4.506 5 20 HJBD C[C@H]1CN(c2ncnc3sc([N+](=O)[O-])cc23)C[C@H](c2cccc(C(F)(F)F)c2)O1 488707812 FMMZYGRMXRDDSI-IINYFYTJSA-N 424.404 4.585 5 20 HJBD C[C@@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488829135 OJXKBIYSUWYWQI-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD Cn1nc(-c2cccc(C(F)(F)F)c2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 490010715 VGEQMKHMYKYOLQ-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500288068 MINOYLRZFWINOC-KRWDZBQOSA-N 414.874 4.958 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c(CN(C)C)c1 505630058 FGKPBNXNMCRPGX-QZTJIDSGSA-N 424.545 4.699 5 20 HJBD CC[C@@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)s1 510754894 VLSDUJPZTZRQTE-SECBINFHSA-N 420.821 4.801 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 512030943 KRYMYZOKSVFQSV-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 513983525 QANUCSDMTATTAP-CQSZACIVSA-N 403.866 4.562 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc2cc(Cl)ccc2s1 516397101 AMHFQGHXPVSTIP-SNVBAGLBSA-N 407.904 4.655 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)ccc2o1 517491573 MPRBTBUZZDDNKY-UHFFFAOYSA-N 419.441 4.763 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Br)cc1)C1CCC1 517499286 RRZWAFFBMIXMJE-SFHVURJKSA-N 418.291 4.698 5 20 HJBD CC(C)C[C@@H](C)Oc1cc(CNC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccn1 520375894 MHLITAOIBQABCP-HZPDHXFCSA-N 417.531 4.600 5 20 HJBD Cc1cc(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)cc([N+](=O)[O-])c1 521315145 QIDSYPDVNFMYHN-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD CN(C[C@H]1CCCOC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 521682315 HMEPPHKOBFARHQ-CQSZACIVSA-N 404.850 4.539 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 522360436 YHQGIFFUFXHCCE-CVEARBPZSA-N 416.359 4.746 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 523060558 KBIPZRDSXHBMNB-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(OCc3cccc(OC)c3)c2C)cc1[N+](=O)[O-] 534479808 LTARDRRBPOVYJG-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD COc1ccc(CN[C@@H]2CCc3c(Cl)cc(Br)cc32)cc1[N+](=O)[O-] 536862694 JEAHOPJBGXIGPW-OAHLLOKOSA-N 411.683 4.796 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1 537098047 QSZZMCLAWIIJIA-WOJBJXKFSA-N 417.893 4.698 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 537135505 FORKPOJPLIVUME-IAGOWNOFSA-N 404.470 4.643 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1)CC(F)(F)F 537649899 MSPMSVNSHMESPB-OAHLLOKOSA-N 411.424 4.561 5 20 HJBD Cc1ccccc1-c1ccc(CN(C(=O)c2c([N+](=O)[O-])c(C)nn2C)C(C)C)s1 538621581 IELLBNRJKNKBIL-UHFFFAOYSA-N 412.515 4.724 5 20 HJBD O=[N+]([O-])c1cc(CNC2(c3cccc(C(F)(F)F)c3)CCC2)c2c(c1)COCO2 539361100 IGAKRYYKZIFDOL-UHFFFAOYSA-N 408.376 4.649 5 20 HJBD C[C@H](NC[C@H]1CCN(c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 540192256 BICOQEBALWIOCF-LSDHHAIUSA-N 404.308 4.534 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(OC(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 540319852 CFPXPQJNIPIZEC-SNVBAGLBSA-N 418.343 4.657 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1F 542762826 ZLYMORZMYVNWTI-CHWSQXEVSA-N 415.396 4.524 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544461436 DUQCCBPCZFLYGE-RTBURBONSA-N 400.450 4.651 5 20 HJBD CC(C)COc1ccccc1-c1noc(-c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1 545635020 KPYMNKDCMXHQGJ-UHFFFAOYSA-N 406.398 4.600 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)CC2)n[nH]1 546862699 URLXKDAEGPWZHO-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD CCCOc1c(Cl)cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1OC 546942026 RMBYVFKYNXDTTB-UHFFFAOYSA-N 403.822 4.686 5 20 HJBD CCN(C)C(=O)c1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 554171747 OCTJNYFQDVSPIP-UHFFFAOYSA-N 418.453 4.683 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c1 554904450 GXQVIKVMYCSEIC-UHFFFAOYSA-N 409.417 4.543 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 556890408 PMJLUKHZTNMJIV-GOSISDBHSA-N 404.392 4.535 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)c1 557183304 DGOGXWFCGQPPLA-UHFFFAOYSA-N 417.874 4.700 5 20 HJBD CNc1ccc(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)cc1[N+](=O)[O-] 560447670 LXOJPWALRGIEHY-UHFFFAOYSA-N 413.865 4.851 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 573258488 DQKLMTPJFUCCHR-UKRRQHHQSA-N 412.433 4.613 5 20 HJBD Cc1cc(NC(=O)Cc2ccc(Cl)cc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 590771310 SZLCFKIYIZPKQT-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD Cc1ccc(NC(=O)N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 603643024 SPSAUNBIGDZIMA-IAZYJMLFSA-N 413.318 4.934 5 20 HJBD O=C(c1csc(-c2ccccc2)n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603741886 BZMXCUHBWYYCSS-UHFFFAOYSA-N 421.400 4.923 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2c2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 603868972 NXUSOALEIQXYIQ-SFHVURJKSA-N 402.516 4.637 5 20 HJBD C[C@@H](NC(=O)NCc1ccccc1CN(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 604108478 QEYBTWYYIHOGCX-GOSISDBHSA-N 424.545 4.920 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1CN(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 604108480 QEYBTWYYIHOGCX-SFHVURJKSA-N 424.545 4.920 5 20 HJBD CC(C)(C)c1nnc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 604191704 JHIIRWIVOOKEPK-UHFFFAOYSA-N 411.487 4.608 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc2c(C)nn(-c3ccccc3)c2n1 604202907 GVMTYMARSBCYTE-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD O=C(c1ccc(OCC(F)(F)F)nc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609482381 INJFEZUGSDXCIR-UHFFFAOYSA-N 409.364 4.520 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(-c4cncnc4)cc3)cc2[N+](=O)[O-])CC1 609788678 AHPUZZXUCRZNAM-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 609976248 BXNZWYKNNADDIH-BZUAXINKSA-N 402.878 4.729 5 20 HJBD CC(C)(C(=O)Nc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1 610043270 APTDOTRFHGWCBR-UHFFFAOYSA-N 409.467 4.825 5 20 HJBD C[C@H](C[C@@H]1CCOC1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610742583 PAAGLXHBJCCSTK-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)s1 610874559 OEHQGDUTPHPKOU-UHFFFAOYSA-N 413.524 4.822 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(OCc4cccnc4)cc3)n2)ccc1[N+](=O)[O-] 612957678 RDXOHQHGRICTPS-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCC[C@H]3c3cc(F)cc(Br)c3)c2c1 613431249 HPDBXOQTDQLZPM-KRWDZBQOSA-N 417.238 4.781 5 20 HJBD COc1cc(COc2ccc(Br)cc2F)c([N+](=O)[O-])cc1OC(F)F 619253900 VDXATBPIHKWQDN-UHFFFAOYSA-N 422.153 4.685 5 20 HJBD CCC[C@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1cccc(C(F)(F)F)c1 726758161 PNQZNYVZCAQEDI-KRWDZBQOSA-N 420.391 4.621 5 20 HJBD COc1cccc(CO[C@H](C)C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728166278 ZKBFWKFKOCZQND-CQSZACIVSA-N 418.877 4.605 5 20 HJBD CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730321185 LLFILZGWEYORAC-XXFFJTNUSA-N 420.534 4.689 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1F 730646073 YYLSYGRAPKBHLD-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD O=C(Nc1sccc1C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc2c(c1)CCC2 733123605 VIVZMMOWCSAMGW-UHFFFAOYSA-N 407.451 4.650 5 20 HJBD CCOc1cc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1cccnc1 733683382 JLIWSJPIKOSNQG-MRXNPFEDSA-N 422.437 4.886 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc(N(C)C)cc1 735308395 VYDJOMKVUMBFHF-INIZCTEOSA-N 420.469 4.892 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(Br)cnc1Cl 735802647 AFTZOYZTNIRTLM-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD COCCc1ccc(OCCCC(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 736158577 NKFTUCSIBAARQG-UHFFFAOYSA-N 406.866 4.543 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C[C@@H](C)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744202662 APESROWWOLQVML-QGZVFWFLSA-N 412.486 4.699 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 752384395 HUNNLGVQNLFPAC-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD C[C@H](C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 754258329 ZKQHEPFKLBGGLK-ZDUSSCGKSA-N 401.394 4.721 5 20 HJBD Cc1nc2ccccc2c(C)c1CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760457183 VONGOYUCLMNBCF-UHFFFAOYSA-N 417.421 4.701 5 20 HJBD CCCCN(Cn1nc(-c2ccc([N+](=O)[O-])cc2)n(CC)c1=S)CC(F)(F)F 764888007 BFPRDKSAXMPREO-UHFFFAOYSA-N 417.457 4.631 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)nc2ccccc21 765060004 MTQBSJOVUSKJLK-MRXNPFEDSA-N 421.501 4.617 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1 768711637 BHXGJHGYTIMKAY-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 785806265 SSBIRMRNMKJSID-WTGUMLROSA-N 401.384 4.787 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H](CC(F)(F)F)c1ccc(F)cc1 790910645 DOQUINVOYIYVEW-CQSZACIVSA-N 422.737 4.879 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1csc(-c2ccccc2Cl)n1 791838468 HKVDLBOTWNIBFL-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD COc1ccc(NC(=O)c2cc(NC(=O)OC(C)(C)C)ccc2C)cc1[N+](=O)[O-] 793807721 QSKFGKRKWDJWAZ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1 800144954 MLYJHPCQABAWSD-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1ccc(/C=C\c2nc(SCc3ccc(Br)c([N+](=O)[O-])c3)n[nH]2)o1 800832618 CGWHYADKZZVAIC-ALCCZGGFSA-N 421.276 4.840 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](O)c3ccc(C(F)(F)F)cc3)o2)c1 808426923 ZDBJYCQHMPWCOG-GOSISDBHSA-N 406.360 4.697 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN[C@@H](c1ccc2c(c1)OCCO2)C1CC1 809972970 QJOMYBNOKVGSMP-GOSISDBHSA-N 409.269 4.914 5 20 HJBD Cc1ccc(CCC(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)s1 810533553 OOGKAHBIRQOPQC-UHFFFAOYSA-N 410.451 4.755 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)s1 811288638 DCYMQIXUBJHZFV-UHFFFAOYSA-N 410.423 4.524 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1Br)C(=O)OCc1ccc([N+](=O)[O-])cc1 887560612 ZLSXQDWUPLLDGW-JTQLQIEISA-N 414.639 4.521 5 20 HJBD COc1cc(/C=C(/C(=O)OCc2cc(F)ccc2[N+](=O)[O-])c2ccccc2)ccc1O 919170699 SPNWIMVYVZCJIV-YBFXNURJSA-N 423.396 4.732 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 1320090392 UAVYKPFKSYQSSV-LLVKDONJSA-N 421.400 4.962 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)C2CC2)cc1 1321393272 CDBDLXHSCAPTEQ-LBPRGKRZSA-N 418.291 4.557 5 20 HJBD COc1ccc(NC(=O)NCc2ccc(-c3nc4ccccc4s3)o2)c([N+](=O)[O-])c1 1526711156 FOVKURDBDKSMMW-UHFFFAOYSA-N 424.438 4.795 5 20 HJBD CCCCc1ccc(CC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 7719999 TTXIBHWXNVHGDU-HNNXBMFYSA-N 409.442 4.834 5 20 HJBD CC(=O)Nc1cccc([C@H](C)N(Cc2ccccc2)C(=O)c2cccc([N+](=O)[O-])c2)c1 11088907 JEBAQPJMAQIMIP-KRWDZBQOSA-N 417.465 4.957 5 20 HJBD Cc1c(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)cccc1[N+](=O)[O-] 11397793 YGMZBYDMVZKSKA-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccco1)Cc1cccs1 14999492 VJIPYXLLVBUJHG-UHFFFAOYSA-N 421.272 4.854 5 20 HJBD CN(Cc1ccc(Cl)cc1Cl)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 15965929 XUIJPKOFKLMXRS-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1cccc(Br)c1)C1CC1 25089723 DWRMGGZLPHPYTN-UHFFFAOYSA-N 421.316 4.641 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCCOC(c1ccccc1)c1ccccc1 28347956 QHUASTZNSSYISQ-UHFFFAOYSA-N 407.422 4.566 5 20 HJBD CCCN(C(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 56192877 JMNZGAFEDTVWAV-OAHLLOKOSA-N 419.441 4.535 5 20 HJBD O=[N+]([O-])c1ccc(OCCCS(=O)(=O)c2ccc(F)cc2)c(-c2cccs2)c1 63455432 IGGCJPLVHWMECO-UHFFFAOYSA-N 421.471 4.705 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 109163162 NLCKBYZEVNEVNV-UHFFFAOYSA-N 411.477 4.536 5 20 HJBD Cc1occc1-c1nnc(Sc2nc3sccn3c2[N+](=O)[O-])n1-c1ccccc1 301109095 VNCVLYROZSWYPS-UHFFFAOYSA-N 424.467 4.604 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@H]1c1ccccc1Cl 301225964 DQJHYGOUFSFSBT-AWEZNQCLSA-N 416.833 4.586 5 20 HJBD Cc1ccc([C@H](Nc2ccc([N+](=O)[O-])cc2F)c2cccc(S(C)(=O)=O)c2)cc1 301470839 OZQYASNSCGRPQT-NRFANRHFSA-N 414.458 4.647 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 301876622 SMPYMLDSZAYIOT-DOTOQJQBSA-N 412.490 4.539 5 20 HJBD CCCCN(CC(F)(F)F)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 302957743 BORPWRWYMQSLLJ-CQSZACIVSA-N 424.423 4.968 5 20 HJBD C[C@@H](NC(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 409518662 LTMAMNVNTWFDIG-DYVFJYSZSA-N 419.865 4.689 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)c3cccc(O)c3)cc2[N+](=O)[O-])n1 430239072 MRUPBJHCKSEXAY-GFCCVEGCSA-N 415.496 4.708 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 432484495 GIIROWZSUVHSLT-FZKQIMNGSA-N 423.391 4.916 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2c2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 433974551 OUKJSLYROATAAA-YMTYPPQLSA-N 420.444 4.588 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)c1[N+](=O)[O-] 435652977 DTZMWPJVTRZKAQ-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 437236677 AYGIBLZYHWTADW-JTQLQIEISA-N 401.410 4.774 5 20 HJBD CC(=O)c1ccc(OCC(=O)N2CCC[C@H]2c2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 440816266 MEZMBZGQZXGSGX-FQEVSTJZSA-N 424.497 4.837 5 20 HJBD COc1cc(OC)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 443817276 OZKQCWTXNMFYFH-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD CC1(C)Cc2cc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)ccc2O1 444229918 XSROXICHYMMFCT-UHFFFAOYSA-N 420.415 4.925 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(Cl)c2)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 446252823 LXDNPABHCUITGM-AUUYWEPGSA-N 402.878 4.514 5 20 HJBD C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 461307411 IYAGBBLVLGGAOY-GTNSWQLSSA-N 407.239 4.720 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 467998129 KKKWPAORWOSKOY-BDXSIMOUSA-N 422.359 4.682 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cccc(C[S@](=O)C2CCOCC2)c1 468584912 HARWMGCEWRAWSX-LGOLVEFXSA-N 414.527 4.538 5 20 HJBD CCOc1ccc(C2(CNC/C(C)=C\c3cccc([N+](=O)[O-])c3)CCOCC2)cc1 468632138 OEKUHVWBUNFSGS-CYVLTUHYSA-N 410.514 4.735 5 20 HJBD COc1ccc(-n2c(Sc3cc(C)c([N+](=O)[O-])cn3)nnc2-c2ccccc2)cc1 470867753 ZBRXRBRABVBDQO-UHFFFAOYSA-N 419.466 4.706 5 20 HJBD C[C@H](N[C@H](C)c1cc2cccc(F)c2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 475697608 OBUKCOVLNLVGJU-MNOVXSKESA-N 405.813 4.811 5 20 HJBD CC[C@@H](C)c1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 478299353 AKKJEQAZHUEVBF-OAHLLOKOSA-N 406.442 4.730 5 20 HJBD C[C@@H](CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(F)(F)F 478442289 IIAWXYDUKZLCLP-MEDUHNTESA-N 415.799 4.716 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(Br)s1 479198684 UIDZMBSYOLGKNM-UHFFFAOYSA-N 415.334 4.803 5 20 HJBD CN(C(=O)[C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 480234935 KBSLCXPRWJZRIB-GOTSBHOMSA-N 402.450 4.940 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(Br)c1 480430822 GVWXSJDBPXESIO-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(C)(C)c1ccc(C)cc1 485602545 RDFGUUTYSBAUIN-UHFFFAOYSA-N 416.543 4.692 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(C(=O)C2CCC2)cc1 486312762 ZFXNNFUUIUWDIO-LBPRGKRZSA-N 402.834 4.637 5 20 HJBD CC(=O)c1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c(C)nc1C 486429645 FQSRNJVJCLMCAP-UHFFFAOYSA-N 403.438 4.656 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N(CCc1ccccc1F)C1CCCC1 488448417 CGISZMCKPODXGE-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD O=C(NCCCOC1CCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 489699098 AJTFJVCEELJBCT-UHFFFAOYSA-N 400.500 4.825 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2ccccc2Cl)CC1 490660395 DTBXLWQJVSBOEE-UHFFFAOYSA-N 408.788 4.779 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 498242046 IZBPFURKPXMUOK-MRXNPFEDSA-N 400.435 4.553 5 20 HJBD COC[C@@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 498605722 LVFSNLIXGFRPKC-CQSZACIVSA-N 404.850 4.539 5 20 HJBD O=c1c([N+](=O)[O-])cc(Cl)cn1Cc1csc(-c2ccc(C(F)(F)F)cc2)n1 502142881 NPTICKAQMBPCFM-UHFFFAOYSA-N 415.780 4.601 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(Cc2ccc(F)c(F)c2)C1 507296750 AMRPWNVTQZWABY-UHFFFAOYSA-N 406.454 4.690 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)c2ccncc12 511674421 UPAOOYJLKAMBLJ-ZDUSSCGKSA-N 418.375 4.732 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1 512748393 HWYCYRXWPUWDQG-AWEZNQCLSA-N 404.488 4.653 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCc2cnn3ccccc23)cc1 512885792 UIIYQJWWQXITQR-UHFFFAOYSA-N 408.845 4.978 5 20 HJBD Cn1ccnc1[C@@H](NCCCOc1ccc2ccccc2c1)c1ccc([N+](=O)[O-])cc1 513671571 CXLXNGDFNQHNMF-QHCPKHFHSA-N 416.481 4.630 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 513696813 DZDWTXYQURVYDI-JTSKRJEESA-N 408.502 4.693 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1C[C@H]1CCCO1 514898453 ISIOZGCJRKBONB-IVZQSRNASA-N 419.437 4.600 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)Cc1cccs1 515327693 WBDLWNVPPSUOJO-UHFFFAOYSA-N 416.480 4.743 5 20 HJBD C[C@@H]1CN(c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C[C@@H](C)O1 515614556 BRGIMXVMICOLQA-ZIAGYGMSSA-N 411.483 4.675 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1C1CC1 517773896 DKNKIMZFOPXFEW-UHFFFAOYSA-N 400.482 4.664 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cc1 519122288 URQPCFDBFNTCKI-HOTGVXAUSA-N 424.501 4.678 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(c3ccccc3Br)C2)c2ccncc12 521972043 ZIUFEVOIATZUMV-ZDUSSCGKSA-N 413.275 4.596 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 523243097 NMCWXBQCGKAPIU-ZDUSSCGKSA-N 412.471 4.888 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1)c1ccccc1 525134460 AMJFPRSQUFABLM-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CC1 530855399 QHJVNUDBZMPMSM-UHFFFAOYSA-N 421.280 4.566 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN(C)[C@H](C)c1sc(C(C)C)nc1C 534477044 HNOGWMSDHAXRAM-CQSZACIVSA-N 420.535 4.513 5 20 HJBD Cc1c(Br)cccc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 537719698 DEMBNOAKHHLCFE-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD CSc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1C 538247150 SBGCCRMZXRSVHS-UHFFFAOYSA-N 410.499 4.739 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)Nc1cc(Cl)cc([N+](=O)[O-])c1O 538646786 RXXDHHCQIFYARV-UHFFFAOYSA-N 422.224 4.834 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 541913306 FKRCYMFSLBNPDV-GUYCJALGSA-N 423.307 4.530 5 20 HJBD C[C@@H](C(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccc([N+](=O)[O-])cc1F 543458038 VHARSYSIKCCKMV-LLVKDONJSA-N 422.338 4.681 5 20 HJBD C[C@@H](C(=O)NCc1cccc(COCc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 543473691 JVZHBDDNDJGNHC-QGZVFWFLSA-N 422.456 4.871 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc([C@@H](C)c4ccc([N+](=O)[O-])cc4F)n3)c2)n1 544617148 RRCQMEZRIFBFTN-HNNXBMFYSA-N 421.432 4.797 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1 546088948 XEQIAYFDMUOHRF-QFIPXVFZSA-N 412.511 4.921 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)[C@H]3CCCO3)c2)c([N+](=O)[O-])cc1C(F)(F)F 549135634 VJYXDVOVBPVFQP-GOSISDBHSA-N 423.391 4.652 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])C2)cc1 554153020 BKPSECMSWQWUJL-INIZCTEOSA-N 400.500 4.517 5 20 HJBD CC(C)c1c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1 554903664 JQUAAQXBSWNELD-UHFFFAOYSA-N 407.474 4.610 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2cccc(F)c2)no1 559151246 CCNJWMCEADUXNJ-JTQLQIEISA-N 410.327 4.654 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 562912779 ZLLXTYNIXYLLDR-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](Cc3ccc(C)cc3)C2)cc1SC 563865160 AAPDKIYAEZBLHJ-KRWDZBQOSA-N 414.527 4.729 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)c3ccccc3)CCCC2)no1 574556865 ICLHOTWDLVOZBX-UHFFFAOYSA-N 420.469 4.503 5 20 HJBD Cc1cccnc1C(C)(C)CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 576748509 AEVYXPCRZLLQSI-UHFFFAOYSA-N 419.481 4.585 5 20 HJBD O=C(Nc1ccc(OCCCO)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 588060337 GGLLOJDYPAPTJY-UHFFFAOYSA-N 416.499 4.643 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)n1 589114193 JTFMBQBIHHGTJV-CYBMUJFWSA-N 415.393 4.588 5 20 HJBD O=C(CCc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)N1CCCC1 600854404 NVCNZSSUSRDIOR-UHFFFAOYSA-N 421.419 4.781 5 20 HJBD CCN(C(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 603529710 NEDBXPIDJRQJSC-LBPRGKRZSA-N 424.375 4.624 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 603643094 DUICPIKDKXHASM-GOSISDBHSA-N 402.520 4.559 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@H](c2ccccc2)CC1 608968498 RTEGOEHUXNUBHG-INIZCTEOSA-N 422.403 4.946 5 20 HJBD COc1ccc(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)cc1[N+](=O)[O-] 609065047 YUVHDZYGNDVYRV-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 609293003 UYYFRYHFOPRCEJ-SUMWQHHRSA-N 411.487 4.871 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCS[C@H](C)[C@H]1c1ccccc1 609819382 ICRNKJHCWUMFPM-VCBZYWHSSA-N 402.541 4.781 5 20 HJBD Cc1cc(C)cc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609855884 MQPGQFNPDILTIW-UHFFFAOYSA-N 419.268 4.981 5 20 HJBD CCOC(=O)c1ccnc(N[C@H]2CCOc3c(-c4ccccc4)cccc32)c1[N+](=O)[O-] 609962792 BEPMLAQSXJHDSA-IBGZPJMESA-N 419.437 4.769 5 20 HJBD O=C(Nc1ccc(NC[C@H]2CCCO2)c(F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 610089754 NTHFDZHDCIIWFW-CYBMUJFWSA-N 408.817 4.622 5 20 HJBD Cn1cc(-c2nc(COc3ccc(Cc4ccccc4)cc3[N+](=O)[O-])cs2)cn1 610500282 YCBVQBWFYDFFNO-UHFFFAOYSA-N 406.467 4.622 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611201373 IBIMMDLZAMGPDW-UHFFFAOYSA-N 412.465 4.921 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@H]1n1ccnc1 611212575 RCBJJMCQQOZXHX-HNAYVOBHSA-N 414.531 4.549 5 20 HJBD Cc1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])n1-c1ccccc1 619675498 GDDRPVHSIRPZKA-UHFFFAOYSA-N 416.462 4.866 5 20 HJBD COc1cc(N2CCC(OC(=O)c3csc4ccccc34)CC2)ccc1[N+](=O)[O-] 739372319 WEFKQWUEGMHTAD-UHFFFAOYSA-N 412.467 4.644 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@@H](C)c2ccccc2[N+](=O)[O-])cc1Cl 743468455 LCADFXMDELMLMG-AWEZNQCLSA-N 405.882 4.669 5 20 HJBD Cc1c(C(=O)N(Cc2cccc(Cl)c2)C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748619305 KJSJMKDQECKMJE-UHFFFAOYSA-N 405.838 4.824 5 20 HJBD CC[C@H](C)Oc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750522483 JZXHOZRODXPNOO-UONOGXRCSA-N 411.414 4.740 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754633886 YDLMRFFALIPCHY-UHFFFAOYSA-N 424.270 4.591 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc[nH]2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 760414260 HBEBLHHAEPGXKM-LBPRGKRZSA-N 415.833 4.868 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 764389450 CETPTQPSQQSXNO-YLJYHZDGSA-N 402.878 4.757 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 765580722 SPSXFDFBWAVDJG-IFMALSPDSA-N 422.481 4.519 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2ccco2)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 767481632 DNHATIUGHCPOBP-JTQLQIEISA-N 420.830 4.754 5 20 HJBD C[C@H](OC(=O)c1cccc2ccsc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 767627333 SQRLFPLSUAQROZ-JTQLQIEISA-N 404.831 4.647 5 20 HJBD CC(C)C[C@H](NC(=O)OCc1ccccc1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 767952472 IRFFGDUGWWSWIN-SFHVURJKSA-N 410.430 4.658 5 20 HJBD COC1CCN(c2ccc(NCc3cccc([N+](=O)[O-])c3)cc2C(F)(F)F)CC1 770562954 FLGXLDSMDXKSBN-UHFFFAOYSA-N 409.408 4.841 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1(c2ccccc2Cl)CCOCC1 778093860 YABPKSLKLWIIPY-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD COc1cc(/C=C(/C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)ccc1O 782939984 BQDLUCCYCLHCBF-WOJGMQOQSA-N 423.396 4.732 5 20 HJBD CCc1cc[n+](/C(C(=S)Nc2ccsc2)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 790112534 HSDHJFGFHPCYNW-UHFFFAOYSA-O 412.516 4.830 5 20 HJBD O=C(C[C@@H]1CCCCCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccco1 791131561 IBWBUWRUTRMQCZ-AWEZNQCLSA-N 422.840 4.567 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 796558283 IWNAVFUMGLOKTA-UHFFFAOYSA-N 407.760 4.996 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812811478 AFEJWUMZGOWCDE-SNVBAGLBSA-N 400.298 4.673 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)s1 815213809 GYDWXHUMLGLKKG-VIFPVBQESA-N 404.366 4.525 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1OC(F)F)c1ccc(N)c([N+](=O)[O-])c1 815588373 MHQAJTJMYXYFMB-QMMMGPOBSA-N 406.216 4.936 5 20 HJBD Cc1c(C(=O)OCC(=O)c2ccc(-c3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916660372 UDGFKMFMCBMPSC-UHFFFAOYSA-N 420.377 4.518 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc2C1 1319561146 YLTFSYGAVONEOT-UHFFFAOYSA-N 411.405 4.839 5 20 HJBD Cc1ccc(/C=C/c2nc(SCc3ccc([N+](=O)[O-])cc3Br)n[nH]2)o1 1320522020 WKYQWJGAERUWHT-VOTSOKGWSA-N 421.276 4.840 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3cc(Br)cc([N+](=O)[O-])c3)o2)c1 1326918881 KKTRHQQARQHVGZ-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CC/C=C(\C)C(=O)NC1(c2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])o3)cc2)CCC1 1786207982 BWFVZJPALVTVGK-BXYSQEQOSA-N 423.469 4.691 5 20 HJBD CC(C)CCSc1ccc(C(=O)OCc2nnc(-c3ccco3)o2)cc1[N+](=O)[O-] 5772566 UQYGZJBBEFHALC-UHFFFAOYSA-N 417.443 4.733 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 6060768 OYHFWGPJDLHVIS-UHFFFAOYSA-N 410.470 4.564 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)c1sc2cc(Cl)ccc2c1Cl 7256811 NEQGCGJXALXRSZ-UHFFFAOYSA-N 410.282 4.958 5 20 HJBD C[C@H](Sc1ccc(C(F)(F)F)cn1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 21636853 BPQAFBVPIKYFKD-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 22167555 NLPXUFWXIZHHMG-GFCCVEGCSA-N 416.528 4.952 5 20 HJBD CCOc1cc(CN(C)c2ccc([N+](=O)[O-])c3cnccc23)ccc1OC(F)F 25567649 ORDXCHPGGXJUPM-UHFFFAOYSA-N 403.385 4.780 5 20 HJBD O=C(N[C@H]1CCc2c(Cl)cccc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 55713026 LWLWTFXJAZBVFT-HNNXBMFYSA-N 414.767 4.607 5 20 HJBD O=C(Nc1ccc(Cn2cccn2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 58473641 RZWUZOMMXNOCDT-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD COc1ccc(CSCC(=O)N(C2CC2)[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-] 59422289 ABHDTABAJLWWNW-LJQANCHMSA-N 412.511 4.515 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])NCc1ccc(-c2nc3ccccc3s2)o1 59743927 QGTNLEFKGQSZKQ-UHFFFAOYSA-N 423.450 4.550 5 20 HJBD CCN(Cc1cccs1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 60675590 CVCGOYNGSJSHPQ-UHFFFAOYSA-N 404.435 4.816 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCc2ccccc21)c1ccc([N+](=O)[O-])cc1Cl 68776820 BJBHXQKSIPMUEM-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1N1CCCCC1 110488978 ZFRRXOGNMBYNLW-CQSZACIVSA-N 403.479 4.844 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1nnc2ccccn12 237407455 AWNNBNIPMDIZDF-CQSZACIVSA-N 423.860 4.934 5 20 HJBD COc1cccc([C@@H](Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c2nccn2C)c1 301080406 JDKHQRREHFJYDY-QGZVFWFLSA-N 406.364 4.557 5 20 HJBD COc1cc(F)c([C@@H](C)NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC 303540397 JMDRBYIMEVZZEW-GFCCVEGCSA-N 404.438 4.540 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426006194 VQTJRFNSRKFERJ-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD COc1cccc(C(=O)N2CCc3sccc3[C@H]2c2cccs2)c1[N+](=O)[O-] 435647058 LTLJQXTWOTXBIT-SFHVURJKSA-N 400.481 4.514 5 20 HJBD O=C(NC[C@@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435876869 LCEZIKSSGAQUHH-HNNXBMFYSA-N 418.443 4.809 5 20 HJBD C[C@@H](NC(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 437635610 QAQMDLNPRUYEJZ-SRCQZFHVSA-N 407.392 4.920 5 20 HJBD CN(CC1(N(C)C)CCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 438614483 XNCYCVAIPRTOCY-UHFFFAOYSA-N 417.893 4.597 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 439868145 WDMGKDBYCRZLRJ-CYBMUJFWSA-N 409.202 4.598 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 460527245 XLILAWOGIMSAEP-UHFFFAOYSA-N 412.533 4.899 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(-c2ccccc2)n1 462605572 VYUSXKBZHKEJQP-QGZVFWFLSA-N 409.511 4.646 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(F)c1)c1ccccn1 462615046 NLNFRPHSOBFOBR-HTAPYJJXSA-N 407.445 4.603 5 20 HJBD CCN(C[C@@H](C)OC)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 462866930 DCHNPWCDADRDDJ-CYBMUJFWSA-N 422.865 4.546 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H](c1ccccc1)C2 469034534 ROTLKAMHFDEGTJ-QFIPXVFZSA-N 403.438 4.935 5 20 HJBD COCCOc1ccc(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 472215175 STBAHFOPBLAFFQ-CYBMUJFWSA-N 401.444 4.523 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477502988 TWFXEXYSQXTFTI-GFCCVEGCSA-N 405.886 4.657 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cc(OC)c(OC)cc1C 480578388 GPEFSODTKAIEOV-CYBMUJFWSA-N 404.488 4.523 5 20 HJBD C[C@H](NCc1cc(Br)ccc1Br)c1cccc([N+](=O)[O-])c1 480672270 SFEVLDYULNAYFW-JTQLQIEISA-N 414.097 4.971 5 20 HJBD COc1cc(-c2nc(C(C)(C)OC)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 482558687 VBHUYNQIYQERAP-UHFFFAOYSA-N 419.821 4.981 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1ccc(Cl)cc1 482730604 COKRQRDHUZMQQX-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)CCc3ccco3)cc2[N+](=O)[O-])n1 483133073 ZNZFEFWJZRNTFE-GFCCVEGCSA-N 417.512 4.855 5 20 HJBD CCCCn1ncc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1C(C)C 485170681 PNYVKYCSGRHBCB-UHFFFAOYSA-N 407.902 4.732 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 485926627 ODYUVIGTZKAAFT-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD C[C@@H](NC(=O)CCCC(=O)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 488010375 BGJCWCPUZMPGSX-CYBMUJFWSA-N 419.275 4.588 5 20 HJBD C[C@H]1CN(c2ncnc3cccc([N+](=O)[O-])c23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488712163 JMBSUSHSJYMHQP-SJCJKPOMSA-N 418.375 4.523 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H](c3ccccc3)C2)cc1SC 489638194 KAJUPKQNIKKDST-HOTGVXAUSA-N 400.500 4.782 5 20 HJBD CC(C)(NC(=O)Nc1cc(C2CC2)nn1-c1ccccc1)c1ccccc1[N+](=O)[O-] 494442406 DJIHCIHBELNSQN-UHFFFAOYSA-N 405.458 4.715 5 20 HJBD CC(C)[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc2nc(O)oc2c1 494451283 FXXNLSKITHPCBW-QGZVFWFLSA-N 409.364 4.947 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 499511940 CKCAJZJEZMVXBD-MRXNPFEDSA-N 406.426 4.663 5 20 HJBD CC(C)[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1 501657899 UCLUVPYMOKOWDH-ZDUSSCGKSA-N 401.257 4.685 5 20 HJBD Cc1nn(Cc2coc(-c3ccc(Br)cc3)n2)c2ccc([N+](=O)[O-])cc12 502852664 JKADOKNEDIKJSY-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCC1(c2cccc(C(F)(F)F)c2)CCCC1 502881163 UTWUBCZCUNFTJE-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1cccc([N+](=O)[O-])c1 508291536 YXFMWTIEEDFZQG-OKILXGFUSA-N 415.877 4.904 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCCSc1ccc(F)cc1 509407676 LPZRGQXTODQPPR-UHFFFAOYSA-N 405.495 4.836 5 20 HJBD CC[C@@H](NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 510743812 BGJXKYUWUFEUJK-GXSJLCMTSA-N 403.287 4.998 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cn(-c2ccccc2)nc1C 511824760 KDRZEWKRLJXHIU-UHFFFAOYSA-N 410.499 4.521 5 20 HJBD CC(C)c1c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 514323594 GIRVTBZZJLVEBA-UHFFFAOYSA-N 412.877 4.830 5 20 HJBD Cc1ccc(-c2ocnc2-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 521684512 ZZCCYYGUGIPJCK-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4cc(Cl)cc([N+](=O)[O-])c4)n3)co2)cc1 523116304 ONSWAUNXNBAVSJ-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(-c4ccccc4)cc3)no2)ccc1-n1cncn1 524636169 IVOFHSJOWGVRAY-UHFFFAOYSA-N 410.393 4.560 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)Nc1cccc([N+](=O)[O-])c1 525509132 RAMUOJSJGIFHOW-UHFFFAOYSA-N 404.264 4.553 5 20 HJBD Cc1nnc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)o1 532104112 MXMPAQQMUHQJOI-JTQLQIEISA-N 418.862 4.582 5 20 HJBD CC(C)[C@@H](CCO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 532227216 XROGRTGJVNOHPG-QGZVFWFLSA-N 408.907 4.536 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCOc2ccc(F)cc21 539339240 OKXLOJAWGOIHBU-QGZVFWFLSA-N 404.463 4.878 5 20 HJBD CC(=O)Nc1cc(Cl)ccc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539934494 OYODYAFCOFFOCL-UHFFFAOYSA-N 410.817 4.521 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 541691653 ACTHDYNOKOYVSB-KGLIPLIRSA-N 404.438 4.512 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 542763606 XKVIDLNBVLHCMQ-INIZCTEOSA-N 414.220 4.646 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3Cl)o2)c1 542937105 ZQGNIFADHAROTB-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD CCc1noc(-c2ccc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])c(Cl)c2)n1 544366408 RHXVWVDMAOJDCM-UHFFFAOYSA-N 418.862 4.835 5 20 HJBD COc1ccc2cc(CN(C)C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)ccc2c1 545871197 WCWWZTUJORJZDN-UHFFFAOYSA-N 410.445 4.832 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 561871684 BWJKWPCNHLUTKL-INIZCTEOSA-N 407.264 4.589 5 20 HJBD CCNc1ccc(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 565857218 LGKBHIQSSQRHPT-KRWDZBQOSA-N 406.364 4.541 5 20 HJBD O=C(Nc1ncc(C2CC2)[nH]1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 572152245 FIGRHNNDTMJRTC-UHFFFAOYSA-N 411.849 4.713 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C(F)(F)F 572918825 LVHMMGSQLPIOCJ-NSHDSACASA-N 409.364 4.751 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 582079607 QAXSRBMPVRNKJZ-VOJFVSQTSA-N 407.264 4.748 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(-c2cccs2)nc2c1c(C)nn2C 591335543 ANQFTEHBGHQOGD-UHFFFAOYSA-N 421.482 4.783 5 20 HJBD Cc1c(NC(=O)NC[C@@H](C)Sc2ccc(Br)cc2)cccc1[N+](=O)[O-] 609469586 JLYWZAXIONXBJP-LLVKDONJSA-N 424.320 4.968 5 20 HJBD Cc1sc(NC(=O)C[C@@H]2OCCc3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 609642776 NDZXCLSNIBYYRK-SFHVURJKSA-N 409.467 4.669 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(C)c3C)nc2)c([N+](=O)[O-])cc1OC 609652353 QHUURBNGKMZOOJ-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD COc1ccc(C(C)=O)cc1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762209 QFKWPZDDTYLTCL-UHFFFAOYSA-N 420.421 4.780 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1c(Cl)cccc1Cl 610219562 PLJXIFVXZYGTJU-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cn1cnnc1-c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611205091 XXHKTSPRFYWYSB-UHFFFAOYSA-N 423.498 4.677 5 20 HJBD COc1cccc2c1OCC[C@H]2Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 613180466 CEMWOOHDHHWFGE-QGZVFWFLSA-N 407.426 4.513 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(C(F)(F)F)n3)cc2[N+](=O)[O-])C1 726148368 OVVLBRTZGKVQQI-BETUJISGSA-N 422.407 4.743 5 20 HJBD C[C@@H](N[C@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729474120 FHIFUUQDYGOWAA-BLVKFPJESA-N 405.857 4.845 5 20 HJBD C[C@H](OC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccc(Cl)cc2[nH]1 732517201 DHGSWSOFLGPHSP-LBPRGKRZSA-N 402.838 4.621 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)cc1F 732963577 LGPHEWDLTFCKDQ-QMZDIEEXSA-N 423.419 4.919 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1cc([N+](=O)[O-])ccc1Br 733232806 KRDIYSSMQSBOBG-UHFFFAOYSA-N 409.255 4.591 5 20 HJBD CCc1ccc(C(=O)Nc2ccccc2C(=O)N(C)C2CCCCC2)cc1[N+](=O)[O-] 746514802 XEBWNSZVKHYQIT-UHFFFAOYSA-N 409.486 4.814 5 20 HJBD CCCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)c(C)c1 748629672 HMTIWHXZDZKVMU-UHFFFAOYSA-N 401.419 4.859 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Oc1ccc(Sc2ccncc2)cc1 749700400 KCIZLNDWCNUSHL-UHFFFAOYSA-N 422.871 4.562 5 20 HJBD CCn1ncc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)cc21 750857073 QRZDXZBWNDVQNC-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=C(Cc1csc2ccc(Cl)cc12)OCc1cc([N+](=O)[O-])cc2c1OCOC2 751847585 YZHUMNUEQFBENC-UHFFFAOYSA-N 419.842 4.615 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754359070 RMWBMMHFENZFLL-UHFFFAOYSA-N 419.934 4.898 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754987477 LSIKYCABJZWNQB-NSHDSACASA-N 408.813 4.695 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)s1 755372043 SHIXVCNEXODLEW-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nnc(-c2cccc(Cl)c2)o1 756767810 KEDXMXHZYAVQNJ-JTQLQIEISA-N 412.789 4.698 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1ccc(C)cc1 757921951 OAWNKRMDSZAXNA-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc2ccccc2c(Cl)n1 760491503 OEVREBBCAVOWND-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1[N+](=O)[O-] 762975539 YEYABYIGEPUPAF-LBPRGKRZSA-N 412.427 4.677 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 763763666 VDJQXBJINDAHML-UHFFFAOYSA-N 423.429 4.727 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 765525475 HRPDOUONRFHGJB-SECBINFHSA-N 415.646 4.511 5 20 HJBD Cc1cnc(NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)nc1 767100873 ZBBUPDFKMFXZQF-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1cc(NC(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 771185259 FGNUUWLPNFAUAU-LJQANCHMSA-N 403.866 4.534 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1noc(-c2ccccc2)n1 784642584 NBFJQYQSCZJIGW-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 796386425 MHSLJKDJANCDPM-UHFFFAOYSA-N 413.773 4.710 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2cccnc2)cc1 808461134 AFWPNAGIHVHNRF-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD COCCCCN(Cc1ccccc1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930594 PHRKOWGZFODZLO-UHFFFAOYSA-N 411.285 4.971 5 20 HJBD OCc1cn([C@@H]2CCCN(Cc3c4ccccc4c(Cl)c4ccccc34)C2)nn1 816641825 HIOOOFKXNBKQKO-QGZVFWFLSA-N 406.917 4.567 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1csc(Nc2ccccc2)n1 819010770 LKCFTIIRDRAYJX-UHFFFAOYSA-N 401.469 4.630 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(N3CCOC3=O)c2)cc1[N+](=O)[O-] 916845609 WNTOCXZWRJCUEI-UHFFFAOYSA-N 418.453 4.931 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 918637567 UWXOMZGRRXIPPO-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCc2cccc(OC3CCCC3)c2)o1 1117192174 UQDBYSXXGQXFAX-UHFFFAOYSA-N 409.442 4.663 5 20 HJBD CN(Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@H]1CCCc2c1cnn2C 1319716628 YGSJIXCODCSYPD-KRWDZBQOSA-N 400.866 4.751 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cs1 1323792740 SYILGMXFJBGDOY-LBPRGKRZSA-N 411.458 4.859 5 20 HJBD O=C(c1ccc(-c2ccccc2OC(F)(F)F)o1)N1Cc2ccc([N+](=O)[O-])cc2C1 1338554310 FOAHAVKKLOJLRN-UHFFFAOYSA-N 418.327 4.909 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc(Oc2ccccc2)cc1 7224708 SMJPVHCHGUOPHO-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)cc1[N+](=O)[O-] 8280429 MVLCOVATAZTYJX-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 16013451 IWELHLRSPYNTRD-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccnn1Cc1ccc(C(F)(F)F)cc1 16700304 RODAZVLPUZAPOB-BJMVGYQFSA-N 416.359 4.510 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(c2ccc(Br)cc2)CCCC1 60190517 QNHGHSWCBOWYKU-UHFFFAOYSA-N 407.239 4.947 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1Cl 109654364 JDQSSAGALQSVFI-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)Cn2cc([N+](=O)[O-])nc2C)c2cccs2)cc1 116043860 VZYDGOOMUXFSQN-LHSJRXKWSA-N 412.515 4.581 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCC[S@](C)=O)cc2[N+](=O)[O-])c1 236740783 VCKNONUOUUYZRE-NDEPHWFRSA-N 404.532 4.677 5 20 HJBD CCC[C@@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(N2CCCC2)c1 236909709 YLNKGHIIWDLORO-YVWYTEFXSA-N 422.529 4.605 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)Nc4cccc([N+](=O)[O-])c4)cc3)o2)cc1 302804724 KDBFSRKNSKEOFC-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)Nc1cccc([N+](=O)[O-])c1 409670732 HTMWTYQFVCTBGK-UHFFFAOYSA-N 422.441 4.893 5 20 HJBD O=C(NCc1nc(-c2ccccc2F)cs1)NCc1ccc([N+](=O)[O-])cc1Cl 426182541 IUZCNJIWEHIGGH-UHFFFAOYSA-N 420.853 4.510 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435945569 VJJFDRXLIRLESY-UHFFFAOYSA-N 410.395 4.761 5 20 HJBD CN(CCCOc1ccc(F)cc1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436093958 WLHYXEZMTHOAEF-UHFFFAOYSA-N 422.431 4.501 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 436600520 FSUIXQRRNSHSBK-UHFFFAOYSA-N 423.425 4.897 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cn2)cc1 438413133 DEFJXHAFCIMTSC-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD C[C@H](N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1(C)CC1 438433004 ALABDCQSOQNUDM-KRWDZBQOSA-N 418.497 4.637 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)o1 440600207 QRPRJRWVGFBCSN-UHFFFAOYSA-N 421.438 4.583 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccnc1 444223227 IHBFNENDRRHDGR-HXUWFJFHSA-N 421.447 4.524 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cnn(-c3ccccc3)c2)no1 445430169 FUUCGRRXQNSOPB-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N(C)[C@H](C)c1nc2ccccc2s1 460222854 AFSBZXOFPSZSDH-CYBMUJFWSA-N 408.487 4.680 5 20 HJBD CCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H](Cc1ccc(F)cc1)C(C)C 464791079 KVAMCXQGEYPESI-HXUWFJFHSA-N 412.465 4.873 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 466035555 KHBZLTWPPOLHCI-GFCCVEGCSA-N 409.389 4.520 5 20 HJBD CC(C)(C)C(=O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467999801 GSXAQIVVVMSLIF-UHFFFAOYSA-N 406.891 4.744 5 20 HJBD CO[C@H]1CCOc2c(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cccc21 468677742 QWCNDXIKGZUJRK-IBGZPJMESA-N 418.424 4.543 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2cc(Cl)ccc2C1 480817384 AKTNNFYTANWGIF-UHFFFAOYSA-N 406.844 4.672 5 20 HJBD Cc1sc(NC(=O)c2c(F)c(F)cc(F)c2F)nc1-c1cccc([N+](=O)[O-])c1 481174010 KPCIFVWSIRMTEG-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD CC(C)c1c(NC(=O)COc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482743436 DMVHIOPEAMSESZ-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)cc1F 483644068 OZRLSSWNEOBPNM-NSHDSACASA-N 422.363 4.545 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484314478 DDKQNFPIADFFQK-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CC(=O)N1CC[C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc21 485399044 APROGQGCCJBGMK-GOSISDBHSA-N 406.417 4.706 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 487009549 LOJNEOFMDKBDLW-GOSISDBHSA-N 407.392 4.615 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccco3)n2Cc2ccco2)c(F)c1 489408437 FOHXFIGTKXKTTE-UHFFFAOYSA-N 400.391 4.519 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 489935030 OIFSORZWEBESCD-HNNXBMFYSA-N 404.348 4.660 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCCOC 489938669 XTSWCHSOKKWEDJ-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 492876148 ORSJHAOFDMEESL-CYBMUJFWSA-N 410.392 4.573 5 20 HJBD COCCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 500299202 RAUSHLCWGBJHEI-NSHDSACASA-N 402.394 4.525 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cc2)nc1 511726824 KRLOYLOGMQQHIC-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(F)c(Br)c3)c1)OCOC2 515778902 FHBACTWMCULVIQ-UHFFFAOYSA-N 414.252 4.796 5 20 HJBD O=C(Cc1cnn(-c2ccccc2)c1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522678139 QFIBTJKFCBEXJZ-UHFFFAOYSA-N 414.421 4.754 5 20 HJBD CC(C)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1ccc(F)cc1Cl 522816556 MDAHKKNEARMYOT-UHFFFAOYSA-N 402.813 4.628 5 20 HJBD O=C(CS[C@H]1CCCc2ccccc21)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523948512 BDQYOCIUFPACCD-FQEVSTJZSA-N 414.483 4.505 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N(C)Cc1cccc(F)c1 524224924 RPCDIXANZWNFTP-INIZCTEOSA-N 423.444 4.985 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCc1ccc(F)cc1 524225088 VXHNNFWWFUJZAI-HNNXBMFYSA-N 409.417 4.643 5 20 HJBD CCC[C@@H](Cc1ccccc1)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 524311017 RUTYUWLXNWUGBV-HNNXBMFYSA-N 410.392 4.677 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cc(C)ccc3[N+](=O)[O-])c2)c1 525139426 FWFIQMHEKGWAIF-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CC[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](c1ccc(C)cc1)n1cncn1 534424749 ZCNKVKKTUJRSCP-DENIHFKCSA-N 402.458 4.523 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)c1c[nH]c2cccc([N+](=O)[O-])c12 535704502 IMTWHOALKZFUGI-UHFFFAOYSA-N 401.422 4.950 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc2c1CN(c1nccs1)C2 536162496 DKLAQPCGUJKLSK-UHFFFAOYSA-N 415.862 4.869 5 20 HJBD C[C@@H](Oc1ccccc1Cl)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251376 IWPSNVZXXYHJEM-GFCCVEGCSA-N 417.874 4.802 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539469652 UCDRSBQDMDKOCC-SFHVURJKSA-N 423.856 4.954 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1cccs1)N(C)C 540789436 QDOHZIWVLWATGJ-MRXNPFEDSA-N 407.561 4.581 5 20 HJBD C[C@H](N[C@@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544468036 GIGLDIDPHDBFIQ-STQMWFEESA-N 414.849 4.581 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cs1 545116582 OXMHNXGCBNQAGV-NSHDSACASA-N 402.476 4.697 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 545122705 AZLKOLGQPKUKBR-OXQOHEQNSA-N 418.440 4.529 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cnc5ccccc5c4)no3)cs2)c1 545700052 RKXAVKAHQZYZCY-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD Cc1cc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc(N2CCCC2)n1 571726176 ZYJMFDRCYQMDTR-UHFFFAOYSA-N 408.527 4.917 5 20 HJBD COc1ccc(CN(C)Cc2ccc(O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 580364340 MEKRDDHXRXVBSG-UHFFFAOYSA-N 408.454 4.520 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 584497479 ZNYLCAOHPMGCFM-UHFFFAOYSA-N 417.387 4.917 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1cccc(Cl)c1Cl 604334027 JRDHRUYYBRVPGC-NSHDSACASA-N 415.298 4.577 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 604481616 CWBQWVKPEWGKKD-UHFFFAOYSA-N 404.426 4.573 5 20 HJBD O=C(Nc1cnc(C2CC2)nc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 609127428 KPHLMMSGPPHVIT-UHFFFAOYSA-N 423.860 4.780 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccn2)cc1 609678357 JNHOIOOVJHJJJY-HTAPYJJXSA-N 404.470 4.642 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](CC(F)(F)F)c1ccccc1 609723718 WWGHLOJCEAVABA-CQSZACIVSA-N 416.783 4.827 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2cccc(F)c2)cc([N+](=O)[O-])c1OC 610172452 DSPNSTBGDIACNB-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2ccc(F)c(F)c2)CC1 610959974 QFCVNWIDFALTBS-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3C)sc2c1 729728675 PSDFQVTXPLZHIL-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2csc3ccccc23)cc1OC 729958960 HNIKJEBGCORTNV-LBPRGKRZSA-N 400.456 4.708 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)c(C)c2c1 730220775 HHZWFNZLNIGGBK-OAHLLOKOSA-N 423.469 4.749 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)c1cccc(NC(=O)c2ccccc2)c1 731518307 IPDKIVYEBSHPMG-CQSZACIVSA-N 408.385 4.904 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)c1 731528195 XFCFHWOWZOQGQJ-NRFANRHFSA-N 422.412 4.888 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]1c1ccc(F)c(F)c1 732969612 IRVNVIDFJMPWEA-SFHVURJKSA-N 415.421 4.974 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)Nc3nc4ccccn4c3[N+](=O)[O-])s2)cc1 733793220 NOJIKMPFTDKVOP-LBPRGKRZSA-N 409.471 4.856 5 20 HJBD CCc1cnc(COC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)o1 744500179 JLBASBGRDMWQDG-UHFFFAOYSA-N 415.833 4.768 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 745565378 MGVKPSCKNCNWDQ-CYBMUJFWSA-N 424.375 4.723 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@@H]1C[C@@H]1c1cccc(Br)c1 747411973 KPEDXJHNFSUXQX-HZPDHXFCSA-N 423.694 4.773 5 20 HJBD O=C(N[C@H](Cc1cccc(F)c1)c1cccc(F)c1)c1cc(F)ccc1[N+](=O)[O-] 751111127 AOHURXCIAVKBMT-LJQANCHMSA-N 400.356 4.726 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)O[C@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)o2)cc1 759940064 NPIHNOUUBQJWMU-MJGOQNOKSA-N 423.425 4.595 5 20 HJBD O=C(NC[C@H]1COc2ccccc2C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763433773 YZFKRIAZMKUSBV-HNNXBMFYSA-N 412.511 4.611 5 20 HJBD CCSc1cc(Cl)ccc1C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 764621614 WCKHWWBXYURQCN-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD O=C(Cc1cccc(OCc2cccnc2)c1)OCc1cc(Cl)ccc1[N+](=O)[O-] 771148950 UGYUHAHRJZHEMR-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OC)c(N2CCOC2=O)c1 777107063 BGCHGDOEHIQFIX-AWEZNQCLSA-N 417.487 4.543 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(Nc2ccccc2)cc1 781678666 SXBYBKINDSCWHM-UHFFFAOYSA-N 406.398 4.818 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1cccc(Cl)c1[N+](=O)[O-] 787473121 ZRCSFXWLJXESLC-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCC[C@@H](O)c3ccccc3)cc2o1 795199851 GECJHJVYROFATP-JOCHJYFZSA-N 417.417 4.871 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COc1ccc(C2SCCCS2)cc1 800147774 AOTKFVMZORWANR-UHFFFAOYSA-N 422.503 4.929 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)CC1(O)CCCC1 809917007 AZGYGXSJCIEUBK-UHFFFAOYSA-N 403.866 4.587 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])n2)c1 809976277 BFFMGCLUUBURHN-SNVBAGLBSA-N 423.256 4.811 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(COc2ccc(Cl)nc2)CC1 810317460 RGJPILRPEOUUOS-UHFFFAOYSA-N 418.881 4.529 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(CN2CCCCCC2)CC1 813437832 RRLWDGLDESAIJK-UHFFFAOYSA-N 414.333 4.630 5 20 HJBD CCCc1nc(C)c(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 814077773 YRTBXQVXEQTTLP-AWEZNQCLSA-N 424.457 4.769 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCCCc2ccncc2)n1 863949606 VXWLWXSGJPNZSU-UHFFFAOYSA-N 415.496 4.696 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccs3)n2C[C@H]2CCCO2)c(Cl)c1 15130880 BPVYLQNVDCEKBZ-GFCCVEGCSA-N 422.919 4.898 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nc2c(C(F)(F)F)cccc2s1 21769231 KXPYBFAGXMOOSF-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD Cn1c(Sc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])nnc1-c1ccco1 45702458 QTBWBQMRABJUBE-UHFFFAOYSA-N 404.757 4.807 5 20 HJBD C[C@@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 57744595 LLRKSSSXNWUJBQ-CQSZACIVSA-N 401.426 4.706 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)C2CCCCC2)cc1[N+](=O)[O-] 60389539 YGNUJRSYIQHBOU-UHFFFAOYSA-N 412.511 4.952 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCc3sccc3[C@@H]2c2cccs2)c1 146311283 CSEUTRDSEAKBQB-GOSISDBHSA-N 400.481 4.514 5 20 HJBD Cc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3cccc([N+](=O)[O-])c3)s2)cc1 210232556 XTSAPEGPFLFVHO-XNTDXEJSSA-N 400.847 4.744 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 236945507 BBFCVYCGLISEAF-CHRHUSMYSA-N 420.506 4.696 5 20 HJBD Cc1ccc(CNc2ccc(CCn3cc(Br)cn3)cc2)cc1[N+](=O)[O-] 237811659 HQQUTOLJDSZPHM-UHFFFAOYSA-N 415.291 4.717 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3c[nH]c4ccccc34)n2C[C@H]2CCCO2)cc1 301104736 SNSWNJSSURRZOS-OAHLLOKOSA-N 421.482 4.665 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1)c1ccc(F)cc1 301116590 XWARTMIIFXDYEE-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3cccc(Cl)c3)o2)c(Br)c1 301568513 WDSBGYWJAKVOOO-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD C[C@@H](NC(=O)c1cc(C(F)(F)F)ccc1Br)c1cccc([N+](=O)[O-])c1 326989157 XSJDGHKMPAAGAW-SECBINFHSA-N 417.181 4.867 5 20 HJBD C[C@@H](SCCC(=O)Nc1ccccc1[N+](=O)[O-])c1nc(-c2cccs2)no1 409840695 BGLGCJPRYOUYLP-LLVKDONJSA-N 404.473 4.529 5 20 HJBD O=C(O)[C@H](Cc1ccc([N+](=O)[O-])cc1)NCc1ccc(Sc2ccccc2)cc1 426777321 MJYRFXRFFXOFDI-NRFANRHFSA-N 408.479 4.532 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 436356187 UWHKLBHFKOIJHQ-OAHLLOKOSA-N 418.443 4.898 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(/C=C/c2ccc([N+](=O)[O-])cn2)cs1 439515540 DOOAMEUDECOGIG-SNAWJCMRSA-N 400.847 4.955 5 20 HJBD Cc1cc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])nn1[C@@H](C)C1CC1 441293753 MLKOWIHLUUANTO-HNNXBMFYSA-N 422.510 5.474 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc4ccc(F)cc4o3)C2)cc1OC(F)F 443909864 XMRZSRNLNVGGQD-LLVKDONJSA-N 407.348 4.861 5 20 HJBD O=C(N[C@H]1CCCc2sccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444328690 TXJQHBMIIFFUHL-KRWDZBQOSA-N 410.445 4.828 5 20 HJBD C[C@@H](NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1nc(-c2ccccc2)cs1 445532551 NFRPFXYBCJWMQQ-CQSZACIVSA-N 408.483 4.547 5 20 HJBD C[C@H](Sc1nnc(-c2cccs2)n1C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] 463047132 FNDRXEUNRCTSLO-UONOGXRCSA-N 416.528 4.947 5 20 HJBD CC(=O)N(c1nc(COc2c(F)cccc2[N+](=O)[O-])cs1)c1ccccc1F 463791697 ZMSAEPSBYHCVHN-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)C[C@@H]2C)cc1SC 466869904 GBLRZAJTTWUUST-GOEBONIOSA-N 400.500 4.734 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 467836624 OWOMNCMCAGWFNH-SFHVURJKSA-N 403.482 4.684 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@H](OCc2ccccc2F)C1 470124058 HBAMGIBARRFUKW-KRWDZBQOSA-N 401.438 4.564 5 20 HJBD C[C@H](CCC1CC1)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 470344146 KGBBHLOGFIAGOP-CYBMUJFWSA-N 413.440 4.525 5 20 HJBD COC[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccco1 471511505 JLXWOHOHIYCBAP-MRXNPFEDSA-N 416.817 4.751 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CC[C@]2(C1)OCc1ccccc12 472024943 KGAYUVFRBQENBF-XMMPIXPASA-N 416.433 4.659 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc(Cl)cc1[N+](=O)[O-] 473383845 DUBPOHNAYRJUAW-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](c3cccc(Cl)c3)c3ccccn3)n2)c1 475333738 SRQFZIFSKJSLIU-HXUWFJFHSA-N 421.844 4.572 5 20 HJBD COc1ccc(NC(=O)NCc2nc(-c3cc4ccccc4o3)cs2)cc1[N+](=O)[O-] 481286087 XRGRNYLIQGLAGR-UHFFFAOYSA-N 424.438 4.795 5 20 HJBD CCSc1cccc(F)c1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 484917929 GTEYRECQIBBTDH-UHFFFAOYSA-N 416.377 4.708 5 20 HJBD CSc1cccc(C(=O)NCc2ccccc2COCc2ccccc2)c1[N+](=O)[O-] 485736734 VJSLFCTWFLQGSK-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])c1 486423240 FYNZCQYFJPLTQD-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD CC[C@H](C)n1ncc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C1CC1 486710944 OJOFTXAXMVZXBU-NSHDSACASA-N 410.396 4.589 5 20 HJBD COc1ccc(NC(=O)c2ccc(Oc3ccc(F)c(F)c3)cc2)c([N+](=O)[O-])c1 486941959 YOKKWEHXCYUHIE-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nnc3n2CCCC3)cc1 487420030 MUUFDCQIAYVQNM-UHFFFAOYSA-N 423.498 4.554 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@@H]1n1ccnc1 488086666 VJZOTKAUGBAHFJ-KKSFZXQISA-N 422.510 4.666 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2sc(C)nc2C)c([N+](=O)[O-])cc1OCC(F)F 491195327 UTVVNCMXXHKBKX-NSHDSACASA-N 415.462 4.514 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)CCCc2cc(-c3ccccc3)n[nH]2)cc1[N+](=O)[O-] 493254600 KXYOFMILRMKZFC-KRWDZBQOSA-N 421.501 4.629 5 20 HJBD Cc1sc(CCNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 494426433 BLZMBLASROSVBT-UHFFFAOYSA-N 409.511 4.663 5 20 HJBD COc1cc(OCC(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)ccc1[N+](=O)[O-] 506265447 XYNRWBQDSXNXQZ-MRXNPFEDSA-N 422.437 4.652 5 20 HJBD O=[N+]([O-])c1cc(F)c(CNc2cccc(CS(=O)(=O)C3CCCCC3)c2)c(F)c1 506269919 QDMCBTLNZOFZKT-UHFFFAOYSA-N 424.469 4.733 5 20 HJBD Cc1sc(Br)c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1C 507328328 XCZCCFOCOXLLJG-UHFFFAOYSA-N 411.321 4.788 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)c2ccc(F)cc2F)ccc1[N+](=O)[O-] 508978183 LTYSGZBENRPLAY-UHFFFAOYSA-N 404.394 4.790 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(N3CCCC3=O)ccc2Cl)cc1[N+](=O)[O-] 509432563 QYPKNUXQMBKGHY-UHFFFAOYSA-N 415.877 4.925 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCc1cccc(COc2ccccc2)c1 510896880 VSQYXTDSNALYKA-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 518404579 FKIYCYYBWXTXCP-CQSZACIVSA-N 421.419 4.575 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(OCc3ccccc3Cl)cc2)c1[N+](=O)[O-] 521904065 WRUZEEZONZUNEI-UHFFFAOYSA-N 414.849 4.755 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525796811 OQUKATUWZUTSQH-UXHICEINSA-N 404.470 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)c1 533887973 QCPLYJMQDNPNOR-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD C[C@@H]1C[C@@H](N(C)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCN1Cc1ccccc1 534843154 HSCMLSPUQNSUNO-JPYJTQIMSA-N 420.513 4.735 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])n1[C@@H]1CCC[C@@H](C)C1 536090144 GHRXYYUOUWNNKS-BXUZGUMPSA-N 423.926 4.630 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNCc1cn(-c2ccccc2)nc1-c1ccncc1 537297069 LMQORWCYZCPWLL-VURMDHGXSA-N 411.465 4.646 5 20 HJBD Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ncc1Br 540793812 ARDGYSRSRUHFLK-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD O=C(N[C@H]1CCOC2(CCC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542528370 PQMKXZVXKHRYAE-INIZCTEOSA-N 404.532 4.709 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)Nc1ccc([N+](=O)[O-])cc1F 542713686 HJHGINUJNZXJQV-LJAQVGFWSA-N 419.478 4.959 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C3CC3)C(F)(F)F)cc2[N+](=O)[O-])n1 554672692 INXSMSBVZWIWPE-ZDUSSCGKSA-N 417.434 4.582 5 20 HJBD COc1cc(CNc2cc(C)c(C(F)(F)F)cc2[N+](=O)[O-])cc(OC)c1OC 555121864 OISFLJMRHPWKGZ-UHFFFAOYSA-N 400.353 4.560 5 20 HJBD O=C(Nc1nncn1-c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 557834044 PZGPZRSOVKNXCI-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c1 558294672 VGTIIUXEGWXLJY-UHFFFAOYSA-N 410.433 4.747 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccncc3C(F)(F)F)n2)cc1[N+](=O)[O-] 562450921 HFXLPAYCMGHRMI-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 567577891 LEURLWIMDNHXHX-CVEARBPZSA-N 400.475 4.619 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 603774349 MKRJALRJDWBDTR-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608934489 CBTQWZGUJMDJBN-JTQLQIEISA-N 411.241 4.506 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2csc([N+](=O)[O-])c2)c1 609218451 JHQWSVWBLYHQDU-UHFFFAOYSA-N 409.467 4.559 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 609754239 IFVLYHNILYIPIY-HIFRSBDPSA-N 405.292 4.803 5 20 HJBD COc1ccccc1OCCNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609772706 FBOXBXHHTJTLER-UHFFFAOYSA-N 423.425 4.596 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4c(Cl)cccc4Cl)no3)c2c1 609781248 FSCOOIKGHRLDPL-UHFFFAOYSA-N 403.181 4.872 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880659 DGSWPTVFIZOMKL-AWEZNQCLSA-N 402.863 4.677 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)NCCCCc1ccc([N+](=O)[O-])cc1 610046599 MUHMAMQPNCSKRF-UHFFFAOYSA-N 404.466 4.780 5 20 HJBD Cc1cccc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)n1 612910809 NQWFBDWZBXSWIB-CQSZACIVSA-N 402.414 4.583 5 20 HJBD Cc1cccc2sc(C(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c(Cl)c12 618260864 OPDPJXNHHZKREE-UHFFFAOYSA-N 400.847 4.578 5 20 HJBD C[C@@H]1CC(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@@H](C)O1 619798798 LVQJTSBXINOLRF-HUUCEWRRSA-N 406.548 4.953 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@@H](c2cccc(F)c2)C1 727435499 RQBLPZLJIKWPHS-MRXNPFEDSA-N 404.747 4.984 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1C(F)(F)F 728037075 UIHOKSCNZMJYEJ-QGZVFWFLSA-N 407.392 4.779 5 20 HJBD CCCC1CCC(N(C(=O)c2cc([N+](=O)[O-])ccc2N2CCOCC2)C(C)C)CC1 728837801 HPSKSGPVJFJUIL-UHFFFAOYSA-N 417.550 4.641 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@H](c1ccc(-c3cccc([N+](=O)[O-])c3)o1)N2 730272476 QJQKUQBJNABUDE-OAQYLSRUSA-N 405.410 4.592 5 20 HJBD Cc1nccc(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)n1 731896915 NWTCISRHKHNHIS-UHFFFAOYSA-N 407.473 4.962 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@@H]1c1ccc(F)c(F)c1 732972320 FJIUKRBAXRRSPC-GOSISDBHSA-N 415.421 4.974 5 20 HJBD Cc1ccc(-c2ncc(/C=C\c3ccnc(-c4cccc([N+](=O)[O-])c4)[n+]3[O-])s2)cc1 734305706 DIDLWMLDLZGOAV-KTKRTIGZSA-N 416.462 4.893 5 20 HJBD COC[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 735744611 UOXKKBDLSHFTLG-CQSZACIVSA-N 417.771 4.776 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 736506947 JCFLLVSRIIJBJH-YVHKJVDXSA-N 410.518 4.599 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 736515761 IXBTUSIKLUHXTR-SFHVURJKSA-N 414.458 4.583 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 750825866 HGYGGOGEYODUQX-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1nc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1 751092786 IXIZUTQSVHOMOA-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(CC(F)F)c1cccc(Cl)c1 753672192 ZDTCFGNAUKYROB-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD CCOc1cc(COC(=O)c2cc(C3CC3)nc3c2cnn3C(C)C)ccc1[N+](=O)[O-] 755519842 KITDENXUBIRHBQ-UHFFFAOYSA-N 424.457 4.554 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1nnc(-c2ccc(Cl)cc2Cl)o1 756531810 CWXIJYKQKLRCKB-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 756782833 SGMFJWIFDXSBGO-PXNSSMCTSA-N 420.513 4.826 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 756966766 CMJCMFJGEVYZFQ-OAHLLOKOSA-N 412.877 4.819 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2cccc(F)c2)C2CCCC2)cc1[N+](=O)[O-] 757425843 QCDNHRWVQULKJQ-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD Cc1c(C(=O)N(C)c2nc3ccc(Cl)cc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 767383316 ZYXCUHIQZSLJEL-UHFFFAOYSA-N 420.834 4.660 5 20 HJBD CC(C)(C)OC(=O)NC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 768344623 KTELATBCQSZGLW-UHFFFAOYSA-N 417.531 4.812 5 20 HJBD CCc1ccc([C@@H](NC2CCC(O)(c3cccnc3)CC2)c2ccc([N+](=O)[O-])cc2)o1 769990374 POMKIKLYMIQXDL-JWLIYLPMSA-N 421.497 4.655 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 770006470 BJPJGGDNUIXHCZ-GOSISDBHSA-N 424.284 4.879 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 770009003 OABCSSWVSJWQSK-QAPCUYQASA-N 407.829 4.507 5 20 HJBD COc1ccc2oc(C)c(C(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)c2c1 770317654 JGJRZKNBEDMBMC-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)Oc1cc(F)cc([N+](=O)[O-])c1 771482235 WONALQHJSWKNGJ-UHFFFAOYSA-N 409.460 4.587 5 20 HJBD Cc1cc(=O)oc2cc(Oc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])ccc12 774661321 XOYUIFHRBITPIB-UHFFFAOYSA-N 416.258 4.851 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(CC(F)F)c1cccc(Cl)c1 782123948 LWNDYYUUJMEVBC-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD COC(=O)COc1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccc12 782217932 PVRHDIWZJOOBHO-UHFFFAOYSA-N 400.818 4.565 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nc3ccc(Br)cc3s2)c1F 790114199 VVOQXXHQHGNUFI-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(OCC(F)(F)F)c(Cl)c2)c([N+](=O)[O-])c1 800529245 GBGWCKPLUFTKDC-UHFFFAOYSA-N 405.716 4.710 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 811887424 BRAIWHXOHFRZSI-IRXDYDNUSA-N 424.501 4.542 5 20 HJBD Cc1cc(C)c(OC(=O)c2sc(NC(=O)c3ccco3)cc2C)c([N+](=O)[O-])c1 812145845 VMRWBPSHDQSZDZ-UHFFFAOYSA-N 400.412 4.646 5 20 HJBD CC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)[C@H]1c1cccs1 813785457 BWMUSTFZHYKWLQ-AWEZNQCLSA-N 413.268 4.781 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1NCc1ccccc1[N+](=O)[O-] 823666827 TUZNPQBUHRIXBA-WSTZPKSXSA-N 403.523 4.643 5 20 HJBD CC(C)(C)OC(=O)NCCOc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864023651 XEKDSVICAGVQKU-UHFFFAOYSA-N 421.881 4.764 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(F)c(C(=O)OC(C)(C)C)c1Cl 864032393 DJCCJXOANHJXIW-UHFFFAOYSA-N 410.829 4.963 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)ccc1Oc1cccnc1 920015518 DIYIWZVPAMLSLD-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 1116350241 WXZVCJCKHOYGAZ-UHFFFAOYSA-N 410.392 4.763 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1C 1318758646 CETKDHQTVZNJGY-UHFFFAOYSA-N 404.426 4.947 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1F 1319012133 LFAVCMQQEOKFAQ-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cc1cn(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc12 1319838484 QROHWEBJJSBVCC-QHCPKHFHSA-N 401.422 4.586 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1ccccc1-c1nc([C@H]2CN3CCC2CC3)no1 1343114848 XMDVTSVRUJRJMS-INIZCTEOSA-N 408.483 4.605 5 20 HJBD CC(=O)COC(=O)c1c2c(nc3ccccc13)/C(=C\c1ccc([N+](=O)[O-])cc1)CCC2 6022417 MHWGQYBIDGINHN-LGMDPLHJSA-N 416.433 4.766 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1ccc(Br)c([N+](=O)[O-])c1 15309305 WGSNBDTZOXBNGP-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD CC(C)n1nccc1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 22288470 OEWPMNPLIYTNIU-UHFFFAOYSA-N 413.865 4.890 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CCSc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 23263781 VKNPGKVXPHMJBV-CYBMUJFWSA-N 422.890 4.609 5 20 HJBD CCOC(=O)c1cc(C(=O)N(c2ccccc2)c2nc(C)cs2)cc([N+](=O)[O-])c1 24007128 RNCMHDIZNHRJOW-UHFFFAOYSA-N 411.439 4.515 5 20 HJBD COc1ccc2cc(CN(C)C(=O)CCCNc3ccc([N+](=O)[O-])cc3)ccc2c1 24383322 GXRKDEBGECVDDC-UHFFFAOYSA-N 407.470 4.607 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 36714448 MTFGCZTVUUAGKU-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CCCOCc1ccccc1CNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64918645 OBLFIDVUOGAMFJ-UHFFFAOYSA-N 411.483 4.575 5 20 HJBD C[C@@H](NC1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCC1)c1ccccc1 78775938 YUCKHMHYJREFKN-OAHLLOKOSA-N 401.894 4.642 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@H]1c1nc2ccccc2s1 150115391 UUTDOFKNPLZVRO-SFHVURJKSA-N 410.499 4.679 5 20 HJBD Cc1ccccc1-n1c(S/C=C\c2ccc([N+](=O)[O-])o2)nnc1-c1ccncc1 205677221 UKFLXGOUPHKSNV-RAXLEYEMSA-N 405.439 4.902 5 20 HJBD O=[N+]([O-])c1ccccc1N1CCC[C@H]1c1nc(-c2ccccc2Br)no1 302614669 CDLMPWSXIAWYID-INIZCTEOSA-N 415.247 4.749 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2ccc(Br)cc2)c1 302953364 OLMAGRVXZFZKTL-UHFFFAOYSA-N 423.332 4.787 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 303121248 IMSRSRHTDFNOMR-CABCVRRESA-N 416.359 4.746 5 20 HJBD CC(NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)(c1ccccc1)c1ccccc1 303704013 SBWRBEISIHNIKC-UHFFFAOYSA-N 413.433 4.542 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cc(Cl)ccc2Cl)cc1OC 409937022 HTYZELZKZWEKIP-SNVBAGLBSA-N 413.257 4.800 5 20 HJBD CC(C)c1ccc(CN[C@H](Cc2cc(Cl)cc(Cl)c2)C(=O)O)cc1[N+](=O)[O-] 426738659 XUVYYQJDVCUGHU-QGZVFWFLSA-N 411.285 4.811 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 434301854 RWFOSHJRTFLIPN-CVEARBPZSA-N 416.547 4.767 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(c3ncc4n3CCCC4)CC2)o1 435061646 RKLYDKWOWPIHRF-UHFFFAOYSA-N 406.486 4.767 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436141086 MJNIYMFJVKFKOR-SFHVURJKSA-N 422.843 4.727 5 20 HJBD CC(C)COc1cccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436148910 IHXDXUARZGVMAU-UHFFFAOYSA-N 400.478 4.646 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1O)[C@@H](c1ccc(Cl)cc1)c1cccnc1 436970980 HBVHNFICKLEWMN-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438894555 GQEREXCZRCYOOT-HZPDHXFCSA-N 423.513 4.511 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2cccc(Br)c2)cccc1[N+](=O)[O-] 439122964 IDZJZXBVZPEQGT-GOSISDBHSA-N 403.276 4.572 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 444000135 DAVYDSCLDXLJOU-HNNXBMFYSA-N 420.459 4.937 5 20 HJBD O=C(NCCc1cc2ccccc2o1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444086790 YEPVISGSUDFEDB-UHFFFAOYSA-N 418.399 4.678 5 20 HJBD CCOc1cc(NC(=O)N(C2CC2)[C@@H](C)c2ccco2)c([N+](=O)[O-])cc1OCC 444494842 BXBVWCYEEVSPSO-ZDUSSCGKSA-N 403.435 4.743 5 20 HJBD O=C(CCc1nc(-c2ccc([N+](=O)[O-])s2)no1)c1cc(Cl)sc1Cl 445484334 RVSWAWDUUITVIK-UHFFFAOYSA-N 404.256 4.890 5 20 HJBD CC(C)Cn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N1CCC[C@@H](C(F)(F)F)[C@@H]1C 460629874 NSJDDKPHBULWJN-XJKSGUPXSA-N 411.428 4.677 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(C3CC3)ccn2)cc1[N+](=O)[O-])c1ccccn1 461423936 GUBZYEQQXJXFTB-AWEZNQCLSA-N 403.442 4.688 5 20 HJBD CCn1c(SCc2cc(OC)c(F)cc2[N+](=O)[O-])nnc1-c1ccc(C)cc1 463427665 GUIJIZHUIUPWPL-UHFFFAOYSA-N 402.451 4.622 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(c2cccc(F)c2)CCCC1 464643921 PNPRWVGLDQVREL-UHFFFAOYSA-N 412.461 4.616 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC(=Cc2ccccc2F)CC1 465101018 FWIXGXRUILUGSD-UHFFFAOYSA-N 423.488 4.656 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccc(F)cc2F)C2CCCC2)cc1[N+](=O)[O-] 465696030 MSIOYFFOHREXFT-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 474167303 CCIZIFZVXRQDEX-BEIWTESXSA-N 422.506 4.761 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 475649130 JUFPYSWUGUIGIW-KRWDZBQOSA-N 407.474 4.566 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)c3cccc([N+](=O)[O-])c3N3CCOCC3)c2)o1 477563642 VYAQQQJODBKIAW-UHFFFAOYSA-N 421.453 4.561 5 20 HJBD Cc1sc(NC(=O)COC/C=C/c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 479049213 UHPZNIVVTVIRGF-RMKNXTFCSA-N 409.467 4.695 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1ncc(Cl)s1 479148094 OPNRLVRAXXZCNX-UHFFFAOYSA-N 400.641 4.594 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@H]2c2ccc(Cl)cc2)c([N+](=O)[O-])c1 480434402 RGVXWPFXNRZZGW-KRWDZBQOSA-N 410.861 4.554 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 481497189 NBCRVWMFHCMFRZ-LJQANCHMSA-N 418.490 4.696 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)[C@@H](C)C2CC2)cc1 484285418 RAJWHEGWGFIDGS-HNNXBMFYSA-N 400.500 4.523 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)Cc1ccc(F)cc1Br 484499286 MEAKKDSTNPNDOL-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD Cc1cc(Cl)cnc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 485104171 ZJRFCLLFIOSIPT-ZDUSSCGKSA-N 411.849 4.772 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3cc(C)cc(C)c3)s2)c1[N+](=O)[O-] 486212006 GCOALTZVYJLWLB-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1ccc([N+](=O)[O-])cc1Cl 488180066 IPXKPBMJOBTENP-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CCOc1cc(CN[C@@H](c2ccccc2OC(F)F)C(F)(F)F)ccc1[N+](=O)[O-] 492611183 ZHRNSAAIPZYJLQ-INIZCTEOSA-N 420.334 4.988 5 20 HJBD COc1cccc(C2=CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 497002678 OSNZWVHZSXSZOB-UHFFFAOYSA-N 421.478 4.656 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 504124186 YROMKGAEFIIYHO-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OCC(F)F 505486352 CTDCXNVQALODIY-NRFANRHFSA-N 424.419 4.936 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](COc2ccccc2)C1 505661069 NGHAIPWJIWWKIY-INIZCTEOSA-N 400.500 4.638 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F 506300203 YXRUTELJZQIPRT-AWEZNQCLSA-N 403.429 4.808 5 20 HJBD O=C(NC1CCN(c2ccccc2)CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 507638619 ULDUGYBKXGIPDF-UHFFFAOYSA-N 417.465 4.786 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccccc3N(C)C(C)C)cc2)c(C)c1[N+](=O)[O-] 508248124 NXJPMCMYOMEALB-UHFFFAOYSA-N 421.501 4.553 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(C)(C)C)CC(F)(F)F)cc1[N+](=O)[O-] 510510032 LDJWDGPBSSRVOH-UHFFFAOYSA-N 411.218 4.716 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(C(C)(C)C)cs1 517379877 DMWKSHYLDLLWEU-VIFPVBQESA-N 414.434 4.833 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)n2)cc1 517481349 WOBFNPFSFBSHQP-UHFFFAOYSA-N 423.454 4.636 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2)ccc1OCCC(C)C 517500142 GRKGJLSGQFKOHW-INIZCTEOSA-N 415.490 4.589 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])s1 518894375 AMGCXTUDODAYPI-GFCCVEGCSA-N 402.863 4.894 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 520155054 NTPIXWCPRVIMRD-INIZCTEOSA-N 416.524 4.917 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)n1 521545234 RGFMURPNGLCHSH-UHFFFAOYSA-N 403.851 4.537 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H](C)Oc3ccc(F)c(F)c3)n2)cc1[N+](=O)[O-] 521545303 WWIXPNRSCDSNLM-NSHDSACASA-N 419.409 4.711 5 20 HJBD O=C(CCc1ccc(Br)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 531369939 UQNILEWVGBKAKY-UHFFFAOYSA-N 415.190 4.530 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 534723795 ZKVNCIVGCSDDJV-HNNXBMFYSA-N 410.499 4.630 5 20 HJBD Cn1cnnc1[C@@H]1CCCN1Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 538723327 WRYIUQXYCYTLQK-INIZCTEOSA-N 413.865 4.506 5 20 HJBD CC(=O)N1CCCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc21 539293413 JZHIWNVTPAMSOO-UHFFFAOYSA-N 413.499 4.647 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccccc2n1C 540769952 JDWJDERHSSPXOR-AWEZNQCLSA-N 412.515 4.865 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H](COc3ccccc3Cl)C2)cc1[N+](=O)[O-] 541101853 VNTFUXZJUVIYOH-AWEZNQCLSA-N 419.865 4.580 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 542033952 UZCYZWIYTMYJJV-ZDUSSCGKSA-N 412.471 4.918 5 20 HJBD C[C@@H]1N(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCC12CCOCC2 543592967 KYKYYDFMIZJCAF-INIZCTEOSA-N 411.458 4.810 5 20 HJBD COc1ccc(NC(=O)N(CCc2ccc(C)cc2)Cc2ccco2)cc1[N+](=O)[O-] 543887269 LSOUYOMEEMEALQ-UHFFFAOYSA-N 409.442 4.782 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(-c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 545852119 NFONJDYNIZBYCN-LBPRGKRZSA-N 412.833 4.590 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc(-c4ccc([N+](=O)[O-])cc4Cl)n3)cc2)n1 546952853 MGOQLLFGTKCCBE-UHFFFAOYSA-N 409.833 4.692 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(-c2nc3ccccc3s2)o1 552267528 DIBBUGBPXHNBBA-UHFFFAOYSA-N 407.451 4.779 5 20 HJBD COc1ccc(NC(=O)c2sc(C(C)(C)c3ccccc3)nc2C)c([N+](=O)[O-])c1 552489128 VBVMIVWSFJSTOR-UHFFFAOYSA-N 411.483 4.947 5 20 HJBD CC(C)c1ccc(O[C@@H](C)CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 563612858 KHBSDMVCUFJZQC-JTSKRJEESA-N 408.502 4.598 5 20 HJBD COc1cc(CN[C@H]2c3ccccc3O[C@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 584802656 GJQXFBQBCURYSH-UPCLLVRISA-N 420.465 4.794 5 20 HJBD CCn1c(SCCOCc2ccc(OC)cc2)nc2cc3ccccc3cc2c1=O 594409429 CUMSSMTWNMTRQK-UHFFFAOYSA-N 420.534 4.887 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])o3)n(-c3cccc(C)c3)n2)cc1 603760340 KQOLGMWJIDBOAV-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD Cc1cc(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)ccc1[N+](=O)[O-] 603879249 KCVZUIOXFMXRPH-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 603966614 BDJNZGZRVWWREM-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD COc1nc(C)cc(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 608972179 VNUQKSHIUVBUFX-UHFFFAOYSA-N 423.494 4.696 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@H]2C)cc1[N+](=O)[O-] 609508236 GMZHDLVXKYUWRE-PXAZEXFGSA-N 406.891 4.572 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCSC[C@H]1c1ccc(Cl)cc1 609703256 BNFKHBYIRNICMK-IBGZPJMESA-N 419.934 4.757 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc(F)cc2C(F)(F)F)c([N+](=O)[O-])c1 610095154 DUISWXXASSSXIB-UHFFFAOYSA-N 414.359 4.685 5 20 HJBD COc1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c(Cl)c1 611400716 OYGZRJVQBXDNDM-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD Cc1cc(C)c(C(=O)COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C)c1C 731719297 DZRZSQPKCSWLEA-UHFFFAOYSA-N 424.478 4.992 5 20 HJBD C[C@@H](NC(=S)Nc1ccc(OC(F)F)c(Cl)c1)c1cccc([N+](=O)[O-])c1 735622206 DVUKFTJRIOVWQG-SECBINFHSA-N 401.822 4.897 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 742146983 WGGNOOOXUYFVFL-UHFFFAOYSA-N 419.407 4.740 5 20 HJBD CC(C)[C@H](C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 745546001 DXAKOUPOYKHHGS-UGSOOPFHSA-N 404.850 4.558 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746077669 WOGUGOWVXSDTEV-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCCN(Cc1cc(Cl)ccc1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 746667625 ZQSGZSFHXNPFDX-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD O=C(Oc1cccc(NC(=O)c2ccco2)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746899940 ZDXMADXBESNSSQ-UHFFFAOYSA-N 420.299 4.678 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750187711 QGMXAVBCIUFKQC-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3ccc(Br)cc3[N+](=O)[O-])CCO2)cc1 757517557 HSVQBZPQYKTERQ-CRAIPNDOSA-N 420.259 4.743 5 20 HJBD O=C(OCc1coc(-c2ccc(Br)cc2)n1)c1ccc(F)cc1[N+](=O)[O-] 758112779 GSTRVVVOHVQVTE-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1nccn1-c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 764843567 LIRPUASHIUSSAH-UHFFFAOYSA-N 423.498 4.771 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 767333710 XULHMOFICLHWEV-HNNXBMFYSA-N 402.319 4.805 5 20 HJBD C[C@H](CCCc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775921863 KKSNDIMETLCVIP-HZPDHXFCSA-N 409.442 4.908 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 780031018 JAFUZVIXWBWORE-UHFFFAOYSA-N 401.444 4.516 5 20 HJBD CCC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(F)cc1F 782171995 GFYYTXXNXWEQFI-AWEZNQCLSA-N 413.218 4.907 5 20 HJBD Cc1cc(Br)c2oc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cc2c1 782218559 TUNCYJPLVNFDIA-UHFFFAOYSA-N 411.158 4.942 5 20 HJBD Cc1cnc(COC(=O)c2cnc3ccccc3c2C(F)(F)F)c(C)c1[N+](=O)[O-] 789438300 VSAFWEKNBWEZCF-UHFFFAOYSA-N 405.332 4.531 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccnc(Oc3ccc(F)cc3F)c1)CC2 790010330 OVQPYMMRKHDIDT-UHFFFAOYSA-N 411.364 4.572 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4occ5c4CCOC5)n3)cc2)cc1 809362379 ARSYZLRTUQLGIC-UHFFFAOYSA-N 405.366 4.770 5 20 HJBD C[C@@H](CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(F)c(Br)c1 812856634 WMDDGOVBCBYZIK-QMMMGPOBSA-N 417.181 4.907 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc3ccc(C(C)C)cc3[nH]2)cccc1[N+](=O)[O-] 914668147 BMLBLBHINYVQIK-AWEZNQCLSA-N 409.442 4.692 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2cc(-c3ccc(Cl)cc3)no2)c1 915443209 YKKHBTOHAOBDGN-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD COc1ccc(CCOC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 920546240 WQAZFXMNURFYLT-INIZCTEOSA-N 421.453 4.571 5 20 HJBD C[C@@H](C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1F 1116561523 ANBZDWVRJWLSTN-GFCCVEGCSA-N 410.367 4.557 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cccc(OCc3cccnc3)c2)n1 1116594146 JZUSHPZQSPHPQZ-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 1319056695 CDGVIGAFCPJLBZ-UHFFFAOYSA-N 401.513 4.664 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2cccc(F)c2)CC1 1319820974 TXDBCKVCJAAWKM-UHFFFAOYSA-N 417.506 4.633 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(Cc3ccc4ccccc4c3)o2)c1 1322498862 RGMODYVJJZZDLX-UHFFFAOYSA-N 400.438 4.989 5 20 HJBD C[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Oc2cccnc2)cc1 1323220678 WOAUPPGCFPGUPR-OAHLLOKOSA-N 404.426 4.569 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1nc(C(C)(C)C)cs1 1342349398 UCRSGNCTJMYLGO-MNOVXSKESA-N 410.927 4.680 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 7671818 OMLHGTJGWZPAIC-GOSISDBHSA-N 410.301 4.715 5 20 HJBD CC[C@@H](C)[C@@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 9098115 BEZGMDQRDKIGLI-BFUOFWGJSA-N 404.850 4.560 5 20 HJBD Cc1ccccc1-c1nnc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)o1 10015594 ZYEXIXKLYLVTJD-LLVKDONJSA-N 418.862 4.726 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 10901388 YREIEUBHLQRKCY-UHFFFAOYSA-N 405.819 4.643 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1Cl 55689315 GWWDIOGDRHTCNN-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD Cc1cccc(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)c1[N+](=O)[O-] 59888884 JDBNBSNTCZPBGX-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CC(C)CC(=O)Nc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 236651105 HEPPUNILEVZDBZ-UHFFFAOYSA-N 407.474 4.592 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(O)cc3)o2)c(Br)c1 237970819 RIBRVAFIRIAREN-UHFFFAOYSA-N 403.232 4.613 5 20 HJBD Cc1cc(OCC(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)ccc1[N+](=O)[O-] 430797670 FOLVDVSQIBUWSH-UHFFFAOYSA-N 422.485 4.613 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cccc(Oc3ncc(Br)cn3)c2)cc1 431210302 OHWSWIMHSZCKKO-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD C[C@H](C(=O)Nc1cccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1)n1cccn1 433238925 IPMPRUFYKUPQRC-VFNWGFHPSA-N 419.485 4.826 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437980388 UBUUWZDOFRRHFH-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444038740 QHEHEOOXEROITQ-QKFKETGDSA-N 420.896 4.841 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H](C)c1ccccc1Br 444898575 OWEOQLFROVTRDK-NSHDSACASA-N 408.252 4.591 5 20 HJBD C[C@@H](Sc1nnc(COc2ccc(Cl)cc2)n1C)c1ccccc1[N+](=O)[O-] 463043201 JMDNZYAUTHJWDR-GFCCVEGCSA-N 404.879 4.809 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Br 463246936 KOJNSOYMUDIKED-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463649182 KXXDRKIWHKMTQN-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD O=C([C@@H]1SCCc2sccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463850934 PLESXCJDPJQBOB-OAHLLOKOSA-N 416.446 4.578 5 20 HJBD COc1cc(CNc2cc(Cl)ccc2OC[C@@H]2CCCO2)c([N+](=O)[O-])cc1F 464084839 ZTHRPWFCDBULMM-AWEZNQCLSA-N 410.829 4.566 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3cc4ccccc4o3)s2)c1[N+](=O)[O-] 470373257 HVIOQIUBJSQILY-UHFFFAOYSA-N 412.452 4.834 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1cn(-c2ccccc2)nn1 471251654 IBRMJIVJNXPQKR-OAHLLOKOSA-N 413.506 4.521 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)CC1 475639780 PSNBUPUSGRRGRD-UHFFFAOYSA-N 421.501 4.993 5 20 HJBD CC(C)c1ccc2c(c1)[C@@H](NC(=O)c1cc(O)nc3ccc([N+](=O)[O-])cc13)CCC2 477505705 CUOKSWKXQVOQEQ-FQEVSTJZSA-N 405.454 4.779 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 484984968 PGGYJLZIKXWVKS-HNNXBMFYSA-N 401.532 4.566 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)c2)cs1 485042374 BNDWQORPIPQLTC-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)c1sc(Br)cc1[N+](=O)[O-] 486433415 GMPAJAAMJNUYER-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=[N+]([O-])c1cccc(CN(c2cc(C(F)(F)F)nc(-c3cccnc3)n2)C2CC2)c1 493895317 WHYQOCNLXHXRQO-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD Cc1cc(C)cc(-n2nc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2C)c1 498092119 OXBWFDXMTZCZIR-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD Cc1c(C(=O)N(Cc2cc(Br)ccc2F)C2CC2)cccc1[N+](=O)[O-] 499048625 RBUMYCQERYCSFY-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2C(=O)c2ccccc2)cc1SC 499131715 RUBBKLMLIVEONK-UHFFFAOYSA-N 422.462 4.809 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 502982661 LDMLCNLSRIRMDV-UHFFFAOYSA-N 419.485 4.594 5 20 HJBD C[C@@]1(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CCCc2ccccc21 509287211 NBMJSLOIMVHWTP-LJQANCHMSA-N 408.376 4.517 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCN3CCCC[C@@H]23)ccc1Oc1ccccc1Cl 509615117 CRNZNLDQUORGGJ-ROUUACIJSA-N 401.894 4.757 5 20 HJBD O=[N+]([O-])c1cccc(SCc2noc(Cc3ccc(Br)cc3)n2)c1 509713891 QENJELMUIVTNRQ-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD COc1cc(CN[C@H](c2cccc(OC(F)(F)F)c2)C2CC2)c([N+](=O)[O-])cc1F 511604496 GJHGQZCVJAVCTA-SFHVURJKSA-N 414.355 4.882 5 20 HJBD Cc1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c(OCC(F)(F)F)c1 516367599 UJJLFQCDVYQOBD-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)COc3cccc([N+](=O)[O-])c3)c(C)c2)c1 517171216 RYKFMLCXEYXVEP-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2cc([N+](=O)[O-])ccc2C)n1 519100274 BKWNUDSDGQLPIE-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD CN(C)[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1c(F)cccc1F 523734505 XCMKXSGIZIAFDJ-IBGZPJMESA-N 401.413 4.526 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)c(OCC3CCOCC3)c2)cc1[N+](=O)[O-] 524950916 UKWUEENWFPPZHU-UHFFFAOYSA-N 416.499 4.683 5 20 HJBD COc1ccnc2c(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cccc12 535769777 YWLZVPNMADLRBR-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD COc1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1-c1ccccn1 535876959 PVOFXULXQMSKQV-JOCHJYFZSA-N 421.478 4.553 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(-c2ccncc2)cc1 537135835 VKWAQUCJXUDMED-HNNXBMFYSA-N 401.426 4.558 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)nn1C1CCCCC1 538446366 XWJHOANKVOHPSU-UHFFFAOYSA-N 424.423 4.810 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cn2cccn2)cc1 539629126 SFJVBTOAILUNPN-UHFFFAOYSA-N 410.499 4.984 5 20 HJBD C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 539897905 XSYUULYYWGUOFE-IAGOWNOFSA-N 414.480 4.828 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@@H]1CCCCS1)c1ccccc1 541280678 HUWUIGJDCLBDHV-LPHOPBHVSA-N 419.934 4.803 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nccnc1Sc1ccccc1 544059353 WLAGNXFGEZMUQT-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4sccc4Br)n3)c2c1 546557235 HGSGRNXYVFLTQO-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1NC(=O)NC(C)(C)C 546557697 CKLQXSYBFJIVOW-UHFFFAOYSA-N 423.473 4.772 5 20 HJBD C[C@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)c1ccc(C(F)(F)F)cc1 552284379 YADUUOZJTDIBTR-KUHUBIRLSA-N 418.419 4.830 5 20 HJBD C[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)C1 553482323 AAJCFZAPBASHSM-APPDUMDISA-N 418.375 4.850 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(Cl)cc2)no1 553707938 TVPLDBQEDWTXRM-LLVKDONJSA-N 418.862 4.666 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(CCCn4ccnc4)CC3)cs2)c1 558422050 HQZCEUJTSFBOBI-UHFFFAOYSA-N 411.531 4.607 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 566033031 DKEWCOZZQMENJK-ZIAGYGMSSA-N 411.433 4.574 5 20 HJBD O=C(c1cc(F)c(Br)c(Cl)c1)N1CCCc2c1cccc2[N+](=O)[O-] 566684006 MPQDXOUYAAGMTR-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)[C@H]1C[C@H]1C 566876700 RDTCWTQVUGYBRP-ZMZPIMSZSA-N 421.375 4.627 5 20 HJBD Cc1ccc(C2(c3noc([C@@H](C)c4ccc([N+](=O)[O-])cc4F)n3)CCOCC2)cc1 571365408 SIWLKUCNXPSTFI-HNNXBMFYSA-N 411.433 4.674 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C(C)(C)C)c(OC)c1 580282847 MMGPZHDVDRKLGS-OAHLLOKOSA-N 400.475 4.543 5 20 HJBD NS(=O)(=O)c1ccc(N[C@@H](c2cccc(Cl)c2)C2CCCCC2)cc1[N+](=O)[O-] 600848957 JWWHMWIBJIWUFM-LJQANCHMSA-N 423.922 4.629 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 604098234 ORSHQYGJVQTGTB-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2-c2nc3ccccc3s2)c(C)c1[N+](=O)[O-] 608802525 RXWMKNZEMYZVJL-UHFFFAOYSA-N 421.482 4.714 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609684895 RXGXMHUVKLIJDW-DFYVNMARSA-N 423.494 4.785 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(Br)ccc1Cl 609785201 QFXIVYVOMYHXDO-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD Cc1cccc2c1CCN2C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609856972 KHEZQDAKRKPHNR-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1F 610069970 YVDFXKDUEFSFLF-UHFFFAOYSA-N 409.373 4.664 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 610093411 JFZCXYUYNOUPLP-UHFFFAOYSA-N 414.368 4.580 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 610159832 NSTKPYJWSMKBCR-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC=C(c4ccc(F)cc4)CC3)cc2[N+](=O)[O-])CC1 610868871 UGLBVTYJVKKVBG-UHFFFAOYSA-N 423.488 4.900 5 20 HJBD Cc1cccc(CC(=O)O[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 726830886 QCJYEJSTLGTKKS-HSZRJFAPSA-N 418.449 4.677 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 729336534 NKNSOFCOFUHBQW-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 731457653 OEMXGWMICUCCFU-UHFFFAOYSA-N 411.487 4.627 5 20 HJBD O=C(OCCOCC(F)(F)F)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 731776989 FBZRDRUYVDSGCD-UHFFFAOYSA-N 419.739 4.776 5 20 HJBD Cc1c(C(=O)Nc2ccc(NCCc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 731809349 FHVZZXJOMHZPOM-UHFFFAOYSA-N 420.425 4.718 5 20 HJBD COc1ccc([C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@@H](C)n2cccn2)cc1 734084515 GZYUMTFVNLEWBB-SZNDQCEHSA-N 421.409 4.705 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)ccc2n1 735800740 AARTXLUJFRUFDF-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc2ccccc2c1 740409655 HSKSDVCPDZCQLC-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD Cc1nnc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2)o1 740686482 GHUSRPAORMFHMN-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@H](c1ccncc1)c1ccc(F)cc1 748574316 ZXDKNVLYAOXNQS-QFIPXVFZSA-N 409.417 4.654 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748624427 RERSHAFQPLKCRZ-UHFFFAOYSA-N 407.810 4.814 5 20 HJBD O=[N+]([O-])c1cc(Cc2ccccc2)ccc1OCc1nnc(-c2cccc(F)c2)o1 748630889 HPMVGYUQMBIRNO-UHFFFAOYSA-N 405.385 4.954 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 749054425 LRKVDCUHPGLSRP-IBGZPJMESA-N 419.368 4.730 5 20 HJBD O=[N+]([O-])c1cc(NCc2noc(Cc3ccc(Br)cc3)n2)ccc1Cl 754845289 BOAFEFZCSSHEFI-UHFFFAOYSA-N 423.654 4.597 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)O[C@@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)o2)cc1 759940067 NPIHNOUUBQJWMU-PKOBYXMFSA-N 423.425 4.595 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1Cl 764201262 MTIXFMBWXWFXKL-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD Cc1noc(C2CN(C(=O)CCC(=O)c3ccc4ccc5cccc6ccc3c4c56)C2)n1 765657381 XWJFGVAHOIFHPP-UHFFFAOYSA-N 423.472 4.864 5 20 HJBD COc1cc(CNc2ccc(OC)c(NC(=O)OC(C)(C)C)c2)c([N+](=O)[O-])cc1F 766063807 ACARZGHDYNPVPJ-UHFFFAOYSA-N 421.425 4.710 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)ns1 766368338 NQPFNWDOQQYWTH-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 773186536 OBCCQNFQYGVWPZ-HNNXBMFYSA-N 412.877 4.638 5 20 HJBD CN(C(=O)c1nc(Cl)ccc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495578 LUKACHLIZITZDG-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD CC[C@H](Oc1ccccc1C)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219238 FHAMKGRESVKTOU-UNMCSNQZSA-N 406.438 4.788 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1[N+](=O)[O-] 776848832 ICIPEVJMFKLLRF-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD C[C@H](c1ccccc1Br)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782479672 FIAGODIVQFEDHM-GFCCVEGCSA-N 424.270 4.518 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccco1)c1ccccc1 783669804 JPIZYXCWUYFEQD-UHFFFAOYSA-N 401.216 4.797 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=S)Nc1cccc(OC(F)(F)F)c1 783721213 SKBFHRKDGQOHHF-UHFFFAOYSA-N 419.812 4.976 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc(Br)cc1[N+](=O)[O-] 788569418 OAJAFGDBOXOJOB-GFCCVEGCSA-N 420.263 4.511 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@H](c3ccc(Br)cc3)C2)c([N+](=O)[O-])c1 795078647 QNMJYBUEKMWHPE-IBGZPJMESA-N 419.275 4.528 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC[C@H](OCCCc2ccccc2)C1 809915626 ZSNVDRIPYBLROW-INIZCTEOSA-N 403.866 4.504 5 20 HJBD CCN(CC(=O)Nc1c(F)cccc1F)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809933330 BPLXFDORGVHIST-UHFFFAOYSA-N 418.227 4.640 5 20 HJBD O=C(OCc1ccc(OC(F)F)c(F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 813142494 GPTVDNCCVGVDTD-UHFFFAOYSA-N 424.356 4.816 5 20 HJBD COc1cc(-c2nc(CCC(F)(F)F)no2)c([N+](=O)[O-])cc1OCc1ccccc1 904871585 XWBFWDOGHVELDL-UHFFFAOYSA-N 423.347 4.727 5 20 HJBD O=C1/C(=C\c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC(=S)N1C1CCCCC1 912778936 DCUCBNMFCIVIEH-SFQUDFHCSA-N 413.524 4.714 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)Cc1csc(COc2ccc(Cl)cc2)n1 916402596 RMFAMJHLVSTUNR-UHFFFAOYSA-N 418.858 4.740 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1OCc1ccncc1 917085658 ZIGNHRADZQDWNZ-AWEZNQCLSA-N 424.413 4.659 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 920286356 SVNYYIWHENNBGP-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@H](C(F)(F)F)[C@@H](CO)C2)o1 1324425952 XUCCOEHWXHZKCL-ABAIWWIYSA-N 418.799 4.501 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 6596573 WBEOMTBQGMGIBT-UHFFFAOYSA-N 407.451 4.957 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc3ccc(Cl)cc3s2)cc1OC 7807980 TZVKTLKUUFMVQQ-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD C[C@H](Sc1nc(/C=C/c2ccccc2)n[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12836827 IFPPYKUVXDENBT-WHGQRRHOSA-N 420.454 4.787 5 20 HJBD CCOc1cc(C(=O)Nc2nc3cc(Cl)ccc3s2)c([N+](=O)[O-])cc1OC 26279306 XFVZFFBKCAPNQX-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD O=C(Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1)c1ccc([N+](=O)[O-])cc1Cl 47917763 RNVZLYZBSNLDAK-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64864397 VSLJQZJOFHDLJA-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H](SCC(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 65280388 TYJWWSCHQUBCHT-LLVKDONJSA-N 400.378 4.926 5 20 HJBD COc1ccc(-c2nnc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])o2)cc1 145256096 NWRLKBFVJMTJIK-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1Cl 148177184 XFLOTQMOYZNPGG-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C[C@H](c2ccsc2)O1 302165621 JGXXNLWPHMMPGY-DNVCBOLYSA-N 411.483 4.597 5 20 HJBD Cc1ccc(S(=O)(=O)c2ccc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cc2)cc1 429257868 PCTJBNMZOOYHRB-UHFFFAOYSA-N 424.522 4.761 5 20 HJBD O=C(N[C@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435831499 RIFKLJFRHLKFIV-FQEVSTJZSA-N 404.416 4.767 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2cccc(OC)c2[N+](=O)[O-])c1C 436026155 NXPWUPULABRTGL-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436309643 GFUMJXYRAVCLQG-JOCHJYFZSA-N 424.460 4.661 5 20 HJBD O=C(Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1)C1CC1 440596590 KHIPRITVYXYJBS-UHFFFAOYSA-N 415.449 4.790 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ncc(-c2ccccc2)o1 444076244 QMDCXWMRVONCAD-HNNXBMFYSA-N 409.445 4.827 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)c1cccc(OCc2ccccc2)c1 444703154 ZAGWYJGFXYTHTR-SFHVURJKSA-N 419.481 4.772 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 446485661 PNDXERTVLLDZLA-CYBMUJFWSA-N 407.392 4.705 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3C)c2)cc1 446489783 DCQUVSOJUOQIJR-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD COc1cc(CNc2cccc(-c3cc[nH]n3)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 446903266 XGUSMDCNRCVKON-UHFFFAOYSA-N 422.363 4.547 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1 463951854 RPANCCBLXHFHDN-OAHLLOKOSA-N 403.504 4.833 5 20 HJBD Cc1ccc(C(=O)N2CCC(c3cccc(Br)c3)CC2)cc1[N+](=O)[O-] 466788898 PVLGKBFKLVIBHT-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2cccc(Br)c2)CC1 466793033 WTTGLPLFOSKCKW-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCc1ccc([C@@H](N[C@H]2CCOc3ccc(OC)cc32)c2ccc([N+](=O)[O-])cc2)o1 468046107 AGLNKMMFHYKCHA-REWPJTCUSA-N 408.454 4.962 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(CCc1ccccc1)Cc1cccnc1 475641030 RVZKWGQXEWGAPW-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N2CCCCC2)cc1SC 482122174 KHAMKLHXKGXWKI-UHFFFAOYSA-N 401.488 4.568 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@@H]1CCc2ccccc21 482380567 LDWJNQYATUHOQL-QGZVFWFLSA-N 400.500 4.865 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2Cc2ccccc2)cc1SC 483498247 WIMQZWRWWCHUTI-MRXNPFEDSA-N 400.500 4.563 5 20 HJBD CCC[C@@H](NCc1csc(CNC(=O)c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 484288200 DFUCUFHRRXXRQB-HXUWFJFHSA-N 424.526 4.612 5 20 HJBD Cc1c([C@@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)oc2ccccc12 484356482 ASJXNHQWRGOHEZ-OLZOCXBDSA-N 401.850 4.981 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@@H]2Cc2ccccc2)cc1SC 484363557 QBZFOBDQWKDKLI-AEFFLSMTSA-N 414.527 4.857 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc2c(c1)CCC(=O)N2 485390914 OSDBWGOGIQNXJO-CYBMUJFWSA-N 406.417 4.854 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccnn3CC(C)C)cc2[N+](=O)[O-])n1 486347179 HYSGXSZWKUUUQI-UHFFFAOYSA-N 417.516 4.616 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2ccccc2)[nH]1 486422844 OAIJGIVUIQVUNU-UHFFFAOYSA-N 410.499 4.663 5 20 HJBD COc1ccccc1SCC(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 488481637 LJFUQYDPURHQEV-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD COc1ccc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OCC(F)(F)F 497382885 FJJWPVVDOYDYRJ-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD CC(C)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N1CCCC[C@H]1C 498083771 CYGIMTCTOJRGIR-IIBYNOLFSA-N 423.582 4.604 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1Oc1ccncc1 511340652 MMVBDQCYBRKXFU-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C(=O)N(C)C3CCCCC3)cc2)cc1[N+](=O)[O-] 515458565 YUGXDFSMYSCLEX-UHFFFAOYSA-N 424.501 4.684 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 517843913 FWWFSNPCRGUFNY-QFIPXVFZSA-N 411.477 4.608 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccc(Br)c3)no2)ccc1N1CCCC1 518328768 OAULNIWYCMQXKC-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 522585462 KIEUIUPYPDLCQG-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD CC(C)Oc1ccccc1CSCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 522673256 ZJIHVHRNXMKLNI-UHFFFAOYSA-N 402.472 4.613 5 20 HJBD Cc1cc(Br)ccc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 523881565 GTQMNUOMCPSZTG-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD COc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)[C@H](C)C2CC2)cc1 524006346 AAAIJWRXUUQIIM-CQSZACIVSA-N 402.878 4.627 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N(Cc1ccco1)C[C@H]1CCCO1 524462607 RHFDUEBLCWRCSQ-HXUWFJFHSA-N 421.453 4.753 5 20 HJBD C[C@@H](NC(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1)c1cccc([N+](=O)[O-])c1 525044715 ZLHAZVNZJAWDTK-CQSZACIVSA-N 408.458 4.724 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 535897689 GOROVSHAQZQSIQ-NSHDSACASA-N 417.940 4.518 5 20 HJBD CCN(Cc1ccccn1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 538729283 DAJWJNKCEITPPU-UHFFFAOYSA-N 413.481 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(-c3ncc4n3CCCC4)cc2)c1 539502710 PECMOIJTUYIDKN-UHFFFAOYSA-N 420.469 4.511 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1F 542558723 CDMBKLFCSODJET-ZDUSSCGKSA-N 416.380 4.812 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 544118125 YWUVVFVTOUPLGG-IERDGZPVSA-N 407.470 4.884 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cccc4cccnc34)cc2[N+](=O)[O-])C1 545243903 IGTHZHLBAZHMBJ-CALCHBBNSA-N 418.497 4.902 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cnn(C(C)C)c3)cc2[N+](=O)[O-])n1 550839815 KJTWYQKFMUZLGF-UHFFFAOYSA-N 403.489 4.541 5 20 HJBD CCOc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1Cl 551475408 ZEUYEBWQMWROJT-UHFFFAOYSA-N 419.865 4.522 5 20 HJBD CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])c1csc(-c2cc(F)ccc2F)n1 566706673 FEPJORQXMKLJBI-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccncc2)ccc1OCc1ccc([N+](=O)[O-])cc1 568736704 XTWPDUMWHKQNOS-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD C[C@H](C(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 571717558 BETUKXKMVTTXNZ-HNAYVOBHSA-N 406.442 4.502 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(C(F)(F)F)nc3OC(C)C)n2)cc1[N+](=O)[O-] 581335334 IJENWJMVNRRYAS-UHFFFAOYSA-N 408.336 4.821 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCCc2nc3ccccc3o2)cc1[N+](=O)[O-] 583301391 MZLUZZHSHSABIJ-UHFFFAOYSA-N 413.499 4.847 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](C)c2cc3ccccc3o2)cc1[N+](=O)[O-] 603567827 SSAMVAFNOYZOPW-AWEZNQCLSA-N 414.483 4.802 5 20 HJBD COc1ccc(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)cc1[N+](=O)[O-] 603660045 WFUXDHKWZLMYRS-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)o1 603966718 MIIWELOTBINHRQ-INIZCTEOSA-N 416.437 4.840 5 20 HJBD COc1ccc(NC(=O)[C@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604044608 XVJDUOVGPDKZCA-KSFYIVLOSA-N 405.454 4.634 5 20 HJBD CCCN(Cc1ccccc1F)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 604235350 PNYQINRLPKVMLD-UHFFFAOYSA-N 414.355 4.727 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 604532757 CFKNQMVIFMSSGI-MRXNPFEDSA-N 419.472 4.860 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609549716 UXPDZDVZJGDCJB-CYBMUJFWSA-N 411.483 4.840 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2cccc(C)c2C)cc1[N+](=O)[O-] 610199347 TURMPEKECGETAP-IBGZPJMESA-N 414.527 4.817 5 20 HJBD O=C(N[C@H]1CCc2cc(O)ccc2C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 618250189 RLGRRKDIJWLCPC-INIZCTEOSA-N 412.511 4.622 5 20 HJBD COc1cc(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)ccc1[N+](=O)[O-] 725946745 BWTHDEPHFANAAV-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD COC(=O)Nc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1F 727403615 KIGKGUVTAATEKN-UHFFFAOYSA-N 424.388 4.908 5 20 HJBD CC(=O)c1ccc(N2CCC(OC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)cc1 730413861 KTZAQVQWKNAGNE-UHFFFAOYSA-N 424.478 4.838 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCC(=O)OCC1CC1 731897289 RSVPCBRJTQDPHQ-UHFFFAOYSA-N 413.473 4.616 5 20 HJBD COC(=O)C[C@@H](C)S[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734368015 NBARUOFHZVVQFF-KZULUSFZSA-N 422.890 4.613 5 20 HJBD Cc1cc(OCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1C(C)C 735442974 NANPDXJZNPVEDB-UHFFFAOYSA-N 410.426 4.804 5 20 HJBD O=C(Cn1ccc2ccc(Cl)cc21)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445013 DIKFCCAXRJMYBW-UHFFFAOYSA-N 411.801 4.601 5 20 HJBD CC(C)C[C@@H](C(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1 741114179 KIZFNQOCNMXPGC-HXUWFJFHSA-N 411.502 4.579 5 20 HJBD Cc1ccc(N2CCC[C@H](NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 742379977 IZSLNILKHZMZPH-SFHVURJKSA-N 408.527 4.785 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3ccc([N+](=O)[O-])cc3Br)o2)cc1 745074613 SRJSIOONKOPOIS-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1cc([N+](=O)[O-])ccc1Cl 746581112 LZFRZAQICLTDFU-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(F)cc1F 749409406 BEEOOAJSHMBOOG-SSDOTTSWSA-N 419.609 4.780 5 20 HJBD O=C(Cc1ccc(OCc2ccccn2)cc1)OCc1ccc(Cl)cc1[N+](=O)[O-] 751816460 TXLYRYSDCCPEHL-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD COc1ccc([C@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2OC)cc1 752782348 AORYHZQHNBNXFC-NRFANRHFSA-N 423.494 4.688 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 753320443 WHJYMGOPQKNHMH-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OCC(F)(F)F)c1 760538372 ROLYYMBAYDHCMD-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD Cc1nc([C@H](C)OC(=O)COc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 763860800 DUKPGZZRVVXBJD-ZDUSSCGKSA-N 416.817 4.895 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(Cc2ccccc2)C2CC2)c1=S 764865052 PSTMOKNWVXHYIJ-UHFFFAOYSA-N 409.515 4.631 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H]3c3ncc[nH]3)cc2[N+](=O)[O-])cc1 777457659 SKRZWIOCFMROLI-KRWDZBQOSA-N 408.483 4.755 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCSCc1ccccc1 790645564 FUGAUHJMJDJKAE-UHFFFAOYSA-N 403.503 4.631 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796445318 WOCFJBSYMFOGTD-OAHLLOKOSA-N 412.486 4.568 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ncccc1Cl 796757230 VOCATXFTKOMJJV-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD C[C@@H](C[C@@H](O)c1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799686650 WWVQQAKEOQTKMQ-OXJNMPFZSA-N 422.506 4.988 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(c2ccc(Br)cc2)CC1 800120192 LUEKWVMDVAHUFI-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)c2cccc(Cl)c2Cl)c1 801814153 DKYYEZJWFNZWHP-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 804721899 OONVUGJAIVVSMZ-UHFFFAOYSA-N 422.369 4.919 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 809219162 FEVZGCWNGSHJBU-INIZCTEOSA-N 418.453 4.645 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1cc([N+](=O)[O-])ccc1F 809259549 HNSSZWPLZNWJID-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC1=NN(c2ccc(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)CC1 811220759 OVMVKNLRZIIEEY-UHFFFAOYSA-N 422.466 4.661 5 20 HJBD Cc1ccc([C@H](C)N[C@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cc1[N+](=O)[O-] 813878228 LVXRXDJQGAQGMJ-WMZOPIPTSA-N 403.523 4.876 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)cc1-n1ccnc1 904314884 XOKYJZWDUUAGDP-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)cn2)cc1 916089025 VIONRKSOFFMFDA-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(OCC(F)(F)F)cc2)c1 918920793 HXJGFCPFMNHYIX-UHFFFAOYSA-N 401.362 4.615 5 20 HJBD CCOC(=O)c1sc2nc(C)nc(Oc3ccc([N+](=O)[O-])c(Cl)c3)c2c1C 921189547 TVPWZCOBQAHBAY-UHFFFAOYSA-N 407.835 4.839 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)COc3ccc4ccccc4c3)sc2c1 921231364 YPQASQVBSPSBQH-MRXNPFEDSA-N 412.492 4.890 5 20 HJBD COc1ccc(OC(=O)c2csc(Cc3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 1342522296 VPHYKKDGDNBVPO-UHFFFAOYSA-N 404.831 4.523 5 20 HJBD O=C(Nc1cccc(-n2cnnc2)c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 1347726315 ZIDOUCXGUBXSBO-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD C[C@@H](Sc1nc2ccccc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5628408 UNXGAARJGHSVNH-SECBINFHSA-N 410.377 4.609 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2)c1 5958396 GMBBAPFWYNAQOG-HSZRJFAPSA-N 418.449 4.677 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN(Cc1cccs1)Cc1cccs1 7688400 GWYQZJWZNLKZFZ-UHFFFAOYSA-N 401.513 4.667 5 20 HJBD COc1cc(C(=O)NCCCOC(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 17408274 RXZXJLMFSGPTQI-UHFFFAOYSA-N 422.865 4.594 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1cccs1)N(Cc1ccc([N+](=O)[O-])cc1)CC2 25919066 XFYHJZRQWRVROX-QFIPXVFZSA-N 410.495 4.821 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(Br)cc3F)c1)OCOC2 57684574 XIEVHRPGBSYGIM-UHFFFAOYSA-N 414.252 4.796 5 20 HJBD COc1ccccc1C1(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])CCCC1 64787074 DGMTZGVFSLOWLP-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(Br)cc1F)c1ccc([N+](=O)[O-])o1 72012129 WYZOJAKAQGAYCC-QGZVFWFLSA-N 419.206 4.609 5 20 HJBD COc1cccc(SCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1 195704374 CAOVJJIMIBCVMW-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD CNC(=O)c1ccc(N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)c([N+](=O)[O-])c1 301185292 JTGAHHXNWQPLLL-ZDUSSCGKSA-N 410.499 4.868 5 20 HJBD Cc1cccc(N2CCC(C(=O)Nc3ccc(Br)cc3)CC2)c1[N+](=O)[O-] 302462327 AILRGRVXESVYCN-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD Cc1cc(N[C@@H](c2ccc(F)cc2)c2cnn(C)c2)c(Br)cc1[N+](=O)[O-] 302633441 COVWOJSXEPFHDJ-SFHVURJKSA-N 419.254 4.740 5 20 HJBD Cc1ccc(Sc2ccc(CNC3CCC(C(=O)O)CC3)cc2[N+](=O)[O-])cc1 426672600 BRNRMYRTTNYNMA-UHFFFAOYSA-N 400.500 4.787 5 20 HJBD CCCc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cnn1-c1ccc(F)cc1 430175780 ILLMRPNOLWMFQB-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD Cc1ccc([C@H]2CCCCCN2C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)o1 431571113 PVQAJSSFGBJBAK-GOSISDBHSA-N 409.442 4.567 5 20 HJBD Cc1cnc(C2CCN(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CC2)s1 433091350 NMSGHVYKOARGIF-UHFFFAOYSA-N 414.409 4.790 5 20 HJBD COc1ccc(Sc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])cc2)cc1 433933719 MYWZDLURIAJXOQ-UHFFFAOYSA-N 412.471 4.853 5 20 HJBD C[C@H](SCc1nnnn1-c1ccc(C(F)(F)F)cc1)c1ccccc1[N+](=O)[O-] 437268160 MKZZCJCIOIEGJN-NSHDSACASA-N 409.393 4.584 5 20 HJBD CCCN(C(=O)c1cc(NC(C)=O)cc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 441470739 JUBKXRDPLAYEQE-UHFFFAOYSA-N 409.364 4.629 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H](C)CC(=O)c3ccc(Cl)cc3)n2)c1 445571624 OLDWVFDFHDRGND-GFCCVEGCSA-N 415.833 4.607 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccnn1CC(F)F 447559276 SPGATGOAKKZBDO-UHFFFAOYSA-N 410.427 4.521 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)NCCc1ccccc1[N+](=O)[O-] 460504080 WQHZGSRFGGIHOF-UHFFFAOYSA-N 423.416 4.515 5 20 HJBD Cc1sc(NC(=O)c2cnnc(-c3ccccc3)c2)nc1-c1cccc([N+](=O)[O-])c1 463469236 IXSMQPKPIPDNRH-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 463908621 DPZBEFMSBNPDQY-AUUYWEPGSA-N 417.481 4.837 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1cccc(OC)c1[N+](=O)[O-] 464705563 AYZGSBQZPZUYMA-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 466899440 BXNUMWXUMJTZFQ-DGCLKSJQSA-N 409.305 4.827 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(F)(c2cccc(Cl)c2)CC1 467887818 MJFMWCITZCNQJN-UHFFFAOYSA-N 401.825 4.831 5 20 HJBD O=C(N=c1cc[nH]cc1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccc(C(F)(F)F)cc1 469927345 MLVWHAINSANXDV-MRXNPFEDSA-N 408.380 4.580 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@@H]2CCN(Cc3ccccc3)[C@@H](C)C2)cc1[N+](=O)[O-] 473827500 IENDUGKYPGDQRN-GHTZIAJQSA-N 409.530 4.843 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@H](c2ccccc2)c2nc(-c3ccccc3)no2)cc1 475775525 TZXWGCMKSIHEIT-OAQYLSRUSA-N 400.438 4.567 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 478239055 PAQZOKGYNIARCM-LAUBAEHRSA-N 420.415 4.569 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)c(F)c1 480000318 RZFLRLGGWCUYFR-OAQYLSRUSA-N 408.408 4.754 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)CCOc2ccccc2[N+](=O)[O-])nc2ccccc21 480919746 HJJUGIUKPWDJMC-FQEVSTJZSA-N 422.485 4.658 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 481416970 ZLZCQNAFSYTEOI-SZNDQCEHSA-N 415.877 4.812 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc([C@H]3C[C@H]4CC[C@H]3C4)cs2)cc1OC 482352387 NMFBQFKEJOVSPH-AVGNSLFASA-N 417.487 4.615 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])sc2C1 483022339 XBASVQCZJQSJDA-JTQLQIEISA-N 407.517 4.549 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 483251992 GOFYWYCIFMMZBA-CQSZACIVSA-N 409.191 4.744 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)CCCOc2ccc(Cl)cc2)cc1SC 483371519 GYIXCLHASGXTTM-UHFFFAOYSA-N 424.906 4.520 5 20 HJBD COCCN(C(=O)[C@@H](C)c1ccccc1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 483941409 GKEWIWGBPKNGQR-HNNXBMFYSA-N 411.483 4.501 5 20 HJBD CCC[C@H](NCCC(=O)Nc1cc(Cl)ccc1OC)c1cccc([N+](=O)[O-])c1 484492816 KLAWIXOKZFJTRY-KRWDZBQOSA-N 405.882 4.716 5 20 HJBD O=C(N[C@H]1CCc2c(O)cccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 484957727 GHJVVIUXMFVSCV-IBGZPJMESA-N 406.463 4.869 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486727054 FASVZQKJSXHZNW-UHFFFAOYSA-N 423.174 4.616 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cl)c2Br)c1 486825406 OCWOVXHBZLGHOH-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc(F)cc1)C1CCOCC1 493280547 MEQAAMSQPNLETM-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F 494494891 MERLBYMHZJAJET-OLZOCXBDSA-N 422.840 4.545 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 499528185 JPCNZDUCGRNMEK-UHFFFAOYSA-N 413.474 4.952 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C(=O)CC(C)(C)C2 504078009 ULGBYMUJLXPGLM-UHFFFAOYSA-N 419.528 4.961 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)sc2C)cc1 512154780 DXITTWZPOJZSKP-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)n1 512639431 XDKYMLCYGAPYSS-UHFFFAOYSA-N 401.391 4.784 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1Cl)C1CCCCC1 515443427 ZBUYWDOKKCBYAF-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)cc2s1 520010366 KOLXOYHXXNUYLS-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD CCCc1ccc(-c2nc(NC(=O)CCn3cc([N+](=O)[O-])nc3C)sc2C)cc1 521317122 PDZZMZRBMDJNJO-UHFFFAOYSA-N 413.503 4.513 5 20 HJBD C[C@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(C(F)(F)F)c1 523083942 AMKITLAHOMNEPI-GFCCVEGCSA-N 424.375 4.907 5 20 HJBD O=C(CC1CCSCC1)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 532393313 FUPGOJRCZNWCHP-UHFFFAOYSA-N 412.471 4.512 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(OCC2CCCCC2)CC1 532573200 XFPUZPNTWOMNJZ-MRXNPFEDSA-N 414.506 4.767 5 20 HJBD Cc1ccc(OCc2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 536301217 PLGAHUUQASAYHT-UHFFFAOYSA-N 424.438 4.813 5 20 HJBD COc1cc(CNC(C)(C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 537115021 XBNGFUFDRAKOKG-UHFFFAOYSA-N 400.809 4.883 5 20 HJBD CCN1C/C(=C/c2ccc([N+](=O)[O-])cc2Cl)c2nc3ccccc3c(C(=O)O)c2C1 542187445 VLWIOSLTHAGPPW-ZROIWOOFSA-N 423.856 4.871 5 20 HJBD COc1cc(CN[C@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 542945151 IUCCEQWMKRWDBA-OAHLLOKOSA-N 424.297 4.895 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1ccc(Oc2ccc(C(C)=O)cc2)cc1 543191876 GDHORIRQWPQSRI-UHFFFAOYSA-N 420.421 4.528 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3cnc(-c4ccc(Cl)cc4)s3)ncnc2s1 557687145 LRAXQLKWBVCZIB-UHFFFAOYSA-N 403.876 4.989 5 20 HJBD Cc1cnc(N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)nc1-c1ccccc1F 563551419 DRBIMPNHJFLHOT-UHFFFAOYSA-N 408.433 4.547 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 570447969 YCRXIRLOJGSTRK-CQSZACIVSA-N 405.292 4.898 5 20 HJBD COc1cc(/C=C\c2ccc3cccc([N+](=O)[O-])c3n2)cc(OC)c1OCC(F)F 575091398 CGMXHVHZNWNFAX-VURMDHGXSA-N 416.380 4.975 5 20 HJBD O=C(Nc1cc(Cl)cc2cccnc12)c1sc(Br)cc1[N+](=O)[O-] 575280246 CTDNOVZNPLKNIW-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Cl)c(C(F)(F)F)c1 581395123 RTVUETWWOSJPGM-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 586825576 DWYKKZQNTJFIOV-CQSZACIVSA-N 408.376 4.642 5 20 HJBD CC(C)(c1nc(Cc2nc3cc(F)ccc3s2)no1)c1ccc([N+](=O)[O-])cc1F 589128007 NHELHPSNFKFGQC-UHFFFAOYSA-N 416.409 4.782 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cnc2c(F)ccc(F)c2c1 590229522 ZSMABGRCWSWFFF-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1ccc(-c2nnc3n2CCCC3)cc1 600854696 XQLBTEHIXLSVFN-UHFFFAOYSA-N 417.391 4.821 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H](C)c1cccc(C(F)(F)F)c1 603530396 ZOVWQWJNKBDQTK-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)CCC(C)C)cc1[N+](=O)[O-] 603549094 NRPFJOXBZXTDBL-UHFFFAOYSA-N 400.500 4.666 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603961110 FDOOHPLAWOEHBW-SECBINFHSA-N 402.806 4.969 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)c1 603991673 SVOAKEATGUBGPN-UHFFFAOYSA-N 421.478 4.846 5 20 HJBD CCc1ccc(OCC(=O)Nc2cc(C(F)(F)F)ccc2Cl)c([N+](=O)[O-])c1 609650607 LJDZGLDFRASTTH-UHFFFAOYSA-N 402.756 4.847 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])s1 609864400 FHSQCHVWOVDHOI-UHFFFAOYSA-N 414.283 4.869 5 20 HJBD C[C@H](Cc1cccc(C(F)(F)F)c1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609970449 GYMOXQHXXCVHLS-CYBMUJFWSA-N 418.375 4.617 5 20 HJBD O=C(Nc1cccc(N2CCCCCC2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610121028 FHQUNSMYDPVHKO-UHFFFAOYSA-N 422.529 4.830 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 611202524 OFESCPKBBDRKDM-UTKZUKDTSA-N 414.527 4.947 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2cccc(Sc3ccccc3)c2)cc1[N+](=O)[O-] 615298208 QSCDOIGXZYUVBL-UHFFFAOYSA-N 407.451 4.632 5 20 HJBD COc1ccc([C@H](CC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 617339733 KHSBFFFWINOMIR-IBGZPJMESA-N 412.467 4.638 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCc4sccc43)cc2)c(C)c1[N+](=O)[O-] 619557670 PNUVSJLLPLLQFN-UHFFFAOYSA-N 410.499 4.501 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)cc1 619647586 OHIUSHBUGHGKMQ-NSHDSACASA-N 418.862 4.726 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)ccc12 728166441 GFAYEAWEUHBQDY-OAHLLOKOSA-N 402.410 4.555 5 20 HJBD Cc1cc(C2=N/C(=C\c3ccc(OC(F)F)c(Cl)c3)C(=O)O2)ccc1[N+](=O)[O-] 731490730 RTDPHBLUELQFFU-JYRVWZFOSA-N 408.744 4.503 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744098369 BTKDGAUAOZCTEQ-UHFFFAOYSA-N 409.238 4.774 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)OCC(=O)N1c2ccccc2Sc2ccccc21 745334166 FHTIOARZBDVITJ-UHFFFAOYSA-N 420.446 4.510 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CSc1ncnc2c1cnn2-c1ccc(F)cc1 746389311 NEKBKSKBZWMFLF-UHFFFAOYSA-N 415.837 4.809 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2CSC2=NCCS2)cc1[N+](=O)[O-] 752744420 FGHLXCUJQNZMDT-CQSZACIVSA-N 415.540 4.730 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755757677 BRYZOIJNOJDAIF-GOSISDBHSA-N 422.462 4.779 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3ccc(Cl)c([N+](=O)[O-])c3)CC2)c(OC)c1 768543573 BACVYCXPZXLMGA-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 768953585 WDAQMSUYDDENSQ-LBPRGKRZSA-N 420.922 4.995 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccccc1COC1CCOCC1 769694864 QXFOGGIEKOFSFJ-UHFFFAOYSA-N 404.850 4.505 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 777686020 JRVWZAMLHAXZDY-UHFFFAOYSA-N 410.518 4.969 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778782370 BXVIYGQMLLCSKD-KGLIPLIRSA-N 402.472 4.647 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 783554539 SATMYEZMQPQQRY-SECBINFHSA-N 408.197 4.870 5 20 HJBD C[C@@H](NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1ccc(N)c([N+](=O)[O-])c1 788749788 HOTWQANDDDRYKH-PBHICJAKSA-N 408.527 4.757 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nc3c(Br)cccc3s2)cc1 795545744 NECDASBTJQWKGB-GFCCVEGCSA-N 411.302 4.793 5 20 HJBD COc1ccccc1[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1Cl)OC(C)C 809917735 PLRYNUVNVNAMBU-QGZVFWFLSA-N 407.854 4.545 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCC2=O)cc1 809972536 WZGSDXSZSGGANO-LBPRGKRZSA-N 408.285 4.879 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC2(CCC2)[C@@H]1c1ccccc1 817566095 NUWZOSZQHDEVEM-KRWDZBQOSA-N 419.250 4.864 5 20 HJBD O=C(/C=C\c1cc(C(F)(F)F)ccc1Cl)OCC(=O)c1ccc([N+](=O)[O-])cc1 825213445 ZZURUKIFUPURTM-BAQGIRSFSA-N 413.735 4.706 5 20 HJBD COc1ccc(NCCC(=O)Nc2ccccc2[N+](=O)[O-])cc1Cc1ccccc1 844261784 KUTHNAKWJFEURZ-UHFFFAOYSA-N 405.454 4.635 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)CC3 917096608 LZUDCJYHXRWZAC-UHFFFAOYSA-N 418.497 4.573 5 20 HJBD O=C(Nc1cc(C2CCCC2)nn1-c1ccccc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 920973008 LISATDUHZQZGTA-UHFFFAOYSA-N 421.413 4.599 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1 1116151387 LAWDKMAATOZWKW-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD CC(C)(C)c1ccc(Cc2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 1325446516 KTCDCAMXCHEHSA-UHFFFAOYSA-N 404.426 4.787 5 20 HJBD O=C(CSc1ccccc1Br)Nc1cc([N+](=O)[O-])ccc1Cl 16360424 NWMJTSOFZRAMNE-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccccc2)n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17985034 UUPSBOVPULBVFY-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)s2)o1 42918017 URCPLZGAAZKIHL-UHFFFAOYSA-N 409.370 4.782 5 20 HJBD Cc1c(NC(=O)NCc2ccc(Cn3cnc4ccccc43)cc2)cccc1[N+](=O)[O-] 61746318 FAQYFZQQSOUALJ-UHFFFAOYSA-N 415.453 4.623 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] 63613794 QSSOIPMJMBYHBL-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2cccc(OC(F)F)c21 72029549 LRBXYTSBRXBESA-GFCCVEGCSA-N 408.426 4.656 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)oc1C 78882877 TZEYAHQVLFWMQR-UHFFFAOYSA-N 412.412 4.543 5 20 HJBD Cc1nc(-c2cccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)oc1C 108201595 HRFLVVABCAQBMY-UHFFFAOYSA-N 420.469 4.722 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCc1csc2ccccc12 195643160 MTSNUDSIRALAQY-UHFFFAOYSA-N 412.514 4.728 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 195645223 ZGZGZWKAYNHXOC-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)c2ccncc12 301067829 RBUBTASFBYCRCB-UHFFFAOYSA-N 416.365 4.946 5 20 HJBD COc1ccc([C@@H](NCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C(C)C)cc1 302913238 SRWMIMMIILYNBN-IBGZPJMESA-N 410.417 4.700 5 20 HJBD O=C(O)C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 426696185 QVOISTVFRDYQLU-KRWDZBQOSA-N 416.430 4.893 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccc([N+](=O)[O-])cc1 428006710 OVAIADXLHOYYNW-UHFFFAOYSA-N 406.438 4.796 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2ccc(Br)c(F)c2)cccc1[N+](=O)[O-] 431141508 TYJOWOXNIKKWIQ-GOSISDBHSA-N 421.266 4.711 5 20 HJBD O=C(c1ccc(COc2ccc(F)cc2)o1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437470173 TXJZRYGAPWZVOD-UHFFFAOYSA-N 410.401 4.711 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1OC 438897717 VVCNZKBVRMIRQV-MRXNPFEDSA-N 400.475 4.511 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438898645 SWWZZLXWELCIQF-UHFFFAOYSA-N 403.438 4.645 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cnn1CCC(C)C 441584881 AGJUMQWEPOIFOJ-UHFFFAOYSA-N 413.522 4.634 5 20 HJBD CC(C)C[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442114425 OZDSDFZAXMUBKJ-KRWDZBQOSA-N 424.284 4.744 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccccc1OC1CCCC1 445820733 WFFOYSBMXHHALT-UHFFFAOYSA-N 419.275 4.860 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1ccncc1 462492916 HFGIYXNUGOXUDC-HSZRJFAPSA-N 417.509 4.830 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cc1 463187204 AZFPMPHPHKMOJY-BAQGIRSFSA-N 416.422 4.815 5 20 HJBD Cc1cc(C2CC2)nc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 464595443 UNLABYZUQXRTDT-UHFFFAOYSA-N 406.467 4.974 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)c(Cl)c1F 464706405 FDNMGBWZKQIUKY-UHFFFAOYSA-N 401.619 4.965 5 20 HJBD Cc1ccc(Cl)cc1CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 469675597 RPWIORWXYUCDBF-UHFFFAOYSA-N 412.823 4.851 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472260580 ILXPWKDJTJFBDT-MRXNPFEDSA-N 414.380 4.683 5 20 HJBD COc1cc(CN[C@@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OC(F)F 475711342 BNYCXNYYKPZQFJ-JTQLQIEISA-N 410.348 4.941 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1c[nH]c2cccc([N+](=O)[O-])c12 477207428 QGPLYKLSNMLCGU-UHFFFAOYSA-N 414.324 4.585 5 20 HJBD CCOc1cc(OCc2csc(-c3ccc(OC)c(OC)c3)n2)ccc1[N+](=O)[O-] 478721625 QZQYYPJENSBDEH-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc([N+](=O)[O-])cc1 478781985 LZKBVRLBACBCJS-UHFFFAOYSA-N 420.853 4.822 5 20 HJBD O=C(NCCc1nc(-c2ccncc2)cs1)c1cc2ccccc2c2cccnc12 479658850 IESPVRUXVHATQB-UHFFFAOYSA-N 410.502 4.879 5 20 HJBD Cc1ccc([C@H](C)NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)cc1[N+](=O)[O-] 482019518 USUZOEXCFKESCN-SFHVURJKSA-N 420.513 4.873 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 485689053 GPJCCNDXEXQBPF-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2c(F)cccc2F)CC1 485856495 DOYFJSPJNZYMDN-ZDUSSCGKSA-N 414.412 4.864 5 20 HJBD Cc1cnc([C@@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C2CC2)s1 485890072 SEHOMXACAUMIPT-FQEVSTJZSA-N 423.494 4.820 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)cc2OCC(F)(F)F)c1[N+](=O)[O-] 486246024 UDKSFBNEZOPOAZ-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@]2(c2nc(-c3ccccc3)no2)C1 486856425 OFBJOXGCIQYSNI-XKDCRVNJSA-N 404.470 4.760 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 489241754 WUCWQUXBDDRTLB-INIZCTEOSA-N 404.470 4.952 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCC[C@H](c2ccccc2)C1 489629180 BFZQLANSOXGBEV-ROUUACIJSA-N 414.527 4.790 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1 498235205 ACEFUJIWBJRFRU-KRWDZBQOSA-N 406.385 4.572 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2c(N)cccc2[N+](=O)[O-])cc1F 499889718 HCJQGXWJLCODHT-UHFFFAOYSA-N 411.389 4.678 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 499897657 GOBRLKUCIIBVSM-JOCHJYFZSA-N 407.495 4.912 5 20 HJBD Cc1ccc(NC(=O)C2CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)nc1 503061512 NJRVIZXTUIFKLE-UHFFFAOYSA-N 424.504 4.582 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1F)c1nccn1C 504926260 HEXUPYQOALVKKU-MGPUTAFESA-N 414.506 4.717 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cccc(C)c3[N+](=O)[O-])c(C)c2)s1 509286826 FPDOIIWTTQAILB-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD COc1cc(C(=O)N[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)ccc1[N+](=O)[O-] 512077934 RPNKAMCDWFCAQN-IBGZPJMESA-N 412.392 4.595 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)c1 518616559 FDRIHPWLWZQDHD-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CC(C)c1nnc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)s1 531820629 QOZCZGYALLJACT-UHFFFAOYSA-N 402.451 4.791 5 20 HJBD COCc1nc(C)c(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)s1 535451865 YTNNRWYEVPWSHL-UHFFFAOYSA-N 421.525 4.971 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4c[nH]c5cccc([N+](=O)[O-])c45)CC3)c2c1 535679859 IIIWKWOUCAGNRS-UHFFFAOYSA-N 418.453 4.586 5 20 HJBD CC[C@H]1CC[C@@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 537890594 IOWKZOJDOUBDRB-CJNGLKHVSA-N 413.440 4.620 5 20 HJBD CC[C@H](NCCC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539256655 GIYNCAZLDSDXDX-HNNXBMFYSA-N 407.417 4.574 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1 541783435 VWRAOHQKFNDBBM-ZDUSSCGKSA-N 409.801 4.589 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)sc1C 541790011 QYUUWPPAXFKNPQ-UHFFFAOYSA-N 405.545 4.880 5 20 HJBD COc1ccc2oc([C@@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c(C)c2c1 542582690 XJVMENSSPYNPNO-CYBMUJFWSA-N 408.414 4.559 5 20 HJBD C[C@@H](C(=O)NCCc1nc(C2CCCCC2)cs1)c1ccc([N+](=O)[O-])cc1F 544561113 CDUCUIQGIZBKIE-CYBMUJFWSA-N 405.495 4.701 5 20 HJBD COc1cc(C(=O)NC(c2ccccc2C)c2ccccc2C)c([N+](=O)[O-])cc1OC 544823970 BLGOSTWITQTOSC-UHFFFAOYSA-N 420.465 4.748 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cnc5ccccc5c4)no3)cs2)cc1 545614588 JIJOOAOALUCGSV-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1)C1CC1 551341300 HIOPZSBQTDTIPD-UHFFFAOYSA-N 418.331 4.679 5 20 HJBD COCCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3ccccc3)c2)cc1OC 553686185 ACEXLECDWGZJGP-UHFFFAOYSA-N 422.437 4.548 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 554122639 DBHQNTVITWXMMG-GFCCVEGCSA-N 405.376 4.798 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1c(F)cncc1F 561338867 LGLIIECGVLYFJZ-LBPRGKRZSA-N 415.421 4.910 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 561859132 ZRUPPYFQKZDKBZ-QGZVFWFLSA-N 412.833 4.584 5 20 HJBD CCC1(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCOCC1 574302362 YVZZZFAKDJNJGD-UHFFFAOYSA-N 420.513 4.744 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)c3ccccc3)CCC2)no1 579058138 TYNCSXNAZBVSQW-AWEZNQCLSA-N 424.482 4.640 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(C(F)F)sc2c1 583349153 WINMVLIPDQVQMY-SNVBAGLBSA-N 406.414 4.971 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCCOC)c(F)c2)no1 589133727 LXBIPCSVEBAPRK-HNNXBMFYSA-N 415.421 4.545 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H](C)c2ccc(Br)cc2)c([N+](=O)[O-])c1 589793694 RPBZGXSLOXPAPP-GFCCVEGCSA-N 406.280 4.669 5 20 HJBD O=C(NC[C@H]1CC2c3ccccc3C1c1ccccc12)c1ccc([N+](=O)[O-])cc1F 603935551 GRNPHFPQGHEIBM-SQTJOVDMSA-N 402.425 4.761 5 20 HJBD O=C(c1cc(NCCOc2cccc(Cl)c2Cl)ccc1[N+](=O)[O-])N1CCCC1 604379597 XQJOWHBMGIQMMQ-UHFFFAOYSA-N 424.284 4.629 5 20 HJBD CCc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccc(OC)cc1 609175774 BUJSBTRMBXKOOO-UHFFFAOYSA-N 401.419 4.681 5 20 HJBD Cc1ccc(OC2CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)cc1 609744148 KCJUDDBNTUWBBJ-UHFFFAOYSA-N 421.400 4.580 5 20 HJBD O=C(N[C@H]1CCC(F)(F)C1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 610790617 XETNBTYUTSQSAX-AWEZNQCLSA-N 409.820 4.778 5 20 HJBD CN(C)C(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611204232 GJUGIFSXMWRNPL-UHFFFAOYSA-N 413.499 4.584 5 20 HJBD CCCCN(C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C)[C@@H](C)c1ccc(C)o1 617015621 XYRDUSOKWFOVPS-HNNXBMFYSA-N 417.462 4.986 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 727711912 PJQAPGWJLBDOOT-QQJLWGBUSA-N 413.699 4.921 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccccc1C(F)(F)F 728068113 LTERAQAITNPHBE-SFHVURJKSA-N 407.392 4.703 5 20 HJBD COc1cc([C@@H](C[N+](=O)[O-])c2c[nH]c3ccccc23)ccc1OC(=O)OC(C)(C)C 731148484 UHPGTNCGTNEFGR-QGZVFWFLSA-N 412.442 4.899 5 20 HJBD C[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 731986775 PQUCIVGHADEEDC-SECBINFHSA-N 424.347 4.783 5 20 HJBD CCn1cc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c(=O)c2cc(Cl)ccc21 734888840 LDYNDINKYVYCNN-UHFFFAOYSA-N 421.236 4.593 5 20 HJBD O=C(Nc1ccc(SC(F)F)cc1)c1ccc([N+](=O)[O-])cc1Br 739460956 IGNBIRMQOLSKSV-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1ccc(Br)c([N+](=O)[O-])c1 741592198 AIJCAFBOWSRPHT-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD C[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 744078581 RKJRFBXRUCZBHF-KXBFYZLASA-N 414.458 4.540 5 20 HJBD COc1ccc(OC(=O)c2csc(-c3ccc(OC(C)C)cc3)n2)c([N+](=O)[O-])c1 749112552 DDOYTNKOLLYXDZ-UHFFFAOYSA-N 414.439 4.733 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749909343 NCCZNZCUDLONOZ-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1cccc(F)c1)c1ccccn1 750629956 BCCRIBLCAVJKMR-XOBRGWDASA-N 412.442 4.942 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccccc2COc2ccccc2)c(C)c([N+](=O)[O-])c1 754615659 OBSGJJRDGKOCGI-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD COc1cc(CN[C@H](C)c2nc3ccccc3s2)c([N+](=O)[O-])cc1OCC(F)F 754714624 WCLPKLGVERDGBV-LLVKDONJSA-N 423.441 4.708 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1Cl 754987449 GWNYIZQHICMLFU-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1cc(Br)ncc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 758468751 JDOZBFAXOWNXPY-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(F)cc1 759458593 WAVKLROVURHBAW-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD CC(C)n1ccc(Cc2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n1 762308834 ONXZJVPNQWEQHC-UHFFFAOYSA-N 405.414 4.805 5 20 HJBD Cc1cccn2c(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)c(-c3ccccc3)nc12 763384776 VIWYUIKBSVGVKO-UHFFFAOYSA-N 408.364 4.748 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 766506413 QWADFJPTKHAVJG-HUUCEWRRSA-N 418.327 4.514 5 20 HJBD Cc1cncc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 785650939 VUWFBZIQACGTPN-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F 787940390 SKDNRRSGEUXLBE-JSGCOSHPSA-N 402.422 4.740 5 20 HJBD C[C@H](CCOC(=O)Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccccc1 789018967 OERWPRGQMLZPHG-LJQANCHMSA-N 415.489 4.693 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1cc([N+](=O)[O-])ccc1Cl 791022635 USMPCRPUUCATOD-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CCC(=O)c1ccc(N2CCN([C@@H](C)c3nc4ccccc4s3)CC2)c([N+](=O)[O-])c1 795063693 FYRHWRFGLASHGQ-HNNXBMFYSA-N 424.526 4.680 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1Cl 805432046 PXDMASZXCYZDQN-UHFFFAOYSA-N 418.862 4.756 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(COc2ccc(Cl)c([N+](=O)[O-])c2)o1 808057210 MLRFFDXITXXFJQ-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD COc1cccc(C2(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 811215835 ZJQFXQNMXDLMRE-UHFFFAOYSA-N 410.451 4.502 5 20 HJBD Cc1nc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])sc1C(F)(F)F 813240403 RNCVGIVGYCPLPR-UHFFFAOYSA-N 400.165 4.938 5 20 HJBD Cc1c(CNc2ccc(NC(=O)CN3CCCCCCC3)cc2)cccc1[N+](=O)[O-] 864009914 BMEUPOVZGSTYRK-UHFFFAOYSA-N 410.518 4.720 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914508270 YSIQYKOLQMGAGD-JTQLQIEISA-N 412.229 4.951 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccc(-c3nc4ccccc4[nH]3)c2)cc1[N+](=O)[O-] 916564411 QQYKGZXBWWACLI-UHFFFAOYSA-N 417.381 4.607 5 20 HJBD CN(Cc1cccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1)C(=O)OC(C)(C)C 918109135 VYAIPPBLMFGLCG-RAXLEYEMSA-N 411.458 4.614 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)cc2)n1 918159480 HWIWEMVKSXVSSS-UHFFFAOYSA-N 414.512 4.829 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1csc(-c2cccs2)n1 920777707 MHJZBBNNRRAXAN-SNVBAGLBSA-N 424.887 4.944 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(cc2[N+](=O)[O-])OCO3)o1 1322150976 GJQSOCASFSEGMS-LBPRGKRZSA-N 405.366 4.667 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(CN(C)c2ccccc2[N+](=O)[O-])o1 1322847423 LMHALLUSICXOCJ-UHFFFAOYSA-N 407.455 4.713 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Oc2ccc(Cl)cn2)cc1 1323493161 XTMGKVMWLVUICX-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)N[C@H](C)c1ccc(F)cc1 6027995 XYSYUUSBGDABBU-MNOVXSKESA-N 405.476 4.702 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 7108105 WSLDUVSVNLIPFN-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD CCNC(=O)c1cccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 15023690 OGLSRXWKPJDCII-UHFFFAOYSA-N 415.515 4.735 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1csc(-c2ccc(F)cc2)n1 24721087 FZFANKTUNNCMQZ-UHFFFAOYSA-N 404.444 4.693 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 65710000 ACSIJUDELDOVBC-HNNXBMFYSA-N 422.485 4.886 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(Br)ccc1Br 160503794 UPTCYGBLBHJDOY-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C(c1cc2cc(Br)ccc2[nH]1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427579897 WPMNUSWJVIUSAD-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD Cc1nc(-c2ccccc2)ncc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 429272765 LFPDFQACWBTYBH-UHFFFAOYSA-N 402.454 4.950 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H](c2nc3c(s2)CCCC3)C1 431115743 CEXOCNLNMMAQPL-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1cccc(Cl)c1Cl 431239498 VDTSNVJKYMGVMN-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2ccccc21 432331089 IYTQKIHQDXWTOT-MRXNPFEDSA-N 408.376 4.688 5 20 HJBD CC1(C)C[C@H](N[C@H](CCO)c2ccccc2Br)c2cc([N+](=O)[O-])ccc21 433244196 UJTFSWPATLEEBB-MOPGFXCFSA-N 419.319 4.793 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCc2ccccc2[C@H]1CO 434207977 QAWXNIVIHDMASE-JOCHJYFZSA-N 419.437 4.511 5 20 HJBD O=C(NC1CCC(C(F)(F)F)CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435937566 PHONTEDPVDKMRQ-UHFFFAOYSA-N 424.369 4.810 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)cc1[N+](=O)[O-] 440881834 CEGBNSUUUZYIRP-UHFFFAOYSA-N 414.849 4.525 5 20 HJBD Cc1cccn2cc(CNC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])nc12 442731721 JXIYIXZDTLHLFF-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD CCc1nc2ccccc2c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1C 443972156 MGJTZGPHFKBZBS-UHFFFAOYSA-N 419.481 4.697 5 20 HJBD CC(C)c1ccc(N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 446993682 KSYQIAVUJBFRRC-UHFFFAOYSA-N 402.394 4.562 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2Nc2ccc(-c3ncc4n3CCCC4)cc2)n1 447595792 OQWQEYNWZOUTBQ-WOJBJXKFSA-N 406.490 4.587 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467216328 XJQWGGMEJNJGGU-LLVKDONJSA-N 404.879 4.761 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 470031816 JCCKSPZZAYKXJA-MAUKXSAKSA-N 421.400 4.546 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 471997633 FYHJAUMHKKGMCX-UHFFFAOYSA-N 420.469 4.801 5 20 HJBD Cc1nc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)oc1-c1ccc(Cl)cc1 473678918 SBVFRNOWIFEYID-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD O=C(CN1CCCC[C@@H]1c1nc2ccccc2o1)Nc1ccc([N+](=O)[O-])cc1Cl 474218923 PAJGAMQXSKLSJU-QGZVFWFLSA-N 414.849 4.555 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3cccnc3)ccc2C)c([N+](=O)[O-])cc1OC 477526031 NZWNVXJFQCAHNH-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1c(F)cccc1Cl 478379186 IZCYMAQFPGTXBN-CYBMUJFWSA-N 421.856 4.701 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCOc2c(F)cccc21 480105083 RXJXPOBTRZQUJY-QGZVFWFLSA-N 404.463 4.878 5 20 HJBD CCc1c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(C)c1 482702493 DQCPNUGQZFRJEP-HNNXBMFYSA-N 410.499 4.771 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccccc2F)C1)c1cccc2c(=O)c3ccccc3[nH]c12 482869283 MMHDTOVLPFQKPV-HZPDHXFCSA-N 400.453 4.887 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(OC(C)C)c2)cc1SC 483036676 AKLHFRAYZITPAH-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD CCCO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CC1 488208261 YHNXGALGNMPWFR-HXUWFJFHSA-N 411.502 4.628 5 20 HJBD C[C@@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488881012 VRQDUEAXRYCJTO-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccccc3)c2C(C)C)cc1OC 490336981 MUYTZONEWFIPMK-UHFFFAOYSA-N 424.457 4.564 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 503856831 MGWFULHWLQLDMS-NRFANRHFSA-N 409.873 4.676 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1cccc([N+](=O)[O-])c1 508147143 HPYBNAPKGBWNFI-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CCOc1cc(C(=O)N(C)C)ccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])o1 508475148 SPWQEBOFNNJGSQ-UHFFFAOYSA-N 409.442 4.567 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)co2)cc1 509683508 IZFVVAQMHOUNFV-UHFFFAOYSA-N 422.485 4.721 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccccc1)Cc1ccc(F)cc1 510371446 AXAPQUXXKBDZKB-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)ccc1[N+](=O)[O-] 511925435 NRTSWMVOGNROEW-GOSISDBHSA-N 402.859 4.838 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 512216551 BBTBTIVIYRGZSN-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512979520 OYSWVWLIGRRYJT-UHFFFAOYSA-N 413.861 4.780 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2cccc(NC(C)=O)c2)cc1OC 513645842 QJOWIXSTHOTYBU-AWEZNQCLSA-N 401.463 4.599 5 20 HJBD Cc1c(NC(=O)NCc2ccccc2-c2ccc(CN(C)C)cc2)cccc1[N+](=O)[O-] 514506096 BBGXGGVTYCWBDE-UHFFFAOYSA-N 418.497 4.954 5 20 HJBD Cc1cc(Br)cc(Cl)c1NC(=O)CCNc1ccccc1[N+](=O)[O-] 514566395 SAXHJFVMISGSTH-UHFFFAOYSA-N 412.671 4.760 5 20 HJBD C[C@H](Oc1ccc(F)cc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 522166728 KQMHOQUROUPDGX-JTQLQIEISA-N 421.837 4.917 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(c2ccc(F)cc2Cl)C(C)C)cc1OC 522816542 LDZREUZXVOUADQ-UHFFFAOYSA-N 410.829 4.850 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccc2c(c1)CCO2)C1CCCC1 524122747 NRCQKPJSPZFPFH-UHFFFAOYSA-N 406.438 4.861 5 20 HJBD C[C@@H](N[C@H](C)c1c(F)cccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 530966426 MIFXUQAZYPEKNW-NXEZZACHSA-N 400.237 4.719 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cs2)cc1OC 531258348 DIFYAYPEQHAOQV-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc(-c2ccccc2)cs1 533942473 BJSAOGSXDRYFGB-ZDUSSCGKSA-N 406.467 4.620 5 20 HJBD C[C@H]1C[C@@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCN1Cc1ccccc1 538425615 PEUXBRRLZREMHG-PXNSSMCTSA-N 422.554 4.861 5 20 HJBD C[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(F)c(F)c1 539350620 MKKXWSOYWIVEAL-NSHDSACASA-N 403.410 4.763 5 20 HJBD Cc1ccc([C@H](C)NCc2cc(Cl)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 540203589 MSVLRSPJIOSJIL-HNAYVOBHSA-N 404.894 4.965 5 20 HJBD COc1ccc2oc([C@@H](C)NCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])c(C)c2c1 541655909 GMYOVCJXZBDZKK-GFCCVEGCSA-N 417.849 4.601 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542543838 LEQXARCGGUFTBG-KRWDZBQOSA-N 407.445 4.770 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](c2ccc(F)cc2)C1 542559577 DQNIKHGZFADJCG-LPHOPBHVSA-N 418.490 4.885 5 20 HJBD CCOc1cc(NC(=O)c2ccc(SC3CC3)cc2)c([N+](=O)[O-])cc1OCC 543970289 OUEDVAVIHASTIY-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD C[C@@H](C(=O)Nc1c(F)cc(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 544397271 BMEFBKAEFTVWPJ-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)CCc1ncc(-c2ccccc2Cl)o1 552264366 GUTXAESMUCFFNZ-UHFFFAOYSA-N 413.861 4.537 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@@H]1c1ccccc1 554209707 ODSCPPZKUMFQOZ-LAUBAEHRSA-N 414.527 4.995 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)c1[N+](=O)[O-] 554669059 YOVKPLLMPLEECC-CQSZACIVSA-N 409.467 4.995 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 556203455 OOALULZMNKDBQP-NDEPHWFRSA-N 402.516 4.558 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3C[C@H]4CCC[C@@H](C4)C3)cc2[N+](=O)[O-])n1 557516438 UPTPBWKNOZHKPX-KBPBESRZSA-N 403.529 4.773 5 20 HJBD C[C@H]1CN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c2ccccc21 558289113 BPVJWBDZXNOBGK-NSHDSACASA-N 417.252 4.851 5 20 HJBD COCC[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 560129353 UYHRYOFBONTGDL-QGZVFWFLSA-N 411.285 4.647 5 20 HJBD COc1cc(C(=O)N[C@@H]2CSC[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 560761051 VJFRGEZHIHMWHN-SMDDNHRTSA-N 422.890 4.530 5 20 HJBD CC(=O)c1cc(N2CCC(Nc3cccc(Br)c3)CC2)ccc1[N+](=O)[O-] 563715416 NDRVNABYKRBWQR-UHFFFAOYSA-N 418.291 4.641 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(F)c1)C[C@H]1CCCO1 565626262 NYXNSCNIOFHEDF-LJQANCHMSA-N 401.438 4.509 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@H](c1cncc(Br)c1)C1CC1)CCC2 570668841 JXQZOIOISNAEPV-OALUTQOASA-N 402.292 4.871 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 575240752 BQJHKTIOVMBCNY-CQSZACIVSA-N 411.845 4.964 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc([N+](=O)[O-])c1 601044888 SHBZTYJJHNPSFC-UHFFFAOYSA-N 416.739 4.696 5 20 HJBD O=C(NC[C@@H](c1cccc(Cl)c1)N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 603739642 ISMRDHJSVXGART-SFHVURJKSA-N 413.861 4.561 5 20 HJBD COc1ccc(CC(=O)Nc2nc3ccccc3n2C2CCCCC2)cc1[N+](=O)[O-] 609011431 YFHBQLFEDAIIKX-UHFFFAOYSA-N 408.458 4.640 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 609511728 HUEFFVQUWMURGL-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 609865844 KHDZJKXMWNQSQE-BBATYDOGSA-N 404.875 4.969 5 20 HJBD O=[N+]([O-])c1ccc(OCc2coc(-c3ccc(F)c(F)c3)n2)c(Br)c1 609961687 BEQPEXSBJHBONT-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD COc1ccc([C@@H](NC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2Cl)cc1 610055143 HAJOKMYHDZLTOY-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD Cc1nc2ccccc2c(C)c1CC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610210174 XQNDGOGIAJPUPZ-JOCHJYFZSA-N 407.495 4.577 5 20 HJBD O=C(NC[C@@H](CCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212157 NCEUWPGIFQJMGJ-QGZVFWFLSA-N 414.527 4.526 5 20 HJBD C[C@H](NCc1ccc([S@](C)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 611336682 YCLUAUDMDLFTHE-PWFNWSNSSA-N 400.525 4.912 5 20 HJBD CCCCN([C@@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc([N+](=O)[O-])c2cccnc12 613340618 GYFAIACVGNTCDF-HNNXBMFYSA-N 417.487 4.596 5 20 HJBD CSc1ccc2cc(Cn3cnc(-c4cccc([N+](=O)[O-])c4)n3)c(Cl)nc2c1 727977774 VXSDLJZKLBTKDB-UHFFFAOYSA-N 411.874 4.825 5 20 HJBD CC[C@@H](CC(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 729015700 CIJXWERYICXIMB-ZDUSSCGKSA-N 424.375 4.679 5 20 HJBD Cc1cc(C)n(-c2ccc(Cl)c(C(=O)Oc3cc([N+](=O)[O-])c(Cl)cc3C)n2)n1 735791835 YUJLXCZYEDTERN-UHFFFAOYSA-N 421.240 4.627 5 20 HJBD C[C@H]1CCC[C@H](OCc2cccc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)c2)C1 745089695 CZODKBSLTLFGBT-KKSFZXQISA-N 422.485 4.679 5 20 HJBD CC(=O)CCc1ccc(OCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 745312694 CRUVVASXINPBDJ-UHFFFAOYSA-N 419.433 4.768 5 20 HJBD C[C@H](OC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1)c1ccccc1-c1ccccc1 748034417 BBJOHLDLERVKKX-INIZCTEOSA-N 414.421 4.761 5 20 HJBD O=C(CC[C@@H]1CCOC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 751218257 CBHFLSJUMBAVAG-OAHLLOKOSA-N 404.850 4.582 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 754747850 ZQTCXTACJMDDJN-UHFFFAOYSA-N 412.417 4.639 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 755326932 OQNJYDOMPACHFT-UHFFFAOYSA-N 401.444 4.570 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(Cl)c1 763395464 WOIHRMZFYAJLIU-UONOGXRCSA-N 404.850 4.539 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nc(Cc2ccccc2)no1 763810404 LVVSEOSTDNQTCQ-ZDUSSCGKSA-N 413.455 4.599 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)ccc1Br 776251878 GQOSZMLREUMMRP-MAUKXSAKSA-N 405.292 4.615 5 20 HJBD Cc1ccc(N(C)[C@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 784374971 PAKLAZXVDLYMAE-UTKZUKDTSA-N 421.501 4.615 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])[C@@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 785883497 BMVISMMXPXTEEQ-TVFCKZIOSA-N 407.344 4.523 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1NC(=O)OC(C)(C)C 788283575 YPDBGMSZYULWSQ-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(OCc2cccnc2)c1 790544962 ZQXAFHKNVKPNCC-UHFFFAOYSA-N 415.808 4.543 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 790570254 KZJORJSBFDLAPV-LRDDRELGSA-N 403.841 4.557 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790828625 GZTZKOGSWYJDTC-UHFFFAOYSA-N 423.828 4.916 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cc(-c2ccccn2)ccc1Cl 792064828 WYKDMCJAUNCCNV-UHFFFAOYSA-N 413.773 4.575 5 20 HJBD O=C(COC(=O)c1cccc(C2CCC2)c1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 798738343 LUESVCRFYSZMIN-UHFFFAOYSA-N 422.359 4.677 5 20 HJBD COc1cc(OC)c(OC)cc1CN(Cc1ccc([N+](=O)[O-])c(F)c1)C(C)(C)C 801521821 TZLDDIQBSNVVNE-UHFFFAOYSA-N 406.454 4.560 5 20 HJBD CC(C)(CCc1noc(CCSc2ccnc3cc(Cl)ccc23)n1)[N+](=O)[O-] 812815084 XVGIMPCGLNKEAC-UHFFFAOYSA-N 406.895 4.594 5 20 HJBD O=C(Nc1ccc(-c2nnc3n2CCCCCC3)cc1)Nc1ccc([N+](=O)[O-])cc1 813247815 JTWNUHBGSTXJNF-UHFFFAOYSA-N 406.446 4.614 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1CC(F)(F)F 813375955 AXUIWBICGDAHDK-SFHVURJKSA-N 417.343 4.984 5 20 HJBD CCCOc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)c([N+](=O)[O-])cc1OCCC 921279372 PPHJNUBNAZFZFK-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CCc3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 1337286773 NWPZIVVCPNXZED-UHFFFAOYSA-N 420.513 4.550 5 20 HJBD CCCOc1ccc(-c2nnc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)cc1OC 1341471812 QRNZRAZNGQSXIC-UHFFFAOYSA-N 424.457 4.709 5 20 HJBD CCn1c(SCC(=O)Nc2ccc(C)cc2)nc2cc3ccccc3cc2c1=O 7577776 SRLOTDSBWJDSNW-UHFFFAOYSA-N 403.507 4.609 5 20 HJBD CCCCNc1ccccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 8487748 PYECNBCAOUUHMV-AWEZNQCLSA-N 410.430 4.775 5 20 HJBD O=C(CN1CCC(c2c[nH]c3ccccc23)CC1)Nc1cc(Cl)ccc1[N+](=O)[O-] 10140047 NEQKEKJQFQFKLO-UHFFFAOYSA-N 412.877 4.548 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccccc1OC(F)(F)F 31075593 ZQBURCOUAVDMHU-GFCCVEGCSA-N 414.405 4.633 5 20 HJBD CCCOc1ccc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1OCCC 31274289 FWHXBWMJQSJUAN-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)n1 31991838 QJWPPSJUDIUFMS-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50296266 MGMOZESUVBMSDA-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3cc(SC)ccc3[N+](=O)[O-])oc12 53288988 UOEWTPHXRCUNBL-GFCCVEGCSA-N 400.456 4.953 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1NC(=O)c1ccco1 57830482 QXSBAEITXDIWMQ-AWEZNQCLSA-N 408.414 4.631 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(NC(=O)c2ccccc2)c1 58821201 BACBQFILFCFXJV-CQSZACIVSA-N 423.856 4.992 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccccc1CN1CCc2ccccc21 59338195 BNQWFCQOGYDLLO-UHFFFAOYSA-N 416.481 4.598 5 20 HJBD CCCN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc2ccccc12 63956231 TXRFZQPGDKPAJO-UHFFFAOYSA-N 400.438 4.991 5 20 HJBD CCN(CC)[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccsc1 236902215 MGSVQBICXJCKJD-LJQANCHMSA-N 416.572 4.953 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccccc2NS(=O)(=O)c2ccccc2)c(Cl)c1 301145681 APGORPIGXCIIIL-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@@H]3c3nc4ccccc4s3)o2)cc1 301507458 MOTCNQZCHJZOOY-MRXNPFEDSA-N 407.455 4.592 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Br)c1cc(F)cc([N+](=O)[O-])c1 326468847 SFYHOHMDBGFSBH-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1cccc(C(=O)Nc2ccc3nc(-c4cccc(F)c4)[nH]c3c2)c1[N+](=O)[O-] 435549266 UPKAWEDSACQYDD-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD COc1ccc2cc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)ccc2n1 436360886 BOIDXOMZKRBTCU-UHFFFAOYSA-N 413.408 4.630 5 20 HJBD Cc1ccccc1NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 437136585 WAVHVFIJKXCEAT-UHFFFAOYSA-N 413.480 4.693 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1OC 438897714 VVCNZKBVRMIRQV-INIZCTEOSA-N 400.475 4.511 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 442783012 MBKVDYJXYCYYIL-UHFFFAOYSA-N 420.391 4.775 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OCC 443966809 ZHUJIFWGQUPJMH-OAHLLOKOSA-N 401.463 4.892 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@H]1COc2ccccc21 447685633 AJKZJVPQHBOCSD-GXSJLCMTSA-N 403.307 4.621 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)Cc1ccccc1[N+](=O)[O-] 464220255 FXLGUKWQCBRCFG-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CCOc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c2ncccc12 464326920 YBWCOIKVQXIYHW-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD C[C@H]1CCN(CCOc2ccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2)C1 465070716 YIARHJDOWPMLFE-AWEZNQCLSA-N 418.881 4.613 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 467826667 YHORYOVVGGIPQD-UHFFFAOYSA-N 413.865 4.573 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 471440666 HKGXTBSHPBWSAF-UHFFFAOYSA-N 406.438 4.890 5 20 HJBD O=C(c1cnc(NCCc2ccc(Cl)cc2[N+](=O)[O-])c(Cl)c1)N1CCCCC1 477113969 YNLGLKMPHJEWIF-UHFFFAOYSA-N 423.300 4.577 5 20 HJBD O=C(N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc(Br)cc1[N+](=O)[O-] 479370761 DRRLTNILAQZXDJ-MRXNPFEDSA-N 419.250 4.862 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 479657214 HXXJGNQHNBDXLQ-UHFFFAOYSA-N 422.466 4.612 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccco2)n1Cc1ccccc1 485355990 SAWZUKGUXDLFBO-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486020908 YRLNPTNFZKRXOZ-RBUKOAKNSA-N 415.287 4.652 5 20 HJBD O=C(O)COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c2ccccc12 486039167 RRDXPQOYCDASFH-UHFFFAOYSA-N 422.418 4.678 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 486538504 ZJDYDKRMWDAZGF-LBPRGKRZSA-N 419.306 4.664 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1 488046411 USEXSVIGXUBYIM-KRWDZBQOSA-N 417.465 4.955 5 20 HJBD O=C(NCc1cccc(COc2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 490792003 IWPNVNKNMGOAMD-UHFFFAOYSA-N 401.422 4.585 5 20 HJBD O=C(Nc1ccn(CC(F)(F)F)n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 494139113 XEHHZBPLRNSKMF-UHFFFAOYSA-N 422.388 4.757 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(OC(F)F)cc1 497538386 XAZXWVYQCFCHQR-LLVKDONJSA-N 419.409 4.811 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCc2c(ccc(Cl)c2Cl)C1 498294423 MBHHKBFCAVHZCN-UHFFFAOYSA-N 402.237 4.648 5 20 HJBD C[C@H](N[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 500845171 VSNMMWCRBXTXBS-IHRRRGAJSA-N 409.408 4.722 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 500906803 BRNCAIUHOPOODE-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H](C)[C@@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 505990726 IMXZCCKWNQIKMR-WSRJKRBPSA-N 408.502 4.717 5 20 HJBD C[C@H](NC(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 506651353 IGAIDGHVYMMXSL-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD COc1ccc2cc(CNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)ccc2c1 509261435 BUHWGDABYWFJJS-UHFFFAOYSA-N 407.470 4.877 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2)cc1[N+](=O)[O-] 515694059 DCSDGMAHTIQRFF-AWEZNQCLSA-N 413.499 4.584 5 20 HJBD COc1ccc(CNC2(c3ccccc3)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 518302842 NWDIQCAYDZSZRL-UHFFFAOYSA-N 404.466 4.961 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1 519122290 URQPCFDBFNTCKI-IYBDPMFKSA-N 424.501 4.678 5 20 HJBD CC[C@H](CC(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1)C(C)C 521524848 ZOIKWVZJHDKMHW-OAHLLOKOSA-N 405.520 4.770 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)NCc1ccccc1[N+](=O)[O-] 524441149 VEKJHVOTMPJTET-UHFFFAOYSA-N 409.417 4.943 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(C(F)(F)F)cc2)c(Br)c1 534633377 VKRXRXIJKCWFMK-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD CC(C)CN(C(=O)c1ccncc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538255456 VTWOYWRLKBESCW-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)CCC2 538749872 KQXSMHYBCATLHE-SFHVURJKSA-N 411.531 4.524 5 20 HJBD Cc1cc2ccccc2n1CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353432 JKIACXRYUAZFRG-UHFFFAOYSA-N 406.467 4.644 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 539476330 LTKKOVYAQQZRJI-IBGZPJMESA-N 415.877 4.836 5 20 HJBD C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 541263094 VOKRYSWZSOSKKU-LBPRGKRZSA-N 414.405 4.505 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCC[C@H](C(F)(F)F)C1 542232604 UNKLFIRGQAJOPD-QWRGUYRKSA-N 410.845 4.825 5 20 HJBD CCOc1cccc2cc([C@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3C)oc12 543516450 IDCDBXHBXZKLDK-HNNXBMFYSA-N 411.458 4.728 5 20 HJBD CCCN(CCC)C(=O)c1cccc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)c1 545780293 CBEHQDOXVQMFKD-UHFFFAOYSA-N 422.485 4.806 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546494855 XKHAMPGRYNNXFD-UHFFFAOYSA-N 415.409 4.604 5 20 HJBD COc1ccccc1OCc1ccc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)o1 547196819 SVORQIXVKPLNFL-UHFFFAOYSA-N 421.409 4.725 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4cccc(-c5cscn5)c4)no3)c2c1 547266929 FXSMDBQMRMUKOG-UHFFFAOYSA-N 417.406 4.689 5 20 HJBD COc1cccc(C[C@@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 547705713 IIARYOWZWJNPEW-IBGZPJMESA-N 412.489 4.537 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(NCc2nnc3ccc(C(F)(F)F)cn23)c(Cl)c1 548445042 KEECABWCPFIQHI-UHFFFAOYSA-N 406.151 4.575 5 20 HJBD CC(C)(C)c1ccc(NC(=O)C(=O)Nc2ccc(CC(F)(F)F)cc2)cc1[N+](=O)[O-] 556551585 NTODZGCJFYMZDI-UHFFFAOYSA-N 423.391 4.574 5 20 HJBD Cn1ccnc1[C@@H](NCC1(Cc2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1 556890830 XJYPNPDEDLXEDK-QFIPXVFZSA-N 404.514 4.810 5 20 HJBD C[C@@H]1CN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c2ccccc21 558289112 BPVJWBDZXNOBGK-LLVKDONJSA-N 417.252 4.851 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H](c1ccccc1)c1ccc(F)cc1 558866840 RQTFZCLJHIYGQD-JOCHJYFZSA-N 407.445 4.707 5 20 HJBD CC[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 574592930 MQLNYXGZMUAIJP-MRXNPFEDSA-N 407.417 4.531 5 20 HJBD Cc1c(Cc2ccc3c(c2)OCO3)sc2nc(-c3cccc([N+](=O)[O-])c3)nc(O)c12 603919120 STAMDBNTPCWWEF-UHFFFAOYSA-N 421.434 4.600 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCCc1nc(C2CCCCC2)cs1 609493563 MCCFBTLMSPIJAO-UHFFFAOYSA-N 405.545 4.550 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 609918581 CSEDIBWXBNGJHH-VQIMIIECSA-N 409.511 4.865 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 610055186 IZKLLKWOYOTXEL-INIZCTEOSA-N 411.380 4.544 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccc(C(F)(F)C(F)(F)F)cc1 611161109 CDPCXGJTSHWTDT-UHFFFAOYSA-N 403.307 4.613 5 20 HJBD CC[C@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 729760530 IQRMNGAVSZMHRE-VXGBXAGGSA-N 404.385 4.556 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])s1 730202713 WOTWUHGEOYNAIJ-LLVKDONJSA-N 404.398 4.519 5 20 HJBD Cc1sc2ncnc(SCC(=O)OCc3cc([N+](=O)[O-])ccc3Cl)c2c1C 734782620 XPXJELVWDOZAJT-UHFFFAOYSA-N 423.903 4.705 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1 740391002 JGKGXJHJIWBHAP-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)ccc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] 741914559 IYHJAHOVXMEROQ-UHFFFAOYSA-N 404.422 4.999 5 20 HJBD Cc1cc([C@](C)(O)CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c(C)o1 742372518 OTNXDHRBLZGMDI-JOCHJYFZSA-N 414.433 4.733 5 20 HJBD COc1ccc(CN[C@@H]2CC[C@@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 742383728 RZAZNSZBEAMIOP-IEBWSBKVSA-N 402.516 4.556 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)OCc1ccc([N+](=O)[O-])cc1 744046648 HDSZSJHGCPHVEV-UHFFFAOYSA-N 421.272 4.643 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)c1ccc2c(c1)CCC2 747957779 AMSPQACGQQOXQA-ZDUSSCGKSA-N 422.462 4.635 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@@H](O)c1cccc(F)c1 749120845 XKQGKVONMVXNSR-FKIZINRSSA-N 404.825 4.859 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2NC(=O)c2cccs2)cc1[N+](=O)[O-] 752746894 QNPXVFQRVRXXHR-CQSZACIVSA-N 409.467 4.708 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(CSCc2ccc(Br)cc2F)o1 753849920 FBPVWDMZHGIGAA-UHFFFAOYSA-N 424.251 4.980 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756992487 COCPINLOBGVLDV-UHFFFAOYSA-N 415.902 4.847 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2Br)c2ccc(F)cc2O1 758704817 NHQMXRSFEXDBPA-ZDUSSCGKSA-N 423.238 4.529 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(F)c([N+](=O)[O-])cc1F 763480287 LAMTXAFKGHFZFM-UHFFFAOYSA-N 415.308 4.826 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764225469 PIRHVZBKFXDEQS-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD CCn1c(SCc2cc(Cl)nc(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 768410786 BZXAYMBLVOBGMD-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])co2)cc1 773156862 SCUPOCDFVGEKGD-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD Cc1cc(COC(=O)c2ccc(C(=O)N(C)c3ccccc3)cc2)ccc1[N+](=O)[O-] 781171635 MREHANVJQAKXNS-UHFFFAOYSA-N 404.422 4.537 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Oc1cccc(C(=O)Nc2ccccc2)c1 783942612 NOROEXHZFFAXPG-UHFFFAOYSA-N 408.435 4.545 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1cccc(Cl)c1[N+](=O)[O-] 786021634 ITFLRZZWCYCPQF-GFCCVEGCSA-N 413.680 4.588 5 20 HJBD CN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2cc(Cl)ccc2s1 791011154 NEXYHWGMBISHBV-UHFFFAOYSA-N 414.245 4.856 5 20 HJBD O=C(OCc1cnc2ccccc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 798655358 YKMQCQYMTHHWCR-UHFFFAOYSA-N 416.383 4.865 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(OCC(F)(F)F)c(F)c1 800568774 CEXDTTNBEILNNO-UHFFFAOYSA-N 421.734 4.650 5 20 HJBD COc1cc(Cc2noc([C@H](C)c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 809435464 XUJWNFZVQVGHQT-LLVKDONJSA-N 407.348 4.748 5 20 HJBD Cc1onc(-c2ccncc2)c1C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811086284 REABPUJBIKRAEF-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CCC(CC)(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(OC)c(OC)c1 813245085 GDEMGYMPYADZTK-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1OC[C@@H]1CCCCO1 864027214 WZNFJKYSOKBRIU-AWEZNQCLSA-N 421.291 4.917 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 914519667 AFXRRGSSSVKQHU-UHFFFAOYSA-N 413.361 4.699 5 20 HJBD O=C(Cc1ccc(-c2ccccc2)cc1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 918849717 ZMAKENBKVFIEDU-UHFFFAOYSA-N 415.405 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1sc2nc(C)cc(C)c2c1-n1cccc1 920087928 JGZWMXRFMHFUFR-UHFFFAOYSA-N 422.466 4.873 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1coc(-c2cccc(F)c2)n1 920778622 OEHSKWMEKYOMQQ-LLVKDONJSA-N 420.780 4.553 5 20 HJBD Cc1c(Br)cc(-c2nnc([C@@H](C)Oc3ccccc3F)o2)cc1[N+](=O)[O-] 1326962237 NXDNKUDGMAQOSG-SNVBAGLBSA-N 422.210 4.995 5 20 HJBD C[C@H](OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(=O)NC(C)(C)C 7837032 XKNJRSBBJOHCLN-LBPRGKRZSA-N 420.849 4.501 5 20 HJBD CCCN(Cc1ccc(C)cc1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 20020326 PQKQBYIQCXRZQT-UHFFFAOYSA-N 420.513 4.817 5 20 HJBD C[C@@H](OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 27117716 APHAONUMKGHMJZ-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 31330059 VJEAYOQXHBRKRP-UHFFFAOYSA-N 410.373 4.980 5 20 HJBD O=C(NCc1cccc(OCc2ccccn2)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 45959789 JEGJZKDUQXOWFT-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccnc(Oc3ccccc3)c2)c([N+](=O)[O-])c1 52238227 VZZASTFMZXJCTQ-UHFFFAOYSA-N 406.442 4.532 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OCC 53270198 AASJZOHGTXICFN-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN1Cc2ccccc2-c2ccccc2C1 60361407 VYWBFDVNVRPRLS-UHFFFAOYSA-N 417.465 4.615 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1OC 64959744 WJGZODMOICQMCD-CQSZACIVSA-N 418.471 4.512 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(-c3ccoc3)nn2-c2ccccc2)cc1[N+](=O)[O-] 65065327 QUFNMZSHFPSPOB-UHFFFAOYSA-N 418.409 4.692 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCC[C@@H]2[C@@H](CC)c2ccccc2)cc([N+](=O)[O-])c1 116159941 YVCSZXZRSFTAJQ-LEWJYISDSA-N 410.470 4.570 5 20 HJBD Cc1cccc2nc(CNCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cn12 237841352 ZPBYTHOLXQAMGB-UHFFFAOYSA-N 406.417 4.772 5 20 HJBD Cc1noc(C)c1C(C)(C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 430403822 HJBQTKRYZCMITB-UHFFFAOYSA-N 400.460 4.548 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccccc1Br 431184967 WWRDLRVDYBFXIJ-XNJJOIOASA-N 421.316 4.510 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@H]3c3ccc(F)cc3F)n2)cc1 432006887 MQLKUCULEHTPBB-SFHVURJKSA-N 400.385 4.650 5 20 HJBD CCOc1cc(NC(=O)c2ccc(OC)c(C3CC3)c2)c([N+](=O)[O-])cc1OCC 435666884 DCELCRHFYKJRRC-UHFFFAOYSA-N 400.431 4.531 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2ccc(O)c([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 436975254 ISKWFSLGHNYXGQ-JTQLQIEISA-N 417.874 4.877 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC1(c2cccc(OC(F)(F)F)c2)CCOCC1 446830578 UJCMYXMBYMVOHL-GQCTYLIASA-N 422.403 4.802 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)C)nn2-c2ccccc2)cc1OC 464668382 LREYHOFSHKXWAU-UHFFFAOYSA-N 424.457 4.564 5 20 HJBD C[C@H](CCOc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 465142424 YKXKGXKUXXDYKD-OAHLLOKOSA-N 410.392 4.591 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])cc2)cc1 466394660 CWEUOWWOYFDDQG-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSC[C@@H]1CCC2(CCCCC2)O1 466736675 UECRVFJDDCSZLN-INIZCTEOSA-N 406.504 4.508 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 473402693 AHYNRWPJCNXMCE-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@@H]3c3nc4ccccc4o3)o2)cc1 474227347 MRFRLSOGGCKDHO-QGZVFWFLSA-N 405.414 4.513 5 20 HJBD CCCc1c(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cnn1-c1cc(C)ccn1 475580487 YUNLMHXDJODWKH-UHFFFAOYSA-N 408.462 4.697 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H]2CCCc3cc(OC(F)F)ccc32)c([N+](=O)[O-])c1 477586541 KMLPQOYNIAEJHH-KRWDZBQOSA-N 419.428 4.824 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1c(F)cccc1F)C1CC1 481156005 QNUCHVWPZJNCSE-UHFFFAOYSA-N 418.443 4.624 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nnc(-c3ccccc3)s2)nc1-c1ccc(F)cc1 485416766 PIFFYPUIBYBSIH-UHFFFAOYSA-N 407.430 4.927 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)oc2ccc(F)cc12 486130473 BGUMIQKFLOPZCL-UHFFFAOYSA-N 410.323 4.993 5 20 HJBD CSc1cccc(C(=O)N[C@H](Cc2ccc(C)cc2)c2ccccn2)c1[N+](=O)[O-] 486241478 FCYMQSMKORKLRC-LJQANCHMSA-N 407.495 4.734 5 20 HJBD CSc1cccc(C(=O)N[C@H](COc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 486241873 MPDVKCVNLYFOTH-LJQANCHMSA-N 408.479 4.867 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)o1 488820629 SQXSEZIJIJTHNZ-CXAGYDPISA-N 412.471 4.639 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(OC(F)F)cc1 489835414 IVTUTCKRWDXMRD-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD O=C(Nc1cc(Cl)ccc1Br)c1cc([N+](=O)[O-])ccc1OC(F)F 497361644 GBVOAQWNFWUQOO-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CN(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(C(F)(F)F)c1 497802258 WFGQCJZWAWTGRW-UHFFFAOYSA-N 419.306 4.533 5 20 HJBD CC(=O)NCc1ccc(Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 498909480 MEIJFLTWUKJRJO-UHFFFAOYSA-N 418.453 4.535 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 505402542 KHNVPXVCKFLPIF-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 506329400 QAXNZYJKXYZKBQ-UHFFFAOYSA-N 421.240 4.563 5 20 HJBD COc1ccc(-c2ccc(CN3CCC(OC4CCCC4)CC3)o2)c([N+](=O)[O-])c1 512628190 LGEFCBCLLGLVQO-UHFFFAOYSA-N 400.475 4.787 5 20 HJBD O=C(c1ccc(OC2CCCC2)nc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 513695210 ZNJSNMREKRYVJI-UHFFFAOYSA-N 423.391 4.516 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccc(Cl)cc2)C2CC2)cc1[N+](=O)[O-] 514900485 UBYKWIVXIDPSQX-UHFFFAOYSA-N 420.918 4.681 5 20 HJBD Cc1cc(CNC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c2[nH]c(C)c(C)c2c1 518923817 SDPCCJLCZGMNEH-UHFFFAOYSA-N 406.486 4.532 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1cccs1 520113330 WUZAQRLBRQQFPC-IBGZPJMESA-N 401.875 4.627 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 521725581 IBEIRBMBQVYGOD-UHFFFAOYSA-N 414.245 4.961 5 20 HJBD CCOc1cc(NC(=O)C2CC(c3cccc(F)c3)C2)c([N+](=O)[O-])cc1OCC 523364844 WCYXOZUARYQLNC-UHFFFAOYSA-N 402.422 4.664 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c1C 531156972 FFWIHTFLYPHWIE-UHFFFAOYSA-N 414.458 4.632 5 20 HJBD COCCOc1ccc(CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cn1 532447114 UVLDEPKUMLOVDF-HNNXBMFYSA-N 413.499 4.594 5 20 HJBD CC(C)OC1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 532622596 MWELRBJYVLQUEM-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 535457610 TWNCLRDQIOSNST-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 540169105 KCDSMVBMAOOKKI-SNVBAGLBSA-N 418.343 4.657 5 20 HJBD CC(C)C[C@H]1C[C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 540762125 LLPJNGLTSLGAPN-PMACEKPBSA-N 411.502 4.530 5 20 HJBD COc1ccc2oc([C@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)c(C)c2c1 544476874 KXRCTJQNMIIKCX-HNNXBMFYSA-N 411.458 4.646 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 545095357 GJMPTQSQZHVMAC-QLJPJBMISA-N 407.785 4.646 5 20 HJBD Cc1nc(-c2ccc(Br)cc2)sc1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 545474672 ATPZIJYAPOMOHQ-JTQLQIEISA-N 420.292 4.752 5 20 HJBD Cc1ccc(CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c(OCCC(C)C)c1 552248664 OHXYGGXCWUDMNU-QHCPKHFHSA-N 422.529 4.941 5 20 HJBD Cc1ccc(CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c(OC(C)(C)C)c1 552268349 FZHHFZQJMDFZRN-OAQYLSRUSA-N 408.502 4.693 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCc2cccc(COCc3ccccc3)c2)n1 556063575 IWFHYHFKJHRJBP-DHIUTWEWSA-N 420.513 4.782 5 20 HJBD O=C(Nc1nc2cccc(Cl)c2[nH]1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 557590969 QXJCXBVEWOTNNW-UHFFFAOYSA-N 424.794 4.742 5 20 HJBD CSc1cccc(C(=O)NCc2cccc(OCc3ccccc3)c2)c1[N+](=O)[O-] 557928025 OQVIDGALSSTBHL-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 566878782 TZVOMIKNGRCHRK-SJORKVTESA-N 417.387 4.557 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](C(=O)O)c1ccc(Cl)cc1Cl 571739819 PESXAEHRQJREHP-CYBMUJFWSA-N 418.620 4.502 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 572655477 DNQUFMBCLJNIFV-AWEZNQCLSA-N 403.866 4.743 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Oc2ccccc2Cl)CC1 577565387 ZKEOPMZMKQXCDC-UHFFFAOYSA-N 403.866 4.886 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)ccc1[N+](=O)[O-] 583831459 QEPKZXCKKBMVAU-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD COCCC[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(Cl)cc1 588226814 XYTMKRJILRXGTI-INIZCTEOSA-N 405.882 4.889 5 20 HJBD Cn1c(S[C@H]2CCCc3ccccc3C2=O)nc2cc3ccccc3cc2c1=O 597138433 YBKWZAOMKIBJHO-NRFANRHFSA-N 400.503 4.767 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c(F)c3F)cc2[N+](=O)[O-])C1 603470268 DAAIHCPRAXRBEM-RYUDHWBXSA-N 407.392 4.747 5 20 HJBD CCOc1cc(NC(=O)N[C@H](c2cccs2)C2CC2)c([N+](=O)[O-])cc1OCC 603651303 FVCHGHWQDOMVFY-SFHVURJKSA-N 405.476 4.727 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1)c1cc(F)c(Cl)cc1Cl 603743442 UMDJFRKJLRVURI-VHSXEESVSA-N 400.237 4.719 5 20 HJBD O=C(Nc1ccc(N2CCCC2)nc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603839881 JBTNMAYHOPLPKH-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD O=C(Nc1nc(-c2cccc3ccccc23)cs1)c1cc2c(cc1[N+](=O)[O-])OCO2 603941371 ICNRJIVMQNDRAC-UHFFFAOYSA-N 419.418 4.853 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2)cc1[N+](=O)[O-] 609011172 FOOZKOZBHPWGJM-UHFFFAOYSA-N 422.485 4.576 5 20 HJBD C[S@@](=O)Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1Cl 609655462 FLFLARUGHIFCTP-AREMUKBSSA-N 408.888 4.594 5 20 HJBD C[C@@](CO)(NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1cccc(C(F)(F)F)c1 609710980 QHKZMTRBJVXIFQ-FQEVSTJZSA-N 420.387 4.871 5 20 HJBD Cc1ccccc1OCc1nnc(Sc2ncccc2[N+](=O)[O-])n1-c1ccccc1 619684732 NQAAAYWZTZQJKU-UHFFFAOYSA-N 419.466 4.609 5 20 HJBD O=C(Nc1ccc(Sc2nncs2)c(Cl)c1)c1cc(F)ccc1[N+](=O)[O-] 728186830 YTCVLEWFBVZDPF-UHFFFAOYSA-N 410.839 4.642 5 20 HJBD COCC[S@@](=O)Cc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 735022932 SPLMNMLUWRZXMA-SSEXGKCCSA-N 418.518 4.535 5 20 HJBD CC[C@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C)cc1 738687442 CAIKHCBSIZKPSP-CQSZACIVSA-N 404.850 4.622 5 20 HJBD Cc1c(CC(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)cccc1[N+](=O)[O-] 748474388 NMJISCJNRCUXJB-KRWDZBQOSA-N 418.449 4.683 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1cccc(Cl)c1[N+](=O)[O-] 750063796 ZOWGWXLDCKIJKN-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536674 UARMPFKXBXTKOB-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD CC(C)N(Cc1cccs1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754992538 CBKLWPCNNLAHIN-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)(F)F)c1 775548865 QWFAEEAYTFFXDC-VXGBXAGGSA-N 422.363 4.955 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1nnc(-c2ccccc2)s1 790296397 PPNJBDYVXHGEDC-UHFFFAOYSA-N 410.224 4.594 5 20 HJBD COc1cc([C@H](C)NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1OC1CCCC1 792876054 KPBLAIWQXBVFRI-AWEZNQCLSA-N 415.446 4.684 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](O)c1ccc(C)cc1 804485320 PVYWUIMATKZARC-MGPUTAFESA-N 402.516 4.647 5 20 HJBD CCCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nnn1-c1cccc(Cl)c1 813243852 BGEIATXOQYIZFP-UHFFFAOYSA-N 420.256 4.687 5 20 HJBD COC(=O)c1c(F)ccc(Cl)c1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 821664383 PXXSASIPUKVLKY-UHFFFAOYSA-N 408.813 4.724 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc(Cl)ccc1[N+](=O)[O-] 914743819 KQKJVHQHOUJBIE-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)[C@H]1CCCN(C(=O)c2cccc3ccccc23)C1 916402152 BRIDHKFNGAHOBH-SFHVURJKSA-N 418.449 4.514 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCCOc1ccccc1[N+](=O)[O-] 918892975 FIDMVAOQTGXIOX-UHFFFAOYSA-N 418.858 4.536 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(Cl)s3)C2)c(Br)c1 1323377556 KPONVAONESZEPX-GFCCVEGCSA-N 403.685 4.650 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N3CCCCC[C@@H]3C[C@@H](O)c3ccco3)c2c1 1325490000 TXSQEWOBCHFPIK-CXAGYDPISA-N 416.865 4.657 5 20 HJBD COc1cc(/C=C/c2nc3cc([N+](=O)[O-])ccc3s2)cc(Br)c1O 6410451 SPFLHSIJUTVBQE-GORDUTHDSA-N 407.245 4.852 5 20 HJBD Cc1ccccc1-n1ccnc1S[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16678951 WUDDXWWZELMTOW-AWEZNQCLSA-N 407.455 4.992 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(-c2nc3ccccc3s2)cc1 45714214 QJPPTJWWFZGFJF-UHFFFAOYSA-N 405.435 4.889 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(F)cc1 64720050 GKBLGVVKNUHOCI-OAHLLOKOSA-N 408.433 4.969 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2cc3ccccc3o2)cc1[N+](=O)[O-])c1ccccn1 65059283 VZZZRFVWEGTQMA-HNNXBMFYSA-N 416.437 4.839 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCCc2cc(OC(F)(F)F)ccc21 71895073 KMYRCJUMYCVKCR-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(CO[C@@H]3CCC[C@@H](C)C3)c2)c1[N+](=O)[O-] 78775136 RNLSBDFFMOXBCO-RHSMWYFYSA-N 400.479 4.618 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(Oc3cccnc3)c(C)c2)cc([N+](=O)[O-])c1 108944265 AKTODSJYPDHYBR-UHFFFAOYSA-N 421.409 4.520 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])sc2C)cc1 145475602 FBRMRALSJJNRRO-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cnn(-c2ccccc2)c1C(F)(F)F 217163579 CZBUSJFMRFEILZ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(Br)c1 217388480 QLRUFFVZUQKLGD-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCc1ccc(OCc2nc(-c3cc(Br)cs3)no2)c([N+](=O)[O-])c1 303594195 IQZQFZAFKWGLFY-UHFFFAOYSA-N 410.249 4.610 5 20 HJBD COc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1OC 409653053 PPSCDIYRFAIKGV-UHFFFAOYSA-N 407.470 4.670 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1)c1cccs1 427571161 INOPVAIAYJABBJ-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD CCn1c(SCc2ncccc2C(F)(F)F)nnc1-c1ccc([N+](=O)[O-])cc1 428065508 FSPCTTCIZJJZDI-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 430625575 QKZMRGYWSXZKJU-CYBMUJFWSA-N 421.144 4.530 5 20 HJBD CC(C)(NC(=O)Nc1cccc(-c2noc(C3CC3)n2)c1)c1ccccc1[N+](=O)[O-] 433013072 WFTMABGQUFZLCQ-UHFFFAOYSA-N 407.430 4.579 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 434011061 HHXSSVZPEKYDDU-IAGOWNOFSA-N 423.307 4.501 5 20 HJBD CCOCc1cccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436354979 BSWTYPIKEJSMRX-UHFFFAOYSA-N 408.404 4.748 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc2ccccc2s1)c1ccc(O)c([N+](=O)[O-])c1 436962946 OXZGLGOVUNAZEB-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD Cc1nc(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)cs1 440758407 ZCUTTZQCCIQQNX-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(O)c2)nc1-c1ccc(Cl)cc1 447237680 PENGTKOMCAAIAB-SNVBAGLBSA-N 417.874 4.877 5 20 HJBD Cc1ccc(N[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)cc1NC(=O)CN1CCCCC1 447603539 YDLZAZOWAIBNKJ-JOCHJYFZSA-N 422.529 4.817 5 20 HJBD CC1(C)CCN(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CCS1 447640575 LJDXLHNHXWBLMH-UHFFFAOYSA-N 400.500 4.532 5 20 HJBD CCOc1ccc([C@@H]2CCCN2c2nnc(-c3cccc([N+](=O)[O-])c3)n2CC)cc1 460178776 PQFQQNXIVUUGRY-FQEVSTJZSA-N 407.474 4.613 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460352760 DDKFVRRFFQTTFF-QHCPKHFHSA-N 420.490 4.915 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC)cc1Br 462479386 GXVKIEQKQAKGQF-ZDUSSCGKSA-N 407.264 4.573 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2c(F)cccc2[N+](=O)[O-])c2cccs2)cc1 463576216 TXJNEXLOSCMJHS-FQEVSTJZSA-N 414.458 4.642 5 20 HJBD C[C@H]1CCCN1[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 464838260 NMIAJNYLJDCMPT-UGSOOPFHSA-N 418.291 4.521 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H](c1cccc(C(F)(F)F)c1)N(C)C 469668889 ZZIXSJUACSZWIW-KRWDZBQOSA-N 424.423 4.655 5 20 HJBD Cc1cc(NC(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)ccc1[N+](=O)[O-] 473777684 QZCUGZWQDDUFFS-SFHVURJKSA-N 407.430 4.712 5 20 HJBD COc1c(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cccc1[N+](=O)[O-] 476398673 PFIFVONKNNNCLU-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD CCC(=O)c1ccc(NCCCc2nnc(SC)n2C2CCCC2)c([N+](=O)[O-])c1 479928261 JCMSSSQGBQZEHX-UHFFFAOYSA-N 417.535 4.661 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 482042282 TVQGFLIHEIKHEE-KRWDZBQOSA-N 416.499 4.701 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 485914406 MILWDFDCOIOEHI-UHFFFAOYSA-N 421.240 4.563 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 498145721 HGBCMDVIPKEZES-UHFFFAOYSA-N 412.449 4.867 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C/c1ccc([N+](=O)[O-])c(O)c1 498300747 HKFBSPBZUGLLOQ-GDNBJRDFSA-N 417.446 4.683 5 20 HJBD CCN(c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 498951179 LCWZDUGADFFCAH-LBPRGKRZSA-N 416.421 4.605 5 20 HJBD CC(C)c1ccc(C(=O)N2CCOC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 500676897 HTIUCVURBIOVHN-SFHVURJKSA-N 411.483 4.542 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc(NCC)c([N+](=O)[O-])c2)cc1 502536540 XXNXLFVKJWZEGA-UHFFFAOYSA-N 412.490 4.541 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1cccc(F)c1)C1CC1 505167411 YZOJKDITTACMHT-UHFFFAOYSA-N 411.458 4.705 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc2ccccc2s1 505489006 PXAOEKSUJLKEIW-UHFFFAOYSA-N 401.513 4.979 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cccc4c3CN(c3ccccn3)C4)co2)cc1 507621080 MUAIFLUBACNHKV-UHFFFAOYSA-N 413.437 4.777 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc2noc(-c3ccc(F)cc3)c2c1 512998766 OLGGTKJVAOVDHJ-UHFFFAOYSA-N 419.412 4.857 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(Oc2cccnc2)cc1 514161372 ZOJYICVPDMMEOP-CQSZACIVSA-N 408.414 4.673 5 20 HJBD COc1cccc([C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)N(C)C)c1 517525081 RKYOLNPHAOWJKC-NRFANRHFSA-N 411.527 4.724 5 20 HJBD Cc1cc(Br)cc(C)c1CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 520181525 LYUSVDIVGWPJCV-CYBMUJFWSA-N 406.280 4.535 5 20 HJBD O=[N+]([O-])c1ccccc1CSCc1nc(-c2cc(Br)cs2)no1 520638465 DSTMXQFVMMWSIP-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCCc2cc(Cl)cc(F)c21 521004611 UYOZCHZCZLFGHZ-UHFFFAOYSA-N 417.868 4.583 5 20 HJBD Cc1cccc(COc2c(C)cc(CN(C)C(=O)c3cc([N+](=O)[O-])c[nH]3)cc2C)c1 522338197 WDCPFMFHWVSNHL-UHFFFAOYSA-N 407.470 4.699 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCc1nnc(-c2cc3ccccc3o2)o1 523182833 CWQDRZPUTDLJCW-UHFFFAOYSA-N 406.398 4.579 5 20 HJBD COCC(C)(C)NC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 524065545 RVSKUOLNSVFULM-UHFFFAOYSA-N 417.512 4.511 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 524201823 VSANESICWOZGBN-UHFFFAOYSA-N 421.501 4.751 5 20 HJBD O=C(Nc1cccc(CSC2CCCC2)c1)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 524865736 FDBPOTAUPCJLMX-UHFFFAOYSA-N 423.498 4.604 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C\c2cnc3ccc(Br)cn23)c2ccncc2)cc1 525339777 VBLRBTRNGIKNLW-YBFXNURJSA-N 421.254 4.989 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COc1ccc(Br)cc1Cl 530783725 HXYFLSNIVGHBDB-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 531093246 MRIQETRVAPNYGK-KPZWWZAWSA-N 410.396 4.624 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)CC(=O)Nc2ccccc2[N+](=O)[O-])cc1 532573571 GFDHRNCYUSYKCF-QGZVFWFLSA-N 419.481 4.902 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(C)C)cc1 534212208 CTHVSHBNYPJWMP-UHFFFAOYSA-N 402.472 4.626 5 20 HJBD COCCOC1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 536673728 IAVIYJMQOBGPMI-UHFFFAOYSA-N 420.893 4.668 5 20 HJBD O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])c2cccnc12 537455622 IJXZFRTVLGUGKG-UHFFFAOYSA-N 411.377 4.599 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)N[C@@H](C)c3ccccc3[N+](=O)[O-])ccn2)c1C 540392802 LXEAZNGFCLMYOS-KRWDZBQOSA-N 420.469 4.959 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cccc(Oc5ccncc5)c4)n3)c2c1 545785763 NWUTUPUDZSIOOW-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=C(c1cc(Cl)c(F)cc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 551195039 WQOLZINYKWEQOL-UHFFFAOYSA-N 413.232 4.724 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ncc(-c2ccccc2)o1 551353929 MVDNOWVOJPBUSL-NSHDSACASA-N 405.332 4.760 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2)cc1 552738925 YFNRVTCMKJLFGW-UHFFFAOYSA-N 418.449 4.904 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCC1(c2ccc(Br)s2)CCC1 557073395 SXBBZFTWBDRBBK-UHFFFAOYSA-N 423.332 4.590 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4ccc(C(F)(F)F)cn4)no3)cc12 579366766 RAHKJQSCQJQJNR-UHFFFAOYSA-N 422.366 4.736 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nc(-c2ccco2)nc2ccccc12 589573502 RTDIXWQOMVBZIM-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2ccccc2[N+](=O)[O-])n(-c2ccccc2)n1 603760993 ZQXXJWLOMJSSEX-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2SCC(F)(F)F)cc1[N+](=O)[O-] 604087487 SFJUPCBLHWJXPL-UHFFFAOYSA-N 415.393 4.580 5 20 HJBD C[S@](=O)Cc1cc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1Cl 609655701 MZXZDXUNVZIRDO-MHZLTWQESA-N 408.907 4.677 5 20 HJBD CC(C)(C)N1CCC(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 609773202 PPCDYYVNCUPWQN-UHFFFAOYSA-N 412.490 4.772 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NC1(c2ccc(Br)cc2)CCC1 609784693 PXZPBGWFTRLOJD-UHFFFAOYSA-N 423.694 4.749 5 20 HJBD C[C@@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1ccccc1[N+](=O)[O-] 610342038 JIJAXVJSNNWJIF-CQSZACIVSA-N 415.291 4.970 5 20 HJBD CO[C@@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccccc1Cl 610795690 FFUZUNIPEODHOM-IBGZPJMESA-N 405.882 4.556 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 613225624 BHRMFCTZBJGPGH-ZWKOTPCHSA-N 407.470 4.711 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@@H](c2ccccc2F)C1 727435454 RAOJMGPKTPOMHT-MRXNPFEDSA-N 404.747 4.984 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2scnc2C)cc1 727771466 RTLJLSIKLMSJSA-UHFFFAOYSA-N 400.412 4.518 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 727867557 ITKMSKCSTZBKRS-UHFFFAOYSA-N 411.414 4.618 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](O)c3ccccc3C(F)(F)F)cs2)c1 733325303 CLOMJXRAVQEXJS-QGZVFWFLSA-N 423.416 4.560 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1ccc(F)cc1)CC1CC1 734396260 JTDCMYXJBOVYGP-UHFFFAOYSA-N 407.239 4.549 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccccc1C(F)(F)F 743675501 VDCQANLDUJLDGA-GOSISDBHSA-N 407.392 4.703 5 20 HJBD Cc1ccc(CNCCSc2ncc(C(F)(F)F)cc2Cl)cc1[N+](=O)[O-] 743763962 ZAIBMDGRKUHJFO-UHFFFAOYSA-N 405.829 4.852 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Cl)c(Cl)c1 747026416 TYVODPGPCWERQX-JTQLQIEISA-N 422.224 4.799 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 747519201 ZMQRLJWAXSXDIW-COLVAYQJSA-N 423.252 4.576 5 20 HJBD Cc1ccsc1[C@@H]1C[C@H]1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 747746881 NJUFYJBKPARWAO-RTBURBONSA-N 422.462 4.926 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CC[C@@H](CSc2ccccc2)C1 756964884 HFUQGFBUMPGNOK-CQSZACIVSA-N 405.907 4.572 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O 758475977 IXYOEXLWOJQLPW-UHFFFAOYSA-N 411.823 4.615 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(C(C)C)cc1 762573502 BFTWXUGRJFNLHB-UHFFFAOYSA-N 416.499 4.650 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@@H](O)c1ccc(F)cc1 765189367 XPPDZMPEXALTTR-RNODOKPDSA-N 418.490 4.621 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1-c1ncco1 766052754 LWYFKMQQEYXUKL-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD C=CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1F 775539457 MHSGTAKCVUJZAK-UHFFFAOYSA-N 403.435 4.611 5 20 HJBD CNC(=O)COc1ccc(N[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 777679519 FOECEOQNGHXPBM-CQSZACIVSA-N 411.483 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C1CCC(NC(=O)CC2CCCC2)CC1 778791238 AGQNWWGXYVSAHE-IPJUCJBFSA-N 416.518 4.763 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)OCc1ccc([N+](=O)[O-])c(F)c1 783175078 JJDQOSGHWIUIHM-UHFFFAOYSA-N 412.851 4.858 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])nc2ccccc21 790744895 FCNCEDIUZVRVQF-LBPRGKRZSA-N 418.856 4.738 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCc1cccc([N+](=O)[O-])c1C 792343417 LWFRGZKELOIRQB-UHFFFAOYSA-N 414.462 4.880 5 20 HJBD COc1cc(N2CCC(OC(=O)c3ccc(C(C)(F)F)cc3)CC2)ccc1[N+](=O)[O-] 796909804 RTIAMFPXMLEILE-UHFFFAOYSA-N 420.412 4.541 5 20 HJBD O=C(OCc1ccc(Cl)nc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 800156728 VGKXZEPKBTWSBH-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 805719860 MZRYGLNHCYTVAF-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1C 812825240 JZZBZUBRNGYHED-UHFFFAOYSA-N 403.243 4.701 5 20 HJBD CCOC(=O)[C@@H]1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccccc21 813203059 XRDSKELXDAXWNY-CYBMUJFWSA-N 423.252 4.599 5 20 HJBD CC(=O)Cc1ccc(OC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 816212410 RDPSDWYHMWLJGD-MRXNPFEDSA-N 419.437 4.514 5 20 HJBD CC(C)(c1nc(-c2ccccc2Br)no1)c1ccc([N+](=O)[O-])cc1F 904964295 OCGJCBDXSAZUFK-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N(C)Cc1cccc(C(F)(F)F)c1 917144778 OGVIWPVQNUWJCY-LLVKDONJSA-N 415.799 4.726 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 918198565 MAIWWWCFVOHCPI-QFIPXVFZSA-N 416.433 4.918 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(Cl)c1 919212773 XECRLEHOGJFQGK-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD O=C(c1cccnc1SCc1ccc(F)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318865197 WXNHBSKQQXJWBG-UHFFFAOYSA-N 409.442 4.577 5 20 HJBD O=C(Nc1cccc(COCCc2ccccc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318883857 FNRKKEJCESGOCA-UHFFFAOYSA-N 417.465 4.902 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C\c1ccc(Cl)c([N+](=O)[O-])c1 16386182 SQXRTUYQDJLSAC-YRNVUSSQSA-N 421.762 4.921 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)ccc1[N+](=O)[O-] 22736566 UUHSSFBNOYDGQN-INIZCTEOSA-N 420.421 4.880 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CCCC1 28300544 ISBNTIMUUTZLCB-GOSISDBHSA-N 402.834 4.661 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc3ccccc3c3cccnc23)cc(OC)c1OC 44547039 VMCXCMIJKAFHRH-HNNXBMFYSA-N 416.477 4.905 5 20 HJBD CCc1ccc([C@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C(C)C)cc1 61087044 GHCJOEDBPZSAGA-HSZRJFAPSA-N 409.530 4.887 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 69200753 INAPXLDGHAAMFT-ZDUSSCGKSA-N 417.874 4.684 5 20 HJBD O=C(NCC1(c2ccccc2)CCCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 107697738 WWOKDSXNSPPRTI-UHFFFAOYSA-N 422.403 4.778 5 20 HJBD C[C@H](Sc1ccc(Cl)cn1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 219804493 ZWCYMYAEACNYGJ-JTQLQIEISA-N 420.903 4.886 5 20 HJBD COc1cc(C)c([C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 237285687 BDRTWJPVFIAUMB-AWEZNQCLSA-N 413.499 4.895 5 20 HJBD COc1ccc(CN[C@H]2c3cc(Br)ccc3SC[C@@H]2C)cc1[N+](=O)[O-] 237322035 DDJWEGFESQYFOY-BBATYDOGSA-N 423.332 4.939 5 20 HJBD O=C(Nc1ccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)c1ccncc1 301134969 YLNUMJBJEGHMRX-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD Cc1cc(N(C)CC(=O)Nc2c(Cl)cccc2Cl)c2cc([N+](=O)[O-])ccc2n1 302157180 IXXCGROUZKWZSQ-UHFFFAOYSA-N 419.268 4.833 5 20 HJBD Cc1cc(C(=O)NC2CCN(c3c(Cl)cc([N+](=O)[O-])cc3Cl)CC2)c(C)o1 302270112 GWVYDGFZHKBQLW-UHFFFAOYSA-N 412.273 4.510 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nc(-c2cccc(Cl)c2)no1 302647124 XNIIXGGVKWCRLO-NSHDSACASA-N 419.846 4.522 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 431455392 HUSFMWZVXOGGTQ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCC(=O)c1cccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 434328035 TZDJFAZZUNPGKK-UHFFFAOYSA-N 412.389 4.937 5 20 HJBD Cc1ccccc1OCCCN(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436076848 RMITXRXBQWLYAC-UHFFFAOYSA-N 400.478 4.531 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)CCC2 437087348 IVCFDCXZHDGNDG-CQSZACIVSA-N 413.421 4.782 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444300662 ACJYMKGRSQTKEJ-UHFFFAOYSA-N 411.486 4.981 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccc(COCc2ccccc2)c1 444915895 YROWXDMXZCSCKX-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD CC1CCN(C(=O)c2ccccc2NCc2cc([N+](=O)[O-])ccc2OC(C)C)CC1 462230430 CLCLSYYWZXTNFF-UHFFFAOYSA-N 411.502 4.866 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 463928532 FXUQMGTZIFHPAP-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD Cc1ccc2c(CC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)coc2c1C 464666306 UZTBBYYXHYNZAS-UHFFFAOYSA-N 408.454 4.570 5 20 HJBD CN(C)c1ccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 467099886 CYNPGQCXOLTZPB-UHFFFAOYSA-N 416.787 4.655 5 20 HJBD COc1cc(F)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cc1F 468382614 LIZHDOBDMJBRMO-STQMWFEESA-N 419.428 4.616 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 470523681 NGLOVBOTYOBYED-QWHCGFSZSA-N 407.854 4.545 5 20 HJBD Cc1cc(NC(=O)NC[C@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 473791862 YLSRJTDSSKPNPX-KUHUBIRLSA-N 420.872 4.510 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCCC2(CC2)C1)c1ccc([N+](=O)[O-])cc1Cl 474785976 OJLINPRWHZWBPL-UHFFFAOYSA-N 413.861 4.517 5 20 HJBD COc1c(C(=O)Nc2cc(C)ccc2SCC(F)(F)F)cccc1[N+](=O)[O-] 476078287 HFZLTURCYLUTDR-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD Cc1nc([C@H](C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 477038050 XXUSSYNYRZTHOY-LBPRGKRZSA-N 406.847 4.747 5 20 HJBD CCn1c(S[C@@H]2CCc3ccccc3NC2=O)nc2cc3ccccc3cc2c1=O 478512190 AAGHCYVXQZZUSP-OAQYLSRUSA-N 415.518 4.615 5 20 HJBD CCN(C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1)[C@@H](c1ccc(C)cc1)c1cccnc1 479078564 JMRAWDKOVUBAJT-QHCPKHFHSA-N 419.481 4.867 5 20 HJBD Cn1cc(C(=O)N2CCC(c3ccc(Cl)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 479862483 RGJOWSLDJPLTMU-UHFFFAOYSA-N 424.888 4.669 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1)c1ccc(F)cc1 481688891 VRHQMVZWHBRITO-HSZRJFAPSA-N 407.445 4.832 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)n1 481979583 KLURQWOOSDLQFO-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(c1ccccc1)[C@@H](C)c1ccc(Cl)cc1 482831274 NBYGNVHNYBDPIR-HNNXBMFYSA-N 412.877 4.938 5 20 HJBD C[C@]1(c2ccc(F)cc2)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 483037977 SQYAASVNUOXWKW-VWLOTQADSA-N 418.443 4.763 5 20 HJBD O=[N+]([O-])c1cc(CNCc2c[nH]nc2-c2cccc(Cl)c2)cc(C(F)(F)F)c1 487155697 JDQAUZZYPHPKCH-UHFFFAOYSA-N 410.783 4.947 5 20 HJBD CC1(C)[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)[C@@H]2CCCO[C@H]21 487940418 CNILKQAVRGKBHD-PWIZWCRZSA-N 412.511 4.679 5 20 HJBD Cc1ccccc1[C@@H](CC(C)C)Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-] 488210155 OXNNJSPXAVVCOA-OAQYLSRUSA-N 411.502 4.575 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 488638173 AKGFVBLEVILMTD-QZTJIDSGSA-N 410.518 4.523 5 20 HJBD C[C@H](Sc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(Br)c1 488749092 RDULUGPATIHONF-JTQLQIEISA-N 416.318 4.614 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 490850610 ZJMBBQAAHUDDGU-QGZVFWFLSA-N 406.364 4.521 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCCO1 494209543 SIHJQUDATRFYBA-MRXNPFEDSA-N 404.850 4.757 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1ccc(Oc2ccccc2)nn1 498021167 CGRCXHXUWZKXNM-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1ccc(Cl)cc1[N+](=O)[O-] 498595997 LXUJFMKTIDDCOD-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD O=C(Nc1ccc(OC(F)F)c2ncccc12)c1ccc([N+](=O)[O-])c2cccnc12 499637680 SVHMOXXBRDQZBH-UHFFFAOYSA-N 410.336 4.545 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCC(=O)Nc3ccccc3)c([N+](=O)[O-])c2)cc1 500391365 DAXAOKVUYHCBHN-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC2(CCCC2)c2c(F)cccc21 501797661 MKBANGUUOJDBSR-UHFFFAOYSA-N 409.461 4.806 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)c1 502714499 WFBGZRWOOJIFCC-LJQANCHMSA-N 422.510 5.000 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccccc2OC)CC1 503022822 DXBPKAMGNQTOME-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD CSc1ccc(C(=O)N(C)CCCc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] 505419386 GNHNNJURBKZFTH-UHFFFAOYSA-N 411.483 4.677 5 20 HJBD CCN(C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-])[C@H](C)Cc1ccccc1C(F)(F)F 507228747 KKAPHETVQLZCRU-GFCCVEGCSA-N 412.412 4.553 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCCCC[C@@H]2c2ccncc2)cc1[N+](=O)[O-] 510604870 FHMHIBNERHRBMF-QGZVFWFLSA-N 418.291 4.818 5 20 HJBD CC[C@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)s1 510752196 UPCAWEBLWFODBK-LBPRGKRZSA-N 414.936 4.819 5 20 HJBD Cc1cccc([C@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C(F)(F)F)c1 511826488 NZAYJWLMDRVADB-IBGZPJMESA-N 421.419 4.539 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 513009888 VVTBLWAZSWXARO-ACHSLPGTSA-N 415.287 4.908 5 20 HJBD O=c1c(Cl)c(N[C@@H]2CCc3sc(Cl)cc32)cnn1-c1ccc([N+](=O)[O-])cc1 514786377 AXXMGNDYELXZCN-GFCCVEGCSA-N 423.281 4.608 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc4cnccc34)cc2[N+](=O)[O-])C1 515479888 YFUCXIFMBKSQOC-IYBDPMFKSA-N 404.470 4.878 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)CCc2ccc(OC(C)C)cc2)c1 518221414 AAXMXGOIIUFEGS-MRXNPFEDSA-N 400.475 4.532 5 20 HJBD Cc1ccccc1COc1cccc(CC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 520680400 MFXXPFYSQJPLAM-GOSISDBHSA-N 404.466 4.902 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCc1ccc(Br)cc1 520727386 ZDXVNHRIPYIMGL-ZDUSSCGKSA-N 423.332 4.539 5 20 HJBD COc1ccc(Br)c(CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c1 521828435 YEZYDHDZGXDOLO-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD COC(=O)C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 523406317 QWSUNKUFFMNXSI-KRWDZBQOSA-N 418.877 4.580 5 20 HJBD Cc1cc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cc3)nc2)ccc1[N+](=O)[O-] 523729973 XPGNUDYVTLIZPX-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cn1nc(C(F)(F)F)c(-c2ccc(Cl)cc2)c1NC(=O)c1ccc([N+](=O)[O-])cc1 524345251 CBRNQAZYDOFSFM-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535700496 NAWDFALLCJYUFH-AWEZNQCLSA-N 404.426 4.623 5 20 HJBD CO[C@H](c1ccccc1F)[C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 538487061 LVFVBMDBQLDNEH-DJJJIMSYSA-N 401.463 4.723 5 20 HJBD CC(C)Cn1cc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c(C(F)F)n1 540112643 JGBKHRFMXCSVNM-UHFFFAOYSA-N 409.437 4.529 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 542568619 IWRHTKXXYFGXIP-APWZRJJASA-N 418.490 4.838 5 20 HJBD CC(C)(NCc1cn(-c2cccc(Cl)c2Cl)nn1)c1ccc([N+](=O)[O-])cc1 547284185 MBHUGEPLANXWJE-UHFFFAOYSA-N 406.273 4.507 5 20 HJBD CCC1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCOCC1 553665138 LRPNRTRMWZQLPA-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3nccn3-c3ccccc3)o2)c([N+](=O)[O-])c1 554720016 RJFBYRMJRXGSBJ-INIZCTEOSA-N 418.453 4.900 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCOCC2)[C@@H]1C1CC1 580485692 TVHNCBSIMNEMNI-NRFANRHFSA-N 424.522 4.777 5 20 HJBD COCCC[C@H](CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 588112333 AWSREELNSBLVKA-MAUKXSAKSA-N 419.909 4.819 5 20 HJBD O=C(Nc1cc(-c2nnc3n2CCCCC3)ccc1Cl)c1ccc([N+](=O)[O-])s1 591772590 QHZDPKNDMCFGKV-UHFFFAOYSA-N 417.878 4.547 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 603638218 INRCWHWQNRAXBE-NWDGAFQWSA-N 416.302 4.957 5 20 HJBD Cc1c(N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cccc1OCC(F)(F)F 609042419 YZWLJEHXLOWSAU-ZDUSSCGKSA-N 411.380 4.592 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCCc2sccc2C1 609333751 JFDDNKWIAOVNBZ-UHFFFAOYSA-N 404.289 4.920 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 609483221 RHSMKSHRHFSGQE-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD COc1cccc([C@@H](NC(=O)c2csc([N+](=O)[O-])c2)c2ccccc2Cl)c1 610181018 PZONKLOJZRKZGO-GOSISDBHSA-N 402.859 4.838 5 20 HJBD C[S@@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611206141 JDBVHWLTDOJGMP-HHHXNRCGSA-N 404.513 4.619 5 20 HJBD C[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 611336680 YCLUAUDMDLFTHE-LOKFHWFJSA-N 400.525 4.912 5 20 HJBD COc1cc(CSCc2noc3c2CCCC3)c([N+](=O)[O-])cc1OCC(F)F 611537734 SPORBFPNZIQLQD-UHFFFAOYSA-N 414.430 4.548 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCO[C@@H](c3ccccc3)C2)nc1OCc1ccccc1 613179973 HKGVFKOSFBCDFV-OAQYLSRUSA-N 405.454 4.537 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 613295502 BLCUNQZKCHSDAI-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD C[C@@H](CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])NC(=O)C1CCCCC1 727632146 RJAAIPOUVDLUKN-INIZCTEOSA-N 410.470 4.642 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1 728245269 CLNVTPFZEWUBFP-LZWSPWQCSA-N 406.369 4.605 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1ccc(Br)c([N+](=O)[O-])c1 749359939 XKLZOPXMUWDGFK-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD COc1cc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])ccc1OCc1ccccc1 754403202 OAAKPNPBRRUGIA-UHFFFAOYSA-N 418.449 4.775 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 756556910 DHAIBXIFYAEHHH-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758365660 WXHVHZWAEQCCCZ-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD CN(Cc1cccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)c1)Cc1cscn1 761515427 LFECJJFJCDBBJY-UHFFFAOYSA-N 402.907 4.949 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccc(-c4cscn4)c3)no2)ccc1-n1ccnc1 762298423 NAUHVSIYWJRFJV-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 764391723 KTNGPEIWPBUUPW-CTNGQTDRSA-N 414.527 4.582 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 774517427 INMNZXHQXPCAQC-HNNXBMFYSA-N 407.511 4.513 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777994544 GHSHABXONOPYCK-UHFFFAOYSA-N 405.401 4.618 5 20 HJBD Cc1cc(-c2ncccc2NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cs1 782921216 XNSKEICGQROKDF-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 783554716 HNBTUXSFTNNTSZ-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1cccc([N+](=O)[O-])c1Br 796086070 YCCQVTQPCDBBTC-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD C[C@@H](OC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1cccc([N+](=O)[O-])c1 810550973 KCMPCGBYRMXLDA-GFCCVEGCSA-N 406.460 4.946 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCC1(c2ccc(F)cc2)CCOCC1 811475568 PPNFAIMPBVCSTO-UHFFFAOYSA-N 413.276 4.879 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3c(Cl)nc4sccn34)no2)c1 815686385 FRPWKTDHMGHWIF-UHFFFAOYSA-N 415.740 4.693 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1Cl 816912262 QWILUKXJLVKVFW-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)c1[N+](=O)[O-] 817856393 FTRCBHWGJFSULH-MRVPVSSYSA-N 419.812 4.875 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc(C3CC3)nc3ccccc23)cccc1[N+](=O)[O-] 856802057 GNKARXLEVYBBOG-AWEZNQCLSA-N 419.437 4.513 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@H](C)c2cccc(OC(F)(F)F)c2)c([N+](=O)[O-])c1 864010717 KIAMTCPLDZSHPF-GFCCVEGCSA-N 411.380 4.805 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cc(Cl)ccc1Cl 919213469 KVKMXJNDDORLGP-SECBINFHSA-N 423.208 4.832 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] 920269427 VGLIJNVNYNIWBO-LBPRGKRZSA-N 400.412 4.728 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@@H](C)c2cc(C)oc2C)c([N+](=O)[O-])c1 1115907852 MFYVYLKYZJAPIY-AWEZNQCLSA-N 422.437 4.995 5 20 HJBD Cc1ccc(-n2ncc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c2C(C)C)cc1 1322777201 GKZOUJXHLWKTKS-UHFFFAOYSA-N 419.441 4.841 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)cc1 1345675785 YYTYAZSSTAZUIT-GFCCVEGCSA-N 401.444 4.905 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(CSc2ccc(Cl)cc2)o1 1345726393 GNWCOXCPQUPRHJ-ZDUSSCGKSA-N 422.898 4.529 5 20 HJBD C[C@@H](c1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccc(Br)s1 1345740058 LBCVWYYAFCQTSB-SECBINFHSA-N 410.249 4.533 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)c1 3559294 JBKNPGJRTNKVQD-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Br 7844242 HILUJWDRDVYXKP-VIFPVBQESA-N 413.655 4.510 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1ccc(Br)c([N+](=O)[O-])c1 16724785 XLPVGCITOIEYEM-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1C 26580823 YUFWHDOFKYYWJU-INIZCTEOSA-N 417.534 4.823 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(COc2ccccc2)cc1 32303793 OXBIFUOLWZMNDO-QGZVFWFLSA-N 422.506 4.971 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(Br)cc1 45469583 JVBYSBXYYYWPPC-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD C[C@H](NC1(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])CCCC1)c1ccccc1 78775935 YUCKHMHYJREFKN-HNNXBMFYSA-N 401.894 4.642 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3ccc([N+](=O)[O-])cc3)c(C)c2)n1 110404497 NEJUFFNAVSMITL-UHFFFAOYSA-N 415.496 4.837 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)Cn2cc([N+](=O)[O-])nc2C)c2cccs2)cc1 116043855 VZYDGOOMUXFSQN-QKKBWIMNSA-N 412.515 4.581 5 20 HJBD COc1cc(F)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1OC 410022710 SPSJJUQJWAANBR-UHFFFAOYSA-N 411.389 4.747 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3ccc(Oc4cc(F)cc(F)c4)cc3)CC2)n1 425971075 MBVFRWLJCMMREI-UHFFFAOYSA-N 414.412 4.699 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc(C(F)(F)F)cs1 426630667 VLWVXDPNXVOJME-JTQLQIEISA-N 414.409 4.505 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccc(Br)c(Cl)c1F 436049145 LHWSJHWJHVYYKE-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD Cc1c(CC(=O)N(Cc2cccc(Br)c2)C(C)C)cccc1[N+](=O)[O-] 439124340 OQOFYMXRITXZRZ-UHFFFAOYSA-N 405.292 4.645 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H](C)CO1 443888092 XWMRNOBPNADDQH-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD CCCCOc1ccc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC 443941797 BRRJNGZRACQNRW-UHFFFAOYSA-N 401.463 4.721 5 20 HJBD CCCc1c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cnn1-c1cccc(C)c1 446405230 JXRPZGWAOZQVKM-UHFFFAOYSA-N 419.485 4.632 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 447862205 SQCUWKYMDPRURN-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccnc1Oc1cccc(F)c1 462443746 ZCQUBPXFQXIQLI-QGZVFWFLSA-N 423.444 4.806 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)n2)c1 462755051 SVOLKVLWBRSRAO-CQSZACIVSA-N 420.425 4.764 5 20 HJBD CCCn1ccc2ccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc21 465159838 UCJRWPFUONURMX-UHFFFAOYSA-N 406.486 4.815 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2c(c1)OC1(CCCC1)O2 467094302 IPYJAQPHLMNOLA-UHFFFAOYSA-N 403.822 4.611 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC(C)(C)c1ccc(C(F)(F)F)cc1 469170553 XFFRFDGPKYRNKL-UHFFFAOYSA-N 409.408 4.733 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1Cn1cccn1 469565291 MMVCPYKZBKWFFB-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C)cc2-c2nc(C3CC3)no2)cc1[N+](=O)[O-] 471623557 BXCGOYBXWSOALN-UHFFFAOYSA-N 407.430 4.515 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 472281254 ZGMGSZUDNDEGCK-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cc1 475639092 PBILSRNSNNZYPW-HNNXBMFYSA-N 403.504 4.833 5 20 HJBD C[C@@H](CSCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 475870817 QOZOWHYOJADUSS-LBPRGKRZSA-N 409.305 4.833 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2ccc(Cl)cc2)CC1 476496087 RWPLVKXOPZESKZ-UHFFFAOYSA-N 410.804 4.869 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 477490517 NQCOGZDOZVEZBF-IAGOWNOFSA-N 411.502 4.752 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1-c1ccccc1 479360938 OVVQKWFQSZKEGI-UHFFFAOYSA-N 422.422 4.675 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(Br)cn1 480810057 DLQOFXUBOSSVIR-MRVPVSSYSA-N 401.058 4.688 5 20 HJBD O=C(Nc1cc(Br)ccc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482892790 BXGHMEUXXQBWNP-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD O=C(NCc1cccc2cc[nH]c12)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486584342 GDWOOMUDFDWOGY-UHFFFAOYSA-N 401.422 4.585 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 490785929 USPWUHPUFYXJMH-UHFFFAOYSA-N 415.852 4.817 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 499524879 XQWLUGGAJXVGPQ-AWEZNQCLSA-N 409.202 4.695 5 20 HJBD Cc1ccc([C@H](C)N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 503274336 IYWCQZRIJJJAET-AWEZNQCLSA-N 410.392 4.986 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(CCC(F)(F)F)cc1 508155241 UGEMJQSKCWJZTK-UHFFFAOYSA-N 410.348 4.503 5 20 HJBD Cc1cccc([C@@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(F)(F)F)c1 511693680 VFEFGXNUWAUFFP-HSZRJFAPSA-N 424.422 4.883 5 20 HJBD COc1cc([C@@H](C)Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc(OC)c1OC 515550775 JGTHTBACOCOBKF-SNVBAGLBSA-N 400.353 4.813 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)cc1[N+](=O)[O-] 516124296 VGGGLRSNBBAZLL-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 516328071 YXIKYLLFVBVSIL-STQMWFEESA-N 411.380 4.657 5 20 HJBD Cc1nc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])sc1Cc1cccc(F)c1 518373113 NIKZTDSONFIILT-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)Oc1ccc(NC(=O)CCNc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 521530612 NQEPTRCLAVLLJV-UHFFFAOYSA-N 411.380 4.842 5 20 HJBD CCC[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])ccc1C)c1nc(C)c(C(=O)OCC)s1 522960310 DUJYWGWOGRDBPJ-CYBMUJFWSA-N 419.503 4.680 5 20 HJBD COc1ccc(CNC(=O)NC[C@H](C)c2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 523540120 DWIYOKCUZUQSDD-NSHDSACASA-N 412.273 4.513 5 20 HJBD CCNC(=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 532897433 DXXRMBWULNCQDW-UHFFFAOYSA-N 409.417 4.888 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 533231548 ILLKAUFBACVHRN-AWEZNQCLSA-N 424.457 4.706 5 20 HJBD Cc1nc(C)c(-c2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)s1 534057030 RYGCIWMVVIVOFW-UHFFFAOYSA-N 415.456 4.665 5 20 HJBD COc1cccc(C=C2CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 534108293 QVYBUZKQUVLTMC-UHFFFAOYSA-N 405.454 4.938 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)c2C)c1 534479417 ADENXUNRAMHHGC-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccsc1CCNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 537998385 USAISFSIZFFJIS-OAHLLOKOSA-N 410.499 4.505 5 20 HJBD C[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H](C)c1ccccc1C(F)(F)F 541636304 SOZOSJJLNYGOFD-QWHCGFSZSA-N 420.391 4.945 5 20 HJBD CN(Cc1nccn1C(F)F)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541642881 LLGZOJMNNVMOGD-UHFFFAOYSA-N 424.473 4.741 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 543143681 REECALJVTBAICG-UHFFFAOYSA-N 410.879 4.775 5 20 HJBD CCOc1c(Cl)cc(/C=C\c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1OC 544908753 RWBKJWKBMFPDJB-HJWRWDBZSA-N 415.833 4.800 5 20 HJBD CC(C)[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc2c(c1)OCCO2 555421243 WPUWNDKEBXTSPO-IBGZPJMESA-N 410.392 4.872 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H]3[C@H]3CCCO3)o2)c(Br)c1 556608015 JGMLZPNMYBFLGD-IEBWSBKVSA-N 421.291 4.761 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 557496419 BLHJHWTZAPYGMN-GUDVDZBRSA-N 415.287 4.766 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 561135776 JQJMYDLYLUHVGN-NJYVYQBISA-N 405.498 4.603 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])c(C)c2c1 561342652 KDNKNMKAQRZIST-OAHLLOKOSA-N 411.458 4.603 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 566753978 LTPAHVBTJBPHNQ-KRWDZBQOSA-N 406.404 4.952 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(C(F)F)CCCCC2)cc1[N+](=O)[O-])c1ccccn1 572966491 ZLJPCAGORUGFFY-AWEZNQCLSA-N 418.444 4.861 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](c3ccccc3)C3(CO)CCC3)o2)c([N+](=O)[O-])c1 580296413 UFWIXNGHGCOQIV-QHCPKHFHSA-N 422.481 4.857 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2ccc(F)c(F)c2)CC1 590219660 GEVLFBFVAQEJFE-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nc4ccccc4n3C(F)F)n2)cc1 603680277 XDQZNWJFEXRRJG-UHFFFAOYSA-N 403.370 4.682 5 20 HJBD CC(C)C[C@@H](C)n1nccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 603960436 DGJIKCUWHUYXIB-QGZVFWFLSA-N 413.522 4.887 5 20 HJBD Cc1ccc(Sc2ccc(CNCCC(=O)Nc3ccccn3)cc2[N+](=O)[O-])cc1 608782098 LWUMOPWFNIBBRG-UHFFFAOYSA-N 422.510 4.568 5 20 HJBD CCC[C@@H](NC/C=C\c1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(N2CCCC2)c1 608837283 YLNKGHIIWDLORO-KKCDMYQCSA-N 422.529 4.605 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608858730 MATNGVGVOZPCOE-JTQLQIEISA-N 411.443 4.745 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(CCc1ccccn1)Cc1ccc(F)cc1 608881323 TZKGGJQVGQMPQG-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 608965682 CBVMGBYDWWMNKT-SFHVURJKSA-N 420.252 4.597 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](CO)c2c(F)cccc2F)ccc1Oc1ccc(F)cc1 609708568 NWNQJBGJEDIJST-GOSISDBHSA-N 418.371 4.628 5 20 HJBD CCOc1ncccc1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609772720 FSBBVNPLQXHHIP-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2cc(F)ccc2F)cs1)c1ccc([N+](=O)[O-])cc1 610036429 SOYJVDWJOFTVFC-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)NCCOc3ccc([N+](=O)[O-])cc3)ccc2C)c1 610330665 LZWWDOGTRNEPPA-UHFFFAOYSA-N 422.441 4.599 5 20 HJBD Cc1c(CN[C@H](c2ccc(Br)cc2)[C@H]2CCCO2)cccc1[N+](=O)[O-] 616357506 RIIYFIWLGHJWML-RTBURBONSA-N 405.292 4.676 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 726152974 SITAINPXLDYWJH-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NC[C@H](O)c1ccccc1C(F)(F)F 726788154 XJEMXZLHHRCFFS-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD Cc1c(NC(=O)NCc2cccc(C(=O)OCc3ccccc3)c2)cccc1[N+](=O)[O-] 727587201 YPRBSORSPHLNCX-UHFFFAOYSA-N 419.437 4.582 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732017710 USGSYHPOUMUYLY-STXHMFSFSA-N 423.494 4.709 5 20 HJBD CC(C)(C)[C@@H](Cn1ccnc1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368375 OSVIXXUFDRZGED-HXUWFJFHSA-N 423.473 4.820 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736863923 OTOPRFCKENLLLY-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(-c2cc(F)c(F)c(F)c2)cc1 741911584 XKMQVSOHXDWPDJ-UHFFFAOYSA-N 400.356 4.652 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 742764888 YUIMZOPFXIOSMP-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1oc2ccccc2c1CSc1ncccn1 742948098 MKHDIMIAQIVOEI-UHFFFAOYSA-N 421.434 4.780 5 20 HJBD COc1ccc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC(F)F)c1 744147349 CMCDFLJGXCLIEA-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)cc21 746409525 VDJVSWYLFHMBHC-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD C[C@@H](OC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1)c1ccccc1[N+](=O)[O-] 749053219 GBPQGCMSVSSYTG-CQSZACIVSA-N 420.450 4.822 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1 750844123 VONNJWVVJDZOTJ-SECBINFHSA-N 413.655 4.510 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 753543605 COKQAGHTVWLTHZ-UHFFFAOYSA-N 416.842 4.720 5 20 HJBD CCN(c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1)C(C)C 754631831 NXYAKBAOSOVGDI-UHFFFAOYSA-N 424.270 4.983 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](SC[C@@H]1CCCO1)c1ccccc1 754923400 NBQWMBLREHYUNU-MAUKXSAKSA-N 406.891 4.840 5 20 HJBD Cc1c(COC(=O)Cc2ccccc2NC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 756463315 AFBIVQKBRQQWDV-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(O)(c2ccccc2Cl)CC1 765618823 FNUWREGYDINJCJ-UHFFFAOYSA-N 416.886 4.587 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2cccc([N+](=O)[O-])c2)c1 767841351 QRMSTEPFOGBMJA-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)C[C@H]1CCCO[C@@H]1c1ccccc1 769211704 LMBWBUMBCVLTGC-YLJYHZDGSA-N 419.319 4.957 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 770007400 GCNIIJASGAOZOL-HTQZYQBOSA-N 419.709 4.843 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCC1([C@@H](O)c2ccccc2)CC1 774675652 TUDJNSDGNVLJRL-HNNXBMFYSA-N 417.334 4.570 5 20 HJBD Cc1cc(C)cc(CCC(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c1 776743955 NTXHCRLLCSCOCM-UHFFFAOYSA-N 422.485 4.631 5 20 HJBD O=C(CN1CCCCCCC1)Nc1ccc(NCc2ccc([N+](=O)[O-])cc2F)cc1 778103220 OLIPXFUYXUPUFV-UHFFFAOYSA-N 414.481 4.551 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(O[C@@H](C)c2ccccc2)nc1 798541975 BJDMXJIVWXECSD-HNNXBMFYSA-N 407.426 4.781 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OC[C@H](OC)c2ccc(Cl)cc2)cc1SC 801257545 ZWYBOKSVJIITFI-INIZCTEOSA-N 411.863 4.523 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811084267 JDOYVZNGFJFRBT-UHFFFAOYSA-N 413.238 4.904 5 20 HJBD COc1ccccc1N1CC[C@@H](CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 811477575 VYEWNKYUATTXOF-ZDUSSCGKSA-N 410.301 4.526 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 811887425 BRAIWHXOHFRZSI-SJORKVTESA-N 424.501 4.542 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812811477 AFEJWUMZGOWCDE-JTQLQIEISA-N 400.298 4.673 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(C(F)(F)F)nc1Cl 816761443 BUQLRJXQXPCOPQ-QMMMGPOBSA-N 412.755 4.634 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 855691938 ZPHHNVVPUDIGQK-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD COc1cc(-c2noc(-c3cc(SC)ccc3[N+](=O)[O-])n2)cc(Cl)c1OC 904407869 KWIUKJBKSSAQQX-UHFFFAOYSA-N 407.835 4.704 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 915181227 PHBFWIXUWWUUMO-OKILXGFUSA-N 415.877 4.619 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)c(NC(=O)Cc2ccccc2)c1 916504328 LDWLWVBSHLMJNV-JYRVWZFOSA-N 419.412 4.567 5 20 HJBD COc1ccc(-c2cc3nc(-c4ccc(Cl)c([N+](=O)[O-])c4)[nH]c(=O)c3s2)cc1 917263516 DDVVQNHWVYWKEH-UHFFFAOYSA-N 413.842 4.889 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 918855838 WSPWCIUECFBHCH-JTQLQIEISA-N 405.769 4.664 5 20 HJBD C[S@@](=O)C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1 1325078659 ZZJNMJHPJLGXPY-XDBZFTIUSA-N 418.902 4.718 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(c4ncnc5c(Cl)cc([N+](=O)[O-])cc45)C3)[nH]c2c1 1327267942 KLQVUZQCQPMHIG-ZDUSSCGKSA-N 422.876 4.760 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(c2nc3ccccc3o2)CC1 1327512780 JUGOAFVLMGCVSA-UHFFFAOYSA-N 400.822 4.801 5 20 HJBD O=C(NCCc1ccc(Br)s1)c1cc2cc([N+](=O)[O-])ccc2s1 10502375 VFEGDNNUYYGRFE-UHFFFAOYSA-N 411.302 4.606 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1ccc2ccccc2c1)c1ccccc1[N+](=O)[O-] 14375991 IGKJLRWZFUPPGW-HXUWFJFHSA-N 406.438 4.561 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(Br)c1 15316138 KORQDPCCYKTZIW-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD C[C@H](OC(=O)C1(c2ccccc2)CCCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17668561 CRDUQEPDPGSQPK-HNNXBMFYSA-N 407.426 4.761 5 20 HJBD O=C(COC(=O)C(c1ccccc1)c1ccccc1)Nc1ccc([N+](=O)[O-])cc1Cl 28300643 QUXURYVGXLVQLR-UHFFFAOYSA-N 424.840 4.562 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 53459673 CFXFTYCMYAJCTN-LBPRGKRZSA-N 416.890 4.808 5 20 HJBD COc1ccc([C@@H](NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cc1 72028630 YPJMMRHEQJIECA-BDQBNCQGSA-N 406.413 4.662 5 20 HJBD CC[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1cc(F)ccc1F 301381190 YQOBONFIBJNIEH-INIZCTEOSA-N 420.803 4.636 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3ncc(C)cc3[N+](=O)[O-])CC2)n1 302682572 AFKJJEIKFSDUFE-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD Cc1cc(NC(=O)c2cc(C(F)(F)F)ccc2Br)ccc1[N+](=O)[O-] 392650212 MYQQONYOKKBCHP-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc(C(F)(F)F)cs1 426666194 OUXXWEZVPVBXDW-SNVBAGLBSA-N 414.409 4.505 5 20 HJBD COc1ccc(-c2ccc(CNCc3c(F)cc(F)c(F)c3F)o2)c([N+](=O)[O-])c1 430035645 CDMHDNBSBGACBQ-UHFFFAOYSA-N 410.323 4.710 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CCCSc2ccc(Cl)cc21 430472799 WLXVCEOQKFWCCM-UHFFFAOYSA-N 414.874 4.577 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 430625576 QKZMRGYWSXZKJU-ZDUSSCGKSA-N 421.144 4.530 5 20 HJBD CCOc1ccccc1CN(C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436062514 BPANINRYPYJVMM-UHFFFAOYSA-N 422.431 4.630 5 20 HJBD C[C@@H](N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 436301356 YRBZPRJSNFGHKN-ZACQAIPSSA-N 413.543 4.765 5 20 HJBD O=C(Cc1cc(Cl)ccc1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437112665 BCGABPNVGYXWHW-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(/C=C\c2ccc([N+](=O)[O-])cn2)cs1 439515539 DOOAMEUDECOGIG-PLNGDYQASA-N 400.847 4.955 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@]4(CCCc5ccccc54)C3)o2)cc1 440318390 RZKTZXFVFJZCMI-HSZRJFAPSA-N 404.470 4.515 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)ccc1[N+](=O)[O-] 444416688 XUYKBMLHYDCFJV-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 462627687 ZFCRXJCIKAILBS-ZPMCFJSWSA-N 414.477 4.681 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NCCCOC1CCCCC1 462693495 WVIJMGGGAJNBGM-UHFFFAOYSA-N 418.515 4.558 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1NCc1ccc(Oc2ccccc2C(F)(F)F)nc1 462914671 UNOKIJPIUPBTNN-UHFFFAOYSA-N 404.348 5.116 5 20 HJBD COc1cc(OCC(=O)N(C)[C@H](C)c2ccc(-c3ccccc3)cc2)ccc1[N+](=O)[O-] 464368545 VHLSYGMRTDPWTF-QGZVFWFLSA-N 420.465 4.869 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 466677031 NLMXQSOUBQYZSG-MRVPVSSYSA-N 401.307 4.632 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3Br)ccc2NC1=O 467007372 PEQRFCLMGRTNTI-QLJPJBMISA-N 420.263 4.640 5 20 HJBD CCOC(=O)c1ccnc(NCc2nc(-c3cc4ccccc4o3)cs2)c1[N+](=O)[O-] 475728756 BPQZBGDVHAZXRF-UHFFFAOYSA-N 424.438 4.648 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccccc2F)C1)c1cccc2c(=O)c3ccccc3[nH]c12 482869281 MMHDTOVLPFQKPV-HOTGVXAUSA-N 400.453 4.887 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC(C)(C)Cc3oc(C)cc32)cc1SC 482987030 NRGKHEAJHNTJFL-CQSZACIVSA-N 404.488 4.670 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)c1ccccc1Cl 484903757 KWTRHEGKMJIWCG-UHFFFAOYSA-N 422.934 4.694 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nn(C(C)C)cc2c1 486796984 OFOMBHFVFFDPPX-UHFFFAOYSA-N 414.487 4.516 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@H]2c2ccc(Br)cc2)cc1[N+](=O)[O-] 488070909 QOLZGPSHPSKKLC-ZLIFDBKOSA-N 403.276 4.647 5 20 HJBD C[C@H]1CSCCN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 488161184 LABPBWOOPGNIGE-AUUYWEPGSA-N 419.934 4.613 5 20 HJBD CC(C)(C)[C@H]1C[C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 497388633 OLFGZUUBYZRXGJ-GHTZIAJQSA-N 411.502 4.530 5 20 HJBD Cc1c(NC(=O)CCOc2ccccc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 498453595 RGGCHXMMZFZZKF-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnn3ccccc23)ccc1Oc1ccccc1Cl 512865685 VMBVTPOQDGYHFU-UHFFFAOYSA-N 408.845 4.978 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCCc2c1cccc2[N+](=O)[O-] 513837658 DHBOPVWZPYENFB-UHFFFAOYSA-N 419.915 4.955 5 20 HJBD COc1ccc(Cl)c(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 518853607 UENZTPLOWXMRIC-ZIAGYGMSSA-N 417.893 4.991 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 519150335 YHQSVCILDYCLFF-OAHLLOKOSA-N 420.469 4.651 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@H](C)S1 525205509 BCDQNMUQQRWSDG-KBPBESRZSA-N 419.934 4.826 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(Cc4cccc(F)c4)o3)co2)cc1 536306072 YUMLUZOSROBBRD-UHFFFAOYSA-N 412.402 4.655 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(Br)o1 538236179 GOHLSMXEAHEEEW-UHFFFAOYSA-N 413.293 4.723 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccco1)N1CCCC1 539592726 ROGSYGQCQKAGMB-GOSISDBHSA-N 417.531 4.647 5 20 HJBD CN(C(=O)c1ccc(OC(F)F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451287 LIFNAFOKVRKKGP-UHFFFAOYSA-N 405.382 4.596 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N1CCCC[C@H]1c1cc2ccccc2[nH]1 542454675 IQKDVXNLTSIFBI-FQEVSTJZSA-N 408.458 4.522 5 20 HJBD O=C(Nc1ccc(-c2ccc[nH]2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 544252791 FLCUNUSCUQVPPG-UHFFFAOYSA-N 406.442 4.665 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c1 549934253 YHTPVTUHFLCECL-LLVKDONJSA-N 422.363 4.523 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 578172576 LTVDQSDZFFYGMQ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 589373444 MSHRQOXNLAYVDJ-UHFFFAOYSA-N 407.392 4.882 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(C(F)(F)F)c2)c1C 591720261 ZEHATNANSCTDQB-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(COc2ccc(F)cc2)o1 603638483 JCDSHBIGPHXFBV-UHFFFAOYSA-N 422.315 4.760 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCO[C@@H](c4ccccc4)C3)c([N+](=O)[O-])c2)cc1 608972544 VDFVKUVGAYNXJX-HSZRJFAPSA-N 417.465 4.733 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1c(Cl)cccc1Cl 609580069 LQGBCFXFRUQTKZ-LLVKDONJSA-N 405.241 4.830 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1coc(-c2cccs2)n1 609769121 BEGXSTPXSFINIB-UHFFFAOYSA-N 417.468 4.543 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C)ccc2SCC(F)(F)F)cc1[N+](=O)[O-] 609908257 JGMXLBLZUMYXJC-UHFFFAOYSA-N 414.405 4.747 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)nc12 610037268 SLNMSSJOYYRGDR-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 610037331 BKMJLZCRCHJUBO-JTQLQIEISA-N 420.288 4.800 5 20 HJBD COC(=O)c1cccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)c1 610218909 APHWVSRVZHKYJU-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610597843 HKYGRXMIQBQVNU-AOOOYVTPSA-N 412.311 4.622 5 20 HJBD O=C(NCc1ccc2c(n1)CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 618253424 ATJGFBLCLZGGNU-UHFFFAOYSA-N 411.527 4.833 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1OC1CCCC1 727709188 WCZMOACBBKXNFP-GFCCVEGCSA-N 420.412 4.694 5 20 HJBD Cc1sc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)nc1-c1cccc(Cl)c1 745743328 CPOWCDVTYSSCTN-UHFFFAOYSA-N 421.837 4.837 5 20 HJBD CCc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 752981264 KPNTWNFLHIWBDT-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CCCc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1-c1cccc(F)c1 753256708 YFGNQDWGVYGEJT-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD Cc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnc(Cl)c1Br 759278763 BIGIDLJOJXVRPR-ZETCQYMHSA-N 416.634 4.595 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(Cl)cc2cccnc12 760522270 NLMRZUMXHIVNGY-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD CCc1c(NC(=O)c2cccc([N+](=O)[O-])c2Br)cnn1C(CC)CC 760913963 TUKGCEIBLLQNAX-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(Cc3c(Cl)cccc3Cl)no2)c([N+](=O)[O-])c1 761359316 GTBNRPCNXXKVGU-UHFFFAOYSA-N 423.212 4.569 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCc2ccccc2F)nc1)c1cccc([N+](=O)[O-])c1 763400759 AOYCNJFXAXLCJK-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764207992 DPOKWJDYCQGSGJ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(Nc1cc(Br)ccc1N1CCCC1)c1cc([N+](=O)[O-])ccc1Cl 764320064 UPZAZESSDBPZTM-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3ccnc(Oc4ccccc4)c3)CC2)cc1 764863010 AJEXYMTVRNJVKQ-UHFFFAOYSA-N 404.470 4.541 5 20 HJBD CSc1cccc(C(=O)OCc2coc(-c3c(F)cccc3F)n2)c1[N+](=O)[O-] 777079901 PIRPTKSKGLJCNU-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD O=C(NOCCC(F)(F)F)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 777974274 FAWFSJBGCWWEEZ-UHFFFAOYSA-N 404.728 4.654 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCOC[C@@H]2C)cc1 779958171 JQJZMYRMWAWOSF-HNNXBMFYSA-N 415.515 4.574 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 784412059 FBMMEFVCEPDYBW-NRFANRHFSA-N 416.905 4.957 5 20 HJBD Cn1c(SCc2ccc(Br)c([N+](=O)[O-])c2)nnc1-c1cccs1 788099549 QXICGVLIPKSJHK-UHFFFAOYSA-N 411.306 4.507 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1csc(-c2ccc(F)c(F)c2)n1 803423519 AGJWEDFDAXXHAK-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD COc1ccc(OC(=O)[C@H]2CC=CC[C@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 916986342 DUXXCCQETLMZFU-CABCVRRESA-N 410.451 4.869 5 20 HJBD C[C@@H]1CCc2c(sc3nc([C@@H](C)N4Cc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 1116330990 MMQGPRCCVSELBW-VXGBXAGGSA-N 410.499 4.507 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 1319353614 ATLNCMDIQYLTNE-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)oc12 1319798214 BLVAFUXJUNDACI-UHFFFAOYSA-N 401.216 4.568 5 20 HJBD O=C(Nc1ccc(-n2cncn2)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 1321179108 VHQVVDNURKVTDQ-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCO[C@H](c3ccccc3)C2)cc1[N+](=O)[O-] 30764602 UDAWCHYMJRBDEF-FQEVSTJZSA-N 414.527 4.947 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@@H]1CCc2ccccc21 30977283 BOWUQGPNZADAGZ-JOCHJYFZSA-N 401.466 4.966 5 20 HJBD O=C(Nc1ccc(NC(=O)[C@H]2CCCO2)cc1)c1cc2ccccc2c2cccnc12 45883890 ITSAZHBJODXKLG-JOCHJYFZSA-N 411.461 4.758 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 63613777 KXCKVOMMWRWCKQ-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(Cl)c1 65123965 HDYXPQCGQRAAAT-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3c4c(nn3C)CCC4)cc2[N+](=O)[O-])cc1 65856217 XLMLJSOGTDMXHS-UHFFFAOYSA-N 408.483 4.529 5 20 HJBD C[C@H](Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1)c1ccccc1 68924065 YHFKWGWCASRQGP-CYBMUJFWSA-N 423.454 4.666 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cn1)c1cc2ccccc2c2cccnc12 71969539 WHIQAGIJQLMXPA-UHFFFAOYSA-N 410.399 4.644 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3ncccn3)cc2C)c1 110056169 XZKFQCPEZGUUHT-UHFFFAOYSA-N 412.496 4.819 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3ccccc3[N+](=O)[O-])c(C(F)(F)F)c2)n1 237698123 NTDCEMRNJBREPZ-UHFFFAOYSA-N 404.392 4.706 5 20 HJBD CC(C)Cn1c(Sc2nc3sccn3c2[N+](=O)[O-])nnc1-c1ccccc1F 301113536 XQXFOECXRKFMPF-UHFFFAOYSA-N 418.479 4.509 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1nc(C(C)(C)C)cs1 301172425 DYPKHFPYSZHQFP-ZDUSSCGKSA-N 402.520 4.758 5 20 HJBD CC(C)c1ccc([C@H]2CCCN2c2ccc(C(=O)N3CCOCC3)cc2[N+](=O)[O-])cc1 301833499 JSTJYMRJLKTUKQ-OAQYLSRUSA-N 423.513 4.532 5 20 HJBD Cc1nn(C)c(Oc2ccc(NC(=O)c3ccc(C(C)(C)C)cc3)cc2)c1[N+](=O)[O-] 303521236 DDGUZKSLVJITLC-UHFFFAOYSA-N 408.458 4.979 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)c3ccc([N+](=O)[O-])cc3F)C2)nc2ccccc21 303535381 IKARNWWMJLPBAE-OAHLLOKOSA-N 410.449 4.684 5 20 HJBD CCN(Cc1ccccc1C)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436105151 VWYJNRIXCGYUME-UHFFFAOYSA-N 406.432 4.930 5 20 HJBD O=C(c1csc(Cc2ccccc2F)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120746 BRTVKZCZGSDXIL-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)CC2)c1 439463132 RTZKPHQRLUIQIR-UHFFFAOYSA-N 401.438 4.713 5 20 HJBD O=C(NCCc1nc2ccccc2[nH]1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440425638 IPEZEHYXHSOMMD-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 443945911 HWJCOBJJCGWJEX-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444244781 RQKIOTDCILQLOO-QGZVFWFLSA-N 402.519 4.982 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 444438286 NWRLWYWMYOEDFP-HNNXBMFYSA-N 420.309 4.966 5 20 HJBD Cc1nc(CCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)oc1-c1ccccc1 460352671 OTZCNTMWCCQQCU-QFIPXVFZSA-N 423.494 4.765 5 20 HJBD Cc1c(CNc2ccc(Oc3cccc(S(C)(=O)=O)c3)cc2)cccc1[N+](=O)[O-] 462228420 BJJJZKPDLGGSFH-UHFFFAOYSA-N 412.467 4.711 5 20 HJBD COc1cc2c(cc1NCc1ccc(-c3ccccc3[N+](=O)[O-])s1)NC(=O)CC2 463710934 OYPRBXLTZKQXCZ-UHFFFAOYSA-N 409.467 4.829 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 463946879 BMZNFGQGNAUHLM-CRAIPNDOSA-N 407.829 4.656 5 20 HJBD COC(=O)[C@H](C)Oc1ccc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 467929293 ZEYUOPBBBVRYGU-PZBNQXIHSA-N 404.422 4.519 5 20 HJBD C[C@H](NCc1ccc(S(C)(=O)=O)s1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 469310953 MXVAPKNLIADCFZ-LBPRGKRZSA-N 422.553 4.639 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)nc(C)n2Cc1ccsc1 472646664 BYTHWIOTTUDIIC-UHFFFAOYSA-N 406.467 4.923 5 20 HJBD Cc1c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cccc1C(F)(F)F 473824870 PJYDRQYSEGECJG-UHFFFAOYSA-N 423.391 4.997 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC=C(c2c[nH]c3ccccc23)CC1 478071702 IHBGRPYPMAHKJJ-UHFFFAOYSA-N 404.470 4.584 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)ccc2C)n1 478530809 QGQYTJZVAKLWRB-UHFFFAOYSA-N 417.425 4.519 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3cccs3)n2C2CC2)c([N+](=O)[O-])c1 479910448 ITLZZENAJUFUNV-UHFFFAOYSA-N 400.485 4.994 5 20 HJBD O=C(Nc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1)c1ccoc1 483064406 ZXWZQCKWZNDJPZ-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)n1 484303165 DUVJGJJYPXSDCC-UHFFFAOYSA-N 421.457 4.712 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC(C(F)F)CC2)cc1 484743478 VCZJTOZDTLDLMG-UHFFFAOYSA-N 406.385 4.513 5 20 HJBD CN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(OC(F)(F)F)c1 485510392 PQBUAHMUMGMBBH-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD Cc1cccc(-c2nc(CC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)c1 486042368 HDSLZFDWWQIZKV-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD CSc1ccc(C(=O)N(Cc2cccc(C)c2)Cc2ccccn2)cc1[N+](=O)[O-] 486197836 LFUFFLSCDSSNSF-UHFFFAOYSA-N 407.495 4.863 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n[nH]1 486675182 JUBQAINCXURCIS-VXGBXAGGSA-N 403.870 4.991 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C1(CCOCc2ccccc2)CC1 486716908 LTQDOHONKKEKGE-UHFFFAOYSA-N 422.403 4.617 5 20 HJBD CN(CCC1CCOCC1)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 488129438 BOPJOHWWJAOQQW-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD COc1ccccc1CN(C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)C1CC1 489713414 WYRJHADPLYNOOJ-UHFFFAOYSA-N 423.513 4.645 5 20 HJBD COc1cc(C)c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(C)c1C 494956109 UGVUNCNHBXTQLL-UHFFFAOYSA-N 413.474 4.604 5 20 HJBD O=C(c1csc(-c2ccc(F)cc2)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498141156 VHYMAAUHTSCIED-IBGZPJMESA-N 415.471 4.745 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cnccc1Oc1ccccc1 499621076 ZSPCIOCPECPOQH-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@H]1c1ccccc1 504094904 VRTLRHHCQWJEOW-UTKZUKDTSA-N 414.527 4.995 5 20 HJBD CCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 511912817 SLZUWTFUJPZQJL-GFCCVEGCSA-N 408.863 4.766 5 20 HJBD CN(Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1)C1CCN(C(=O)c2ccccc2)CC1 513059341 WBYFNACPDONEFT-UHFFFAOYSA-N 419.481 4.591 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)N1CCCc2c1cccc2[N+](=O)[O-] 513844019 MSMUZUNJSFRCHE-UHFFFAOYSA-N 413.886 4.894 5 20 HJBD O=C(CSc1nc2ccccc2c(=O)n1C1CCCCC1)c1ccc([N+](=O)[O-])cc1 515308401 SSIOXDNJXZSKFV-UHFFFAOYSA-N 423.494 4.785 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCC2(CCCCC2)C1 518423782 UNDQNENFIYUILG-UHFFFAOYSA-N 400.397 4.722 5 20 HJBD Cc1c(NC(=O)[C@@H](C)NCC2(Sc3ccccc3)CCCC2)cccc1[N+](=O)[O-] 521011411 CYLITHZYWFJVTG-QGZVFWFLSA-N 413.543 4.925 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 524336648 VTJHNJZEVCSPOW-QFIPXVFZSA-N 405.454 4.582 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1F 524604459 YXACHBVESFLOKR-UHFFFAOYSA-N 410.357 4.929 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](C3CCN(C)CC3)C2)cc1[N+](=O)[O-] 533699081 SDIXIQVSMNNZJC-IBGZPJMESA-N 419.591 4.537 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(Cl)c1Cl 536531397 VQSAOAJCFLFKGU-UHFFFAOYSA-N 415.298 4.570 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)cc1F 537679940 XHXRMYBSTADVTQ-CQSZACIVSA-N 412.421 4.509 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3ccc([N+](=O)[O-])cc3Br)CC2)c1 538878264 OQHCKQLREXPLGT-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(Nc1ccc(-n2ncc3ccccc32)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 542380325 YVIDIBHGUCJYHN-UHFFFAOYSA-N 413.437 4.524 5 20 HJBD COc1cccc([C@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2cccc([N+](=O)[O-])c2)c1 543306119 GFQAFLPSNOWDDM-NOZRDPDXSA-N 416.477 4.903 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cnc(-c4ccccc4)nc3)no2)c(Br)c1 544532876 UDVIBBSZJOXWPI-UHFFFAOYSA-N 424.214 4.531 5 20 HJBD COc1ccc(CSCc2nc(Cc3c[nH]c4c(C)cccc34)no2)cc1[N+](=O)[O-] 545720666 ALUBGGUDTGTCBB-UHFFFAOYSA-N 424.482 4.800 5 20 HJBD Cc1nc(-c2ccccc2)oc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546557068 ASNJSLPCYRFVQT-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@@H]1CCCOC1 553195019 DJERXJTXWVWHGC-OAHLLOKOSA-N 406.891 4.650 5 20 HJBD Cc1[nH]nc(C(=O)NCc2cccc(Oc3cccc4ccccc34)c2)c1[N+](=O)[O-] 557181062 LGMLORPLIWOVQO-UHFFFAOYSA-N 402.410 4.502 5 20 HJBD COc1ccccc1C1(c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)CC1 560027545 FDFMIEISCSTBCB-LBPRGKRZSA-N 415.833 4.860 5 20 HJBD CC(C)(C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1 560240622 DBSAQPGIINLACC-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD COCCC[C@H](CNC(=O)NCc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)cc1 561751555 CCKKCTGFQAZUAU-GOSISDBHSA-N 419.909 4.566 5 20 HJBD CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 564157051 PCNLDIBFXONZBP-UHFFFAOYSA-N 400.784 4.861 5 20 HJBD COc1ccc(CSCc2nc(-c3cccc4ncccc34)no2)cc1[N+](=O)[O-] 585731442 IBHXYTAJPIPADD-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD Cc1ccccc1[C@@H](c1noc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1)C(C)C 589156419 OXRMASFVAVEFTK-KXBFYZLASA-N 408.458 4.565 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc([N+](=O)[O-])ccc4OC)CC3)c[nH]c12 604193405 QWDHUZKZYNBDMS-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(-c2cccc(Cl)c2)s1 609021791 MPIOTTWQLYFKSK-JTQLQIEISA-N 420.903 4.886 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1 609106957 DOUGLLIAFNGERC-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cc(F)c(F)cc3F)cc2[N+](=O)[O-])C1 609182860 WJRSAHMUIRDTPZ-TXEJJXNPSA-N 407.392 4.747 5 20 HJBD O=C(Nc1cccc(CSC2CCCC2)c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 610123057 XIGSENXLEIEFSQ-UHFFFAOYSA-N 414.483 4.794 5 20 HJBD CC(C)c1cc(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c2ccccc2n1 619214081 BOCAVXLQNYOIQQ-UHFFFAOYSA-N 407.426 4.680 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)OCc3ccccc3C(N)=O)cc2[N+](=O)[O-])cc1 729807767 UUMMVCKPSLCZPD-UHFFFAOYSA-N 422.462 4.510 5 20 HJBD C[C@H](CN(C)C(=O)OC(C)(C)C)c1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 733745662 WANAWBWHBQPSFN-CHWSQXEVSA-N 422.507 4.802 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F 735572354 AXCMBOWCYLMEOL-UHFFFAOYSA-N 418.425 4.745 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](CCO)c3cccs3)c([N+](=O)[O-])c2)cc1 740870400 AWYQOBBEAFRIME-SFHVURJKSA-N 411.483 4.753 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])o1 744870864 ZYSLCZLLSGNVDD-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD COc1ccc(-c2nnc(SCc3cc(Cl)ccc3[N+](=O)[O-])o2)cc1OC 746389801 XVYPTEAMDFPPBI-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 748011618 DKBILRDWWLXIEF-MRXNPFEDSA-N 420.421 4.530 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H](c1cccc(Br)c1)C(F)(F)F 750222119 FIGKDNRDCNUPIV-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 752557787 KDOODCKQTHAYTR-OAHLLOKOSA-N 422.412 4.893 5 20 HJBD Nc1c(Cl)cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1Cl 754632000 POWGVXJQSTYKTI-UHFFFAOYSA-N 423.025 4.638 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1cccc([N+](=O)[O-])c1Br 758972619 OWNWZHKJZWALSA-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 760070330 HWHVDCRQVYLWCL-YFWYWMRJSA-N 416.909 4.786 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)n2)cc1F 764159595 WMAAUGOZKWWKBH-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(O)/C=C\c1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 767089021 PVDKMKGAXJMLBB-JYRVWZFOSA-N 417.421 4.557 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])c2)CC1 770266215 SORMUCMBVLVUQY-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1Cl 775091729 ULIRAKDYYGVERM-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(F)cccc1F 777230932 HTZYUWHAJOZXHD-UHFFFAOYSA-N 424.425 4.561 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2ccc(Cl)cc2n1C 784064477 FHDJJWVIPFBVKA-NSHDSACASA-N 405.863 4.531 5 20 HJBD C[C@@H](OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 787140977 YPPACZKFUWNFKU-OASPWFOLSA-N 419.846 4.768 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1 800147263 PKEAAZJPYWGBPP-UHFFFAOYSA-N 403.410 4.571 5 20 HJBD CO[C@H](COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Cl)cc1 801247436 IKSMRIYDEOZURX-QGZVFWFLSA-N 418.858 4.916 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c2ncccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 801444065 PVBVECSLXOYNGM-LLVKDONJSA-N 424.800 4.764 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCc1cn[nH]c1 803263431 IPGPTDFVENKIGR-CXUHLZMHSA-N 420.450 4.602 5 20 HJBD C[C@H]1CN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC[C@@H]1NC(=O)OC(C)(C)C 809963685 XRLJHYOICPAUHW-NHYWBVRUSA-N 418.321 4.637 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 810076095 ULTYYNGWWHKOJJ-OAQYLSRUSA-N 407.426 4.585 5 20 HJBD CCOC(=O)C[C@@H](c1ccccc1)C1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 917092514 WZABFAPYJDOUQL-NRFANRHFSA-N 424.497 4.751 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Oc2ccc(Cl)c(C(F)(F)F)c2)c(Cl)c1 917857099 OYKNQQUTQNWLKI-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD C[C@H](CC(=O)N1CCCC[C@H]1CCOC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 918638850 VMBWNCVZKZDHEA-NQIIRXRSSA-N 424.497 4.717 5 20 HJBD CCn1ncc2c(C(=O)OCc3cc(F)ccc3[N+](=O)[O-])cc(-c3ccccc3)nc21 919046478 JBMHIQOOJBOJQR-UHFFFAOYSA-N 420.400 4.523 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 919368266 ROLROYJGKCNAPJ-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(-n2cccn2)c(F)c1 1117707201 WYBQGJZOUOXILD-LBPRGKRZSA-N 419.254 4.533 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)Nc2ccc(OC)cc2[N+](=O)[O-])cc1 1263646660 IHWCRJOBYQPCGS-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD O=[N+]([O-])c1cc2c(NC3C[C@@H]4CCC[C@H](C3)N4Cc3ccccc3)ncnc2s1 1330156330 LJPVRVOCWBZAJH-ALOPSCKCSA-N 409.515 4.597 5 20 HJBD CC(=O)c1ccc(NCc2cccc(NC(=O)c3cccc(F)c3)c2)c([N+](=O)[O-])c1 52489464 OQSLBEDYXOJJJJ-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 65511279 VVKSPYXISAHGOI-SFHVURJKSA-N 408.285 4.991 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1Cl 109253585 OWXXHTMHKFLGQS-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1nccn1C[C@@H](C)CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237407301 HROIBAHFBOIOGJ-HNNXBMFYSA-N 414.893 4.971 5 20 HJBD CN(CCOc1cccc(Cl)c1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303012266 JUNGXNJTGKQBIP-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD Cc1cc(C)cc(C[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)c1 426769203 GWAYTUPSGULXLR-IBGZPJMESA-N 410.495 4.726 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccccc1CN(C)C(=O)c1cccs1 429797616 WXRMRXLOPUNWTP-UHFFFAOYSA-N 411.483 4.549 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 429930327 UOSLEZJBOYONCA-UHFFFAOYSA-N 411.255 4.877 5 20 HJBD Cc1c(NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)cc(Br)cc1[N+](=O)[O-] 431184273 ZSKMYLGHSFVXSA-MNOVXSKESA-N 409.202 4.973 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H]3CCCN(c4ccccc4)C3)cs2)c1 433194855 ZJDMVXZWDPSSAN-KRWDZBQOSA-N 408.527 4.725 5 20 HJBD CC1(C)C[C@H](NCCc2nc3ccccc3n2C(F)F)c2cc([N+](=O)[O-])ccc21 433280572 CMRBHJYZQOEYSN-KRWDZBQOSA-N 400.429 4.894 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)[nH]c2c1 437246207 MPUGMDMHJXFICH-KRWDZBQOSA-N 418.375 4.776 5 20 HJBD O=C(c1cnc(-c2ccccn2)s1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440610687 MVBSLHZXIRPREE-UHFFFAOYSA-N 416.462 4.960 5 20 HJBD Cc1c(CS(=O)(=O)[C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 443436942 AGJMXKGLMWFAJR-CYBMUJFWSA-N 420.874 4.888 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444114173 VRKNHWQXNSAWAF-UHFFFAOYSA-N 421.447 4.582 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230970 AQXQJHKIAZIFPT-WMZHIEFXSA-N 416.521 4.825 5 20 HJBD O=C(Nc1cccc(Cl)c1O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444258624 UNKPQNANJVDILZ-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD O=C(Nc1ccc(NC2CCOCC2)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 445182399 MYYCILIPDRPJPN-UHFFFAOYSA-N 413.477 4.525 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 461308734 VLSDYEVMEUOTJC-OPAMFIHVSA-N 411.477 4.560 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1ccccn1)C2 462615190 KTZHJZMXINQZDL-SFHVURJKSA-N 416.481 4.717 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)c1C 462777599 UAUGXFOZURHKNF-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CNc1c(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cccc1[N+](=O)[O-] 462990808 ORVWECQZMXBWSN-UHFFFAOYSA-N 420.397 4.614 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3nnc(C(C)C)s3)c([N+](=O)[O-])c2)cc1 467787275 XWRQRGPWZSVJJU-UHFFFAOYSA-N 411.487 4.743 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2c(OCC)cccc2[N+](=O)[O-])c1 471058809 GMTHWPCIBCMUAQ-UHFFFAOYSA-N 408.458 4.909 5 20 HJBD Cc1cc(NC(=O)NC[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 473791861 YLSRJTDSSKPNPX-IFXJQAMLSA-N 420.872 4.510 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 474767926 ONOVNBUUQKUXAR-UHFFFAOYSA-N 408.458 4.656 5 20 HJBD CC(C)Oc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c(C(F)(F)F)c1 477115129 LXDAXPQTXNXMCZ-UHFFFAOYSA-N 400.328 4.721 5 20 HJBD C[C@@H](C1CC1)N(Cc1ccccc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 477389158 DTKPMNZGXVYUIQ-AWEZNQCLSA-N 422.403 4.977 5 20 HJBD CCOc1cc(NC(=O)Nc2cnn([C@@H](C)CC)c2C)c([N+](=O)[O-])cc1OCC 483505971 QNIBQBXDDDZFSD-LBPRGKRZSA-N 405.455 4.512 5 20 HJBD COCCN(C(=O)[C@@H]1CCCC1(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 483948352 RJCWVTJHXBDXGX-INIZCTEOSA-N 403.504 4.524 5 20 HJBD COc1cccc([C@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 485775939 ULMDHQKWHUKZRL-INIZCTEOSA-N 406.438 4.673 5 20 HJBD Cc1cccc2c1[C@@H](C)C[C@@H]2CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 485858681 AEJDBVYXBUJRJR-ZWKOTPCHSA-N 408.498 4.954 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3ccc(Oc4ccccc4F)c(F)c3)CC2)n1 489018966 XXJVHQKBHCYDEF-UHFFFAOYSA-N 414.412 4.699 5 20 HJBD CC[C@@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1c(Cl)cccc1[N+](=O)[O-] 491770773 ZLCSJQLUHSMDPW-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD COc1cc(CN(C)Cc2ccc(Cl)s2)c([N+](=O)[O-])cc1OCC(F)F 491938346 JJYWJCRRCUCVOM-UHFFFAOYSA-N 406.838 4.594 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 498242045 IZBPFURKPXMUOK-INIZCTEOSA-N 400.435 4.553 5 20 HJBD Cc1cc(CNC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 499446181 SNXJYXPLYHVBLL-CQSZACIVSA-N 415.877 4.738 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC[C@H]1/C=C/c1ccccc1 505365353 CFXQTCOLSWAKBW-DZZUKKPASA-N 401.260 4.675 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1C(F)(F)F 509107790 HHDSLQKSDAXNLV-CQSZACIVSA-N 421.419 4.707 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1 511829905 MTKKBLFTRQKLLH-IAGOWNOFSA-N 421.419 4.786 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](c3c[nH]c4ccccc34)C(F)(F)F)n2)cc1 513133959 RBLUFBQMGHHEOL-QGZVFWFLSA-N 417.347 4.519 5 20 HJBD COc1cc(NC(=O)CCSCc2ccc([N+](=O)[O-])cc2)c(OC)cc1Cl 513787048 SFEUFEPOIIBYPC-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD CC(C)(C)Cc1nc(-c2ccc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])cc2)no1 513838747 XHCCRUPXSNKCOG-UHFFFAOYSA-N 420.469 4.826 5 20 HJBD CO[C@@H](C)CCNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 514728930 DGERSTYQUPAOBU-LBPRGKRZSA-N 417.512 4.511 5 20 HJBD CC(C)c1ccc(CN(C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)cc1 514808599 PTJSSRGGHWLTQB-UHFFFAOYSA-N 404.470 4.709 5 20 HJBD COc1ccc(-c2csc3nc(-c4cccc([N+](=O)[O-])c4)nc(O)c23)cc1OC 514928523 ABCUSHOUKUTLHQ-UHFFFAOYSA-N 409.423 4.656 5 20 HJBD O=C(c1cccc(CSCCOc2ccc([N+](=O)[O-])cc2)c1)N1CCCCC1 520818199 YOACEVYCACRWRH-UHFFFAOYSA-N 400.500 4.533 5 20 HJBD CC(C)CCN1Cc2cccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2C1 524379078 QMFQSUMMMLOPHX-UHFFFAOYSA-N 422.529 4.809 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2cccs2)[nH]1 527173641 PEKBXJBPIFIBMU-UHFFFAOYSA-N 416.528 4.725 5 20 HJBD CSc1cccc(NC(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 536302283 LXLCPUDOVXTQIK-UHFFFAOYSA-N 415.496 4.844 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ncc1Br 537157251 VXEAEYJHRAGXND-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1)CC(C)C 538004201 IZLNAAUAWHWCBT-UHFFFAOYSA-N 410.946 4.860 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](CC(F)(F)F)c3ccc(F)cc3)n2)c1 539276225 LUAGIESPBHRKLO-OAHLLOKOSA-N 410.327 4.567 5 20 HJBD COc1cc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)cc(Cl)c1OC(C)C 544902782 XSOSGVYGXUXMLA-UHFFFAOYSA-N 403.822 4.685 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cncc(Br)c2)no1 545026069 YJMVPOJSSUOFLQ-VIFPVBQESA-N 407.249 4.656 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551013249 FYULWEWFOKKVPF-SNVBAGLBSA-N 420.309 4.993 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(N2CCc3nc(-c4ccccc4Cl)sc3C2)n1 554684316 XCFMJASSTODVRS-UHFFFAOYSA-N 400.891 4.946 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(C(F)(F)F)cc1Cl 562169161 QFTAKRZWBPHQRO-UHFFFAOYSA-N 401.772 4.973 5 20 HJBD Cc1nccn1-c1ccc([C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 573167635 RPQINVWRDCNYQG-CYBMUJFWSA-N 404.392 4.959 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)Cc1ccco1 585732544 UTJNEKAGHNALCZ-UHFFFAOYSA-N 400.431 4.648 5 20 HJBD O=C(NC[C@H]1CCN(c2ccc(Cl)cc2)C1)Nc1ccc([N+](=O)[O-])cc1Cl 604467793 KCWGWIOQCOQRAY-GFCCVEGCSA-N 409.273 4.550 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3[nH]ccc23)cc1[N+](=O)[O-])c1ccccn1 608861499 DMKILUFQUNIFEM-CQSZACIVSA-N 401.426 4.897 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2ccc([N+](=O)[O-])s2)c1 609218631 YZFTUNLAIJIYQC-UHFFFAOYSA-N 409.467 4.559 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 609467742 CPRCUPPGVQRUHI-GFCCVEGCSA-N 412.273 4.683 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609549076 FLASNQMZKFNNIX-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(-c2n[nH]c3c2CCCC3)c1 609792508 VJKGSMWSRHIIGX-UHFFFAOYSA-N 410.861 4.698 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1F 610051932 YYCBYEPMETTZSW-UHFFFAOYSA-N 418.808 4.765 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@@H](C(C)(C)C)C(F)(F)F)cc2[N+](=O)[O-])CC1 610252751 RGWNQSTUDKMLDI-KRWDZBQOSA-N 401.429 4.538 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(COC(C)(C)C)cc2)cc1[N+](=O)[O-] 610624676 TVLIDYBLFFGZHW-UHFFFAOYSA-N 418.515 4.790 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@H]3c3ccccc3Br)nc2c1 610676291 SGYDLUPEXHGNKL-INIZCTEOSA-N 402.248 4.836 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(OCC(F)(F)F)nc3)cs2)c1 611084002 FGYGCSIYWSYXJJ-UHFFFAOYSA-N 410.377 4.667 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc2c(c1)OC1(CCCCC1)O2 619566527 FSYWDXCBWAVNHP-UHFFFAOYSA-N 400.456 4.757 5 20 HJBD CC(=O)N(CCC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])Cc1ccccc1 726326933 RNKIIYOTRZMDNL-UHFFFAOYSA-N 418.449 4.606 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1sccc1Br 729120764 WWPZHCNMFPNMCC-UHFFFAOYSA-N 400.250 4.563 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)Nc1ccc(F)c([N+](=O)[O-])c1 730451619 BWAVOVIUZSSILG-UHFFFAOYSA-N 413.352 4.934 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 731139450 QYGDKPLMBLKINS-OAHLLOKOSA-N 409.417 4.702 5 20 HJBD CCCN(C(=O)COc1ccc(C=O)cc1[N+](=O)[O-])[C@@H](C)c1cc2ccccc2o1 731616038 YGRCLVKJVKVCCL-HNNXBMFYSA-N 410.426 4.532 5 20 HJBD O=C(CC[C@@H]1CCCCO1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 731959957 TWQAPAHXGDXBIH-KRWDZBQOSA-N 423.421 4.623 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1cc(Cl)ccc1[N+](=O)[O-] 732940520 UMMNTABXUAYDJM-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCCCCNC(=O)NC1CCCCC1 736158119 IPHHWJMXOSQHEY-UHFFFAOYSA-N 424.929 4.687 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1)C1CCC1 742000156 FGCPENPFPVMQFS-UHFFFAOYSA-N 407.514 4.678 5 20 HJBD CN(Cc1ccccc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)OC(C)(C)C 742530670 CGSSGPLCBKYQFN-KAMYIIQDSA-N 411.458 4.614 5 20 HJBD Cc1nn(C)c2sc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc12 745344735 IHWXEHXXWNGAHT-UHFFFAOYSA-N 404.879 4.562 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 750178091 PSZCCGJHDRPCOH-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1nc(-c2ccccc2)n(-c2ccccc2)n1 752978165 JEGQGUIFRFNPOG-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H]1CC[C@H](C(C)C)CC1 753040821 JBHKFHDHIISBSY-QAQDUYKDSA-N 414.506 4.844 5 20 HJBD CC(C)CC(C)(C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 753508522 LXHMFSITVXMNMP-NSHDSACASA-N 404.385 4.556 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cccc21 753777905 XKNJZAOWSBWRRG-FMIVXFBMSA-N 409.442 4.544 5 20 HJBD O=C(/C=C/c1ccc(Cl)nc1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 758157443 VTBRDPPIKPOZAL-VZUCSPMQSA-N 423.812 4.514 5 20 HJBD CS[C@H](C)CC(=O)O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 766915366 GTCXPRPAUSCKNP-XIKOKIGWSA-N 422.890 4.613 5 20 HJBD O=C(CSc1nnc(CCC2CCCCC2)o1)Nc1cc(Cl)ccc1[N+](=O)[O-] 768356576 VAGXUNKZTQKHGN-UHFFFAOYSA-N 424.910 4.875 5 20 HJBD C[C@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 776291198 JHEMSYCTRXSCHE-ZFWWWQNUSA-N 404.850 4.581 5 20 HJBD CC(C)(C)OC(=O)N1CC=C(CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 778199330 VYIJTOAUAPLIMH-UHFFFAOYSA-N 413.474 4.512 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(O)(c3c(F)cccc3F)CC2)o1 779195327 BSDRTHLCVVDVIL-UHFFFAOYSA-N 414.408 4.617 5 20 HJBD O=C(Nc1ccc2nc(S)oc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 780911268 BHIYOOMCSUIKRS-UHFFFAOYSA-N 423.400 4.642 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782480828 SQFGZMPMSWSXSV-LBPRGKRZSA-N 413.371 4.774 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 784257981 PXNBQJDAFQTQON-UHFFFAOYSA-N 407.879 4.716 5 20 HJBD CCc1[nH]ccc1C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 794846523 HEXAZCFTGASQFM-UHFFFAOYSA-N 413.817 4.610 5 20 HJBD Cc1c(COC(=O)c2nc3ccccc3cc2Br)cccc1[N+](=O)[O-] 808702097 AYODTALWIRRKTN-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 809971842 MDCAPZPAADPQCB-GFCCVEGCSA-N 408.285 4.879 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)cc1[N+](=O)[O-] 811379089 YCQFVYYFDOLMNM-UHFFFAOYSA-N 405.458 4.531 5 20 HJBD Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCc2cccc(OCc3ccccc3)c2)c1F 822305346 OUNBNRCPUJKCQO-UHFFFAOYSA-N 412.392 4.690 5 20 HJBD Cc1cccc(N2C(=O)/C(=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)NC2=S)c1C 917606896 IDEHZTYEEJMGSY-PDGQHHTCSA-N 419.462 4.734 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1csc(-c2ccco2)n1 1116476153 ULBCPKDCAJLRPH-SFHVURJKSA-N 407.407 4.653 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1cc2ccccc2s1)c1ccccc1[N+](=O)[O-] 14378896 WTIQOXQFYOLREL-MRXNPFEDSA-N 412.467 4.622 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3nnc4n3CCCCC4)cc2)cc1[N+](=O)[O-] 15839489 HWZCKGQSQYPFAO-UHFFFAOYSA-N 423.498 4.554 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 24221639 KRQYLXIIHBLWAK-INIZCTEOSA-N 420.469 4.636 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1csc(-c2ccco2)n1 25639395 VMSHJTVDSQUTBZ-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 26243286 HVQPNVZZULKUMM-UHFFFAOYSA-N 424.422 4.832 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CC2)c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 31136212 OWUPPGZQNZOMGA-UHFFFAOYSA-N 416.437 4.939 5 20 HJBD CC[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccccc1OC(F)F 31756743 ZSVBSFPGHDIPHA-HNNXBMFYSA-N 405.401 4.652 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 55155360 JDXWVYNMQZTICZ-MRXNPFEDSA-N 418.453 4.595 5 20 HJBD Cc1ccccc1OCCCN(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64933724 ORCQFZAENWZIOD-UHFFFAOYSA-N 411.483 4.568 5 20 HJBD Cc1cc(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 78610448 DTSWJLNLLROFAT-QGZVFWFLSA-N 413.232 4.563 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NC[C@@H](OC)c2ccccc2)cc1 117608881 BYWFBJXZNXSUAA-JOCHJYFZSA-N 422.437 4.513 5 20 HJBD O=C(CSc1nnc(-c2ccco2)n1C1CCCCC1)c1cccc([N+](=O)[O-])c1 216809527 NNEBNBGISRCJBO-UHFFFAOYSA-N 412.471 4.927 5 20 HJBD COc1ccc(-c2ccc(CNCc3nc(C(C)(C)C)cs3)o2)c([N+](=O)[O-])c1 237436547 UYIYCQQBCCRATK-UHFFFAOYSA-N 401.488 4.907 5 20 HJBD Cc1cccc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 303611240 YWWASQULGNBERV-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Br 326070882 MAJSGCGAXNXJLL-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 431340084 CAMXWIYOZUHGGA-IBGZPJMESA-N 413.440 4.535 5 20 HJBD COc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1Cl 435818865 ONPHZEPSXQMRMI-UHFFFAOYSA-N 414.795 4.874 5 20 HJBD COc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2cccnc12 436150478 TZFLBHMXICAZDC-UHFFFAOYSA-N 413.408 4.630 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC([C@@H]2CCCO2)CC1 439903485 QWLBFYLQPKWCKS-NRFANRHFSA-N 412.511 4.777 5 20 HJBD COc1ccc(Cl)c2c1N(C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 443910916 SIVFFLYTZALEKA-UHFFFAOYSA-N 414.767 4.869 5 20 HJBD COc1ccc([C@@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1Br 443952427 ZLRVCENQVZXBII-GFCCVEGCSA-N 422.279 4.865 5 20 HJBD CC(=O)Nc1ccc(C)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 444025799 VNOHFTBTVYKIIQ-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 446022815 ZBZDBUOTWSLLRG-UHFFFAOYSA-N 413.293 4.730 5 20 HJBD COc1cc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)c([N+](=O)[O-])cc1OCC(F)(F)F 462737378 VWDFDKRSHBFHLN-UMVBOHGHSA-N 402.413 4.603 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)Cc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 464536563 UMDKFQMJANYXFX-UHFFFAOYSA-N 410.392 4.650 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 468164074 JQQJHFMAWDAHSL-HNNXBMFYSA-N 420.469 4.788 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(F)c1Br 468283905 BAPLESOZBBTHKF-UHFFFAOYSA-N 417.231 5.000 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 468968532 OYYKAPUNCFTBEN-UHFFFAOYSA-N 419.359 4.763 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(OC(F)(F)F)c1 470013540 XVYYNXPJEJVOLT-LBPRGKRZSA-N 422.363 4.736 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 470230589 NIEULIQPGMTJRA-INIZCTEOSA-N 409.269 4.514 5 20 HJBD COc1ccc(OC)c([C@H]2C[C@@H]2C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 472802101 JAVYGQJCOHHWPD-CTNGQTDRSA-N 410.470 4.691 5 20 HJBD COCc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)o1 475195579 IBRNSTVWICCNSF-HNNXBMFYSA-N 413.352 4.722 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)cc1OC 476271688 ICRYHWUHUKAOTD-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD O=C(N[C@@H]1CCCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 477507330 XEJRPYQOFNJLEV-HXUWFJFHSA-N 422.406 4.906 5 20 HJBD CCc1cc(Br)ccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 477548469 BRLKCLBDMHNBKP-UHFFFAOYSA-N 403.236 4.582 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2ccccc2s1 479376153 MKOBUUMEJDTKHJ-UHFFFAOYSA-N 415.540 4.925 5 20 HJBD Cc1cccc(C)c1CCNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 482421231 BYCJUIWUCNLLLO-GOSISDBHSA-N 418.497 4.752 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC(F)(F)C(F)F)o2)c(Br)c1 483424510 FEDRGELHBXJHHK-UHFFFAOYSA-N 411.149 4.607 5 20 HJBD Cc1c(NC(=O)c2coc(-c3ccc(Br)cc3F)n2)cccc1[N+](=O)[O-] 485009046 NSZBNQLUTFVTRH-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)Nc2ccccc2)c1 485350576 TVIYXTUWYDARMN-UHFFFAOYSA-N 422.466 4.970 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 485917255 LQKPRGJYSSNRIG-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C(F)(F)F)ccc2NC(C)=O)c1[N+](=O)[O-] 486257337 ZEMACLFHPMBHRE-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD O=C(CCCc1nc2ccccc2s1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486688371 YKWWPNLHHFLRGV-UHFFFAOYSA-N 423.416 4.862 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)cc1[N+](=O)[O-] 487036751 WPIBFIVNMHGXEG-MRXNPFEDSA-N 423.494 4.693 5 20 HJBD COc1cc(OC)c(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1Br 490938323 DOJYQGMWAJLPMY-UHFFFAOYSA-N 423.263 4.552 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(Br)c(Cl)c1 492178459 LTVHLITTXAFOKB-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(OCc2ccc(F)cc2)c1 502113804 DDRXDZGGWPBPQH-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1ccc(Oc2ccccc2)cc1 505583862 ARDQQQWHPIHKNA-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](CC(F)(F)F)c1ccc(F)cc1 509332054 QSDDYZGFJMHWNB-QGZVFWFLSA-N 413.371 4.736 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)CCOc2ccccc2[N+](=O)[O-])cc1 515636921 VAYSIDCMBVBCLG-UHFFFAOYSA-N 420.465 4.601 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1OC 520222226 CNXMYERXPULCOS-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD Cc1ccc(F)c2c1CCCN2C(=O)Cn1c2ccccc2c(=O)c2ccccc21 520256846 HEAXJUHAXYDRQW-UHFFFAOYSA-N 400.453 4.582 5 20 HJBD CC(C)C[C@H](C)Oc1cc(CNC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccn1 520375893 MHLITAOIBQABCP-HOTGVXAUSA-N 417.531 4.600 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](CC(C)C)N[C@@H](C)c2ccccc2)c1 520394556 PYUKZQAPAKRURN-WMZOPIPTSA-N 415.559 4.812 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 521511604 TUABKHBQDYQJBZ-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ccc(OCc3cccnc3)cc2)no1 521908981 UMHWFAKPFPROHG-XFXZXTDPSA-N 400.394 4.789 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523505860 LZLAZVLKLHYPOO-SFHVURJKSA-N 409.530 4.563 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCCC2)C1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 531761067 KEZTVMPLTOWTGZ-HXUWFJFHSA-N 423.513 4.819 5 20 HJBD COCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc2o1 538271050 KECWPRFUHUQKCH-UHFFFAOYSA-N 401.444 4.635 5 20 HJBD CCc1ccnc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 540451205 CYLVMGFYWKFUGA-UHFFFAOYSA-N 410.499 4.979 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 542204950 HGYJKNFBJNOVQR-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1F 543437948 BSJPZKDXVOXOSQ-CQSZACIVSA-N 404.401 4.791 5 20 HJBD Cc1nc(COc2cccc(-c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)c2)cs1 546399029 AUMLDWZWVVXSPE-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD Cc1cc(Cc2noc(CCC(=O)c3ccc4ccccc4c3)n2)ccc1[N+](=O)[O-] 547266931 FZMDXPDFXWRVPG-UHFFFAOYSA-N 401.422 4.846 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2)cs1 553685786 KRMUKGNQBOBVLR-OAHLLOKOSA-N 424.526 4.972 5 20 HJBD COCCC[C@@H](CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(Cl)cc1 554245889 AZHIHBOBQULVBD-KRWDZBQOSA-N 419.909 4.600 5 20 HJBD CC[C@H](C)N[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 554632450 MCLKEWSFODLIDO-WMLDXEAASA-N 405.520 4.548 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 559361598 VYKLRNISLVQKOE-MRXNPFEDSA-N 415.449 4.540 5 20 HJBD O=[N+]([O-])c1c(N2CCO[C@H](c3cccc(OC(F)(F)F)c3)C2)ccc2ncccc12 561702419 VKLQMJQTPPXWER-SFHVURJKSA-N 419.359 4.620 5 20 HJBD COCCN(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 567556021 JVFQRTTUELOERQ-CABCVRRESA-N 424.419 4.953 5 20 HJBD O=C(CCc1ccc(OC(F)F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603477077 ZNDRAEZJIGMOJX-UHFFFAOYSA-N 419.409 4.891 5 20 HJBD CCC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 603813034 LNMYEFHCEJNXLK-UHFFFAOYSA-N 419.437 4.666 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2cccc(Br)c2)o1 603905619 LNBQEPGULNYRBB-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD Cc1ccc(-c2nnc(Sc3ccc(Br)cc3[N+](=O)[O-])n2C)cc1 608855782 SQIDCPSYZRAMDY-UHFFFAOYSA-N 405.277 4.612 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1cc([N+](=O)[O-])cc2c1OCCO2 608934115 QANJDZDBSQQBID-UHFFFAOYSA-N 403.605 4.579 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1Cl 609263682 RVSPUSSOEVFGMW-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609995528 ADWIOGDSGDIKDJ-OAQYLSRUSA-N 404.470 4.825 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1C 611204806 FTSOMSWOHJLOIM-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc2nc(O)[nH]c2c1 611477484 RKBDRDMHMAECAY-LBPRGKRZSA-N 414.487 4.560 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)cc1 611712203 XVKINELRHYDCKD-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD CC(C)(C)OC(=O)c1ccccc1C1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 615209761 GEOJJKUMPRSZMY-UHFFFAOYSA-N 410.470 4.570 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 725935508 LSFLRCFBPVJWBF-VXGBXAGGSA-N 406.385 4.571 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cnc(-c3ccccc3)s2)cc1SC 728651371 UBUHZPDJONQNOI-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c(C)c2)c1 729831472 LVXAVQRZILWIQD-UHFFFAOYSA-N 424.428 4.947 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])CC2)cc1 731990620 HKAJFDIVFLARNL-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cc1NC(=O)C(C)(C)C 732153704 FWJKBKGSYIHXST-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD CCCCc1nc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 733474452 NOZKOHYZKPNPMF-UHFFFAOYSA-N 403.485 4.874 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cc(C(C)C)no2)c2ccccc2)c([N+](=O)[O-])c1 733831922 VESLAIKXRVXTLJ-HXUWFJFHSA-N 423.425 4.551 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](O)c2c(F)cccc2Cl)s1 741255360 SRPGYOIXFVCPPN-KRWDZBQOSA-N 406.866 4.939 5 20 HJBD Cn1cc(-c2cccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)c2)cn1 741549570 WVEROFVBDBFSRL-UHFFFAOYSA-N 410.861 4.706 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ncc(-c3ccccc3Br)o2)c1 745389443 JSPXHPWGLQZHQK-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 749383567 GUJNQZMFWWONEG-UHFFFAOYSA-N 405.458 4.591 5 20 HJBD O=C(NCc1coc2ccccc12)c1cc(Br)cc([N+](=O)[O-])c1Cl 750880013 OOPZAIVEAUSXBL-UHFFFAOYSA-N 409.623 4.687 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)cc21 751112840 QKMUWZXQKICGNC-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD C[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 751334099 FEYXDXFUBTYCLR-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD Cc1cc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)nc(-c2ccc(Cl)cc2)n1 751742914 VAIISXCFHNWCPC-UHFFFAOYSA-N 419.771 4.649 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc[nH]1)c1ccc(N(C)C)cc1 757982510 CBWZRCADLWOMFG-INIZCTEOSA-N 424.526 4.703 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@@H]1C[C@@H](O)c1ccco1 759181406 OHHDUUFQEYOXKJ-CXAGYDPISA-N 407.854 4.741 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](OC1CCCC1)c1ccccc1 760509884 HQGCOZBWDIIASE-NRFANRHFSA-N 422.437 4.994 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1c(C(F)(F)F)ccnc1Cl 763196546 IGESRMFZXCSJLS-UHFFFAOYSA-N 411.670 4.516 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2cccc(C)c2)o1 765524693 BTMPVFGXSFYMQB-NVXWUHKLSA-N 409.442 4.826 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@@H]2CCOC3(CCOCC3)C2)cc1[N+](=O)[O-] 765688884 QMSBKINKQGVLDX-QGZVFWFLSA-N 423.531 4.618 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 767081990 AAPNGSPOTDVRPP-CABCVRRESA-N 414.458 4.890 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cnc(Cl)c(Br)c2)cc1[N+](=O)[O-] 767901109 AOBOSQDMRWOKCY-SECBINFHSA-N 413.659 4.597 5 20 HJBD C[C@@H](Oc1cccc2ccccc12)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 769345829 ASLDMUSYCFZGEA-OAHLLOKOSA-N 418.405 4.914 5 20 HJBD Cc1ccc(N2C(=O)[C@@H](c3ccc(Cl)cc3Cl)N(C3CC3)C2=O)cc1[N+](=O)[O-] 771791078 JJGAMWVDSCHULQ-QGZVFWFLSA-N 420.252 4.882 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)Nc2cccc3ccccc23)c1[N+](=O)[O-] 777073623 MDVQHYRVCVVZNA-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD CC(C)(C)Oc1cccc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 781260802 ZLJCUPPTUBBEEW-UHFFFAOYSA-N 402.450 4.611 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cccc(COc2ccccc2)c1 781623590 DXUAZNPQQOGVMZ-UHFFFAOYSA-N 421.409 4.653 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1noc(-c2ccccc2)n1 784654996 DWEMYSAYDIFYRB-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(C(=O)N3CCCCC3)c(Cl)c2)c1F 790456647 NEVLIORDQLHYPL-UHFFFAOYSA-N 419.840 4.574 5 20 HJBD CC[C@@H](Cc1ccccc1)C(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791246727 YVWMVAKJKJXPIX-HNNXBMFYSA-N 417.303 4.511 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C)C(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl 795247612 LLLJWNHGSNCGIZ-SNVBAGLBSA-N 401.221 4.639 5 20 HJBD O=C(OCc1nccn1C(F)F)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799553768 QNPIYGCQJYEYRW-UHFFFAOYSA-N 405.382 4.695 5 20 HJBD O=C(Nc1cccc2c1COC2=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 808476040 KCOADEFGQWVJCM-UHFFFAOYSA-N 424.796 4.963 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCc1cccc(Br)c1 812210577 LQEVVGXBBJTJCP-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD CCC1(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCN(C(=O)c2ccccc2)CC1 813246427 KOINUWOGKAHGFL-UHFFFAOYSA-N 415.877 4.519 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc2ccc(Cl)cc2[nH]1 815569891 KYIILXDLMCFICR-MRVPVSSYSA-N 412.755 4.634 5 20 HJBD O=C1/C(=C/c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC(=S)N1C1CCCCC1 817935396 NPVGSXRESHWWBO-ATVHPVEESA-N 413.524 4.714 5 20 HJBD CON(C)S(=O)(=O)c1ccc(NCc2c3ccccc3cc3ccccc23)cc1 863993419 OBWXGUMQDTXQPK-UHFFFAOYSA-N 406.507 4.787 5 20 HJBD COc1cc(COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc(Cl)c1OC(F)F 892076059 RNXSZIIFJSGIEF-DAXSKMNVSA-N 413.760 4.615 5 20 HJBD O=C(CCc1nc(-c2cccnc2Oc2ccccc2)no1)c1cccc([N+](=O)[O-])c1 904452294 LWQNXFGMVWYKQB-UHFFFAOYSA-N 416.393 4.648 5 20 HJBD O=C(CCc1ccc(Cl)cc1Cl)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914800408 CHNBPMOHMXRULS-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD Cc1ccccc1-n1c(SCc2cc(F)ccc2[N+](=O)[O-])nnc1-c1cccnc1 915790845 HOOPIOSTAMSDPY-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD Cc1cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])ccc1N1CCCC1 915832623 PNWFLBGEPBFKDL-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD Cn1c(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)nc2cc(Cl)ccc21 915994807 UVXSNCCVLLBFGD-UHFFFAOYSA-N 411.270 4.519 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 921154523 VDWGGDMPMOHWHW-CQSZACIVSA-N 415.877 4.824 5 20 HJBD Cc1ccc(OC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2c1[C@@H](C)CC2=O 1115898899 YBAOPNSUEMKQGX-RYUDHWBXSA-N 403.818 4.619 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 1116823605 GMRBOJFFVAUKRD-UHFFFAOYSA-N 400.307 4.762 5 20 HJBD COc1ccc(Cc2nnc(COc3ccccc3-c3ccccc3)o2)cc1[N+](=O)[O-] 1323868593 RERKMZYESSGIPY-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD O=C(c1cccs1)C1CCN(Cc2c(Br)cccc2[N+](=O)[O-])CC1 1335431837 HZYVKMZUWSATRQ-UHFFFAOYSA-N 409.305 4.514 5 20 HJBD CC1=CC[C@@H](C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CC1 1785750777 QZYUCLICORZFTB-MRXNPFEDSA-N 423.469 4.682 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2)c1 5020702 HCMKMIUXMWNZPM-LJQANCHMSA-N 410.451 4.810 5 20 HJBD Cc1ccccc1-c1nnc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)o1 10015593 ZYEXIXKLYLVTJD-NSHDSACASA-N 418.862 4.726 5 20 HJBD Cc1ccc(Oc2ccc(Cl)cc2NC(=O)COc2cccnc2[N+](=O)[O-])cc1 11645292 AACSESLPIHINCR-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD CCCc1cc(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)sc1C 12175580 SUTDURHJMYBVBV-JTQLQIEISA-N 410.879 4.755 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(-c2ccc(Cl)cc2)o1 26368409 YUZPBXITORJDRM-UHFFFAOYSA-N 418.862 4.728 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)c1 32677738 PTPLMZJBMWZEDZ-OAHLLOKOSA-N 423.494 4.782 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 47345702 LYUOTPPFNPLRJJ-UHFFFAOYSA-N 411.458 4.623 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64894185 OPOIQLBRGCEWHA-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@@H](C)c2ccccc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 97166848 GRYAVUGCVWFOMD-LBPRGKRZSA-N 403.822 4.831 5 20 HJBD C[C@@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1ccc([N+](=O)[O-])cc1 255940600 CAFOTYDFUFFPND-LLVKDONJSA-N 414.512 4.598 5 20 HJBD CCc1ccc([C@H](Nc2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 301471544 PJLZMQMGBFHJHN-QFIPXVFZSA-N 410.495 4.762 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccccn1 409484657 GQOAACBRHQQJEZ-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD CC(=O)Nc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1F 433975082 DMXXXISYEVVYBC-UHFFFAOYSA-N 409.373 4.737 5 20 HJBD CC(=O)Nc1cc(Cl)ccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436425420 QOVJTSFBDRCRIW-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD COCc1cccc2sc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)nc12 437358322 WCPZBWBXJGJHOY-UHFFFAOYSA-N 411.361 4.622 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(Oc2ccccn2)c1 437481728 ZKHDYCLYGBNORO-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD Cc1c(NC(=O)[C@H](C)NCC(C)(C)c2c(F)cccc2Cl)cccc1[N+](=O)[O-] 439636086 BTLWJFQIHWETIG-ZDUSSCGKSA-N 407.873 4.590 5 20 HJBD Cc1c(C(=O)N2CCN([C@@H](C)c3ccccc3[N+](=O)[O-])CC2)sc2ccccc12 441441126 BNBHZKJZDFIHHH-INIZCTEOSA-N 409.511 4.637 5 20 HJBD CCc1ccc(-c2ccc(C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)o2)cc1 443823848 IXUNYICQPAIMGC-UHFFFAOYSA-N 408.410 4.831 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H]2CCC[C@@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 443900014 VTIFAGYCFXHQEX-IEBWSBKVSA-N 412.486 4.719 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H](Oc2ccccc2Cl)C1 443932066 XPFAJQPERMQGCZ-HNNXBMFYSA-N 403.866 4.940 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccc(F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 446887227 PPHCPSGJJZEKTC-JOCHJYFZSA-N 417.396 4.507 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H](C)CN(C)c4ccccc43)cc2[N+](=O)[O-])CC1 460327558 AXNFCWCQKOIPRS-GOSISDBHSA-N 422.529 4.564 5 20 HJBD CC(C)[C@H](CNC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465654808 IEBYPMUAKNXPKH-QFIPXVFZSA-N 411.502 4.587 5 20 HJBD C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO[C@H]1C1CC1 466848787 YIWTVLAYIMMPPT-UNMCSNQZSA-N 412.511 4.681 5 20 HJBD CC[C@H]1CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 470002509 GJTYTIUBXRHSFS-RDJZCZTQSA-N 414.527 4.981 5 20 HJBD CCOc1cc(NC(=O)C[C@@H](C)Cc2cccc(F)c2)c([N+](=O)[O-])cc1OCC 470826031 MZPFOHVMKSJEEE-AWEZNQCLSA-N 404.438 4.739 5 20 HJBD CC(C)(NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1 474508763 AMOMKAMITIWLCW-HXUWFJFHSA-N 424.888 4.967 5 20 HJBD COc1ccc([C@@H]2CCN(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)C2)cc1 475209442 DDTJSSUVBASUFM-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 475862732 BUVXNRBHNUVMJI-YWZLYKJASA-N 420.868 4.556 5 20 HJBD COc1c(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 476171305 XYFMVHLPOXQQJV-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cn1 481335630 ZESIPYSAMOJNDH-MRXNPFEDSA-N 423.469 4.959 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(O)(Cc4ccc(F)cc4)CC3)o2)c1 482286488 VRUSYVMHGIEIDY-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3cc(Br)cc(F)c3F)co2)cc1 485484847 CZNAPCBSMJQQRD-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C1CC1 485573414 VJRRPOSEAQFTGJ-QFIPXVFZSA-N 418.497 4.646 5 20 HJBD O=C(NCC1(c2cccc(Br)c2)CCC1)c1c(Cl)cccc1[N+](=O)[O-] 497644528 BAZZXPRDPRXWPW-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cccc(Cl)c2n1 505428244 KMFOHFDJRUQRII-UHFFFAOYSA-N 417.874 4.787 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1 509486511 YHAKLDGXSFRNEV-CQSZACIVSA-N 401.316 4.558 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCO[C@@H]1c1ccccc1 509751361 PWSKCLQMKJZTJP-RBBKRZOGSA-N 411.502 4.683 5 20 HJBD CC[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 510743813 BGJXKYUWUFEUJK-KOLCDFICSA-N 403.287 4.998 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 514031169 PSJDUYYMBWWUQO-UHFFFAOYSA-N 415.377 4.919 5 20 HJBD CC(C)Oc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cn1 517537208 JWCIFSONERZJKU-UHFFFAOYSA-N 411.433 4.998 5 20 HJBD COc1ccc(CN[C@@H](C)Cc2ccsc2)cc1OCc1ccc([N+](=O)[O-])cc1 518263336 LNCNMBPZNOGXQT-INIZCTEOSA-N 412.511 4.965 5 20 HJBD COCCOc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(C)c1 518277592 HDIHCXBUCYRETN-UHFFFAOYSA-N 421.453 4.924 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ncc(Cc3cccc(C)c3Cl)s2)c1[N+](=O)[O-] 520279707 PTBPPYUMHQVRGG-UHFFFAOYSA-N 419.894 4.532 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@H](c2cccc(Br)c2)C1 522549702 HGNROWUZXDKUKT-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD COc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)[C@@H](C)C2CC2)cc1 524006345 AAAIJWRXUUQIIM-AWEZNQCLSA-N 402.878 4.627 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1Cl)c1ccco1 534966570 CIUCRNHKFMDJPX-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 536190007 BPYDWKDCZZGIHC-UHFFFAOYSA-N 401.422 4.933 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1 539843912 IYUKHUPOQYCVCZ-UHFFFAOYSA-N 409.486 4.835 5 20 HJBD COc1cc(CNCc2ccc(Cl)c(C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 544621603 AOSYLQXJFATQOA-UHFFFAOYSA-N 418.799 4.796 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1 549500739 OZFQXLLAFLUMMT-OAQYLSRUSA-N 424.888 4.617 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc21 549623365 NGAPDYMLWCHFFD-WQTVUKCASA-N 409.467 4.648 5 20 HJBD CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)c1csc(-c2c(F)cccc2F)n1 556160966 USOMHYIZWIQKSP-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCCc3nccs3)o2)c(Br)c1 561977299 JWNFONMOVMMOSF-UHFFFAOYSA-N 422.304 4.796 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 566785121 DLJWIORACIUWMU-UHFFFAOYSA-N 412.490 4.594 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@H](c1cncc(Br)c1)C1CC1)CCC2 570668840 JXQZOIOISNAEPV-MOPGFXCFSA-N 402.292 4.871 5 20 HJBD COc1c(C(=O)N2CCC(c3ccc(Cl)cc3Cl)CC2)cccc1[N+](=O)[O-] 573499912 MNZPYTHFXIEVFW-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)nc2c1CCCC2 585708990 HDOVUTBUAHJJTB-UHFFFAOYSA-N 420.494 4.976 5 20 HJBD O=C(Nc1cncc(Cl)c1Br)c1cc2cc([N+](=O)[O-])ccc2s1 589015267 CRSUOUGJQRZSKS-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])N1Cc2ccccc2[C@H](c2ccccc2)C1 603904848 RJRXVHQTNXUFRR-FQEVSTJZSA-N 422.868 4.801 5 20 HJBD CC(C)(CCNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1 604376595 CPUMFKBZHPXPNK-UHFFFAOYSA-N 410.392 4.634 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 608849991 NMKTYLIZXMJXFT-JTQLQIEISA-N 403.485 4.641 5 20 HJBD Cc1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cccc1OCC(F)(F)F 609022671 XJGFQNZAZRVXCF-UHFFFAOYSA-N 409.364 4.671 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CCC2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 609645322 DJHKCSACFTZQQK-LBPRGKRZSA-N 400.422 4.684 5 20 HJBD CCC[C@H]1SCCN1C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 609851391 DRFLRVIVLJKCFW-OAHLLOKOSA-N 409.558 4.826 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Oc3cccc(C(F)(F)F)c3)cc2)c1[N+](=O)[O-] 610052272 UBGUZDIMMUIFHL-UHFFFAOYSA-N 420.347 4.700 5 20 HJBD CC(C)(C(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1ccc([N+](=O)[O-])cc1 610063275 MAGHKFYJBGDAMO-GOSISDBHSA-N 423.296 4.779 5 20 HJBD COc1cc(CN2CCC(Nc3cccc(C)c3)CC2)c([N+](=O)[O-])cc1OC(F)F 610161728 VFDKZWGDQHBJKZ-UHFFFAOYSA-N 421.444 4.590 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 611195365 SSUOULLYELEIGO-UHFFFAOYSA-N 401.426 4.597 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 613229233 OCPLPZCTPNRWRL-LSWJPFSZSA-N 410.514 4.790 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1cccc(F)c1F 726497913 BCBJSAMBGKKPJC-UHFFFAOYSA-N 423.327 4.866 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])ccc21 726510507 ARIFFQSZFFMAFD-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)NC(C)(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 728849175 DNOKUNCQUWBJBY-UHFFFAOYSA-N 405.410 4.505 5 20 HJBD CSc1ccc(Cl)c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735445643 PIDZIHRXVNEABO-UHFFFAOYSA-N 404.831 4.982 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751622926 DGLPERXRNWHZNF-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 752056898 WEVQIFAITGTCBB-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD CS[C@@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)C(C)(C)C 752874661 FVQUNLIZWQEOJX-NSHDSACASA-N 409.733 4.518 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1 755199153 BDTCIFMHNNEUGL-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD Cc1nc(-c2cccc(C(=O)O[C@@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)c2)cs1 759950786 QRELGEOETWBIFC-QZTJIDSGSA-N 412.471 4.564 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 764196848 JFVVRZFXYAXXNT-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD O=C(NC[C@@H](O)c1ccccc1Cl)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764337504 QCMJLQLRBUVUOG-GOSISDBHSA-N 420.918 4.746 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1CCC[C@@H](C(F)(F)F)C1 776140553 ZSGPMMDWJVDONB-DDUZABMNSA-N 408.376 4.991 5 20 HJBD O=C(Nc1c(Cl)ccc2nsnc12)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 776964672 FCATXSFEKLELAV-UHFFFAOYSA-N 402.741 4.524 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(CCC(F)(F)F)cc1 781697973 PFQZVOXCIWTCGY-UHFFFAOYSA-N 411.336 4.569 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 781981561 YOWCLHADVPRINO-LGBHXNNWSA-N 412.255 4.511 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809966313 XEOOBGDSCYJTBM-RYUDHWBXSA-N 418.321 4.637 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 825187958 JUNCKZFHQKZATH-JTQLQIEISA-N 405.769 4.664 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4c(Cl)cccc4Cl)no3)n2)c(F)c1 904305966 MEZFURBFBWTTTC-UHFFFAOYSA-N 420.187 4.943 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 917782508 LFBLYCCCAIFSAY-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 918554327 QZGFNRYYAUABNL-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD CC(C)(C)c1ccc(C2(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 1115840439 CCCSGMYUHXKWBP-UHFFFAOYSA-N 421.453 4.717 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(Br)cc3Cl)o2)cc1[N+](=O)[O-] 1322950410 TWNRWQJEYZIVRO-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@]1(CO)CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 1324005151 SPGZOBJCIKRAHO-FQEVSTJZSA-N 420.918 4.634 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 10926176 BTAMEOJRGVASSE-LBPRGKRZSA-N 422.372 4.559 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3cc(Cl)c4c(c3)OCCCO4)c1)OCOC2 19223424 RHQCTIKNYKDBRB-UHFFFAOYSA-N 423.874 4.709 5 20 HJBD CCn1c(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])nnc1-c1ccco1 22061343 DWUMDOPHUKVECF-UHFFFAOYSA-N 420.450 4.848 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 104959731 WWJMGTWHRCGUAU-FQEVSTJZSA-N 409.486 4.571 5 20 HJBD CC(C)OCc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 145866443 DRCWYRZMWIAJGF-UHFFFAOYSA-N 412.364 4.713 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1)c1ccncc1 301459411 YHXLYVODRNHQIQ-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NCc2cccs2)c1)c1csc([N+](=O)[O-])c1 438533875 NCSZNGHNRYHUNH-UHFFFAOYSA-N 421.887 4.554 5 20 HJBD Cc1csc(C2(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CCCC2)n1 444015005 ZJDXMHTVDXMZIX-UHFFFAOYSA-N 403.507 4.646 5 20 HJBD CCO[C@@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444080924 BVGIPTUNFQNTPY-DHIUTWEWSA-N 418.537 4.881 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444104770 ZBNNAYAVPUMRPO-HOCLYGCPSA-N 418.443 4.978 5 20 HJBD CCn1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2cc(Cl)ccc21 444282886 CIQVEQYCVOEXFQ-UHFFFAOYSA-N 416.868 4.957 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N(C1CC1)[C@@H]1CCc2ccccc21 446021293 PCXBDJDMSFSYBD-LJQANCHMSA-N 415.287 4.578 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC1(c2cccc(C(F)(F)F)c2)CCOCC1 446826894 LJJPFJDHPOTWTF-XQRVVYSFSA-N 406.404 4.922 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCN(C)c2ccc(Cl)cc21 460323578 WBFLTHPFLUGVND-CYBMUJFWSA-N 405.907 4.602 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 462689045 CSADKEXWZHGQCA-INIZCTEOSA-N 410.495 4.758 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 462995664 WZWSUAJGRQKBPC-QGZVFWFLSA-N 423.444 4.806 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(F)cc2n1C 464431797 YYDHGPCJIKFQFQ-UHFFFAOYSA-N 402.451 4.621 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cc(Cl)ccc2OC[C@H]2CCCO2)c(Cl)c1 466473696 MFENFMFXYXRRJA-QGZVFWFLSA-N 411.285 4.749 5 20 HJBD C[C@H](NC(=O)c1ccc(Sc2ccccc2Cl)nn1)c1cccc([N+](=O)[O-])c1 468597955 QGFYVHLVWSRAPR-LBPRGKRZSA-N 414.874 4.680 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3nc4ccccc4cc3[N+](=O)[O-])o2)c1 473510408 FELMSBMGMYEGMV-UHFFFAOYSA-N 408.439 4.873 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H]2C[C@H]2c2ccc(Br)s2)cc1[N+](=O)[O-] 474508689 FMUGPDLIPZITIZ-CYZMBNFOSA-N 424.320 4.644 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccnc1-c1ccc(Cl)s1 474514225 MHPVJJBWLSYTNF-UHFFFAOYSA-N 412.858 4.965 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Br)cn1 475508179 MKORVADDNYPUBE-NSHDSACASA-N 419.304 4.727 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cs1 477695239 RAQQNBQDMPPFEQ-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1 480509769 DOVVFTWKFDKNEV-UHFFFAOYSA-N 409.233 4.752 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 483662545 YVENREUKUQBRIT-ILZDJORESA-N 406.486 4.631 5 20 HJBD CCCCOC1CCN(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CC1 485930608 WKJJOXQYYNNASK-UHFFFAOYSA-N 412.486 4.595 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(C(=O)N2C[C@H](C)C[C@@H](C)C2)ccc1C 488025499 UERPNYBWTQYZDI-HZPDHXFCSA-N 411.502 4.642 5 20 HJBD C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](c2cccc(C(F)(F)F)c2)O1 488703616 XEZTWVSRHVSVPW-ZUZCIYMTSA-N 401.772 4.628 5 20 HJBD O=C1CN(Cc2ccccc2)[C@H](c2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)N1 489125918 AMANPBZFSDZCBF-JOCHJYFZSA-N 423.856 4.671 5 20 HJBD Cc1ccc([C@@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)cc1 490381036 VYMXVWSZGMLAPD-OAQYLSRUSA-N 404.470 4.622 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 490732350 KXASRGIYSJLAIP-INIZCTEOSA-N 410.396 4.711 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCSCc3ccccc3F)cs2)c1 500294287 ZLFCDPKWCZFPEQ-UHFFFAOYSA-N 403.504 4.880 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3sccc3[C@@H]2C)cc1[N+](=O)[O-])c1ccccn1 506060902 XYBQIYNJXYZTQI-GJZGRUSLSA-N 422.510 4.984 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 512766219 HDQNMNPBIHOSCD-UHFFFAOYSA-N 417.343 4.958 5 20 HJBD C[C@@H](NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 513731745 ZRQQDXSIONAFKK-SECBINFHSA-N 404.291 4.706 5 20 HJBD Cc1cccc(COc2cccc(NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)c2)c1 517691195 ASFJLYWDMDLQHI-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(C)c1 519977197 QOOUIGCMRNQQGW-OAHLLOKOSA-N 411.502 4.981 5 20 HJBD CC(C)(C)[S@](=O)Cc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 522548643 DTPBKPUTNRVKBV-HHHXNRCGSA-N 409.895 4.939 5 20 HJBD C[C@@H](Cc1cccs1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 524455404 UTFRPYBMROCYIJ-GJZGRUSLSA-N 410.499 4.585 5 20 HJBD COc1cc([C@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccncc1 532475028 QURVHVTTWZWGPM-IRXDYDNUSA-N 407.470 4.989 5 20 HJBD CC(=O)Nc1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C(F)(F)F)c1 536301832 FUILUTCGGSZIRW-UHFFFAOYSA-N 420.347 4.839 5 20 HJBD O=C1[C@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCCN1c1ccccc1 536303725 DYBMBBMWZGZQEC-LJQANCHMSA-N 409.467 4.679 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C 536303822 HNLGIBBBMRWAMK-UHFFFAOYSA-N 413.455 4.513 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Nc1c(F)cc(Cl)cc1F 536991289 ICWYFDMNXNVXBD-UHFFFAOYSA-N 417.604 4.634 5 20 HJBD COc1ccc([C@H](CO)N(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 537624015 GXZMSAKUXOJJJO-FQEVSTJZSA-N 416.861 4.682 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)N(C)C)c1 539296669 BTIGCTMNDXCNOY-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD CSc1ccc(C)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 540541845 OGPBTPPDFKKUFP-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)cc1[N+](=O)[O-] 542029923 ZMKAUYVHKRSKBZ-UHFFFAOYSA-N 418.453 4.862 5 20 HJBD CCCN(c1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1cc2ccccc2o1 542088414 IOLQGLZASUKQDJ-GFCCVEGCSA-N 408.501 4.784 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2cccc(Br)c2)CC1 547229524 KZFRRJLREYORQU-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD C[C@@H](Nc1ccccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 549711535 TWHWZFIJRYXAFJ-CQSZACIVSA-N 401.426 4.897 5 20 HJBD COc1ccc(Cl)cc1N(C)C(=O)c1cc([N+](=O)[O-])cc(Br)c1C 550321279 PNLSLEXATUYTBE-UHFFFAOYSA-N 413.655 4.604 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCC1(F)F 564545002 MAXAOWNSXRDSPZ-ZDUSSCGKSA-N 423.847 4.978 5 20 HJBD O=C(N[C@@H](c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)C1CC1)c1ccccc1 573856296 VGXHNPUHEYLGJY-GOSISDBHSA-N 420.450 4.741 5 20 HJBD COc1ccc(-c2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)c(OC)c1 574190095 WYWHDEVKFAVESX-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H](C)c2ccc(Br)cc2)c([N+](=O)[O-])c1 589793703 RPBZGXSLOXPAPP-LBPRGKRZSA-N 406.280 4.669 5 20 HJBD COc1ccc2c(ccn2CC(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 603733173 DEHZIJYRRLJOTE-UHFFFAOYSA-N 422.466 4.629 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)CC2)cc1 603814391 CWEDSXXQYAIRPE-UHFFFAOYSA-N 423.513 4.976 5 20 HJBD O=C(NC1CC1)c1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 608837047 MJOWYSXOBPIDBG-UHFFFAOYSA-N 407.495 4.505 5 20 HJBD COc1ccc(CC(=O)Nc2cc(CC(C)(C)C)nn2-c2ccccc2)cc1[N+](=O)[O-] 609012245 RKYHGHVRECBLBC-UHFFFAOYSA-N 422.485 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](c1ccccc1)c1ccc(F)cc1 609484532 ZNGLYGJKUPLESG-QHCPKHFHSA-N 407.445 4.750 5 20 HJBD COc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1Br 610037867 NNVZXYCJSDNZLE-LBPRGKRZSA-N 421.291 4.521 5 20 HJBD O=C(NCCOc1cccc(Cl)c1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291135 TUIRQEHMWMOPJZ-UHFFFAOYSA-N 423.174 4.729 5 20 HJBD C[C@H](OC(=O)c1cc(N2CCCC2=O)cc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 728240892 UUAJCCJKIFZDDJ-LBPRGKRZSA-N 422.359 4.658 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3cccc(C(F)(F)F)c3)o2)cc1 730816703 DCUQULUGMDCWAY-GOSISDBHSA-N 406.360 4.697 5 20 HJBD O=C1[C@@H](Nc2ccc(OCc3ccccc3F)cc2)CCN1c1cccc([N+](=O)[O-])c1 731496687 RVFPZOZDLUUVQB-QFIPXVFZSA-N 421.428 4.530 5 20 HJBD C/C(=C\C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745481906 BLSOLELZLHLMOX-RSKUSDAESA-N 422.359 4.587 5 20 HJBD CC(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)n(C)n1 747400892 XSCSGZKMWJLRHC-UHFFFAOYSA-N 410.499 4.930 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)N[C@H](c1ccccc1)c1cccs1 747810255 MPHRSOJPZKHBNP-LJQANCHMSA-N 402.859 4.594 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3cccc(Cl)c3[N+](=O)[O-])CC2)c(C)c1 749905963 DFBSIAIIMWEWJO-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(C)c1 751645408 XXTMBDOHAAVVSI-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 754372124 OSIAGWJZRKKAMM-NSHDSACASA-N 407.289 4.746 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc2c(c1)OC1(CCCC1)O2 757189419 JAXIPVLRDVFJNJ-UHFFFAOYSA-N 403.822 4.611 5 20 HJBD Cc1cc(Cc2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)ccc1[N+](=O)[O-] 762297429 IGALLJRMHGGQSN-UHFFFAOYSA-N 423.410 4.576 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)OCCc1ccccc1[N+](=O)[O-] 762710105 HNLQXIYXMJWSBL-UHFFFAOYSA-N 402.859 4.700 5 20 HJBD CSc1cccc(C(=O)Nc2nc(COc3ccccc3)cs2)c1[N+](=O)[O-] 776744911 WBAPMQVMSGOZHM-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)cs1 777988261 BIXPSEXFHWZDEX-LBPRGKRZSA-N 403.410 4.688 5 20 HJBD COc1cccc(CN(Cc2ccc([N+](=O)[O-])c(Cl)c2)C2CCC(O)CC2)c1 783556461 CMTGBAPKXRQWRL-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3cc([N+](=O)[O-])ccc3N)s2)cc1 787023252 YRNARESWHQXEAX-CQSZACIVSA-N 410.499 4.751 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)Nc1ccccc1SC(F)F 795263648 FOBOUZKAWJESGT-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD Cc1cccc(C(=O)N2CCCC2)c1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812902522 KZQGNHXZNJBVIC-UHFFFAOYSA-N 422.268 4.698 5 20 HJBD CC(C)[C@@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 813889581 HVWDXXLKUWREIZ-OAHLLOKOSA-N 404.467 4.526 5 20 HJBD Cc1c(NCc2c(Br)cccc2[N+](=O)[O-])cccc1C(=O)N1CCCC1 864028876 KEXGNEUCCHXXQR-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD Cc1cc(C)c2c3c(sc2n1)C(=O)N[C@H](c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N3 877843773 BWLSRYOGYHCYLY-OAHLLOKOSA-N 423.281 4.982 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1-c1nc(-c2ccc(Br)o2)no1 904630134 SLJBLXSTCZKSHD-UHFFFAOYSA-N 404.098 4.686 5 20 HJBD COc1ccccc1/C=C(/C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 914729208 YOWOMTMHLGOYDJ-LTGZKZEYSA-N 402.450 4.803 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 915179872 WUUUJOFJLXRQGS-WDZFZDKYSA-N 405.410 4.751 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1F 1321505333 WQTXSWPDFASVCI-LJQANCHMSA-N 418.808 4.651 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)o2)c1 1326081648 QFDSCHKCOSFMGZ-UHFFFAOYSA-N 408.458 4.831 5 20 HJBD COc1cc(OCc2nnc(CSc3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 1326131312 IKFLUTHNQHUUBS-UHFFFAOYSA-N 407.835 4.511 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1cccc(OC(F)F)c1 1338317718 CQXAHOVHCXBEPJ-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC3CCN(C(C)C)CC3)cc2[N+](=O)[O-])cc1 14939338 UIWWAGLUKRGNJA-UHFFFAOYSA-N 413.543 4.657 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048770 IUMXHQQXJOFVDL-GJZGRUSLSA-N 416.499 4.769 5 20 HJBD CSc1cccc(NC(=O)[C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 25374482 VXPYXGFBKSUKBY-LLVKDONJSA-N 416.480 4.715 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cs1 46223610 HPYBOASDSODOHI-UHFFFAOYSA-N 413.524 4.924 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 46361758 YOTWOFKGAIWMEB-IRXDYDNUSA-N 407.495 4.913 5 20 HJBD C[C@@H](NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1cccc([N+](=O)[O-])c1 50153788 YEIQCURPWJDOOI-CQSZACIVSA-N 415.877 4.614 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50556333 ALMREKHINGPCMG-LJQANCHMSA-N 409.467 4.704 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 58411833 YJDLEEXBVCABIS-UHFFFAOYSA-N 410.243 4.859 5 20 HJBD O=C(Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Oc2ccccc2)cc1 60370997 SPPJSNRVXOBYKB-UHFFFAOYSA-N 400.394 4.529 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 60456657 WWWHJBYARWWPMC-IYBDPMFKSA-N 410.518 4.704 5 20 HJBD CCCCOc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 65114831 KXHFSGMHWMXRHC-UHFFFAOYSA-N 422.485 4.888 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1Cl 72023805 WLXLZEMQSIXQTC-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)c(Br)cc1F)c1ccccc1[N+](=O)[O-] 433277833 BEEDOFDBPZCDMH-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1 438953590 BBANVJSEGRLPSO-UHFFFAOYSA-N 400.475 4.912 5 20 HJBD Cc1ccc([C@H](CC(=O)OC(C)C)NC(=O)c2c(C)cc(Cl)cc2[N+](=O)[O-])cc1 439134649 OSUGNZJYGTYLFH-KRWDZBQOSA-N 418.877 4.678 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446417033 BBHHYIWCDVSEAK-OAHLLOKOSA-N 417.303 4.511 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CNc1ccc([N+](=O)[O-])c(OC(F)F)c1 462099525 XORDDYFBJCHWCV-UHFFFAOYSA-N 402.401 4.670 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccs1)CC(F)(F)F 462625031 XVDVRKTVIYHDPD-CQSZACIVSA-N 400.422 4.816 5 20 HJBD CCOc1cc(NC(=O)CCc2c[nH]c3cc(C)ccc23)c([N+](=O)[O-])cc1OCC 467101481 KAGWLDORTGRQRX-UHFFFAOYSA-N 411.458 4.753 5 20 HJBD Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1Br 470050810 MKOUKRAGXUFMGJ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(C)cc1)c1ccccn1 471386292 SDYBLJITXLEUSP-XXBNENTESA-N 403.482 4.773 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCc4ccccc43)cc2)cc1[N+](=O)[O-] 471925123 YWRLZOXHQLVVMX-UHFFFAOYSA-N 415.449 4.612 5 20 HJBD Cc1nc2cc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)ccc2n1Cc1ccsc1 472470351 NGPCQNHWJJFBII-AWEZNQCLSA-N 420.494 4.854 5 20 HJBD Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cc1NC(=O)Nc1ccccc1 475315398 XAUAIZDEDDKPNB-UHFFFAOYSA-N 405.414 4.503 5 20 HJBD COc1cc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cc([N+](=O)[O-])c1C 478014109 GGFYOQJBOCOJGR-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD COc1cccc(F)c1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 478653832 MBOBAMKRCXWXCC-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD CSc1cccc(C(=O)N[C@@H](COc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 486241872 MPDVKCVNLYFOTH-IBGZPJMESA-N 408.479 4.867 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)OC(C)(C)C)cc2F)c1[N+](=O)[O-] 486410392 QQHBTIDGVDPEFL-UHFFFAOYSA-N 406.435 4.663 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2ccc(F)cc2F)s1 487582416 IKVAESAXYNUNSI-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2-c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] 488068310 LTCFWNQFPINXMQ-CQSZACIVSA-N 408.458 4.742 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1coc2ccccc12 488801528 FENIEWGXTWDTAI-UHFFFAOYSA-N 410.373 4.768 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1ccc([N+](=O)[O-])cc1Cl 489637320 ZRZYBCJSRYUFPT-CYBMUJFWSA-N 413.680 4.588 5 20 HJBD CCCN(c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1cc2ccccc2o1 498858302 KVAJWQCCYAKVDP-CQSZACIVSA-N 402.472 4.722 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)NCc1ccccc1[N+](=O)[O-] 500772508 IIRNWKSGCOLSKD-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)NCc3ccccc3[N+](=O)[O-])c12 500791677 PDXPNQZBKPIRAV-UHFFFAOYSA-N 408.458 4.527 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3c4c(sc3n2)C[C@H](c2ccccc2)CC4)nc1 502794430 LHGGSFWEUWHTPH-CQSZACIVSA-N 418.478 4.563 5 20 HJBD Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c(Br)c1 503045378 KPAHYCNKADGJCS-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD C[C@](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 504571838 YSYDOUOBLDMWFL-HNNXBMFYSA-N 406.282 4.821 5 20 HJBD CCCN(C/C=C/c1ccccc1[N+](=O)[O-])Cc1nc(O)c2ccc(Cl)cc2n1 506116111 PSOBUEKMKUBERZ-FNORWQNLSA-N 412.877 4.822 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1ccccc1Oc1ccc(C)cc1 509064911 LLZBZBPXWYFLKY-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(Cl)c1OCC(C)C 515682388 KTIPAWXQLRDIGG-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD Cc1c(NC(=O)[C@H](C)NCC2(Sc3ccccc3)CCCC2)cccc1[N+](=O)[O-] 521011410 CYLITHZYWFJVTG-KRWDZBQOSA-N 413.543 4.925 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 522020350 QCRFZKBSTYBUGA-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(CSC3CCOCC3)c2)cc1[N+](=O)[O-] 522479890 SKVJQTYUWVDASS-UHFFFAOYSA-N 418.540 4.981 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)s1 522717754 OZLOAVBBCHWTRJ-HNNXBMFYSA-N 416.890 4.823 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)N(C)c1cccc([N+](=O)[O-])c1 532825313 MCHFXDXLCSTIPE-UHFFFAOYSA-N 416.231 4.597 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@H](C)c3c(C)noc3C)n2)cc1[N+](=O)[O-] 533147569 HABAFCVQDQPZGW-NSHDSACASA-N 400.460 4.764 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc2)cc1 534788801 GTGQBMAGCHFRIK-UHFFFAOYSA-N 402.406 4.793 5 20 HJBD Cc1ccccc1N(C(=O)c1cc(I)cc([N+](=O)[O-])c1)C(C)C 535037359 ZSCNCYDAFLAVPJ-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccn1 536302185 LCMYKNKBNJLLQW-LJQANCHMSA-N 412.833 4.563 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 543483102 HSBHPCHDPQUDAE-QGZVFWFLSA-N 406.548 4.766 5 20 HJBD COCC1(C)CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 543570316 WWBPYLAZKULULZ-UHFFFAOYSA-N 406.548 4.766 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cc(OC4CCC4)ccn3)no2)cc(C(F)(F)F)c1 547218334 CSLDQPOQKBYTAN-UHFFFAOYSA-N 406.320 4.657 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC(=Cc2cccc(OC)c2)CC1 549090063 YFJPPTNCGIUINE-UHFFFAOYSA-N 419.485 4.566 5 20 HJBD CC(=O)NCCN(Cc1ccsc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 549810497 PIQQPJFOKXLZJP-UHFFFAOYSA-N 415.540 4.523 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1F 552697055 NEJLHBSSLCEDAE-NSHDSACASA-N 409.255 4.651 5 20 HJBD CCOc1cc(NC(=O)N2Cc3cccc(Cl)c3C2)c([N+](=O)[O-])cc1OCC 554073311 MDNAANRZIYSJFH-UHFFFAOYSA-N 405.838 4.593 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)c1 554959565 OZRAHVHDPFCILV-HXUWFJFHSA-N 411.845 4.561 5 20 HJBD Cn1ccnc1[C@@H](NCCCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 560526199 AXRKUVNWPIAJND-IBGZPJMESA-N 419.312 4.947 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1c([N+](=O)[O-])cccc1C(F)(F)F 563481658 FXAFBQUWJKXIBE-UHFFFAOYSA-N 402.413 4.863 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](CC(F)(F)F)c1ccccc1 564608061 OXQPMKOVGBNAQD-SFHVURJKSA-N 420.391 4.612 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C2CC2)no1 567147467 NKWLCGLUOKRWIH-UZLBHIALSA-N 420.469 4.595 5 20 HJBD CC(F)(F)CNC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 569399450 HMJFAYKNHNFKBO-GXDHUFHOSA-N 417.437 4.907 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1OC(F)F 571336004 GZEGKJQHNZBRAZ-SECBINFHSA-N 404.291 4.706 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@@](CO)(C(C)C)C2)cc1[N+](=O)[O-] 582201121 HJRWMWBZLSUKDY-OAQYLSRUSA-N 408.564 4.604 5 20 HJBD Cc1nc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)sc1Cc1cccc(F)c1 603974393 RUBXGNUOEOLOSK-UHFFFAOYSA-N 417.487 4.820 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)NCc1cccc([N+](=O)[O-])c1 604568599 MPOKQSQZDNELAK-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cnn(Cc4ccccn4)c3)o2)c(Cl)c1 608982636 ABKARVSLFVWHLR-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD C[C@H](NC(=O)c1ccc(C(=O)N[C@H](C)c2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 609043212 FBPPRKARYVVTCM-DLBZAZTESA-N 417.465 4.577 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](c1ccc(F)cc1)C1CCC1 609177670 VYFQBQIKEJWXAF-BLVKFPJESA-N 406.841 4.812 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 609263160 KAUKTAXFFTZCKF-KXBFYZLASA-N 400.500 4.838 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609761837 BZLYDIYHGZUQBY-NRFANRHFSA-N 409.417 4.649 5 20 HJBD CCO[C@H](C)c1nc(CC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cs1 609858951 VLJPYUASTIPQDT-CQSZACIVSA-N 403.504 4.667 5 20 HJBD CC[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)cc1F 609977265 VVJPGPVEXMOWLN-OAHLLOKOSA-N 418.318 4.695 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@@](=O)c4ccccc4)c3)c2c1 611705107 FNNQQSUJEZAILL-GDLZYMKVSA-N 404.451 4.589 5 20 HJBD Cc1nn(Cc2ccc(-c3nc([C@H]4CCc5ccccc54)no3)cc2)c(C)c1[N+](=O)[O-] 612933305 RLNPRPVIALUJMZ-FQEVSTJZSA-N 415.453 4.585 5 20 HJBD CC(C)(CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 615183957 LDUQWRPBIRLWFM-UHFFFAOYSA-N 418.924 4.902 5 20 HJBD Cc1nc2ccccc2c(C)c1CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 618710761 SPCQTHYTGSGWLZ-UHFFFAOYSA-N 417.387 4.638 5 20 HJBD CCOC(=O)c1c(C(C)C)csc1NC(=O)c1cc(OCC)ccc1[N+](=O)[O-] 619792916 GEZRVBZRWWVOJH-UHFFFAOYSA-N 406.460 4.607 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 672870813 QLJBZGGTXCVIKC-INIZCTEOSA-N 408.461 4.739 5 20 HJBD CC(C)COc1ccccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 731379208 WYSCZGQYASZGOW-AWEZNQCLSA-N 411.414 4.598 5 20 HJBD Cc1nc2c(s1)[C@H](OC(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCC2 733066822 IZPPEVALJARAPC-HXUWFJFHSA-N 404.491 4.540 5 20 HJBD Cc1cc(COC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2n1 735890825 LEYMTENCGOYSCU-ZDUSSCGKSA-N 400.818 4.616 5 20 HJBD O=C(OCCCc1cccc2ccccc12)c1cc(O)nc2ccc([N+](=O)[O-])cc12 736827933 CTBVPCWFSBJWFX-UHFFFAOYSA-N 402.406 4.791 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nc(-c2ccc(Cl)cc2)no1 746063043 ISCUFMYCZBISCJ-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1csc(-c2ccc([N+](=O)[O-])cc2)n1 746074309 ZPBJWTSZLREWKQ-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)CC[C@@H]1c1ccccc1 746337447 ORDZWIYAUNBFPA-NQIIRXRSSA-N 407.514 4.851 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 747629284 YTBNOUAGAQUJDG-UHFFFAOYSA-N 415.500 4.870 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)ccc1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] 748194916 OYFOUXVJOIVPJB-UHFFFAOYSA-N 420.421 4.699 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)[C@@H]1CCc2ccccc2C1 748630550 NXFLVKZCQCKOMD-GOSISDBHSA-N 411.458 4.530 5 20 HJBD O=C(Nc1ccc2ccccc2c1)c1ccc([N+](=O)[O-])cc1I 751040752 CEGXULRLLBHCBS-UHFFFAOYSA-N 418.190 4.605 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccccc1C(F)(F)F 751109361 XFDMHJIHRPJQCL-VIFPVBQESA-N 417.181 4.867 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ncc(-c2ccc(Cl)cc2)o1 751680100 BJCKKBZHMUCWFB-JTQLQIEISA-N 417.761 4.729 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)C(=O)Nc2cccc(Cl)c2Cl)c1[N+](=O)[O-] 752558247 MZPZULUCRGCZNC-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@H](C(=O)Oc1ccc(N(C)C(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 754315714 HSJPWTLZUGZOFV-ZDUSSCGKSA-N 418.421 4.814 5 20 HJBD CC(=O)c1cccc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 755109223 VQQRPLONIRZXMA-HNNXBMFYSA-N 419.437 4.723 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cccc([N+](=O)[O-])c1Br 757897431 XKZFGZHXEZNJPX-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)cc1[N+](=O)[O-] 758908133 BXQAOPOWRIZBMG-ZDUSSCGKSA-N 413.455 4.902 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 762047906 NDQPUABOJYHTHR-KBXCAEBGSA-N 418.925 4.876 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cc2cc([N+](=O)[O-])ccc2[nH]1 767287701 MFOXMBBQTRCGPB-UHFFFAOYSA-N 405.288 4.593 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCCSC(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 770939351 FGFACUZOFIGHOF-LLVKDONJSA-N 415.393 4.573 5 20 HJBD CC(C)(C)OC(=O)N[C@H](Cc1nc(-c2ccc([N+](=O)[O-])s2)no1)c1ccccc1 771214024 DPXWZPKAAUDYIB-CYBMUJFWSA-N 416.459 4.515 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)(F)F 776216677 BVOJBXYKOLUGKL-GOSISDBHSA-N 418.327 4.835 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2CN(C)c2ccccc2)cc1[N+](=O)[O-] 791029761 KMKWXMURTQZSNI-UHFFFAOYSA-N 420.425 4.700 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c1 794998115 JOFCJSBUTJRYGW-UHFFFAOYSA-N 401.488 4.678 5 20 HJBD CO[C@@H](COC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 801220338 XYFZEXRJWWFVIC-QFIPXVFZSA-N 421.880 4.739 5 20 HJBD COc1ccc(NC(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 806402687 IQGIERRCRWGGTG-NRFANRHFSA-N 421.453 4.914 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1Br 807722661 MHKFSSOVUJIXHN-UHFFFAOYSA-N 419.609 4.533 5 20 HJBD C[C@@H](Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812487117 GCTDZNUVXJRGNJ-GFCCVEGCSA-N 408.380 4.706 5 20 HJBD CCOc1ccc(-c2nc(C)c(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)s2)cc1 812812434 HRODZNDTVHNZDD-UHFFFAOYSA-N 402.476 4.555 5 20 HJBD COc1cc(COC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc(Cl)c1OC(F)F 892084283 MWHNOLWFOXBLRH-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4cc(SC)ccc4[N+](=O)[O-])n3)co2)cc1 904327227 IDPKPFLAALFAGJ-UHFFFAOYSA-N 424.438 4.621 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)ccc1F 918855698 ILLUHKSUZHGORB-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD C[C@H](NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 1319489884 NFLDQQALNCPBCP-ZDUSSCGKSA-N 407.392 4.559 5 20 HJBD O=C1N[C@@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])Nc2ccc(Br)cc21 1325940629 OJRUUQLPSOJDBU-ZDUSSCGKSA-N 417.046 4.518 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5853035 FYVMFRPKEMPVQN-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCC(=O)Nc2c(C(C)C)cccc2C(C)C)c1 43926944 QMRKHDSUAMGSJD-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD O=C(Nc1ccc(-c2nnco2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 47256447 SLPNSCBCGYBDGQ-UHFFFAOYSA-N 401.382 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1 47323438 CETZQRHYYJEYIQ-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(Br)cc1 49525876 YJPVXTGDRFCNLH-JTQLQIEISA-N 414.097 4.971 5 20 HJBD Cc1csc(N(C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)c2ccccc2)n1 58911268 WPCWDBIWBJPJAW-UHFFFAOYSA-N 405.439 4.524 5 20 HJBD O=C(Nc1ccc(OC(F)F)c2ncccc12)c1ccc(NC2CC2)c([N+](=O)[O-])c1 65809651 MWUOOJIIVKTAQN-UHFFFAOYSA-N 414.368 4.571 5 20 HJBD COc1cc(F)c([C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 237249184 ZETXUCMGURQTRS-GFCCVEGCSA-N 417.462 4.725 5 20 HJBD COc1cc(CNc2ccc(NC(C)=O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 237270698 YJWTWSQECLHQCO-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2ccncc2)n1C1CCCCC1 301758367 ZFKUIKWHBKTYHH-UHFFFAOYSA-N 416.894 4.953 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)o2)cc1 427590123 XBOOILCKOLWJQP-UHFFFAOYSA-N 404.422 4.862 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ncccc2Cl)CC1 429211105 CUNTXRVNSJYTPH-ZDUSSCGKSA-N 413.865 4.634 5 20 HJBD CCCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccccc1C 429288496 XAHDBFOSBXKEFR-UHFFFAOYSA-N 417.469 4.704 5 20 HJBD CC(C)(NC(=O)Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccc([N+](=O)[O-])cc1 431411859 ANJCBPWCAZUFHE-UHFFFAOYSA-N 408.336 4.812 5 20 HJBD CS[C@H]1CCCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 435302747 QSVIHNIXWPJSOC-AWEZNQCLSA-N 423.585 4.869 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(OC(F)F)c1 437932727 MLYUBNVTNZZNOD-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2cc(F)cc([N+](=O)[O-])c2)n1 439651242 FZGOEGPLJCFBEI-UHFFFAOYSA-N 418.812 4.888 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 441420615 WCXMSGGEXIQWJO-AWEZNQCLSA-N 424.526 4.669 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 445774021 FVPMZINCUQCHOH-QGZVFWFLSA-N 408.439 4.894 5 20 HJBD Cc1ccc(CNC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c(OCCC(C)C)c1 446637801 LTBHNZXYYYWACG-UHFFFAOYSA-N 423.469 4.512 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Oc1ccc(NC(=O)c2ccco2)cc1 447803770 BWKOGBAICNNEBS-UHFFFAOYSA-N 416.773 4.672 5 20 HJBD COc1cccc(C2(CNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCCC2)c1 463795127 UZUZQCSDULXMBG-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(OCc3ccccn3)c2)c([N+](=O)[O-])cc1F 464481404 PMZGTDAFFCJLGW-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)co2)cc1 465194294 RHXHXYRRXWPNKO-UHFFFAOYSA-N 420.450 4.612 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1ccc(-n2ncc3ccccc32)cc1Cl 467993397 KYRYHNIFWLFYOQ-UHFFFAOYSA-N 420.856 4.560 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccco1 468370671 GNINBKAUHVZPKD-GOSISDBHSA-N 419.437 4.809 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc(CS(=O)(=O)C(C)(C)C)cc1 468742260 BPSYITXSNHYMSP-FOWTUZBSSA-N 402.516 4.824 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c(C(F)(F)F)o1 471733328 AFOONJJJJCLHLM-UHFFFAOYSA-N 410.270 4.720 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475219969 MJVJJFUPEAGEML-KSSFIOAISA-N 423.391 4.903 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 475566572 TYJKRJJQUCMESY-CRAIPNDOSA-N 407.829 4.656 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(-c2ccccc2)no1 480651363 UDNYVTLETVGZML-UHFFFAOYSA-N 411.483 4.928 5 20 HJBD CCn1c(SCc2cccc(OC(F)F)c2)nnc1-c1ccc([N+](=O)[O-])cc1 481334368 BSCSDTUEZSVPQB-UHFFFAOYSA-N 406.414 4.767 5 20 HJBD CCc1c(NC(=O)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482700779 YKYATXPULKFLEG-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(C)c1 482990090 RUBAMGJCXDDQNX-MRXNPFEDSA-N 424.501 4.628 5 20 HJBD COc1c(C(=O)Nc2ccc(Br)cc2C(F)(F)F)cccc1[N+](=O)[O-] 483358259 ZADFKBAQGHCTFM-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@@H](Nc2ccccc2)C1 485783508 HCMYDFMOSUVMEJ-HXUWFJFHSA-N 416.481 4.534 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2c2ccc3c(c2)OCCO3)cc1[N+](=O)[O-] 487847361 WKXJYCNOCDRYKG-GOSISDBHSA-N 410.470 4.641 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC(OCC2CC2)CC1 491063677 VDTQKJWTUUKKEF-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 493034398 QRAZJTAYDIABRS-YJBOKZPZSA-N 413.474 4.528 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1c(O)c(Cl)cc(Cl)c1Cl 496847199 RPYMWFNRRUTSLS-UHFFFAOYSA-N 407.662 4.991 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1OC(F)F 497998645 MQQUBIJUHIBRQA-LLVKDONJSA-N 419.409 4.811 5 20 HJBD COc1ccc(CCCCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1 498147722 UEEKNDQNLYRECP-NRFANRHFSA-N 400.500 4.590 5 20 HJBD C[C@@H](NC(=O)NC1(c2ccc(Br)cc2)CCC1)c1cccc([N+](=O)[O-])c1 503018632 VYOMJGRBXBUDFA-CYBMUJFWSA-N 418.291 4.797 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 504147308 ZKGDYXGMQYTKPP-KRWDZBQOSA-N 414.527 4.943 5 20 HJBD COc1ccccc1Oc1ccc(NS(=O)(=O)c2ccc(C)cc2[N+](=O)[O-])cc1 509661664 RBOPWUHVKHABSQ-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 510774643 WCMNUHNJLWYUMF-IXDOHACOSA-N 424.501 4.596 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Cc2cccc(F)c2)CC1 515581294 ISGUTJMGRRBDNG-OAHLLOKOSA-N 402.491 4.696 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)Cc1cccs1)c1cccc([N+](=O)[O-])c1 515747961 JNDFPUBCOKXWJG-AWEZNQCLSA-N 409.467 4.580 5 20 HJBD COc1ccc(Cl)cc1NC(=O)COc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 516344543 YOWWVNCURMVZNG-UHFFFAOYSA-N 412.829 4.941 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1c(-n2cccc2)sc2c1CCSC2 519876469 JWGUMOOBSQVXTN-UHFFFAOYSA-N 403.460 4.628 5 20 HJBD Cc1cccc(C)c1Oc1ccccc1NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 522538117 WDJMMPJEOHILLN-UHFFFAOYSA-N 408.458 4.846 5 20 HJBD O=C(Nc1nc(/C=C\c2ccccc2)cs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 522693471 YPDZMRNTTNDUCO-SREVYHEPSA-N 418.434 4.728 5 20 HJBD C[C@@H](NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1cccc(Cl)c1Cl 522732528 ODGGXXLTFIARSJ-LLVKDONJSA-N 405.241 4.578 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1ccc([N+](=O)[O-])cc1Br 523509790 XJSCSSJBVNVKHP-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1noc(C)c1[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 533603640 ISIWQMLTSGRLRP-CYBMUJFWSA-N 407.426 4.563 5 20 HJBD C[C@H](c1ccccc1)n1cnnc1SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534792130 UFYBKSRFJWMPJJ-CQSZACIVSA-N 407.455 4.743 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 536941595 XRGGZSTXBVUVFI-AEFFLSMTSA-N 424.881 4.759 5 20 HJBD CC(C)(C)Cc1csc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])n1 538433316 TVZHXBVXIQKOEQ-UHFFFAOYSA-N 417.409 4.833 5 20 HJBD Cn1cc(CNc2cccc(N3CCCCCC3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 538832856 QISABBMHZQOAKP-UHFFFAOYSA-N 405.502 4.988 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(C(C)(C)C)nn2-c2ccccn2)cc1[N+](=O)[O-] 542726597 DKNSBRIVUZKEMR-HNNXBMFYSA-N 422.489 4.664 5 20 HJBD COc1ccc(NC(=O)c2ccc3c(c2)nc(C(F)(F)F)n3C(C)C)cc1[N+](=O)[O-] 542929503 BGPNOEFETUSFIE-UHFFFAOYSA-N 422.363 4.805 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCn2ccnc2)CC1 543095569 NIXIEWFMGKYABI-UHFFFAOYSA-N 416.547 4.624 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)c3ccccc3F)c2)cc1[N+](=O)[O-] 543851997 GBXSTQRKPCTUAU-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD COc1cc(CCNC(=O)N(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 543895723 CLVFHCINVYBCBQ-UHFFFAOYSA-N 421.453 4.702 5 20 HJBD C[C@@](O)(C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1)C(F)(F)F 545247719 PBXMOFSIGQZWQV-OAQYLSRUSA-N 402.416 4.554 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 546093327 ZTLMRBJLVHYNNF-MRXNPFEDSA-N 415.298 4.569 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)nc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 547705339 MXVAZOYVROMPBQ-UHFFFAOYSA-N 421.456 4.908 5 20 HJBD C[C@H](NC(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1cccc([N+](=O)[O-])c1 551567982 SNZUTIMUKFPPJX-LBPRGKRZSA-N 416.890 4.932 5 20 HJBD CSC[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 558135350 ORGKSEPEJPEGMB-OAHLLOKOSA-N 402.541 4.961 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 558825351 ZRYWPEOAYGVMBH-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 561690032 FUTCCDHWZVGVTF-UHFFFAOYSA-N 417.893 4.898 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CC[C@H](Oc2ccc(Cl)cc2)C1 563983994 YYUJVIIYLGNIQH-SFHVURJKSA-N 423.856 4.604 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(COCC(F)(F)F)cc1 564447715 WCGALNRQXADGMR-UHFFFAOYSA-N 411.380 4.558 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC[C@@H](CC3CC3)C2)cc1[N+](=O)[O-] 572634468 SQCWFJLLMCOVRK-INIZCTEOSA-N 424.522 4.810 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 574971501 ZKZLTPITBDXTSW-KBPBESRZSA-N 405.292 4.598 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)ccc1F 575075681 RHKVIRCXYGHPQK-LLVKDONJSA-N 424.354 4.962 5 20 HJBD C[C@@H](Nc1nccc(C(N)=O)c1[N+](=O)[O-])c1ccc(-c2ccc(Cl)cc2)s1 576274131 ZZCDLMCTDGYRHP-SNVBAGLBSA-N 402.863 4.644 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCC1(F)F 583844706 XWEDQSUNVFJHPE-ZDUSSCGKSA-N 423.847 4.978 5 20 HJBD Cn1ncc2ccc(CNC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc21 589722546 SQOGALGJIOHDSR-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD O=C(NCc1ccccn1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 603464516 WDNBRMIFZNYOPA-UHFFFAOYSA-N 422.491 4.681 5 20 HJBD Cc1sc(NC(=O)COc2cccc(Cl)c2)nc1-c1cccc([N+](=O)[O-])c1 603996737 AITKCCSFEMYBCR-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD CCCCN1Cc2cccc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2C1 608980425 BGJMSQZISJKXIY-AWEZNQCLSA-N 416.909 4.803 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3ccc(C(F)(F)F)cc3)C2)c2ccncc12 609670958 UZFMWMBLRNHXMS-CQSZACIVSA-N 402.376 4.853 5 20 HJBD O=C(NC1(C(F)(F)F)CC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 610704240 KKLOJEWBWTTXQP-UHFFFAOYSA-N 413.783 4.685 5 20 HJBD Cc1c(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cccc1C(=O)Nc1ccccc1 617714033 NNYBRWTXAPDNRT-HNNXBMFYSA-N 403.438 4.898 5 20 HJBD Cc1c(C(=O)OCc2ccccc2Oc2cccnc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 728339900 DTGDJBILCPCFEA-UHFFFAOYSA-N 423.381 4.664 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(-c2ccccc2F)no1 729270015 CUAHFUAHGVTDED-UHFFFAOYSA-N 413.408 4.588 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(Cl)c(N2CCCC2=O)c1 736157618 BKWBWPCJZRFELL-UHFFFAOYSA-N 408.241 4.589 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)CC[C@H]1c1ccccc1 741974174 MHYWZXZRLZHXID-CZUORRHYSA-N 403.276 4.623 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 742465036 ZXPVWPSKAWBHEQ-KXBFYZLASA-N 413.474 4.744 5 20 HJBD O=C(CCC1CCOCC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 744358202 ZXZIAXMDQOAVJJ-UHFFFAOYSA-N 418.877 4.973 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745075093 SAUWCAYGLDDBMH-DNVCBOLYSA-N 409.442 4.764 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cc(Br)cc([N+](=O)[O-])c1 755416165 GZZQRUYELVYVHE-SJCJKPOMSA-N 416.275 4.598 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNC(=S)Nc2ccc(OC(F)(F)F)cc2)c1 756787594 GQXOJTCAUQBWRW-UHFFFAOYSA-N 405.785 4.633 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cccc([N+](=O)[O-])c3Br)ccc21 758387485 MHCSECKWEBUCLX-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)CC2)c1 760958214 DZIVCCPPXOXOJF-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)ccc1F 761344928 RDRWGYCCFDNKRU-UHFFFAOYSA-N 414.393 4.722 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccc(Cl)c(Cl)c3)no2)C1 761372747 VBOZRJYYZLOHOW-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccccc1)c1nccs1 770193611 UYSWFHFPFPABNX-DYVFJYSZSA-N 400.481 4.865 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(N3CCSCC3)c(Cl)c2)c1[N+](=O)[O-] 776800889 YEWDOWGRZDRFRF-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD CC(C)c1ccc(CN(C(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)C2CC2)cc1 783303937 SRYPXQOQWJHWRR-UHFFFAOYSA-N 415.877 4.501 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1c(Cl)ccc2nsnc12 784049716 KYHFZZUBMNPHHE-UHFFFAOYSA-N 415.283 4.637 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(Cl)cc(F)c1Br 790169618 MELXWIQKFKLTTI-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD O=C(OCc1cnc2c(F)cccc2c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 806087674 RNELABMLXPLMKS-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 808848594 TYXJIONMAMBIRC-FCHUYYIVSA-N 405.454 4.808 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809916411 JHVUNNSTVNHJHM-OAHLLOKOSA-N 408.930 4.757 5 20 HJBD Cn1ccnc1[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(F)c1 811471194 ITTWRPIXALGZFV-MRXNPFEDSA-N 409.248 4.653 5 20 HJBD O=C(OCc1coc(-c2ccccc2)n1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 917257902 KYHUBCXSUHYAOE-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD CS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 1116137265 MZOUVGVJCKLTNJ-DJIMGWMZSA-N 414.531 4.519 5 20 HJBD COc1cc(Cl)c(Br)cc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 1116918713 JWKHFVHOZSQDBG-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)o1 1251316758 YDOOFGPKXYSLLG-CABCVRRESA-N 408.458 4.864 5 20 HJBD COCCOc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1318620388 AZGBEEWIGLTDRJ-UHFFFAOYSA-N 416.861 4.823 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 1319813424 XQTDFGMQJJBTNG-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD COc1cc(-c2nnc(-c3ccc([N+](=O)[O-])c(OC)c3)o2)ccc1OCCC(C)C 1328308149 UVWZQTSFEUBKDD-UHFFFAOYSA-N 413.430 4.754 5 20 HJBD Cc1cc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc12 1330105879 WODNFWLZKJGTBF-UHFFFAOYSA-N 405.435 4.932 5 20 HJBD Cn1c(SCCCC(=O)c2ccc(F)cc2)nc2cc3ccccc3cc2c1=O 7168426 VLMQBTWOKKEKLE-UHFFFAOYSA-N 406.482 4.981 5 20 HJBD COc1ccc(Cn2nnnc2SCc2nc3ccccc3c3ccccc23)cc1 16369343 RZABOWWQTKUIIH-UHFFFAOYSA-N 413.506 4.724 5 20 HJBD O=C(OCc1nc2ccccc2n1C(F)F)c1cc2cc([N+](=O)[O-])ccc2s1 25561917 NBLPHQSDXCQUJL-UHFFFAOYSA-N 403.366 4.911 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OCC 36758733 UVOFZABIKSAYIS-HUUCEWRRSA-N 418.515 4.750 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3cnc4ccccc43)cc2)c1 53284664 JMSBDSHPTOHBRU-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD Cc1ccc([C@H](NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)C2CC2)cc1 105401289 TUTBRPJQOUXKAR-QFIPXVFZSA-N 418.497 4.646 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc(-c4ccccc4)on3)o2)c([N+](=O)[O-])c1 237419242 HDOOGNUYGORKHR-UHFFFAOYSA-N 405.410 4.808 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)s2)cc1 303306767 PTQWBVHIJOOLNX-GFCCVEGCSA-N 421.482 4.702 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc([N+](=O)[O-])cc1F 410385637 CAHYEALLYNTCIK-VIFPVBQESA-N 404.291 4.556 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426076807 MODRYIWMTBCDCA-UHFFFAOYSA-N 422.529 4.820 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1c[nH]c2cccc([N+](=O)[O-])c12 427777938 KZKYKTGPWXATER-UHFFFAOYSA-N 410.336 4.794 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ncccc2Cl)CC1 428420276 JJMAPTOCHDHYLV-CYBMUJFWSA-N 405.907 4.530 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2cccc(F)c2)C1 428715131 XFPDYCSUNPZUIR-AWEZNQCLSA-N 422.406 4.728 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 430548557 LZXCHZJRHUKKMW-UHFFFAOYSA-N 422.485 4.752 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 431405151 WFTYGMZCBPIUTE-UHFFFAOYSA-N 424.501 4.668 5 20 HJBD CC(C)(NC(=O)NCCc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1 431862311 NOHLIVYMQAECKK-UHFFFAOYSA-N 418.906 4.635 5 20 HJBD COCCOc1ccc([C@H](C)N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168744 TZKGECXTLKTLLO-MGPUTAFESA-N 414.502 4.702 5 20 HJBD O=C(Cc1cccc(Cl)c1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 433371544 BJPDMUFIXNQINE-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)sc2C1 436066833 OUAVYKCGHYIKSY-CYBMUJFWSA-N 403.507 4.905 5 20 HJBD O=C(c1ccc2noc(-c3ccc(F)cc3)c2c1)N1CCc2c1cccc2[N+](=O)[O-] 437328048 MEALGHLKXTXZDL-UHFFFAOYSA-N 403.369 4.745 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)OCCO2 443866222 YZBCSLSEYICZQC-SFHVURJKSA-N 424.375 4.512 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444088837 UNCIOEGJVWFOHT-UHFFFAOYSA-N 420.871 4.935 5 20 HJBD O=C(Nc1ccc(OC2COC2)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 444529824 XTFWGUCORRYCCV-UHFFFAOYSA-N 422.462 4.776 5 20 HJBD CSCCc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 445175524 UDCGDEZYIYAECJ-UHFFFAOYSA-N 401.307 4.577 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)c1nc(O)c2c(-c3ccco3)csc2n1 448105254 PVLPCGVXHCCKMX-VIFPVBQESA-N 400.441 4.813 5 20 HJBD C[C@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 460646155 CDTBVKQRQYYVLT-YOEHRIQHSA-N 422.403 4.802 5 20 HJBD O=C(NC[C@@H]1CCCOC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 464444166 TYTGYZLRRXPYFJ-ZDUSSCGKSA-N 406.891 4.556 5 20 HJBD CCCOc1cc(NC(=O)NCc2nc(C)c(C)s2)c([N+](=O)[O-])cc1OCCC 466963525 KJGITJKJGHEYHZ-UHFFFAOYSA-N 422.507 4.567 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN(Cc1cccc(Br)c1)C1CCOCC1 467302169 WQWXTNHYVUYHNJ-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD CC[C@@H](Cc1ccccc1)N(CC)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 469449644 FESUTPXIKIDSQL-NRFANRHFSA-N 409.530 4.681 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N(C)C3CCCCC3)cc2)cc1[N+](=O)[O-] 471262966 ZBOJTMGYWPXYOF-UHFFFAOYSA-N 409.486 4.814 5 20 HJBD Cc1sc(NC(=O)c2cc(COC(C)(C)C)on2)nc1-c1cccc([N+](=O)[O-])c1 471722441 BOLRRDYXWYWJBS-UHFFFAOYSA-N 416.459 4.582 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 474776828 PYAKAEJXOCMXAF-UHFFFAOYSA-N 408.458 4.656 5 20 HJBD COc1ccc(NC(=O)[C@@H]2C[C@H]2c2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 480235555 UNTLQGGDGVQJOY-LEWJYISDSA-N 418.449 4.925 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@@H](C)c1cccc([N+](=O)[O-])c1C 484491152 RIKGEEUXWTXZET-AWEZNQCLSA-N 405.882 4.553 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486707438 HTRMDGFQFOUYHJ-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD O=C(Nc1ncc(Cc2cccc(F)c2)s1)c1cc([N+](=O)[O-])ccc1OC(F)F 487661648 QSHPYSBQMKVFMZ-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD CCn1c(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)nnc1-c1ccc(C)cc1 488903261 XILORAFHGNOTPP-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC(C)C 489868654 ATNAKVDAHUTWFA-JKSUJKDBSA-N 415.490 4.820 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1C(C)C 490179699 CDQAZDJUDPURLB-UHFFFAOYSA-N 413.522 4.813 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 495279423 GPTNJKSNMKHOLF-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H](O)C34CCCC4)cc2[N+](=O)[O-])cc1 495685724 UCZBJKYSRGWCHF-HXUWFJFHSA-N 412.511 4.574 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 497597950 IFPSJFVUDBNWDU-ZDUSSCGKSA-N 422.281 4.759 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1COCc1ccccc1 505072392 WJVFUVAFEIQKSH-UHFFFAOYSA-N 420.469 4.545 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 505339857 XOPIDGKQBBQYEH-QGZVFWFLSA-N 418.409 4.758 5 20 HJBD O=C(NCCCCCN1CCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 508132216 DDXGWWTYHPEXIL-UHFFFAOYSA-N 413.543 4.742 5 20 HJBD COCCOc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Cl 509211775 OBXBDNBNAXKPQT-UHFFFAOYSA-N 421.881 4.716 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1nc2ccccc2[nH]1 509374226 RCJGEWDDFXTBCQ-HXUWFJFHSA-N 409.490 4.788 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(Cc2ccccc2F)o1 511106370 LQPXSSOCMQIVIB-UHFFFAOYSA-N 403.435 4.787 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)[C@H]3CCCN(C4CCC4)C3)o2)c([N+](=O)[O-])c1 512392099 RWBCXWCJEAVQAZ-SJORKVTESA-N 413.518 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 513133753 NUJNGPWCFSWWJZ-IBGZPJMESA-N 420.391 4.606 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1N1CCc2ccccc21 514514928 UVNLQVXGVOEXMG-UHFFFAOYSA-N 402.454 4.953 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)c2ccccc2Cl)c1 517874521 AQUVZVPTEIMEKX-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD COc1cc(CNCC2(c3ccccc3C)CC2)c([N+](=O)[O-])cc1OCC(F)(F)F 520964277 PMHVIXCPWTVUSD-UHFFFAOYSA-N 424.419 4.674 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 524004796 CJMARDDINWTRBU-HXUWFJFHSA-N 422.510 4.762 5 20 HJBD Cn1cccc1[C@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 524518558 HUJSHPRRIBYQAI-OAQYLSRUSA-N 404.470 4.523 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCC1(c2cccc(C(F)(F)F)c2)CCC1 525193550 BELWPUMXIQLUQC-UHFFFAOYSA-N 418.375 4.796 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1sc(COc2ccc(F)cc2)nc1C 531019376 GCDJQHYKZAOVRK-UHFFFAOYSA-N 415.446 4.947 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1c[nH]c2cc([N+](=O)[O-])ccc12 531873465 RUKVYIFJQFWQRJ-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD COc1ccccc1-c1nnc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 536303606 VYDFYQJNNHETDR-UHFFFAOYSA-N 410.411 4.601 5 20 HJBD CN(C(=O)c1scnc1C(C)(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150666 KFSVSUVEYJOICN-UHFFFAOYSA-N 402.501 4.749 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)Cc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 537621026 QKKJVYGGGKBIGM-ZIAGYGMSSA-N 422.469 4.651 5 20 HJBD Cn1cc(CNCc2nc3cc(Cl)ccc3s2)c(-c2ccc([N+](=O)[O-])cc2)n1 538396255 MNTRCNQPWLGZEM-UHFFFAOYSA-N 413.890 4.548 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1cccc(Cl)c1Cl 539316017 BMZNROULQWQLJM-LLVKDONJSA-N 412.273 4.590 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 541127246 AXKYJIVHSWBCBN-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD C[C@@H](C(=O)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 541681384 CQDFHACXMBYMRI-CYBMUJFWSA-N 411.408 4.774 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2c(Cl)cccc2n1 552784414 DQQNQDDVQYRTLM-UHFFFAOYSA-N 413.864 4.995 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(S(=O)(=O)CC)cc1 556608357 HDMSTYSMUHRVKU-RDJZCZTQSA-N 422.572 4.610 5 20 HJBD O=C(NC1CCCCC1)C1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 557545776 KNANWSWLMNYXEV-UHFFFAOYSA-N 400.306 4.567 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cccc([N+](=O)[O-])c1 565808963 XFVVJBPUUAKFNG-MRXNPFEDSA-N 415.449 4.540 5 20 HJBD C[C@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1(F)F 566038456 VWJNALFELASTHI-SCLBCKFNSA-N 423.847 4.905 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 569042911 ZXJZLVYSEQCXCG-INIZCTEOSA-N 407.264 4.589 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(Oc2cccc(Br)c2)CC1 571489968 RDJMZAHVCOBLTH-UHFFFAOYSA-N 419.275 4.608 5 20 HJBD O=C(N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1ccc([N+](=O)[O-])cc1 576299015 HKEMQSQFPSDBMN-SFHVURJKSA-N 408.376 4.511 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 580740285 AOYXOJSPOGYTPD-MRXNPFEDSA-N 416.437 4.694 5 20 HJBD COc1cc(C(=O)Nc2cc(C)c(F)cc2Cl)c([N+](=O)[O-])cc1OC(F)F 583986609 BINXWVLNUHDYMT-UHFFFAOYSA-N 404.728 4.558 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 589738790 XBAGXFYADTYUEN-LLVKDONJSA-N 418.438 4.796 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 603739659 KGJFXYRGPICQDO-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD CC(C)(C)C1CCC(c2cc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)n[nH]2)CC1 609883297 RBLRQPCBQFPONW-UHFFFAOYSA-N 414.462 4.619 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)o1 610040518 YQEYKEPOKPIYMJ-MEDUHNTESA-N 419.275 4.879 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1ncc(-c2ccccc2)o1 610068578 OMJLTXVOMFPBGX-OLZOCXBDSA-N 415.833 4.548 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@@H]1CCCO[C@@H]1c1ccccc1 611170450 NWVASGXMNDHKTE-SIKLNZKXSA-N 407.470 4.850 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(CO)c(C)cc2C)cc1 615894377 SFBDSPSXWPCABD-UHFFFAOYSA-N 422.437 4.757 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(-c4ccccc4)on3)n2)cc1[N+](=O)[O-] 619729681 ZJCMFVXEIKDSSM-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@H](C)c2cccnc2)cc1[N+](=O)[O-] 733379615 GWDWJEDXVFYLAC-CQSZACIVSA-N 422.462 4.861 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(OC(F)(F)F)cc1 735353423 AWQBHIOIGDZCIJ-JTQLQIEISA-N 422.315 4.665 5 20 HJBD CC(C)CO[C@H]1C[C@@H](OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1(C)C 739296921 PBPDXUPLBPKUQX-DLBZAZTESA-N 404.488 4.715 5 20 HJBD Cc1ccc(-n2c(S[C@@H](C)c3ccccc3[N+](=O)[O-])nc3ccccc3c2=O)nc1 742449801 YICQNOJPYDHABF-HNNXBMFYSA-N 418.478 4.851 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1c(-n2cccc2)sc2c1CCSC2 746038477 PNHRXSSAAVSWHJ-UHFFFAOYSA-N 403.460 4.628 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2ccc([N+](=O)[O-])cc2F)on1 746910410 NDJXPCYCFVJDMZ-UHFFFAOYSA-N 402.403 4.680 5 20 HJBD Cc1cccc(Nc2nnc(SC[C@@H](O)c3cccc([N+](=O)[O-])c3)s2)c1C 749639567 LROQXCOWQWHZHS-MRXNPFEDSA-N 402.501 4.632 5 20 HJBD O=C(COC(=O)c1c(F)ccc([N+](=O)[O-])c1F)c1ccc(Oc2ccccc2)cc1 752563169 SFUDULFGYYFYHR-UHFFFAOYSA-N 413.332 4.705 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1cccc(Cl)c1[N+](=O)[O-] 762514449 PUWUNDHVURGIMG-CYBMUJFWSA-N 414.874 4.820 5 20 HJBD Cc1ccc2c(COc3c(Br)cccc3[N+](=O)[O-])cc(=O)oc2c1C 769873176 PKHFUXJTWKMIGJ-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD CCc1ccc([C@H](NC2CCC(O)(c3cccnc3)CC2)c2ccc([N+](=O)[O-])cc2)o1 769990377 POMKIKLYMIQXDL-PAHOBDAQSA-N 421.497 4.655 5 20 HJBD CC(C)n1cc2c(n1)[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])CCC2 774682703 PNWDDBNVYACXKJ-LLVKDONJSA-N 419.354 4.911 5 20 HJBD CCCc1cnc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 776551168 IUNXWAASZYCMHB-UHFFFAOYSA-N 404.673 4.672 5 20 HJBD CC1(NC(=S)/C(=C(/O)c2ccc([N+](=O)[O-])cc2)[n+]2ccc(C(C)(C)C)cc2)CC1 789412781 RYHBKTFWGKJASY-UHFFFAOYSA-O 412.535 4.533 5 20 HJBD COc1cc(CNc2ccc(OC(F)F)cc2F)c([N+](=O)[O-])cc1OCC(F)F 800877649 PXSAXOYKCOXMCA-UHFFFAOYSA-N 422.306 4.600 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)C[C@H](O)c1ccco1 809913231 AOCBSEPZQOHJOH-KRWDZBQOSA-N 415.833 4.609 5 20 HJBD O=C(NC[C@@H](O)c1ccc(-c2ccccc2)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809915440 QPTCGQZLQBRNDJ-LJQANCHMSA-N 411.845 4.770 5 20 HJBD CC(C)c1ccc(-c2nc(C(=O)OCC(=O)c3ccc([N+](=O)[O-])cc3)cs2)cc1 900225873 UWSPXGFZNZQXRP-UHFFFAOYSA-N 410.451 4.881 5 20 HJBD C[C@@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 917065406 ZCRFNUVPMQASMR-OAHLLOKOSA-N 401.426 4.668 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 918844636 HCNMCFSPHBGSHB-SKLAPFLYSA-N 412.255 4.511 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)CSc2cccc([N+](=O)[O-])c2)cs1 1116938936 YARKCQYPJHFFIW-UHFFFAOYSA-N 411.508 4.708 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(COc2ccccc2[N+](=O)[O-])o1 1117205516 DCIPJFACKHXPLX-UHFFFAOYSA-N 403.822 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CCc2ncc(-c3ccccc3F)o2)n1 1320211321 CQOPMIQUNGJEDE-UHFFFAOYSA-N 408.389 4.841 5 20 HJBD Cc1cc2occ(CC(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2cc1C 17726103 FONQSCLLLNAFEX-CQSZACIVSA-N 421.409 4.855 5 20 HJBD CCn1c(SCC(=O)N[C@@H](C)c2cccs2)nc2cc3ccccc3cc2c1=O 22178780 DULIDWNRPZEYQM-AWEZNQCLSA-N 423.563 4.601 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(SCc2cn3ccccc3n2)cc1 25379089 RSUTZRZTZOVCQD-UHFFFAOYSA-N 404.451 4.787 5 20 HJBD Cn1c(Sc2ccccc2[N+](=O)[O-])nc2scc(-c3cccs3)c2c1=O 32509887 GDMSJBVHHDFXGS-UHFFFAOYSA-N 401.494 4.783 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCCc3ccc([N+](=O)[O-])cc3)c2C2CC2)cc1Cl 65123945 LVXNYRNRDXHWIC-UHFFFAOYSA-N 424.888 4.592 5 20 HJBD O=C(NCC1CCN(Cc2cccs2)CC1)c1cc2cc([N+](=O)[O-])ccc2s1 145773451 UEFAUAYVOAXFPD-UHFFFAOYSA-N 415.540 4.513 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2c[nH]c3ccccc23)n1C1CC1 301752263 VKQGRIMLHCTAPY-UHFFFAOYSA-N 412.862 4.869 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])[C@H](Oc1ccc(F)cc1Cl)c1ccccc1 409812087 YGRDMRGKTPFTNK-HXUWFJFHSA-N 414.820 4.824 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc(Cl)ccc1Cl 409934403 ZIXVWAFMMMDUNE-CYBMUJFWSA-N 422.312 4.995 5 20 HJBD CC(C)OCc1cc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])ccc1O 426241185 TVGASSNYXWJLAI-UHFFFAOYSA-N 410.879 4.610 5 20 HJBD Cc1ccccc1N(C(C)C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 432360246 VWQBNXDDEGRVBN-UHFFFAOYSA-N 402.394 4.526 5 20 HJBD Oc1nc(-c2csc(I)c2)nc2cc3ccccc3cc12 432503310 WQIMSOMRYPQMFV-UHFFFAOYSA-N 404.232 4.822 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3)C(C)(C)C2)cc1SC 434090237 RRZHHAIZWVOXLU-MRXNPFEDSA-N 400.500 4.591 5 20 HJBD COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 435033786 KTZDOPQZJNLEHP-PMACEKPBSA-N 423.494 4.597 5 20 HJBD COc1cccc(C(=O)NC[C@@H]2CC3c4ccccc4C2c2ccccc23)c1[N+](=O)[O-] 435530506 XQODEOBCLJJVAS-KIHDROPUSA-N 414.461 4.631 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1OC 437113265 AVWGFORRDCXMMT-UHFFFAOYSA-N 418.877 4.850 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2/C=C\c2ccccc2)cc([N+](=O)[O-])c1OC 440891659 FZGSRIGGELVGOO-SYGIOELKSA-N 410.470 4.710 5 20 HJBD CCOCCC1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 441055319 NJEQWOTXYIWYJP-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD C[C@]1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO[C@H]1C1CC1 441274567 BYKNUFSFMPIPQF-RBBKRZOGSA-N 412.511 4.681 5 20 HJBD CC(C)[C@@H](c1ccccc1Cl)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446896537 OHIGZQRZSVLPJL-IBGZPJMESA-N 415.881 4.663 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(OCC2CCCC2)CC1 447682808 KDLYMMRMAVCLME-UHFFFAOYSA-N 423.382 4.820 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)n1 466731272 MIRQKRZTXNIIRG-INIZCTEOSA-N 408.458 4.558 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(Cc3ccccc3)oc2c1 466906681 QXSDMZDJVWBCAI-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD COc1cccc(C=C2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 473011119 TUTCBJWZXMGRRK-INIZCTEOSA-N 420.469 4.894 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC=C(c2c(F)cccc2F)CC1 473163924 SSTUHITXOSKNFV-CYBMUJFWSA-N 412.396 4.773 5 20 HJBD COc1ccc(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 474869213 NGGGUVBTGXZOOJ-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD COc1cccc([C@H](NCc2cccc([N+](=O)[O-])c2C)c2cc(F)ccc2OC)c1 475169827 AZELWOHSBQYTPT-QHCPKHFHSA-N 410.445 4.939 5 20 HJBD CCc1cc(Br)ccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 478348548 WAOKXWLOQVIUQF-UHFFFAOYSA-N 402.248 4.513 5 20 HJBD C[C@@H](NC[C@H]1CCN(CC(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 481339635 QSTDNPBVJCYKEU-ZIAGYGMSSA-N 413.465 4.858 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(-c2ccc3c(c2)OCCO3)s1 481386722 TTWDMKXSSRPXBI-UHFFFAOYSA-N 410.451 4.964 5 20 HJBD CCC[C@@H](NCc1ccc(NC(=O)c2ccncc2)cc1)c1cccc([N+](=O)[O-])c1 482117615 AFWITCFKIAKSNH-JOCHJYFZSA-N 404.470 4.873 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C)nn2-c2ccc(Cl)cc2)c1[N+](=O)[O-] 485736236 ZQLKRSKVFAALTK-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 486275285 KBNNKPMZMDKAOI-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD C[C@H](Nc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1nc2ccccc2n1C(F)F 486439783 SLVOQNBSSAWPCG-JTQLQIEISA-N 400.345 4.563 5 20 HJBD Cc1cccc(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)c1[N+](=O)[O-] 489987763 SOPSDOUVQAWOIX-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD CCOc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1OC 502061008 KMTQSZISMIIAHJ-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)c(Br)cc2F)cc1[N+](=O)[O-] 510101542 ORANLXYVLNVALQ-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC(C)(C)c2cccs2)cc1[N+](=O)[O-])c1ccccn1 510423761 HPPBXJLIHQQROH-HNNXBMFYSA-N 424.526 4.932 5 20 HJBD C[C@@H](NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1ccc(Oc2cccnc2)cc1 516474936 BQPKZOMUEBQXMW-MRXNPFEDSA-N 416.437 4.614 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2)n1 518353348 LONPNTWWNJXUMQ-UHFFFAOYSA-N 409.471 4.930 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(Cc1cccc(C(F)(F)F)c1)C(C)C 521156264 YBXDKHBCNOLHGN-UHFFFAOYSA-N 416.421 4.521 5 20 HJBD CCOC(=O)c1ccnc(N2CCC(c3cccc4ccccc34)CC2)c1[N+](=O)[O-] 523085419 ZDDLLJXBDCSUOB-UHFFFAOYSA-N 405.454 4.704 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC(OC2CCCC2)CC1 523672600 BFILFTDJSTZDFK-UHFFFAOYSA-N 423.513 4.771 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccco2)nc2onc(C(C)C)c12 524101537 XPKZDFWKNAFOON-UHFFFAOYSA-N 422.397 4.775 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 524662285 UUSXYHTXZQSNGB-UHFFFAOYSA-N 403.866 4.553 5 20 HJBD CNc1ccc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530458752 ZEHACJGLIUEELW-IBGZPJMESA-N 423.448 4.533 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(c3nc4ccccc4s3)CC2)c2ccncc12 532445501 XHOZVVFBSYTBIT-UHFFFAOYSA-N 405.483 4.834 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 532654194 DGJFCMPHIFBULB-HSZRJFAPSA-N 419.481 4.852 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 535722856 ISUVYWBVOCBXSJ-GOSISDBHSA-N 410.499 4.869 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](C)c1cccc(Cl)c1Cl 537112057 CNAQAJFVYHPPDL-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD Cc1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])nn1-c1ccc(Cl)cc1Cl 540810113 GATBHDBFSMJCNI-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(-c2nc3ccccc3o2)cc1 541431133 VOSKXRCIYMALRV-UHFFFAOYSA-N 418.409 4.733 5 20 HJBD CCOc1cc(C(=O)Nc2ncc(C3CCCCC3)s2)c([N+](=O)[O-])cc1OC 541998459 GXCGFSLKIZQAMX-UHFFFAOYSA-N 405.476 4.759 5 20 HJBD CCCN(c1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@@H](C)c1cc2ccccc2o1 542088421 IOLQGLZASUKQDJ-LBPRGKRZSA-N 408.501 4.784 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccccc2C(F)(F)F)C2CC2)cc1[N+](=O)[O-] 542960245 UZJUQZLMTOIYIU-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD COc1cc(-c2nc([C@@H]3CCCO3)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 545770411 NMGFSLPMLPYKCT-INIZCTEOSA-N 417.805 4.951 5 20 HJBD COc1cc(Cc2noc(-c3cncc(-c4cccc(C)c4)c3)n2)ccc1[N+](=O)[O-] 546466742 ZYCRAJUFCQKAGC-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 558919006 QVDYBOFOTXSCGX-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CNC3CCN(C4CC4)CC3)cc2F)c(Cl)c1 577546191 APZMHPGPWVADDD-UHFFFAOYSA-N 419.884 4.896 5 20 HJBD CSc1ccc(C(=O)N2CC(Cc3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 590421716 AMKNAHHPOVCKCL-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD CCn1nc(C)c(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1C 608974184 VMWGKMBLWVEJOJ-UHFFFAOYSA-N 407.474 4.601 5 20 HJBD Cc1c(NC(=O)NCC2CCN(Cc3ccccc3Cl)CC2)cccc1[N+](=O)[O-] 609296785 WDHVQZFQPWPIGC-UHFFFAOYSA-N 416.909 4.590 5 20 HJBD Cc1nc2ccccc2n1Cc1cc(NC(=O)c2ccc([N+](=O)[O-])n2C)ccc1Cl 609507532 UVAYAQOCRKCSRJ-UHFFFAOYSA-N 423.860 4.545 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@@H]2C)cc1[N+](=O)[O-] 609508239 GMZHDLVXKYUWRE-YVEFUNNKSA-N 406.891 4.572 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1F 609774222 ZHNICPCETCBZQF-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD CC(C)(C(=O)Nc1ccc(OC(F)F)c2ncccc12)c1ccc([N+](=O)[O-])cc1 610040971 DYEWLLUPNYYAPX-UHFFFAOYSA-N 401.369 4.661 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610101390 MVOHRYRBNFEBIX-OAHLLOKOSA-N 412.515 4.930 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CC(c2nc3ccccc3[nH]2)C1 610705362 GNPAGJVDJYCTNX-UHFFFAOYSA-N 414.421 4.503 5 20 HJBD CN(Cc1cc(C(C)(C)C)n[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 618483778 RFDTZELRFXYHHD-UHFFFAOYSA-N 416.547 4.922 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2C)c([N+](=O)[O-])cc1OCC 619212703 ALJOQPRSDRYTSC-CYBMUJFWSA-N 422.865 4.760 5 20 HJBD COc1cccc(CCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1OC 728165687 PKCPVHJELRZHHR-UHFFFAOYSA-N 418.877 4.641 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 730496609 PBGXVVMIULCHED-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD CC1(CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCCC1 731202385 IWAUXLCDDOFUIK-UHFFFAOYSA-N 415.877 4.811 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 731526822 DRHDOYQQFHGWQV-AWEZNQCLSA-N 408.385 4.585 5 20 HJBD COC(=O)C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(C(C)(C)C)cc1 737713396 CXOOOHKEENWJAJ-SFHVURJKSA-N 418.877 4.580 5 20 HJBD C[C@@H]1CCC[C@H](OCc2cccc(NS(=O)(=O)c3cccc(F)c3[N+](=O)[O-])c2)C1 745099252 LZFVYNNKCOZQEC-PBHICJAKSA-N 422.478 4.630 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746898484 JLUUYXKNUWVOIB-SFHVURJKSA-N 408.376 4.511 5 20 HJBD CCOc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1F 749568534 LWVZHUVIMKLLAZ-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1nc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])sc1Cc1ccc(F)cc1 750178987 KLBZXHICGRPUPB-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCCC[C@@H]2C[C@@H](O)c2ccco2)c1Br 754061727 MYAGQYPHRFBCJN-UKRRQHHQSA-N 424.295 4.526 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(N2CCCC2=O)ccc1Cl 760471759 QSVFMLFYIUJDDL-UHFFFAOYSA-N 408.241 4.589 5 20 HJBD O=C(Nc1ccc(CC[C@@H](O)c2ccccc2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 763013327 OWHZPJWJZKVZOG-JOCHJYFZSA-N 415.449 4.995 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1C[C@@H](O)c1ccco1 765591221 RNZOGBBIYQNXAN-HLAWJBBLSA-N 414.502 4.651 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)c1cc(O)nc(C2CC2)c1 770887659 GAXPVIBWWMFAJE-UHFFFAOYSA-N 406.394 4.722 5 20 HJBD C[C@@H](OC(=O)c1ccccc1C(=O)N(C)c1ccccc1)c1ccccc1[N+](=O)[O-] 781865279 IDKMTCPFODTWGW-MRXNPFEDSA-N 404.422 4.789 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Cl)C(=O)N[C@H](CC1CCCC1)c1ccccc1 783341585 UBPKXXDYAVCVMR-GOSISDBHSA-N 415.877 4.625 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Oc1cccc(Oc2ncccn2)c1 783954538 RPELYCSRYVQNAQ-UHFFFAOYSA-N 417.830 4.528 5 20 HJBD CC(C)c1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1[N+](=O)[O-] 784117851 LTWAZONBWUIODO-IBGZPJMESA-N 401.444 4.514 5 20 HJBD CCn1ccnc1[C@@H](CCc1ccccc1)OC(=O)Cc1cccc([N+](=O)[O-])c1C 788086107 NLGDNEWYGMNUGU-OAQYLSRUSA-N 407.470 4.579 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1cccc(Cl)c1[N+](=O)[O-] 791396113 ABSYMSKRAFCUBL-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1cc(C(F)(F)F)ccc1Cl 800051946 AUYHKSASBMEIRR-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD O=C(NC[C@@H]1CCCC(F)(F)C1)c1cc(Br)cc([N+](=O)[O-])c1Cl 800571725 PRFXSEMRWTZPGM-MRVPVSSYSA-N 411.630 4.566 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809919219 WVSOGMRWWHUMNK-UHFFFAOYSA-N 416.743 4.783 5 20 HJBD CCC[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccn1 810532430 DZBMJEOJZKBZTE-FQEVSTJZSA-N 419.437 4.731 5 20 HJBD COc1ccc(Br)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 864029586 BBRIOTTXWJWRMS-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(F)cc1OC(F)F 1256271203 USHGOWUAKBVQRJ-QMMMGPOBSA-N 420.746 4.548 5 20 HJBD C[C@H](Nc1ccc(-c2nnc(-c3ccc(Cl)nc3)o2)cc1[N+](=O)[O-])c1ccccn1 1257918520 SFJABJBHUYUYIY-LBPRGKRZSA-N 422.832 4.928 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1csc(Cc2ccc(Cl)cc2)n1 1321690973 SUZIFPTVOYZXED-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c2c(nc3ccccc13)CCC2 1515081527 IGDCPHOMKSKEMS-UHFFFAOYSA-N 415.405 4.644 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(F)c(Cl)c3)cc2)c(C)c1[N+](=O)[O-] 8075434 DCVUHKXXGHJHQI-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1cc([N+](=O)[O-])ccc1Cl 9653249 ZYFJRZSTVRIZPZ-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 22471197 GZTVFKBRQLORRZ-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD CC(C)CCC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 23949816 FHNHHZRAKAPETA-LJQANCHMSA-N 404.850 4.907 5 20 HJBD COc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(F)F 43341998 GQUKBEHYHDDVFC-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(OCc2ccccc2)cc1 43747780 GAWPNSJPLZXZCJ-KRWDZBQOSA-N 422.506 4.971 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2ncc(-c3ccc(F)cc3)o2)cccc1[N+](=O)[O-] 45842007 XXOLBGRUHDZYHW-LBPRGKRZSA-N 401.419 4.817 5 20 HJBD C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 78655301 JYWWMDAEQRQSRL-HNNXBMFYSA-N 422.510 4.810 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3ccccc3)CC2)cc1SC 97548948 ATTRQBCBPZUCDC-INIZCTEOSA-N 400.500 4.735 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 105057879 BEJUQTCHQIKBAG-UHFFFAOYSA-N 417.893 4.519 5 20 HJBD O=C(NC[C@@H](c1ccco1)N1CCCC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107779096 GREBPTYSQICOKB-FQEVSTJZSA-N 421.453 4.547 5 20 HJBD O=C(NC[C@H](O)c1ccccc1C(F)(F)F)c1cc2ccccc2c2cccnc12 109227348 NSEWSWUYJQPCRC-FQEVSTJZSA-N 410.395 4.870 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1)c1ccccc1 303460421 LPIDKZRGPWHKNV-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccnn3C(C)(C)C)cc2[N+](=O)[O-])n1 409849494 UEYZSYFTTALGEQ-UHFFFAOYSA-N 417.516 4.715 5 20 HJBD CC(C)CN(C(=O)[C@@H]1O[C@@H](C)C[C@@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 410003293 YDKQPJUUNRBVPB-SUNYJGFJSA-N 403.504 4.521 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cccc2ccccc12 427691798 ZHNXPAIBBMLIII-OAHLLOKOSA-N 401.422 4.552 5 20 HJBD CCOc1cc(NC(=O)N[C@H](c2ccccc2)C(F)F)c([N+](=O)[O-])cc1OCC 432642646 MNYJMPMOEXKCCR-QGZVFWFLSA-N 409.389 4.520 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 436077406 NOTKPDQVNKBFNX-UHFFFAOYSA-N 408.404 4.999 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1csc(CCc2ccccc2)n1 443945759 HKADKYSMZADYNI-UHFFFAOYSA-N 410.499 4.775 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444812622 LCAMRNHDLPMQFR-MRXNPFEDSA-N 419.762 4.527 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c1 445471063 MJDLSMRTASTEFJ-UHFFFAOYSA-N 421.866 4.947 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1cccc(C)c1 446985870 CAABETRVDUJOEQ-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21 460147887 BSYLTHXMAOHGRS-QGZVFWFLSA-N 411.458 4.594 5 20 HJBD CC(C)(NC(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 462231042 VUPGAGRZVCBNNI-MRXNPFEDSA-N 409.408 4.550 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])c2ncccc12 463135464 XZQHTWSYOIQATH-UHFFFAOYSA-N 420.450 4.670 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 463946883 BMZNFGQGNAUHLM-YJBOKZPZSA-N 407.829 4.656 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1OC1CCOCC1 464081734 LTAFFXXNSKMNMK-UHFFFAOYSA-N 424.375 4.732 5 20 HJBD Cc1cccc([C@H]2CCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c1C 464592110 JMBKANOGRHRQCB-HXUWFJFHSA-N 404.470 4.765 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2o1 468103640 VAUXTUBVFCAEMB-UHFFFAOYSA-N 403.232 4.684 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 471164892 JPRMPUXUGLOZJV-UHFFFAOYSA-N 415.453 4.551 5 20 HJBD CC(C)(C)c1cnc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)o1 472746030 DWMDXLXRLRZEAR-UHFFFAOYSA-N 410.430 4.994 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 474943219 FUHMXFSBAQYDAH-UHFFFAOYSA-N 420.469 4.801 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H]1CCOC2(CCCCC2)C1 475190142 MHPRICRBQFRPTO-ZDUSSCGKSA-N 401.385 4.617 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 476174315 WNOARSPGYRGBIV-IRLDBZIGSA-N 404.470 4.579 5 20 HJBD CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 481172609 XZRNBWGOBSHYLM-CABCVRRESA-N 402.413 4.871 5 20 HJBD Cc1c(CNC(=O)CCC(=O)c2cc(Cl)sc2Cl)cccc1[N+](=O)[O-] 481464453 HZOVHIZORATANZ-UHFFFAOYSA-N 401.271 4.551 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc(Cl)c1F 481618696 GLFSGNJAOSBTQF-UHFFFAOYSA-N 408.794 4.641 5 20 HJBD CC(C)c1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482713984 MLKXMQQUNPZCTN-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD COc1cc(CNc2cccc(-c3nc(C)co3)c2)c([N+](=O)[O-])cc1OCC(F)F 491020837 NIFORBGQTZEZBL-UHFFFAOYSA-N 419.384 4.823 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)C1CCC(F)(F)CC1 493002619 JKJCIZSTTYZOCY-UHFFFAOYSA-N 406.379 4.609 5 20 HJBD O=C(Nc1cccc2oc(C(F)(F)F)nc12)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 494651853 WAAPNEYPEGCUHS-UHFFFAOYSA-N 420.347 4.607 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](c1ccc(C)cc1)c1cccnc1 496589357 CWDHKIJSKNXGOX-JOCHJYFZSA-N 405.454 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 500991256 VLFXPZVLKXDRCL-LJQANCHMSA-N 402.882 4.515 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)COc3ccc([N+](=O)[O-])cc3)s2)cc1 502565106 YVGQXRPUIHJPRU-AWEZNQCLSA-N 411.483 4.591 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1cccc2ccccc12 503125641 XYGKVOWUVWKUEI-QZTJIDSGSA-N 404.422 4.652 5 20 HJBD CCOc1ccc([C@H](C)N[C@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 504895591 VDYGLBXPPVGSFU-HOCLYGCPSA-N 421.444 4.524 5 20 HJBD O=C(Nc1ncc(Cc2cccc(Br)c2)s1)c1csc([N+](=O)[O-])c1 505426427 XOGNXDYCHKZZDZ-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD COc1cc(CN(C)[C@H](C)c2c(F)cccc2F)c([N+](=O)[O-])cc1OC(F)F 507232652 PAHUHEXMEOLSJF-SNVBAGLBSA-N 402.344 4.676 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(F)c(Br)c1 507653656 DNEGGHOTUFUKNZ-SNVBAGLBSA-N 418.222 4.536 5 20 HJBD COc1ccc([C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N2CCCC2)cc1 518272965 ZDHVRLLSEPAQSG-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD C[C@@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 518909596 ONBFISXULBGUKG-GOSISDBHSA-N 419.481 4.793 5 20 HJBD O=C(Nc1cc(-c2cc(Br)ccc2Cl)[nH]n1)c1cccc([N+](=O)[O-])c1 522506412 RQJBRSMZHSGBAA-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD CSCc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 525234709 KVASKHKPZRCMRG-UHFFFAOYSA-N 401.488 4.533 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)ccc1[N+](=O)[O-] 530564304 WWKHPZKBVLCROL-FQEVSTJZSA-N 408.433 4.800 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)cc(Br)c2O)c1 531372367 IXWOOUFYVFCDHE-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 536663533 MLWDAHXILITUEE-NDEPHWFRSA-N 418.902 4.878 5 20 HJBD Cc1c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cnn1CCC(C)C 537152409 SIVBUHAICYQWEV-UHFFFAOYSA-N 413.503 4.546 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538003454 ZRQUSYWWAWRPQX-HOTGVXAUSA-N 414.220 4.692 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@H]3c3ccc(F)c(F)c3)cs2)c1 538444548 CTIWWDOSIIFYCS-OALUTQOASA-N 417.437 4.616 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2nnc([C@H]3CCCO3)o2)cc1 540791538 DMGBGXYDENBLOK-QGZVFWFLSA-N 416.821 4.887 5 20 HJBD CN(C(=O)c1cccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c1)c1ccccc1 541287383 VHDRDOXMVYGLMV-UHFFFAOYSA-N 421.478 4.602 5 20 HJBD C[C@@]1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO[C@@H]1C1CC1 542314380 OLIJFHSSZMYAEI-RTBURBONSA-N 421.291 4.665 5 20 HJBD CCC(C)(C)OCc1ccccc1N[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 543449811 RTIYESVIQDFILO-OAHLLOKOSA-N 415.490 4.748 5 20 HJBD CCCc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cnn1-c1cccc(F)c1 544295819 JIAQSUOBVSGMPH-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)Nc4ccc([N+](=O)[O-])cc4Cl)C3)[nH]c2c1 544622162 UGGGQQADWJZPOF-CYBMUJFWSA-N 413.865 4.844 5 20 HJBD Cc1ccc(-c2cnc(CCc3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)o2)cc1 545784714 RJYOCTMYBLVUOR-UHFFFAOYSA-N 404.426 4.626 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1ccc([N+](=O)[O-])cc1Br 547227952 CTHFXOOILNFNMQ-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 549193395 HMYDXWGLICCMJK-UHFFFAOYSA-N 418.881 4.693 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3c[nH]c4cc(F)ccc34)no2)c1 551354817 BCVCVDUOOUOUTK-UHFFFAOYSA-N 406.295 4.875 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCc1cc(-c2ccccc2)n(C)n1 552125141 JZWKVPHKCJPDTD-UHFFFAOYSA-N 420.513 4.625 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC=C(c2ccccn2)C1 552385765 KPRVTLYBTNZNPF-UHFFFAOYSA-N 401.422 4.712 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ncsc1C(F)(F)F 554963312 RMXKZLJUQAMRQH-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)c1 554966106 LAOYCDNZGSAMNE-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2C(=O)c2ccc[nH]2)c1 557032886 JQAZORUIQLSJRU-UHFFFAOYSA-N 415.858 4.782 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1c(F)cccc1Cl)c1cccc([N+](=O)[O-])c1 560546399 RUVYIXPCMKNVAW-IBGZPJMESA-N 416.884 4.778 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Sc2nc3cc(C(F)(F)F)ccc3s2)n1 564338928 NMGQRMNHCVHWHA-UHFFFAOYSA-N 415.374 4.556 5 20 HJBD CC(=O)c1ccc(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)c([N+](=O)[O-])c1 578183929 QTJZXFSULPFHOD-UHFFFAOYSA-N 420.347 4.564 5 20 HJBD CCn1c(Sc2ccc(Br)cc2[N+](=O)[O-])nnc1-c1cccs1 579379854 METCTGHVPCNLSW-UHFFFAOYSA-N 411.306 4.848 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](c1ccccc1)c1ccc(F)cc1 583517882 RMEFBZPUPQUMAF-HSZRJFAPSA-N 407.445 4.750 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc(C)c(OCC(F)(F)F)c(C)c2)ccc1[N+](=O)[O-] 604018516 QJUIKBPSAUSLFO-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2COc2ccccc2)cc([N+](=O)[O-])c1 604022840 FECZJQGVIZSXDK-UHFFFAOYSA-N 420.421 4.603 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCc2sccc2[C@@H]1c1cccs1 604048068 ZLBMKTFOXISVSS-LJQANCHMSA-N 409.492 4.987 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 604088365 UAUOYTWJTMDSHG-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 608865835 WOWKMTNQYUQZPJ-INIZCTEOSA-N 423.391 4.518 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 608865871 YLSOTDNTLMSEGX-INIZCTEOSA-N 423.391 4.518 5 20 HJBD COc1ccc(CC(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 609011995 IGLMBXQCOKEMQL-MRXNPFEDSA-N 423.494 4.514 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)o1 609019222 MNXZAHXWQYXIOP-MRXNPFEDSA-N 423.454 4.862 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1CCc2c(Br)cccc21 609042737 LTECUMJEZQAYFO-AWEZNQCLSA-N 410.655 4.820 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(C(F)(F)C(F)(F)F)cc1 609232640 ABXBHJVKXOXMAN-UHFFFAOYSA-N 403.307 4.608 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cnccc2-n2cccn2)cc1[N+](=O)[O-] 609506281 XQUVJAOXMIWTRV-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD COc1cccc2c1OCC[C@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 609599120 ROSVUGRDGSBAGE-HXUWFJFHSA-N 419.481 4.985 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609909812 WZQAGWIIXFZERE-CZUORRHYSA-N 411.458 4.876 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)c(Cl)cc2Cl)cc([N+](=O)[O-])c1OC 610168993 KGOCWTIKDOGCAB-UHFFFAOYSA-N 405.621 4.825 5 20 HJBD C[C@@H](C[C@@H]1CCOC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 610741132 KFUCATIYQYOPEQ-STQMWFEESA-N 409.280 4.522 5 20 HJBD Cc1nc(NC(=O)Cc2csc(-c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 610774761 PSIDYYRVQJMPSH-UHFFFAOYSA-N 422.388 4.622 5 20 HJBD O=C(NCCOCc1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206572 DUABTWINHLMNOX-UHFFFAOYSA-N 400.500 4.576 5 20 HJBD C[C@@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 729260884 PBKNAUOIODJGAB-OAHLLOKOSA-N 410.477 4.553 5 20 HJBD O=C(COC(=O)c1cc2sc3ccccc3c2s1)Nc1ccccc1[N+](=O)[O-] 729936620 DXWWBLFLDACOEP-UHFFFAOYSA-N 412.448 4.820 5 20 HJBD Cc1ccccc1[C@H](O)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368398 PHEGWUGCTOZEHM-OAQYLSRUSA-N 407.426 4.551 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1COc1ccc(S(=O)(=O)c2ccccc2)cc1 746049308 YVHBAGZKAYUJEV-UHFFFAOYSA-N 403.843 4.660 5 20 HJBD Cc1noc(C)c1CN(Cc1cc(Cl)ccc1[N+](=O)[O-])Cc1c(C)noc1C 746674330 USXHVJFCVYDZTJ-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD Cc1cc(O)c(C(C)C)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 748130149 TYFDVDGQMYMRGH-UHFFFAOYSA-N 411.227 4.886 5 20 HJBD Cc1ccc(Nc2nnc(SC[C@H](O)c3cccc([N+](=O)[O-])c3)s2)cc1C 749640067 MMXAFVHPLPAQCI-INIZCTEOSA-N 402.501 4.632 5 20 HJBD C[C@@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(F)cc1 750854674 QYBZDRJTVRRTPF-VIFPVBQESA-N 415.646 4.683 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OC1CCCC1 751086888 MEHMNGIQYCDVHL-CYBMUJFWSA-N 402.422 4.555 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)[nH]c1C 751441896 HVCJTGXLVAAAML-UHFFFAOYSA-N 416.890 4.986 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c(C)s1 754981547 PFWDVIWONYMVBA-QMMMGPOBSA-N 401.257 4.666 5 20 HJBD C[C@@H](CCCC(F)(F)F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 757647860 QRUCNDSFLHJHNI-ZETCQYMHSA-N 417.609 4.862 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762988260 IJVJAWCPPBENMW-AWEZNQCLSA-N 416.449 4.705 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC(c2nc3ccccc3s2)CC1 769458958 GZYXVXRBXDEFAO-UHFFFAOYSA-N 403.410 4.503 5 20 HJBD CC(C)(O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 775216615 CVLOKFWGSZVNRH-UHFFFAOYSA-N 414.527 4.787 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1cc(Cl)ccc1[N+](=O)[O-] 778137838 LLBSNWVLULNXRX-NSHDSACASA-N 411.241 4.569 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)[nH]c1C 780452843 AHVXWLYLIJKMOV-UHFFFAOYSA-N 408.461 4.941 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 780900452 TZQOMCDQMYNHTN-QFIPXVFZSA-N 410.473 4.858 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F)OCc1ccccc1 781688269 TUEPEWBSKUKKDR-UHFFFAOYSA-N 424.384 4.840 5 20 HJBD CCN(C)C(=O)[C@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 789876022 UDMHGQUPBXKMOE-INIZCTEOSA-N 414.505 4.530 5 20 HJBD CC[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)[nH]1 790575887 HNIHGVVQHRASNE-INIZCTEOSA-N 416.840 4.587 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Oc2ccc3cccnc3c2)cc1[N+](=O)[O-])c1ccccn1 791859817 PSLMCXNUFOODLV-OAHLLOKOSA-N 414.421 4.930 5 20 HJBD CCCN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])[C@@H](C)CC(=O)Nc1ccccc1 800237403 CQMIAIZTULZXLX-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD Cc1nc([C@@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 806120631 CJEWDHNQASRYGL-HNNXBMFYSA-N 422.228 4.504 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(Br)c1 812965979 XWCLUPJYHFTLQM-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)C1(c2cccc(C(F)(F)F)c2)CCCC1 1318741811 UCYZXBFKYQPSRO-UHFFFAOYSA-N 404.388 4.968 5 20 HJBD C[C@@H](COc1ccccc1F)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 1322153603 MIEGTDQAJGLAMG-INIZCTEOSA-N 424.428 4.510 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)n2)cc1 1322391916 APWIVXLRRIJHQE-UHFFFAOYSA-N 414.849 4.993 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nnc(COc4ccc5ccccc5c4)o3)n2)c1 1322877836 OSPICROZNYIHPU-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCO[C@H](c2ccc(Cl)s2)C1 1323341026 WVZPKHSQNITZPB-LBPRGKRZSA-N 420.796 4.542 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 1338408964 YOZQLLAJFJJKGL-CABCVRRESA-N 408.298 4.540 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@@H]1CCCC[C@H]1C(F)(F)F 1343331759 SUZUAURXECWMIX-VQIMIIECSA-N 403.400 4.819 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(SCc2cccnc2)cc1 10895024 WEYAZBCGIJRZKB-UHFFFAOYSA-N 408.483 4.723 5 20 HJBD O=C(c1sc2cc(F)ccc2c1Cl)N1CCN(c2ccccc2[N+](=O)[O-])CC1 18077958 MIDXUPRPGVTBQC-UHFFFAOYSA-N 419.865 4.564 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)Cc1csc(-c2ccc(Cl)cc2)n1 21488171 NKXDUUYYPKBNPJ-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 24033174 BJBCTVQFRUSVGJ-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cccc(F)c2)cc1[N+](=O)[O-] 24372825 HTVOTXOBQMVLKA-UHFFFAOYSA-N 410.426 4.939 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(C(C)=O)c2)cc1 32652606 LHUPWIABXGZMNN-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD C[C@@H](NC(=O)Cc1csc(-c2ccc(Cl)s2)n1)c1cccc([N+](=O)[O-])c1 57395898 CZCKBJHPOBCLLO-SNVBAGLBSA-N 407.904 4.853 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(-c2nc3ccccc3o2)cc1 65721749 PSYUYPRERNOEQH-UHFFFAOYSA-N 412.405 4.996 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(COc3ccc(Cl)cc3)[nH]2)cc1 108308488 VZDONSVRYXEPIB-UHFFFAOYSA-N 420.878 4.507 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 108878191 OGVWCEQWIDZWJW-NSHDSACASA-N 418.375 4.856 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](c2nnc3ccc(C(F)(F)F)cn23)C1 217020912 UFSKJHIENQLIPR-DZGCQCFKSA-N 419.407 4.597 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nccn2Cc2ccccc2)s1 237958702 UIZAZGRCISMFQD-UHFFFAOYSA-N 418.522 4.900 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC(OC[C@H]2CCCCO2)CC1 301536812 JBSBDHQMGQCXCD-CYBMUJFWSA-N 422.831 4.822 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCCCC[C@H]2/C=C/c2ccccc2)s1 302361775 FQNBQEGHDXZJBA-PCUGXKRQSA-N 406.529 4.522 5 20 HJBD COc1ccc(Br)cc1SCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 303951869 ZFRGPIAXEIXJEZ-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 429847127 BUQUWZRKZSRFMC-KRWDZBQOSA-N 419.481 4.724 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)cc(F)c(F)c1F 430818831 FFDHNDHXOXZBEY-UHFFFAOYSA-N 402.369 4.583 5 20 HJBD C[C@H](NC1(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)CCOCC1)c1ccccc1 433786567 OEAWFSAPIXZVRZ-HNNXBMFYSA-N 421.444 4.508 5 20 HJBD O=C(NCc1ccc(F)c(Cl)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436363749 MWEWXTGHRFSOQX-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD CC(C)Oc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(F)c1 438183985 XADJPKJMVISRKH-UHFFFAOYSA-N 417.437 4.596 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCc4c([nH]c5c(F)cccc45)C3)co2)cc1 439485583 JXYIPAHJEBCUIV-UHFFFAOYSA-N 406.417 4.819 5 20 HJBD CCCCOc1ccc(-c2nnc(COc3ccc(C(C)=O)cc3[N+](=O)[O-])o2)cc1 440828675 BSTNYMBOCOUFKU-UHFFFAOYSA-N 411.414 4.605 5 20 HJBD CC(=O)c1ccc(OCC(=O)c2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 440851044 VFMQLMMIFDUPGQ-UHFFFAOYSA-N 405.406 4.638 5 20 HJBD COc1ccc([C@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1Br 443952428 ZLRVCENQVZXBII-LBPRGKRZSA-N 422.279 4.865 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccccc1OC(F)F 444856488 YRGQDLOYFQOIDK-ZETCQYMHSA-N 421.219 4.511 5 20 HJBD CC(C)[C@H](c1ccccc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445778107 UBSNVDOELBEJKY-LJQANCHMSA-N 405.292 4.756 5 20 HJBD Cc1ccc([C@H](OC[C@@H](O)CSc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1 448046679 BDNLBDAWIMNNID-IFMALSPDSA-N 410.495 4.557 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 448050956 PLLJVBVXYOXKRU-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD Cc1ccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2cccc([N+](=O)[O-])c2)cc1 460119705 VFWWDSINCWSCHT-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD CCOc1cc(NC(=O)c2c(C)cccc2Br)c([N+](=O)[O-])cc1OCC 463297696 PHPZERZFHLWKQZ-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD CN(C[C@H]1CCCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467512692 KYBJVKAIPNJMSZ-CQSZACIVSA-N 420.918 4.898 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 471910640 LSYFCIHHEKBTMM-GDLZYMKVSA-N 414.527 4.991 5 20 HJBD C[C@@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1ccc([N+](=O)[O-])cc1 482039782 TURRZNRAQLKNQF-QGZVFWFLSA-N 406.486 4.565 5 20 HJBD Cc1sc(NC(=O)c2ccn(Cc3ccccc3)n2)nc1-c1cccc([N+](=O)[O-])c1 484065042 HPMIMGJLJMHTLK-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(OC(C)C)c(OC)c1 484461583 WCVJDIYWCSLWTB-CQSZACIVSA-N 418.515 4.994 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)Cc4c(F)cc([N+](=O)[O-])cc4F)CC3)c[nH]c2c1 485591321 GHSWAJBATWQINY-UHFFFAOYSA-N 411.408 4.521 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2ccc(F)cc2)cc1 485593848 STFDHDWFOOMEFE-AWEZNQCLSA-N 412.392 4.943 5 20 HJBD COc1cc(C(=O)Nc2cccnc2-c2ccc(Cl)s2)cc([N+](=O)[O-])c1C 485671562 SZALYNNKEBZDJT-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(N[C@H]1C[C@H]1c1cccc(F)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485943951 VXGAIRJBNLLIQP-FPOVZHCZSA-N 406.413 4.599 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2N2CCSCC2)c1[N+](=O)[O-] 486244087 MDDGZZIPABZTKG-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(C(=O)Nc2cc(Cl)ccc2O)CC1 489974775 ALYMZNNISQFMBX-AWEZNQCLSA-N 417.893 4.674 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@H](C)N(C)C(=O)c3n[nH]cc3[N+](=O)[O-])c2)cc1 490564493 IIWSDUVFMDSGIK-HNNXBMFYSA-N 408.458 4.605 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@H](Oc2cccc(Cl)c2)C1 490991399 AUSJVGBGQCNYHM-AWEZNQCLSA-N 414.767 4.561 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)Nc3ccccc3OC)cc2)ccc1[N+](=O)[O-] 492357113 MOPJMGWJEJFMTI-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCOc3cccc(C(F)(F)F)c3)cs2)c1 500111009 PLAAQSAKTDQNNH-UHFFFAOYSA-N 423.416 4.906 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3c[nH]c4cccc(C)c34)n2)cc1[N+](=O)[O-] 504365024 FIEXGMUASLFHKG-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@@H]1/C=C\c1ccccc1 505393280 BFSSRTVDDWBMFF-XZVRFQMRSA-N 401.260 4.675 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1OC 507313200 WJGZODMOICQMCD-AWEZNQCLSA-N 418.471 4.512 5 20 HJBD C[C@@H](C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1cccc(C(=O)c2ccccc2)c1 512996527 CLTDXEVCVCHCDJ-GOSISDBHSA-N 416.477 4.630 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 514892508 FQJWEKOGTBNJNJ-OLZOCXBDSA-N 422.359 4.517 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)C1CC1 518299120 FOWBWVADZKJIAK-CQSZACIVSA-N 410.861 4.800 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 520010959 ZYOLOWSYABWCDM-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 520485545 ZLBODGRKYLCXPR-NSHDSACASA-N 401.419 4.608 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 522238074 AQWZSKOCIGKUAO-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525284688 ZEYOKNBJUQFKDM-UWJYYQICSA-N 405.454 4.647 5 20 HJBD COc1ccc(CNCc2cn(-c3ccc(C)cc3)nc2C(C)(C)C)cc1[N+](=O)[O-] 532092592 LKIWTDYXANFXML-UHFFFAOYSA-N 408.502 4.685 5 20 HJBD CC(=O)N(Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1)C1CC1 532749084 XWFYGWSUMGRDLR-UHFFFAOYSA-N 422.510 4.841 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1cccc([N+](=O)[O-])c1 536246049 UVYVTARGQWOWTM-ZDUSSCGKSA-N 415.902 4.636 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cnn(C)c2)cs1 539653522 CNAXEFBYPPBOOW-UHFFFAOYSA-N 417.516 4.596 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNc2ncc([N+](=O)[O-])c(C)c2Br)c1 543449926 VLSQLHKJQQELCF-NSHDSACASA-N 421.295 4.657 5 20 HJBD COc1ccc(OCc2nc(CNC(C)(C)c3ccc([N+](=O)[O-])cc3)cs2)cc1 545165791 UVNIYFNCTUQHAQ-UHFFFAOYSA-N 413.499 4.664 5 20 HJBD CCOc1c(Cl)cc(-c2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1OC 546236059 VFQAFRBSHQDRAJ-JTQLQIEISA-N 421.812 4.996 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cc(OC4CCC4)ccn3)no2)c2ccccc12 546513350 VULFOGAFRGCORX-UHFFFAOYSA-N 424.460 4.579 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 547212563 KGURIJFENVZMIL-INIZCTEOSA-N 412.402 4.643 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc3ccccc3c2OC)no1 558327455 UUEVINDQXICLBG-OAHLLOKOSA-N 404.426 4.938 5 20 HJBD Cc1cc(O)nc2cc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)ccc12 561776881 KNMPXOGXHWTCQI-UHFFFAOYSA-N 420.450 4.557 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 570387570 GJLHRICFRKJTLN-INIZCTEOSA-N 403.438 4.898 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1cnn(-c2ccc(Br)cc2)c1 570896580 VSRVAWPVGDWCBR-AWEZNQCLSA-N 415.291 4.702 5 20 HJBD CCCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)[C@H](C)c1ccccc1OC 603528441 OTAHEJUYMIFICA-QGZVFWFLSA-N 411.502 4.817 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 603638219 INRCWHWQNRAXBE-RYUDHWBXSA-N 416.302 4.957 5 20 HJBD COc1cc(C(=O)Nc2ccc(Sc3nc4ccccc4[nH]3)cc2)ccc1[N+](=O)[O-] 609094785 ZCNRJZVFHBFXLK-UHFFFAOYSA-N 420.450 4.883 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 609263159 KAUKTAXFFTZCKF-HNAYVOBHSA-N 400.500 4.838 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(CSc4ccc([N+](=O)[O-])cc4)n3)c2)n1 609475773 RCMSCJNGTBYMIA-UHFFFAOYSA-N 421.482 4.799 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccc(Cl)c1Cl 609505145 YIFFKIZAXAQRQO-HUUCEWRRSA-N 417.252 4.830 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccn(-c2ccccc2)n1 609755060 ARUVDLAGNINCGI-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@@H]2c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC 610106545 LNWDATAMIVNBIC-NWDGAFQWSA-N 422.840 4.927 5 20 HJBD O=C(Nc1cnn(CC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206144 JTBGLYGJNHQOOC-UHFFFAOYSA-N 414.409 4.641 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(OCc3ccccc3F)CC2)cc1[N+](=O)[O-] 611266244 WXZMRMZNSYMLRY-UHFFFAOYSA-N 414.477 4.853 5 20 HJBD CO[C@@H]1CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 611947746 LQJQYOVCBUTEGB-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(=O)c1cccs1 734883608 VOKPXGGVMFQFJZ-UHFFFAOYSA-N 401.827 4.898 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccc(COC3CCCC3)cc2)ccc1Cl 739675001 VKDNHLCRLCQLTE-UHFFFAOYSA-N 410.879 4.508 5 20 HJBD O=[N+]([O-])c1cn(CN2CCc3sccc3[C@@H]2c2cccs2)nc1-c1ccncc1 742145199 ICNMCYUAAPQVFS-HXUWFJFHSA-N 423.523 4.582 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@]12CCCc1ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745720650 QMNZKNOZQRSXBO-FAHJTYSBSA-N 419.437 4.543 5 20 HJBD Cc1nn(-c2ccccc2)c(Cl)c1COC(=O)CSc1ccccc1[N+](=O)[O-] 749587680 GTEOTLNCOKGLMR-UHFFFAOYSA-N 417.874 4.578 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cn1c(SC(F)F)nc2ccccc21 750554552 XFUAESNQAQIGLP-UHFFFAOYSA-N 406.414 4.515 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 754474241 SQCIGIDEJZNPRJ-UHFFFAOYSA-N 414.462 4.914 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(Cl)cc2C(F)(F)F)c(C)c([N+](=O)[O-])c1 754614286 HWSHWOBWKLXCFR-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD CCOc1cc(COC(=O)c2csc(Cc3cccc(F)c3)n2)ccc1[N+](=O)[O-] 755569072 WEVPUYVIKNHGND-UHFFFAOYSA-N 416.430 4.537 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1Br 759342098 RUNCWOFUIBPRRL-ZDUSSCGKSA-N 421.144 4.530 5 20 HJBD O=C(NC[C@H]1CC(=O)N(Cc2ccccc2)C1)c1c2ccccc2cc2ccccc12 760001954 WQDAYRFRDLGTBH-HXUWFJFHSA-N 408.501 4.772 5 20 HJBD Cc1nc(-c2cccs2)c(Cc2nc(Cc3cccc([N+](=O)[O-])c3C)no2)s1 762322493 WKPVKSMKYVAODE-UHFFFAOYSA-N 412.496 4.961 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764218581 IMQCSFOADWSDJW-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 775976295 ZSLLKZRKYGHOJL-UHFFFAOYSA-N 421.419 4.699 5 20 HJBD O=C(CCc1ccc2[nH]ccc2c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137454 IXDMSPMPNJAOOL-QHCPKHFHSA-N 401.422 4.737 5 20 HJBD COc1cc(C(=O)OCc2cncs2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 779469359 BMLJENAKCUGQLV-UHFFFAOYSA-N 420.830 4.863 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CNC2(c3cc(C(F)(F)F)ccc3Cl)CC2)cc1 792432971 LPGUCMFZDPUERB-INIZCTEOSA-N 400.784 4.579 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796440938 FPKHZQWCPSYGBY-INIZCTEOSA-N 412.486 4.875 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncccc1Oc1ccccc1 799559765 OREOJJJFIRLLPO-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Cl)c2ncccc12 801443415 LHGKWIJCAFZQBN-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 809916446 KJKWMUZVPLFDIL-UHFFFAOYSA-N 413.783 4.973 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@H]1OCCc2sccc21 815249876 KHUXKIMNBKFLHO-QLJPJBMISA-N 415.389 4.668 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 917631364 OHMCSDQJSFEDAR-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)C1CC1 919880742 OEZDMCRHIIMFHF-MRXNPFEDSA-N 414.465 4.850 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1Cl 920011729 VTCNUJASTLDPIC-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD CCOc1cc(-c2nnc(-c3ccc([N+](=O)[O-])cc3F)o2)cc(OCC)c1OCC 1117181852 WCCBQYCMMLUPFL-UHFFFAOYSA-N 417.393 4.647 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1251171932 HGWCFAUXWGOJCZ-UHFFFAOYSA-N 414.421 4.563 5 20 HJBD COc1ccccc1C1(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CCC1 1322228722 ZZONWZXJPDRDRN-UHFFFAOYSA-N 423.391 4.501 5 20 HJBD O=C(c1ccccc1NCc1ccc([N+](=O)[O-])cc1Br)N1CCCCC1 1342913826 CXACPQSRXWUJEA-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10731783 ZYTDSIXPMKCNBL-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Br)[C@@H](c1ccccc1)N1CCCCC1 16442267 UFEFKMXLGUABCI-GOSISDBHSA-N 418.291 4.523 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c2sc(C(=O)Nc3ccc([N+](=O)[O-])cc3)cc12 19161304 CTKBNFORFRWDED-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD CCn1c(SCC(=O)c2cccc(OC)c2)nc2cc3ccccc3cc2c1=O 22178153 FPUZLUIDIFBYHG-UHFFFAOYSA-N 404.491 4.553 5 20 HJBD Cc1ccc(-n2ccnc2SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1C 23050501 KBROHLMSXGTQBO-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD C[C@@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1 36802234 BPHYITSVROFMCF-LLVKDONJSA-N 418.525 4.691 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)N(Cc1ccc(Cl)cc1)c1ccccc1Cl 63597023 CGUAAIKNSVZFOK-UHFFFAOYSA-N 419.268 4.722 5 20 HJBD O=C(c1cc(C(F)(F)F)cc(C(F)(F)F)c1)N1CCc2ccc([N+](=O)[O-])cc21 71818339 BRLMICQTVOUFRE-UHFFFAOYSA-N 404.266 4.835 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 110842424 QGMSZKVRENFXFQ-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccnc(N3CCCCC3)c2)s1 237963179 SGHZQDRRTBSIBO-UHFFFAOYSA-N 408.527 4.998 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3ccncc3)cc2)c2cc([N+](=O)[O-])ccc2n1 302132708 CHIKOLCNZUHIMN-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4ccc(C(F)(F)F)cc4)C3)ccc2c1 302189527 QOKMSJURPGKJJY-GOSISDBHSA-N 403.360 4.740 5 20 HJBD CC(C)Oc1nc(C(F)(F)F)ccc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 427403715 JMXYDTBOSDQIET-UHFFFAOYSA-N 420.347 4.596 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 429065439 QCEQOOTYGRKNNI-LLVKDONJSA-N 408.252 4.557 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc3c(c2)CC(=O)N3C)cc1[N+](=O)[O-] 434027581 ZLHFJKZCWCACGL-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3ccc(Cl)cc3)CC2)cc1OC 434250503 RMBFILXUDMGCGF-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD CCc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 435834903 KQPKMINYUNGVJY-UHFFFAOYSA-N 406.432 4.794 5 20 HJBD COc1cccc(C(=O)NCC2(c3ccc(F)cc3Cl)CCCC2)c1[N+](=O)[O-] 436002504 DXKHHSNAQVDPOA-UHFFFAOYSA-N 406.841 4.638 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c2)n1 436108965 UTUJQXQRXLUNAG-UHFFFAOYSA-N 424.435 4.838 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@@H](C)CO1 437031196 HLVZDCHRLNOQQQ-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1Br 437047064 ZCPZNMNPTIKFNP-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)c1csc(-c2cccs2)n1 443453132 GXFPSJZFRQSPPX-UHFFFAOYSA-N 403.485 4.705 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)s1 443883731 UZHLPRCFIFYKSH-JTQLQIEISA-N 418.500 4.833 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCCC(=O)Nc1cccc([N+](=O)[O-])c1C 444789619 FMZDBVIQDLGTRY-QGZVFWFLSA-N 409.408 4.824 5 20 HJBD C[C@H](NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1nc(-c2ccccc2)cs1 445532549 NFRPFXYBCJWMQQ-AWEZNQCLSA-N 408.483 4.547 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@@H]3c3ccc(C(F)(F)F)cc3)n2)c1 445583835 OVMQZQULXZEVHP-CVEARBPZSA-N 419.359 4.867 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460452823 HILUKCRNAKAGRJ-INIZCTEOSA-N 424.419 4.938 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1Cl 462881414 AUTVNWKZVLKGFJ-FQEVSTJZSA-N 411.845 4.557 5 20 HJBD COc1cc(CSc2nnc(-c3ccc(Cl)s3)o2)c([N+](=O)[O-])cc1F 463434811 PUHHUXBDCKJQGR-UHFFFAOYSA-N 401.828 4.800 5 20 HJBD CCN(C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1)[C@H](Cc1ccc(F)cc1)C(C)C 465705499 QIZZPQPWNDBIIM-LJQANCHMSA-N 417.481 4.541 5 20 HJBD COc1cc(C(=O)N2CC[C@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 466875790 CGKHRQITQNHZBF-HIFRSBDPSA-N 420.412 4.613 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478286299 UBNDDXAEUDIOMD-AWEZNQCLSA-N 423.922 4.572 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(=Cc3cccc(F)c3)CC2)cc1SC 484720648 OCBYYOAGUTWDLL-UHFFFAOYSA-N 416.474 4.784 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1COc2ccccc21 489077853 VRDCPPKSQLEXEC-KDOFPFPSSA-N 400.500 4.637 5 20 HJBD O=C(Nc1nc(-c2ccc(F)c(F)c2)cs1)c1ccc([N+](=O)[O-])c2cccnc12 499132642 DQFJODARBNYTFH-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCC2CCCC2)CC1 503400970 ZWIACNAOZKMOKK-UHFFFAOYSA-N 406.548 4.908 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)cs2)cc1 504367413 DJYPPVUHJKTBFW-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 507115430 GURFZKXRQPKDLT-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD C[C@H](C(=O)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1)c1ccc([N+](=O)[O-])cc1F 507285794 SEPMBZRTIXFLLY-BTYIYWSLSA-N 422.460 4.981 5 20 HJBD C[C@]1(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CCCc2ccccc21 509287210 NBMJSLOIMVHWTP-IBGZPJMESA-N 408.376 4.517 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1c(C)ccc(C)c1[N+](=O)[O-] 509382993 GRBMIETZSYIQIG-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccccc1)c1ccccn1 509387828 ODGXPCUBAXPNRW-JOCHJYFZSA-N 404.470 4.699 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2ccccc2)nc1 509704917 RRRIJLWMYMMSRL-UHFFFAOYSA-N 420.469 4.902 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccccc2)C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 512388078 RTIAFKXKWKWCMR-KRWDZBQOSA-N 408.401 4.722 5 20 HJBD CC(C)[C@@H](NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1nncn1C 512506453 MBMXWWWMWAPUOY-LJQANCHMSA-N 415.881 4.656 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)N[C@H](CC)c2ccccc2)no1 516214116 VNANCKZLFPWJKO-YLJYHZDGSA-N 422.485 4.524 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 516545422 LDJCIRSNYWQSTE-HXUWFJFHSA-N 408.479 4.864 5 20 HJBD Cc1ccc(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)n[nH]2)cc1[N+](=O)[O-] 517315226 IIMVRHMJDCNNOY-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@H]2c2c(F)cccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 525340659 CNMIJGXJCKHEGY-HUUCEWRRSA-N 414.824 4.624 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(C)ccc3[N+](=O)[O-])s2)cc1 525653490 UUJGYCOVIVRDHJ-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(-c2nc3ccccc3[nH]2)cc1 535333284 PEPCZRKXVVSGNJ-UHFFFAOYSA-N 411.421 4.801 5 20 HJBD C[C@@H]1C[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536403221 TYLFVCYHBYKXLL-OLZOCXBDSA-N 409.280 4.522 5 20 HJBD Cc1ccc([C@H](C)NC[C@H](O)COC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 538278839 GCTPQLPHWLEJRQ-CVDCTZTESA-N 420.509 4.721 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)CC1 539018733 FFUVXYNWDLVCIF-UHFFFAOYSA-N 420.513 4.909 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540192740 PTUFLNZDJNHMOK-KRWDZBQOSA-N 409.442 4.763 5 20 HJBD CC(C)[C@H]1C[C@H](CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 542866376 GMUBVNVQUGHAEG-RDTXWAMCSA-N 403.504 4.522 5 20 HJBD C[C@@H](c1nc(CCc2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 545033205 KDVACQOJXLTJAR-LLVKDONJSA-N 407.348 4.655 5 20 HJBD Cn1c(SCc2ccc(C(F)(F)F)cn2)nc2cc3ccccc3cc2c1=O 551423242 QGSCEAJJUMRTAW-UHFFFAOYSA-N 401.413 4.793 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)c1 553736112 LZBBOMNGMQXYDM-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD CCCOc1cc(NC(=O)N2CCCc3occc3C2)c([N+](=O)[O-])cc1OCCC 554751999 BTWNOEBWRWEICE-UHFFFAOYSA-N 417.462 4.746 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)c1 554962882 CNJYMVZUMMFKPX-STQMWFEESA-N 410.392 4.934 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1ccc(SC2CCCC2)cc1 558534488 DYQJETWHYZCYOV-UHFFFAOYSA-N 413.499 4.769 5 20 HJBD CCc1ccc([C@H](NCc2ccc(C[S@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 560559213 YBNWAHZPFFWKRR-MNNSJKJDSA-N 412.511 4.508 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2NC(=O)C2CC2)no1 564228371 RPPBIPYQYZPBRK-HNNXBMFYSA-N 406.442 4.730 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 572669434 YQKLCACHWHVIBB-HNNXBMFYSA-N 422.934 4.910 5 20 HJBD C[C@H](C(=O)Nc1c(C(=O)c2ccco2)oc2ccccc12)c1cccc([N+](=O)[O-])c1 579909018 CNNUCMICUMLUNY-ZDUSSCGKSA-N 404.378 4.907 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]3C[C@@H]32)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 586890108 KHHHQHMBPBFVKS-WFASDCNBSA-N 402.834 4.674 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(F)cc1 603736740 ULAFCBZZLPDLCD-MRXNPFEDSA-N 412.339 4.652 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])ccc1OCc1ccccc1 603986904 UVFTVKSJLAQZJT-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1N1CCCCC1 604445700 DKORLIJYDQZLDG-CQSZACIVSA-N 403.866 4.645 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 607511901 BKFUNPRZHMLBTJ-INIZCTEOSA-N 419.550 4.702 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1 609129850 LGRWXGGBZPGBLG-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD C[C@@H](C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610234031 ZLAVDSWPMQOYNE-KZULUSFZSA-N 410.417 4.991 5 20 HJBD CN(Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)C[C@@H]1CCCO[C@H]1c1ccccc1 611266714 BNZHWMMSZUFJGY-RDPSFJRHSA-N 420.513 4.595 5 20 HJBD COc1cc(CN2CC[C@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 611274493 ATHOAMFJDFEAEF-HNNXBMFYSA-N 414.355 4.631 5 20 HJBD CC(C)CCSc1ccc(-c2nc(COCC(F)(F)F)no2)cc1[N+](=O)[O-] 612874371 QJGQPNRTVWUEGG-UHFFFAOYSA-N 405.398 4.862 5 20 HJBD CC(C)(C)OC(=O)c1ccccc1C1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 615211356 YTGQCSZUOOMHSV-UHFFFAOYSA-N 416.499 4.631 5 20 HJBD CC(C)n1ncc2cc(NC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)ccc21 618757767 DRIQMLHGFKWIBC-UHFFFAOYSA-N 421.379 4.866 5 20 HJBD Cc1ccc(Cl)c(NC(=S)NCCOc2ccc([N+](=O)[O-])cc2)c1Cl 731570982 VSPMOMHGEDDMFM-UHFFFAOYSA-N 400.287 4.575 5 20 HJBD CCN(C(=O)[C@@H](C)OC(=O)c1cccc(C)c1[N+](=O)[O-])c1cccc2ccccc12 731688297 DREVJRXOBKZSIK-MRXNPFEDSA-N 406.438 4.655 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2)nc1 733234347 RWPBFFBSAQICDR-UHFFFAOYSA-N 404.426 4.917 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 742399426 RDEKLFCTRXXUGC-CJNGLKHVSA-N 407.348 4.538 5 20 HJBD COc1cc(C(=O)Oc2ccc(OC)c3ccccc23)c([N+](=O)[O-])cc1OC(F)F 744374883 HYVQKEMAWPYPJZ-UHFFFAOYSA-N 419.336 4.586 5 20 HJBD C[C@H](OC(=O)C1(c2cccs2)CCCCC1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 745067135 LPFPLNORQAFUJM-ZDUSSCGKSA-N 420.462 4.568 5 20 HJBD O=C(Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 747475488 ACVPKSHCLZUFCK-UHFFFAOYSA-N 421.363 4.577 5 20 HJBD CCCN(C(=S)Nc1ccc([N+](=O)[O-])cc1)[C@@H]1CCCN(Cc2ccccc2)C1 751023050 FKFZSYBCRRZHQV-OAQYLSRUSA-N 412.559 4.668 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc(C)nc21 751310643 SMCNXFQUICCVQO-ZDUSSCGKSA-N 415.881 4.545 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1cccs1)c1cccc([N+](=O)[O-])c1 762028673 XPFFXOCIRCAYCY-JXFKEZNVSA-N 410.495 4.522 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)nc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 766756947 CMNDXRSWUOOUDR-UHFFFAOYSA-N 417.780 4.836 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 769495720 VFRZTNDREZHROE-UHFFFAOYSA-N 402.319 4.599 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 770382501 UCBQBWCUZYSURJ-DOTOQJQBSA-N 415.490 4.732 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C)C(=O)OCC)cc1 779860810 JHYBOBHCLYCLPH-UHFFFAOYSA-N 417.487 4.942 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ncc(-c2ccccc2)o1 781675038 OGJRGOBFWZSZPE-LLVKDONJSA-N 417.215 4.930 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 785952607 FJJMAXOWPPZWEF-LLVKDONJSA-N 419.796 4.593 5 20 HJBD O=C(CCc1cnc2ccccc2c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 791692372 ZEJRBVPHKZYTEL-XMMPIXPASA-N 413.433 4.803 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1/C=C/c1nc(O)c2cc(Br)sc2n1 794946516 WARUPKYSRMZZDT-DAFODLJHSA-N 412.652 4.891 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC=C(c2cccc(Cl)c2)CC1 797184420 DXOFJLWUTVMXJC-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Br)cn1 813551465 CURQHFPHCYMJPM-SSDOTTSWSA-N 419.062 4.550 5 20 HJBD COc1cc(COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc(Cl)c1OC(F)F 892076086 RNXSZIIFJSGIEF-QPJJXVBHSA-N 413.760 4.615 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 917163825 CDVPADQQANRQOE-QGZVFWFLSA-N 419.268 4.615 5 20 HJBD C[C@@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 1120466321 AOZYVAMOVXXDKT-ZHRRBRCNSA-N 420.469 4.623 5 20 HJBD COc1ccc(CSCc2nnc(-c3cccc4ncccc34)o2)cc1[N+](=O)[O-] 1322267166 CEHPRJBXHUBGTO-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD C[C@H]1CCc2sc(-c3nnc(-c4cn(C)nc4-c4ccc([N+](=O)[O-])cc4)o3)cc2C1 1322281586 QWNMOLMKYRZACI-LBPRGKRZSA-N 421.482 4.899 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)ccc2OC)cc1OC 7807494 NZNBJKQPVYXZIJ-UHFFFAOYSA-N 402.447 4.561 5 20 HJBD O=C(COC(=O)c1ccc2ccccc2c1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 10733000 VNRSFJGIHNPAKV-UHFFFAOYSA-N 418.327 4.562 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCc1cccs1)c1ccccc1 21820158 UVNQGXNTFQCOSD-GOSISDBHSA-N 401.875 4.779 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 22236697 MBSWMDQMUOOVNU-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD O=C(NC[C@@H]1COc2ccccc2C1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 26305190 WCXIVYVPMZIYGM-MRXNPFEDSA-N 420.490 4.727 5 20 HJBD COc1ccc(-c2cc(CSCc3cc([N+](=O)[O-])cc4c3OCOC4)on2)cc1 28085002 ZYBAPRHRTSOMKC-UHFFFAOYSA-N 414.439 4.558 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1ccc(Br)cc1 32398671 BGFCJDBHVAPWJT-GFCCVEGCSA-N 423.332 4.889 5 20 HJBD COc1ccc(-c2nc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 53335500 SRWYWGAKMWFTAZ-UHFFFAOYSA-N 417.874 4.653 5 20 HJBD Cc1cc(F)c2c(c1)CCCN2C(=O)Cn1c2ccccc2c(=O)c2ccccc21 107827336 APOWAFPUUOJZHT-UHFFFAOYSA-N 400.453 4.582 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3cccs3)n2C2CC2)c(Br)c1 301525300 IPYRDEAGYVWZQC-UHFFFAOYSA-N 424.305 4.558 5 20 HJBD COc1cc(C(=O)NCC2(c3ccccc3C)CCCCC2)c([N+](=O)[O-])cc1OC 303141547 QDPCTABDRCIWIH-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426417712 TWBFWXLVKQDNDV-HNNXBMFYSA-N 420.469 4.595 5 20 HJBD C[C@@H](OC[C@@H]1CCCCO1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435444144 UUXQHCZCZIWKLQ-HIFRSBDPSA-N 422.528 4.720 5 20 HJBD COC(=O)c1cccc(-c2nc(CSCc3ccc([N+](=O)[O-])cc3)cs2)c1 437447546 BFCWUZYHGHXWLG-UHFFFAOYSA-N 400.481 4.938 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc(Br)s1 438956355 WOUWMCMFYKMCOL-QMMMGPOBSA-N 403.685 4.872 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccncc1 439103660 WMSNGPGJFRTCIM-UHFFFAOYSA-N 418.453 4.926 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(Br)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 443351114 ZHRPEXGGXCPOCR-NXEZZACHSA-N 423.292 4.881 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H](C)Oc1ccccc1Br 443955223 FVHBGGRATPWFCM-GFCCVEGCSA-N 422.279 4.563 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 444004925 SZUVDILABUNSKQ-MRXNPFEDSA-N 402.469 4.798 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444031071 LXSBGEBNUOLCGL-GDBMZVCRSA-N 418.443 4.978 5 20 HJBD CC[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1C 444176991 RVIJTXPJAKPONB-MRXNPFEDSA-N 418.468 4.716 5 20 HJBD COCCN(Cc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@H](C)c1cccc(C(F)(F)F)c1 444626263 MWWJKHHSDOBKOW-CYBMUJFWSA-N 422.407 4.700 5 20 HJBD CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(C)cc1)c1cccnc1 445792764 FBXRRYIZZDYYNQ-XMMPIXPASA-N 403.482 4.869 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1occc1Br 446434815 PFYBCSOSBAXBHA-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD CCc1ccc([C@@H](NC(=O)CSc2ccc([N+](=O)[O-])cn2)c2cccs2)cc1 448107521 KSLNCDBNNDKPEQ-HXUWFJFHSA-N 413.524 4.612 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)C2CC2)n1 460371450 BKDLFKSPYOAKNY-KBPBESRZSA-N 414.531 4.651 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)CCCC3(C)C)cc1SC 461226450 YQSQEGBPYSARBI-UHFFFAOYSA-N 407.517 4.648 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3cc(C)c([N+](=O)[O-])cc3F)c2)n1 461956850 NWMXVYLRXHTUKP-UHFFFAOYSA-N 401.419 4.565 5 20 HJBD CC[C@H]1c2ccsc2CCN1S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462421211 JIPPSGONOZHILI-LBPRGKRZSA-N 421.327 4.661 5 20 HJBD COCCOc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 463181927 CNOHNGCQPQVVPR-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD CC(C)(NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(C(F)(F)F)cs1 465313031 CLKAFCZFDNBHNO-UHFFFAOYSA-N 415.418 4.950 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1ccc(Br)cc1[N+](=O)[O-] 466893743 JUPXOVSWVFEJTC-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOc1c(Cl)cc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1Cl 467772220 YXJADCSMLSIGND-UHFFFAOYSA-N 410.257 4.891 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCCO1 467815747 ACOPDCIXGRGOMR-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD COCCC[C@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 467996301 UCWPKAXVAJSBRC-SFHVURJKSA-N 407.308 4.657 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1 473253385 HMQMIDIVOXXMCN-UHFFFAOYSA-N 405.735 4.922 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 475335029 QGTURLHQGIGSMA-UHFFFAOYSA-N 421.478 4.979 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1cccc(-c2cccnc2)c1)C1CC1 476407619 XCVSSYSOPUNHBD-UHFFFAOYSA-N 412.449 4.943 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 478715829 AGGZECRGGGOBHA-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cc(Br)cs1 480502296 XUBSGQJFNHWDRS-UHFFFAOYSA-N 415.334 4.803 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 482852663 XRQDWJNIBFNOTB-UHFFFAOYSA-N 416.865 4.793 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)Cc1ccc(F)cc1Br 484681610 NDWGOFLFDDHYSF-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1F 485287822 IJHDUWZROAJEIH-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cccc(OCc3ccccn3)c2)cc(C(F)(F)F)c1 487201414 QNUIPOWQXMFOJF-UHFFFAOYSA-N 417.387 4.877 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)o2)c(C)c1 488800022 DKBJHRCYJXPLJV-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 495119516 KWGJTBFAQIMLTN-UHFFFAOYSA-N 422.422 4.538 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 498162552 NGCCYJUSHGRNHQ-UHFFFAOYSA-N 423.856 4.532 5 20 HJBD CC[C@H](C)n1c(SCC(=O)Nc2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1 504312756 BHZYRIUDAZZEJY-AWEZNQCLSA-N 411.487 4.555 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)[C@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 505990724 IMXZCCKWNQIKMR-NLEPDTAZSA-N 408.502 4.717 5 20 HJBD CCCc1c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cnn1-c1ccc(C)cc1 507562474 FJAADAYQHPWPFJ-UHFFFAOYSA-N 406.486 4.958 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1ccc(F)cc1 509273540 KYAYRPLHCGSTFM-ZDUSSCGKSA-N 404.463 4.627 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512306234 ZIVXZRHMJVFZBY-UHFFFAOYSA-N 420.400 4.668 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3)c2)cc1 519169466 GZWYUXRMXIIDQS-UHFFFAOYSA-N 407.426 4.964 5 20 HJBD COc1ccc(-c2ccc(CNc3ccccc3O[C@@H]3CCOC3)o2)c([N+](=O)[O-])c1 531594465 SPGVGFWJMLLWBO-QGZVFWFLSA-N 410.426 4.643 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)CC1 534968948 CKAMGJSNNOVQRI-UHFFFAOYSA-N 402.454 4.886 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCC(=O)Nc1ccc(Oc2ccccc2)cc1 535362659 KCUYEWUWNYAZFV-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(C/C=C/c2ccccc2[N+](=O)[O-])CC1 538725609 KDUIWKNYDLIMCX-HWKANZROSA-N 404.388 4.620 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C3)cs1 540039984 CZTANEYIIVGSRD-UHFFFAOYSA-N 400.485 4.678 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2F)C(C)C)c([N+](=O)[O-])cc1OC 542861023 CBAJJDCYOYAQSA-SFHVURJKSA-N 410.829 4.532 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 543922898 WVMVKZYRADMEEO-KRWDZBQOSA-N 422.403 4.753 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1cccc(-c2cccc(Cl)c2)c1)c1cccc([N+](=O)[O-])c1 551720091 PKCHZNUGDOQCOC-AWEZNQCLSA-N 423.856 4.731 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2cccs2)[C@@H]2C=C[C@H](CO)C2)cc1[N+](=O)[O-] 553773849 GDIMMUHJQSQKBO-DOTOQJQBSA-N 414.527 4.533 5 20 HJBD CCn1c(SCC(=O)c2ccncc2Cl)nc2cc3ccccc3cc2c1=O 556323729 BBVMXRPZESZIQP-UHFFFAOYSA-N 409.898 4.593 5 20 HJBD C[C@H]1CCN(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)[C@H]1c1ccc(C(F)(F)F)cc1 557644548 QXWOPFDFGYGPJF-APPDUMDISA-N 418.375 4.850 5 20 HJBD Cn1ccnc1[C@H](NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 559879002 WXCOEBNRXPISHQ-RBUKOAKNSA-N 418.419 4.744 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 562378427 RVWJIVORMKJEKO-QGZVFWFLSA-N 422.254 4.543 5 20 HJBD CCc1ccc([C@H](NC[C@]2(CO)C[C@H]2c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 577684909 ZSHLFPQRWJVXSZ-QTJGBDASSA-N 406.482 4.595 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Oc2cccnc2)cc1 589091480 JSWBYLGWMADSPI-AWEZNQCLSA-N 411.845 4.855 5 20 HJBD CC(C)c1ccc(OC2CCN(Cc3nc(-c4cccc([N+](=O)[O-])c4)no3)CC2)cc1 603850931 WMZXQGNYHWKERV-UHFFFAOYSA-N 422.485 4.812 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1cccc(Br)c1 603997800 SESDMBDXVBKLEK-KRWDZBQOSA-N 418.291 4.523 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(CN(C)C(C)C)CC3)c([N+](=O)[O-])c2)cc1 608972893 SHRFQSRAWFIKSJ-UHFFFAOYSA-N 424.545 4.712 5 20 HJBD O=C(c1[nH]c2ccc(Br)cc2c1Cl)N1CCc2c1cccc2[N+](=O)[O-] 609992735 LBQMMIFYQRGQKX-UHFFFAOYSA-N 420.650 4.695 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(F)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610171664 QHXVYOKQTOFCNS-ZIAGYGMSSA-N 414.330 4.568 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610604692 GZHNXOABDPTZEF-STQMWFEESA-N 400.319 4.555 5 20 HJBD COc1ccc(C[C@@H](NCc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1OC 611385738 VBKBFLSUAYNQAF-HXUWFJFHSA-N 410.445 4.825 5 20 HJBD COC(=O)c1ccc(OCC(=O)Nc2c(C(C)C)cccc2C(C)C)cc1[N+](=O)[O-] 613109166 QFSPWDWBFMGUKW-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD O=C(NCC1(c2ccccn2)CC1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 619834173 ILXXIRBMYIXPCZ-UHFFFAOYSA-N 411.527 4.734 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(-c2noc(C3CC3)n2)cc1 729216789 DLNQWJBIYIHETD-GFCCVEGCSA-N 411.439 4.608 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)CCCC1 733736895 GTSYWXPBAYTXRZ-UHFFFAOYSA-N 414.418 4.685 5 20 HJBD C[C@@H](CC1CCCC1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734056812 UFRAAMPCPZPQKW-NWDGAFQWSA-N 416.396 4.700 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccccc1OCc1cscn1 735644552 ZQXKUICDXIZGFJ-UHFFFAOYSA-N 404.831 4.811 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 736001303 HINADDGFGQHZNJ-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877252 VBFQAEHBNZZPHW-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD Cc1cc(C)c(NC(=S)NCCCNc2ccc(C)cc2[N+](=O)[O-])c(Cl)c1 739459159 GYNLBTCMHDMZCP-UHFFFAOYSA-N 406.939 4.962 5 20 HJBD O=C(Nc1ccc(NC[C@H]2CCCO2)c(F)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 740431771 XTXIDIKLFFTEJW-CYBMUJFWSA-N 408.817 4.622 5 20 HJBD COc1ccc(OCCSCc2c(F)c(F)c(F)c(F)c2F)c([N+](=O)[O-])c1 742973365 NDHQAWRZZLUYRW-UHFFFAOYSA-N 409.332 4.611 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)nc2ccccc21 746010863 MJGWMRDFYIOFEM-YXAHYSCSSA-N 404.470 4.902 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)N[C@@H](c1ccccc1)c1cccs1 747810252 MPHRSOJPZKHBNP-IBGZPJMESA-N 402.859 4.594 5 20 HJBD CN(C[C@H](O)c1cccc([N+](=O)[O-])c1)[C@H]1CCSc2ccc(Br)cc21 750068945 WAWNXDAECVMRGG-IRXDYDNUSA-N 423.332 4.560 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 752509154 NDJXGRHOPMOMOP-LSDHHAIUSA-N 413.440 4.684 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)C1CC(F)(F)C1 754129409 PNFVVDAWFNVXPQ-INIZCTEOSA-N 424.787 4.517 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@H](CO)CC(F)F 760687646 XXTBXNWMLGWCIH-KRWDZBQOSA-N 409.432 4.679 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CCO2)cc1 763015576 HERZOSFZOPKHAC-FXAWDEMLSA-N 401.434 4.783 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2C[C@H](C)[C@@H]2c2ccccc2)c1=S 765038716 OQUWUWRTGBPLEF-HNAYVOBHSA-N 409.515 4.660 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccccc1)c1nccs1 770193610 UYSWFHFPFPABNX-CXAGYDPISA-N 400.481 4.865 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(CCCc3cccs3)o2)ccc1Br 777518595 SWEXWRUGFQNJIG-UHFFFAOYSA-N 423.292 4.589 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccc1 781562053 AFNJNCQDPYPBPX-UHFFFAOYSA-N 405.410 4.669 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)OCc1ccc([N+](=O)[O-])c(F)c1 782792650 LREWTHZHMJBZRP-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 786359013 WURHKAUITOLWTD-DTDBQYNISA-N 417.462 4.623 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C)c1F 787940394 SKDNRRSGEUXLBE-TZMCWYRMSA-N 402.422 4.740 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)c1F 790248105 YTXWTTJRODANNF-OAHLLOKOSA-N 409.417 4.507 5 20 HJBD COc1ccc(OC(=O)[C@@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 792124096 RGZLGYLVGUAKCV-HUUCEWRRSA-N 410.451 4.869 5 20 HJBD Cc1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 809398823 ROPBRHBCNWAXRO-UHFFFAOYSA-N 423.231 4.716 5 20 HJBD O=C(NCc1ccc(OCc2ccccn2)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809918250 WIMBVWMRQILGGG-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 813305770 GKVFNZZHDNMXMO-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD C[C@@H](C[N+](=O)[O-])OC(=O)C(C)(C)c1csc(-c2c(Cl)cccc2Cl)n1 814045980 DXMNMIITONUCSO-VIFPVBQESA-N 403.287 4.603 5 20 HJBD COCc1ccc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 815198143 YZTRTTXACAKXHW-INIZCTEOSA-N 421.453 4.666 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 816186390 ZYUVFBVROYKRTO-UHFFFAOYSA-N 421.419 4.699 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1Cl 816870120 OKEKLQCLESEJJP-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD CCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1OCCC 894667678 JQQUZWQDNRAUSY-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD O=C(CCc1nc(-c2ccc(Oc3ccccc3)nc2)no1)c1cccc([N+](=O)[O-])c1 904447495 STSHTKWEIGFRNZ-UHFFFAOYSA-N 416.393 4.648 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C(=O)Nc2ccccc2Cl)C(C)C)cc1[N+](=O)[O-] 915367325 RXJWQCVQJRAUKQ-QGZVFWFLSA-N 422.890 4.790 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 917049385 ZBDDVTAOXBXEHR-OAQYLSRUSA-N 403.390 4.577 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1nc(-c2cccs2)n(-c2cccc(F)c2)n1 917049386 ZGMYDXAVMAECQR-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 917497840 CLZKIQNLNHONDX-UHFFFAOYSA-N 402.882 4.557 5 20 HJBD COc1ccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1Cc1ccccc1 917811099 RQHNABDRTVDNQI-UHFFFAOYSA-N 416.437 4.856 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1nnc(-c2ccccc2)cc1C(F)(F)F 1118409270 NHHJLQUAXLGLAW-UHFFFAOYSA-N 404.348 4.691 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2nc3ccccc3o2)CC1 1320244474 BABBJGQLVHUBAX-KRWDZBQOSA-N 407.470 4.711 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4ncoc4[C@H]4CCCO4)n3)cc2)c1 1329659049 CYLVQSPCLBTROR-QGZVFWFLSA-N 420.381 4.944 5 20 HJBD Cc1cc(C)cc(OCc2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1346601059 MQMZKMDYACCLJU-CYBMUJFWSA-N 403.822 4.967 5 20 HJBD O=C(CCC1CCC1)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2)CCC1 1785748506 IYCHLMYECRXCAH-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nnc(-c4ccccc4)s3)cc2[N+](=O)[O-])CC1 18702542 QSIHMQSDKBSRGY-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(C(=O)N(C)C)cc2)cc1[N+](=O)[O-] 30947467 LPHMAIYXGPNTSZ-UHFFFAOYSA-N 415.515 4.687 5 20 HJBD Cc1cc(NCc2cc(Br)cs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60860019 AUMIEIWUQWYKBT-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 64908118 LWUXIPBGLCLDDO-UHFFFAOYSA-N 410.499 4.812 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2ccc(F)cc2)C2CCCC2)cc1[N+](=O)[O-] 97174938 QGAKYCAJSPIHDG-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)CSc1ccc([N+](=O)[O-])cc1 110637826 RVTKBYJZRDMSLB-UHFFFAOYSA-N 412.471 4.807 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccnc(OCC(F)(F)F)c2)s1 237676891 SKYVJWIADDEMBV-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1ccc(Br)c([N+](=O)[O-])c1 247360424 DTHCCCOAXWWKFU-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD COCCOc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Cl 436128434 GPQSHXPTJWOREO-UHFFFAOYSA-N 422.868 4.612 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1cccc(COCC(F)(F)F)c1 436393981 VUZMBENDAQTHDN-UHFFFAOYSA-N 409.286 4.700 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444250687 YITFASPCSNZEGA-UHFFFAOYSA-N 418.468 4.880 5 20 HJBD O=C(Nc1c[nH]nc1-c1nccs1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 446592145 JNTSYEMKWATJKH-UHFFFAOYSA-N 423.479 4.845 5 20 HJBD O=C(Nc1cccc(OCc2ccc(F)cc2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 446933846 QAEVGTRYXVKEKF-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@H]1CCCCO1 462485037 BLWJPJXIJQEFMC-UYAOXDASSA-N 412.486 4.750 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)[C@H](C)c1ccc(Cl)c(Cl)c1 463061569 JCTLXMIRSVZCDR-SNVBAGLBSA-N 404.271 4.586 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Br)cc2cccnc12 464708791 JBFHIOHAXXHNNV-UHFFFAOYSA-N 400.232 4.720 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2 465128031 KGTWJNRYHOBQHO-UHFFFAOYSA-N 422.890 4.701 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)n[nH]1 469020276 ORAPUBQOIMBADX-UHFFFAOYSA-N 424.460 4.617 5 20 HJBD O=C(N=c1cc[nH]cc1[N+](=O)[O-])N1CCCCC[C@H]1c1ccc(C(F)(F)F)cc1 469927343 MLVWHAINSANXDV-INIZCTEOSA-N 408.380 4.580 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCC[C@H](C)c1cccc(C(F)(F)F)c1 470985676 SMFKYKNOMHOKSG-ZDUSSCGKSA-N 410.392 4.936 5 20 HJBD C[C@@H](NC(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 473786368 RUOYZNITCVQJKM-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD COc1c(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)cccc1[N+](=O)[O-] 475782837 UAJJJRDBLKLSIZ-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccc3)n2Cc2ccco2)c(F)c1 476500632 QGUVLTWRJGIUKS-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)c1ccc(Br)cc1F 477020594 MKUUKDZVDUVEJP-NSHDSACASA-N 410.243 4.996 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1C1CC1 477564977 BYKMRWMHZPCQBL-CYBMUJFWSA-N 418.519 4.520 5 20 HJBD CN(Cc1ccc(Br)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1F 479573666 AISXYIVSCIOMGX-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)c1[N+](=O)[O-] 485733896 UHSSYQNNTBWFOY-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2F)C1 485919420 KWUNXPOXAHPGOP-IBGZPJMESA-N 418.490 4.696 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3cn4c(n3)CCCC4)cc2)c1[N+](=O)[O-] 486242851 OXSRQVDOSDYRDZ-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(C(=O)N(Cc2ccccc2)C2CC2)CC1 492686232 YEQLVDUOLDHENH-LJQANCHMSA-N 421.541 4.867 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 494233101 GGXAXCGUJWQIPJ-FQEVSTJZSA-N 405.454 4.889 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H](c1ccc(C(C)C)cc1)c1cccnc1 496532631 FGAVJPVSAABYRO-JOCHJYFZSA-N 406.486 4.703 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)cc1[N+](=O)[O-] 498478131 TVKMSYGEDNLPIZ-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 505037096 YKBTXAHFLMLGHX-UHFFFAOYSA-N 412.490 4.758 5 20 HJBD C[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccccc1Oc1ccccc1 506765783 FZYHZJXMCFQDHT-HNNXBMFYSA-N 412.467 4.964 5 20 HJBD CCOc1ccc(C2=CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 509460417 AJNHZPCLKJDXHJ-INIZCTEOSA-N 420.469 4.894 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1c(-c2cccs2)nc2ccccn12 509810239 ORGWNCXZRUCWOS-UHFFFAOYSA-N 417.450 4.564 5 20 HJBD COc1cc(CN(C)Cc2scnc2C)c([N+](=O)[O-])cc1OCc1ccccc1 513423830 AAOORTORKGDSJD-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 513984045 XSLIFWBZSDQUDH-HZPDHXFCSA-N 417.893 4.810 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2c(C)noc2C)cc1OC 517976982 GNNPDKDAGJGESJ-CYBMUJFWSA-N 405.495 4.658 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 518503881 NWGATBBPWMWEIT-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD CC(=O)c1sc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1-c1ccccc1 520322402 OXOXXWKCYMMTPI-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCCc2ccc([N+](=O)[O-])cc21 522873079 GMGGCFZDZIMESH-UHFFFAOYSA-N 419.915 4.955 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCSc2ccc(F)cc21 523882160 DKFPRAVQQZTZAK-AWEZNQCLSA-N 410.854 4.510 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)Nc1cccc([N+](=O)[O-])c1 523894776 LTHOAMKNKYSFEQ-MUUNZHRXSA-N 401.488 4.820 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 531708781 ZIPWMVDPVVDWKI-ROUUACIJSA-N 410.518 4.571 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)nc2ccccc21 534256900 YXYQMNJTQDKFRA-HXUWFJFHSA-N 417.469 4.984 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc(-c2ccc(Cl)cc2)n1 535446856 NWALWWGFKKHIBQ-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD C[C@@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1cccc(Cl)c1Cl 537113736 GPEMHTWBUAKKFH-LLVKDONJSA-N 410.257 4.550 5 20 HJBD O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)Nc1ccccc1Cc1ccccc1 537556212 SORAABBEELFJII-UHFFFAOYSA-N 412.449 4.688 5 20 HJBD O=C(c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)N1CCCC1 537734597 AHUPPCHZZDUAED-UHFFFAOYSA-N 408.483 4.566 5 20 HJBD NC(=O)CCC1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 538717910 HNSAINQDMHYMBR-UHFFFAOYSA-N 417.893 4.518 5 20 HJBD CN(C)C(=O)C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cccnc23)c2ccc(Cl)cc21 538933572 CTAHCJXVRQREKE-CYBMUJFWSA-N 410.861 4.510 5 20 HJBD CC[C@@H](C)n1nc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])cc1C 539588174 GWGLWZCRBBIWJH-LLVKDONJSA-N 416.400 4.633 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])cc2)cs1 543123675 CMGOVNVPSOKOBW-CYBMUJFWSA-N 413.524 4.996 5 20 HJBD CCc1ccc([C@H](N[C@H]2CCN(C(C)=O)c3ccccc32)c2ccc([N+](=O)[O-])cc2)o1 543424830 GDIXIKWQLQTZGK-XUZZJYLKSA-N 419.481 4.927 5 20 HJBD C[C@H](C(=O)Nc1nnc(SCc2ccccc2)s1)c1ccc([N+](=O)[O-])cc1F 543442652 LDEOSCSJCPXJFW-NSHDSACASA-N 418.475 4.620 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])s1 544047146 XDEGPCKENLWEFU-LLVKDONJSA-N 413.952 4.887 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(Cc3nc4cc(Cl)ccc4s3)no2)cc1 546294875 UAIIWOZIFCHNOO-UHFFFAOYSA-N 422.800 4.972 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3cn4cc(Cl)cc(Cl)c4n3)no2)c(Cl)c1 547069792 VEVJOLNPPYBBGL-UHFFFAOYSA-N 424.631 4.844 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(Br)cc2Cl)c1 558790672 HDYBCYRUTVEUTP-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cccc(F)c2F)CC1 563492045 MUKWLNIZUZPFIV-ZDUSSCGKSA-N 406.454 4.760 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c(F)c1 578158094 UCPVYQYBUOFMNJ-UHFFFAOYSA-N 403.229 4.597 5 20 HJBD C[C@H](C1CC1)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 603965110 ZEOVYYMXCXJDLH-LLVKDONJSA-N 422.359 4.788 5 20 HJBD Cc1cc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2C)cc(C)c1OCC(F)(F)F 604018555 VANQMNKQDVTZBT-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 604443034 QFJMHHATSNLMMY-WDEREUQCSA-N 416.783 4.912 5 20 HJBD Cc1cccc2c1CCN2C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 604498030 RAMHEKOZNFDCOI-INIZCTEOSA-N 402.454 4.674 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1 609107718 UXAZBJKESIJTTD-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](c1ccc(F)cc1)C1CCC1 609177676 VYFQBQIKEJWXAF-HXPMCKFVSA-N 406.841 4.812 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 609381361 DZAQWIZKRZBBIE-JOCHJYFZSA-N 415.453 4.629 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609469227 OZIVNAPFDHFADK-UHFFFAOYSA-N 409.414 4.643 5 20 HJBD COc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1OC(F)F 609482780 BFJUUYSBFLEVHF-UHFFFAOYSA-N 406.385 4.794 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1cccc(Br)c1)C1CC1 609854662 RYGCLPZBWPCEIL-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610043225 OCEHDLSHGILLIT-INIZCTEOSA-N 407.264 4.637 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)COc3ccc([N+](=O)[O-])cc3)cc2c1 610070666 UMANMUSBTSVUNI-UHFFFAOYSA-N 420.421 4.850 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc2c(cc1Sc1ccccc1)OCCO2 610226601 QUUGJCQANTYJFG-UHFFFAOYSA-N 422.462 4.698 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610604690 GZHNXOABDPTZEF-BETUJISGSA-N 400.319 4.555 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(-c4nnc(C)o4)c3)o2)c([N+](=O)[O-])c1 610911055 GMARAUMSXRDSKG-UHFFFAOYSA-N 406.398 4.834 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1csc2ccccc12 729962621 USKJDVHDHVTOAH-CYBMUJFWSA-N 406.467 4.701 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1nc2ccccc2s1 734112310 ZCCUJHVMQBMRMQ-MNOVXSKESA-N 405.863 4.503 5 20 HJBD CCc1ccc(OC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)c([N+](=O)[O-])c1 734737922 VAGAZUNZZGWMFY-UHFFFAOYSA-N 404.422 4.653 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1CC(c2ccccc2)=NO1 735251652 OOSCEBZBKKJHCJ-OAQYLSRUSA-N 403.394 4.519 5 20 HJBD COc1ccc(OC(F)F)c(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 744147572 DFESQHBJTQOCES-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067186 MHBUQPYFJGJCKS-CQSZACIVSA-N 416.547 4.555 5 20 HJBD O=C(Nc1cccc(COc2cccc(F)c2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 750599677 LDKBYBYUYXHFPU-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cs1 751643463 KAPFTAKBCJPMQI-JTQLQIEISA-N 416.908 4.638 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 752939287 YQZWRUPNCOLZFV-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cncc(-c2ccccc2)c1 757664875 FTOYGGOTLMNIQD-UHFFFAOYSA-N 401.378 4.669 5 20 HJBD CC(C)COc1ccccc1-c1noc([C@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1 761700466 KYECQSRPBGCMIR-LJQANCHMSA-N 408.414 4.545 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 762100111 ZLIHVMUCQIOZQX-CYFREDJKSA-N 418.493 4.769 5 20 HJBD CC(C)[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1ccccc1Cl 762905040 OXPZLJLYGPUVNE-SFHVURJKSA-N 415.833 4.550 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764201544 MRLHZLRRZWWCFD-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD Cc1cccc(C)c1CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 765671116 QXRZJGZFGYCUCI-QGZVFWFLSA-N 404.470 4.710 5 20 HJBD C[C@@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCN1Cc1ccccc1 770012591 COHGZBSSPVPKHX-QAPCUYQASA-N 416.909 4.765 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(C)C 771518860 YNXMHTXIZNFYIH-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD Cc1ccccc1-c1nc(C)c(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)s1 775105421 BTLSDZQBJIEHSP-UHFFFAOYSA-N 409.423 4.833 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)no1 778760643 BQBXCUJIQSIDKG-AWEZNQCLSA-N 413.455 4.805 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781757773 JMWBBPBYFPYRRS-ZDUSSCGKSA-N 420.491 4.544 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 782172412 KOTVBPNXZXRVPX-LLVKDONJSA-N 411.683 4.714 5 20 HJBD Cc1nn(CCC(=O)O[C@@H](C)c2ccc(SC(F)(F)F)cc2)c(C)c1[N+](=O)[O-] 784105970 GDMXUDANBITUNC-LBPRGKRZSA-N 417.409 4.715 5 20 HJBD C[C@@H](C(=O)OCc1nc2ccccc2c2ccccc12)C1CN(C(=O)OC(C)(C)C)C1 786981705 QSCCKBTUWHRLCD-MRXNPFEDSA-N 420.509 4.934 5 20 HJBD Cc1nc(Cl)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 790244273 UVFDGRKATPXKCL-GFCCVEGCSA-N 411.849 4.772 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1ccc(C(F)(F)F)cc1Cl 800052121 HNXBNPKWVOWFKZ-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD Cc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c2ccc(Br)cc2n1 800148896 LBIMDAHBHLNCDC-UHFFFAOYSA-N 418.222 4.914 5 20 HJBD O=C(NC[C@H]1CCCC(F)(F)C1)c1cc(Br)cc([N+](=O)[O-])c1Cl 800571726 PRFXSEMRWTZPGM-QMMMGPOBSA-N 411.630 4.566 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ccc(C(F)(F)F)nc1 803064091 CXEHPJGQOULPMF-NXEZZACHSA-N 418.755 4.734 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccccc1)[C@H](O)c1ccccc1 808787765 ZTHSSQHCOXVHQJ-BJKOFHAPSA-N 406.482 4.947 5 20 HJBD CN1CCOc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)ccc21 816504121 ZRWLDCJQQKQDMC-UHFFFAOYSA-N 413.499 4.710 5 20 HJBD Cc1c(CNc2ccc3c(c2)nc(C(F)(F)F)n3[C@H](C)CCO)cccc1[N+](=O)[O-] 864039659 HLAQOZRUSSILFD-GFCCVEGCSA-N 422.407 4.827 5 20 HJBD COc1ccc(CCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1Br 912899830 MTTPRDCOZNPHJW-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD C[C@@H](OC(=O)c1[nH]c2ccc(Cl)cc2c1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 915417838 PISCJTDARUQIDL-SECBINFHSA-N 422.224 4.567 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 917172139 YYFXGXOQUUPULA-SNVBAGLBSA-N 423.466 4.794 5 20 HJBD Cn1cc(/C=C\c2ccc3c([N+](=O)[O-])cccc3n2)c(-c2ccc([N+](=O)[O-])cc2)n1 920065320 VQMCAFABEZZHQI-YVMONPNESA-N 401.382 4.622 5 20 HJBD CCOC(=O)Nc1ccc2c(COc3cc(Cl)ccc3[N+](=O)[O-])cc(=O)oc2c1 920992927 SKPHBOGUQVUXOU-UHFFFAOYSA-N 418.789 4.502 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H]2CCCc3c2cnn3Cc2ccccc2)cc1[N+](=O)[O-] 1116436074 YELNARMRJQFSBT-UTKZUKDTSA-N 420.513 4.967 5 20 HJBD O=C(c1cc(C(F)(F)F)cc(C(F)(F)F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1252191214 RNRUHLAFHSCZDE-UHFFFAOYSA-N 404.266 4.788 5 20 HJBD Cc1nn(CCc2nnc(-c3ccc(-c4ccc(F)cc4)s3)o2)c(C)c1[N+](=O)[O-] 1257844460 WQTOKVZZFWXMJU-UHFFFAOYSA-N 413.434 4.568 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(-n2cccc2)ccc1F 1322365497 CXFSKQYTMULDRK-LBPRGKRZSA-N 403.797 4.584 5 20 HJBD COc1cc(CN(C)C(=O)c2csc([N+](=O)[O-])c2)ccc1OCc1ccccc1 44338065 YRDUTDREMRRODC-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 53455927 XTYUVMGVQGSBRG-DLBZAZTESA-N 404.470 4.962 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 54667991 GYFQSQPHROVAGW-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1N(C)Cc1ccccc1 63864291 XBMXXDRNYPTKKT-UHFFFAOYSA-N 404.470 4.590 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(OC(F)F)c1 71942917 JQJJRGPRJOHAPV-VIFPVBQESA-N 417.315 4.680 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1F 108627993 QUEBQXLWWZKZPA-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1cc(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])ccc1NC(C)=O 301112955 SJGBSVSSIWJNDY-UHFFFAOYSA-N 403.744 4.978 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 426892154 UZBDUCQLDDZRPO-DLBZAZTESA-N 400.500 4.954 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])cc1OC 427105067 UVKRKJQVEATMGV-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3OC)n2)cc1Cl 427126482 OWGMNGQQLFEDIK-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 432242739 NVPXJGVQDYYYFG-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1ccc(Cl)cc1[N+](=O)[O-] 432593732 QFQPDOLBXSVENU-CQSZACIVSA-N 414.874 4.820 5 20 HJBD COc1cccc(C(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)c1[N+](=O)[O-] 435633796 ZQLOJMPCDXRFTJ-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1nc(O)c3c(-c4ccccc4)csc3n1)CC2 438518005 RLGBGFJIBZCGLN-UHFFFAOYSA-N 418.478 4.530 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cc1F 441430812 SHSYRLQWMNEGID-UHFFFAOYSA-N 420.746 4.995 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1[nH]ccc1-c1c(Cl)cccc1Cl 442635664 QCTYVLAWPQQJGD-UHFFFAOYSA-N 404.253 4.869 5 20 HJBD COc1ccc([C@@H](Nc2ncc([N+](=O)[O-])s2)c2ccccc2Cl)c(OC)c1 443509794 OGLMKEMCISQJRG-KRWDZBQOSA-N 405.863 4.923 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1cscn1)c1ccccc1 443884393 XIULSVQSIUVCQR-UHFFFAOYSA-N 407.373 4.917 5 20 HJBD CCOc1cc(C)ccc1CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444222172 GGQSERGLRSPTQX-UHFFFAOYSA-N 422.431 4.597 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444257960 RFWQDYMJXFVPBL-UHFFFAOYSA-N 422.366 4.887 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3ccccc3[N+](=O)[O-])n2)cc1 445272552 DOXMSAMRQPHWQI-VOMSXAGXSA-N 406.442 4.843 5 20 HJBD COc1cccc(C(=O)c2ccc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cc2)c1 445486545 AADAYSSYKNDGLC-UHFFFAOYSA-N 404.422 4.704 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC[C@@H]1c1ccccc1 460646608 KQGTYBMJQHUKOE-KXBFYZLASA-N 407.495 4.984 5 20 HJBD COc1ccc(Cl)cc1N1CC[C@@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 462795487 TUCRYGPTIUBHON-CYBMUJFWSA-N 413.808 4.549 5 20 HJBD CNc1c(C(=O)Nc2ccc(Cl)c(C(=O)Nc3ccccc3)c2)cccc1[N+](=O)[O-] 462980816 LKXPJRYAZZNSRO-UHFFFAOYSA-N 424.844 4.795 5 20 HJBD C[C@@H]1CCCN(c2cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)ccn2)C1 463063160 BDPMQTDUQAQOOJ-CQSZACIVSA-N 423.498 4.602 5 20 HJBD O=C(NCc1ccc(CN2CCCCC2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463431710 SWZSWBPBAYTRHC-UHFFFAOYSA-N 421.419 4.530 5 20 HJBD CC(C)[C@@H](CNC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465654807 IEBYPMUAKNXPKH-JOCHJYFZSA-N 411.502 4.587 5 20 HJBD CC(=C/c1cccc([N+](=O)[O-])c1)/C=C1/CN(C)Cc2c1nc1ccccc1c2C(=O)O 468834818 FBOHDPQSKBLUQP-KUHAVHCNSA-N 415.449 4.774 5 20 HJBD CCc1ccc(C(=O)Nc2ccccc2CN(C)C(=O)c2cccs2)cc1[N+](=O)[O-] 471423624 IFDMBPXMWPTSPZ-UHFFFAOYSA-N 423.494 4.743 5 20 HJBD C[C@H](NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1 471985056 QUEYNXGHQHFARU-VBKZILBWSA-N 410.861 4.793 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccccc1Oc1cc(F)cc(F)c1 477782114 INBCIHOKDBDWTA-UHFFFAOYSA-N 402.328 4.986 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(-c2ccc(F)cc2)n1Cc1ccco1 481347913 YGCAWAFOHGIEKH-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(c3nnc4n3CCCC4)CC2)s1 482497825 FYMAPRQSILPZRC-UHFFFAOYSA-N 423.542 4.631 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 484010145 NNHCFDXJRBOWCT-IYBDPMFKSA-N 412.490 4.512 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 486864104 HNHLRVQXOLCVKG-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCOc1cccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1OC(F)F 487167226 ZHHWPPACBYSCFV-UHFFFAOYSA-N 420.334 4.904 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 487747056 GITQYXJQXSXNOH-LBPRGKRZSA-N 422.279 4.865 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@@H]2c2ccc(Br)cc2)cc1[N+](=O)[O-] 488070907 QOLZGPSHPSKKLC-JCURWCKSSA-N 403.276 4.647 5 20 HJBD Cc1c(CC(=O)N(C)Cc2cc(Br)ccc2Cl)cccc1[N+](=O)[O-] 494866623 YPALSDNOUUOTGT-UHFFFAOYSA-N 411.683 4.520 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 500375325 WJCRNZPQVJMGAK-ZLTNCQPASA-N 423.513 4.740 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3c[nH]c4cc(F)ccc34)n2)cc1[N+](=O)[O-] 508802678 RJMFAKZHHNFIDL-UHFFFAOYSA-N 410.430 4.828 5 20 HJBD O=C(c1ccn(-c2ccc(Cl)c(Cl)c2)n1)N1CCCc2c1cccc2[N+](=O)[O-] 513842117 AYUKZQCCCWBWJI-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc(OC)c1 515158508 BRHBDVZJYAMYFP-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 516165471 HWXIYFKROCKCJE-KBPBESRZSA-N 420.307 4.739 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1sc2ccccc2c1Br 516939684 JBARILBDZFMLCC-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD COCCn1c(C)cc(C(=O)COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1C 517909977 XMGMOEXCYPZGAV-UHFFFAOYSA-N 408.454 4.588 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3cc(Br)ccc3F)c1)OCOC2 520060426 PGHLWJHSUHWWIU-UHFFFAOYSA-N 414.252 4.796 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(Sc2ccccc2)CC1 521339192 QGPRRMQSPFKRMW-UHFFFAOYSA-N 414.530 4.596 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] 523164362 WANVBOHMYAVYSE-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)cc1)c1ccccc1 524140888 WHRVUKIHDCQJKA-CQSZACIVSA-N 405.410 4.749 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNc2ccc(Br)cc2[N+](=O)[O-])c1 524264843 BXRMIKXHKGYWGY-LBPRGKRZSA-N 406.280 4.954 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc2cc([N+](=O)[O-])ccc2o1 525771973 NTMDKSQQWYVQGQ-JOCHJYFZSA-N 412.405 4.737 5 20 HJBD C[C@@H]1C[C@H](N(C)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCN1Cc1ccccc1 534843157 HSCMLSPUQNSUNO-WZONZLPQSA-N 420.513 4.735 5 20 HJBD O=[N+]([O-])c1cccc(CCNCc2csc(COc3ccc(Cl)cc3)n2)c1 536095132 JTKIXEDDWYUFDD-UHFFFAOYSA-N 403.891 4.616 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537168211 AWDUIAPMJIZWEL-UHFFFAOYSA-N 400.394 4.928 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1COc2ccccc21 538561643 IZCUYOBADRTYGT-KDOFPFPSSA-N 400.500 4.782 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 539358838 GUUJQHCCPVXPFO-DLBZAZTESA-N 419.481 4.948 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc(Br)c1OC 540179992 NWKLATZOXQSRJD-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 541586478 YQIRHQHJTGXZMD-UHFFFAOYSA-N 419.306 4.879 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cc(OC5CCC5)ccn4)no3)cs2)cc1 545800175 YKHWFYKNJWHRJI-UHFFFAOYSA-N 421.438 4.762 5 20 HJBD Cc1cccc(OCCCc2noc(Cn3ccc4cc([N+](=O)[O-])ccc43)n2)c1C 546402926 SZKKALMTTUIZIH-UHFFFAOYSA-N 406.442 4.609 5 20 HJBD Cc1cc(Cc2noc([C@H](C)Oc3ccccc3Br)n2)ccc1[N+](=O)[O-] 546490700 OALFIASRDAJOPK-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)o1 548033176 WAPDJMIQJFTGCS-IAQYHMDHSA-N 408.404 4.606 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)n1 550843902 ABHPGFDIUSJHPM-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD CCN(C(=O)[C@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 551344826 XCYRVUSZSMYHMM-HNNXBMFYSA-N 411.483 4.925 5 20 HJBD C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(Oc2cccnc2)cc1 554643192 VRTONDUKCSTYSV-AWEZNQCLSA-N 402.410 4.754 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCCO1 561745105 JSLCJGCVYALHNV-LJQANCHMSA-N 400.500 4.633 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 578736447 PZHCPTQDXZTIFU-AWEZNQCLSA-N 415.877 4.617 5 20 HJBD Cc1csc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 603558678 JPEJVNARQIWYDT-UHFFFAOYSA-N 417.874 4.778 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603726525 ONKKPUDOXMDFPX-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ccc(C(C)=O)cc3[N+](=O)[O-])ccn2)cc1 603871601 KTPZYRIXFVDROC-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939635 ZZJHGRKNWGWUFT-BTYIYWSLSA-N 421.501 4.589 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccccc3)n(-c3ccccc3)n2)c([N+](=O)[O-])c1 604232230 FLSQXLOJXUTSIZ-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD COc1ccc(Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1OC 608971685 OICWWJLRKRSKAU-UHFFFAOYSA-N 407.426 4.916 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(OCC(F)(F)F)c2C)cc1[N+](=O)[O-] 609022496 OZHIPZDTYVHXKK-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CCN(CC)C(=O)c1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 609859064 FPYWZKJMMNQJRE-UHFFFAOYSA-N 409.486 4.666 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccsc1 609972195 MWYAARANWZASBB-CYBMUJFWSA-N 408.439 4.844 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610043160 IZRXOJLIWRHHLI-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCn2cccc2[C@H]1c1ccccc1 610104164 HGQOAVIFQAOPAI-HSZRJFAPSA-N 418.497 4.534 5 20 HJBD CSc1cccc(C(=O)N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)c1[N+](=O)[O-] 614444682 HGXUDCPMZIULDV-JXFKEZNVSA-N 400.500 4.557 5 20 HJBD O=C(Nc1cccc(OCc2cccc(F)c2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727708345 PAGAJNQVZCEUAC-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD Cc1cc(C(=O)Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)c(C)n1Cc1ccccc1 728910889 UJTGARFVSDNWDO-UHFFFAOYSA-N 414.465 4.808 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)[C@H](O)c1ccc(F)cc1 734845767 FPHWRGFIMIJHQA-HJPURHCSSA-N 409.461 4.714 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)OC(=O)CCn3cc([N+](=O)[O-])nc3C)s2)cc1 739305268 WJHQIUQMJFHUKM-AWEZNQCLSA-N 414.487 4.535 5 20 HJBD COc1cc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1OC(F)F 744051334 SLLQZWKTTUFFIQ-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD Cc1c(OC(=O)c2oc3ccccc3c2CSc2ncccn2)cccc1[N+](=O)[O-] 748623773 OOLZJNXBHPQZFI-UHFFFAOYSA-N 421.434 4.951 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 749434998 DLAJLFFYVQRWPV-QWRGUYRKSA-N 421.744 4.757 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1N1CCSCC1 749821822 UVKXMQOUJGMCSY-HNNXBMFYSA-N 417.556 4.576 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)cc1 754984822 BZBGDQIREZDSOW-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CC[C@](C)(NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)c1nc(C)cs1 755030338 OTMMJHOANYFVKC-HNNXBMFYSA-N 413.297 4.569 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964199 RWZUKMZHYGJYPR-UZLBHIALSA-N 417.893 4.558 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2cccc(NC(=O)Nc3ccccc3)c2)c1[N+](=O)[O-] 758467097 LIZYZZJNHIISKK-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=C(COC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)Nc1ccccc1-c1ccccc1 762908449 STUWWPMINGYYOQ-UHFFFAOYSA-N 415.405 4.539 5 20 HJBD C[C@@H](NC(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 767301497 BBNPWWBXUVEHFD-CZUORRHYSA-N 407.392 4.874 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCC[C@@H]1c1cccc2ccccc12 781608612 FENOWVXNIDIKHW-OAQYLSRUSA-N 419.437 4.953 5 20 HJBD CCOc1ccc(Oc2cc(CN[C@@H](C)c3ccc(N)c([N+](=O)[O-])c3)ccn2)cc1 788559493 ZLTJYHYEWMFHKT-HNNXBMFYSA-N 408.458 4.614 5 20 HJBD CCc1cc[n+](/C(C(=S)Nc2ccsc2)=C(/O)c2ccc([N+](=O)[O-])cc2)cc1 790114935 UZGPQXHZBOWQBL-UHFFFAOYSA-O 412.516 4.830 5 20 HJBD O=C(c1cc(C(F)(F)F)cnc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 790928903 YWCOUWKFGKKLLL-OAHLLOKOSA-N 417.796 4.550 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792963163 NVSOMRCDWVTVFX-CYBMUJFWSA-N 417.893 4.704 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](O)c1ccc(C)cc1 804485321 PVYWUIMATKZARC-QRWLVFNGSA-N 402.516 4.647 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1[N+](=O)[O-] 813305241 WVUHKQIZAILJAK-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD Cc1c([C@@H](C)N[C@@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cccc1[N+](=O)[O-] 813881542 LVSZIZZUFWCWCS-IAGOWNOFSA-N 403.523 4.876 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccccc1)[C@@H](O)c1ccccc1 816792918 BMELCGJFPIZNMI-USCONSEESA-N 422.506 4.667 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 825483047 SLBQOHQWXZSSBR-XAALNOIJSA-N 415.234 4.545 5 20 HJBD CCOC(=O)/C=C\c1ccc(NCc2cccc([N+](=O)[O-])c2Br)cc1 864000019 LWBGQFHUZQGEFZ-FLIBITNWSA-N 405.248 4.546 5 20 HJBD Cc1noc([C@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 864174723 LNFZLOHKYVOPCO-JTQLQIEISA-N 403.778 4.650 5 20 HJBD COc1c(-c2nc(-c3ccc(OCc4ccccc4)cc3)no2)cccc1[N+](=O)[O-] 904423280 FRLVBKDAGBXUGC-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CSc1ccc([N+](=O)[O-])c(-c2nc(-c3cccc(Cn4nc(C)cc4C)c3)no2)c1 904524850 VBPNBVTWIIANID-UHFFFAOYSA-N 421.482 4.895 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1NC(=O)OC(C)(C)C 915079259 RAAONPDFGLHUJY-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD COc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1NC(=O)OC(C)(C)C 915165554 HVBOZAFMQHVAQY-KPKJPENVSA-N 413.430 4.602 5 20 HJBD NC(=O)c1ccc(CSCc2csc(-c3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 1318567532 MKIIAUOSYUHWBP-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD Cc1sc2ncnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c2c1C 23406021 SQEXVCVIWOUCIK-GFCCVEGCSA-N 402.501 4.644 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC)cc2OC)cc1 33148825 INIIVWXFFTWLGR-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 58353228 YGXXLNVKLHBKAA-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD O=C(Nc1ccccc1)[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 107512380 GMVHVLUSNGQRQF-LJQANCHMSA-N 409.489 4.879 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3nc(C(C)C)ncc3Cl)n2)cc1[N+](=O)[O-] 195721149 LRVBMGCEWNPZQG-UHFFFAOYSA-N 417.878 4.846 5 20 HJBD C[C@@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 227647944 ZLYKMMDJGFIOBJ-HXOBKFHXSA-N 402.450 4.792 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@@H]2c2cccc(Cl)c2)c([N+](=O)[O-])c1 301220255 AXEMATRBYTYCPX-QGZVFWFLSA-N 410.861 4.554 5 20 HJBD CC(C)n1cnnc1[C@@H]1CCCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 301283184 LMSIWBYFMTXTCA-LLVKDONJSA-N 417.819 4.823 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1cccc(N2CCOC2=O)c1 301849749 DITPZGUUTLJSNU-HNNXBMFYSA-N 404.426 4.787 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)c1 303537048 ITAKJFSWCJOMIV-INIZCTEOSA-N 422.485 4.554 5 20 HJBD COc1ccccc1[C@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)N1CCCC1 409736673 NAIFVAGAWKEQLC-QFIPXVFZSA-N 421.497 4.790 5 20 HJBD Cc1ccc(-c2c[nH]cc2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 427592119 CCPOZLIHCQHDOV-UHFFFAOYSA-N 409.389 4.909 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 431675034 GYMJRXVMTAFKKD-DENIHFKCSA-N 424.545 4.568 5 20 HJBD CC(C)(NC(=O)Nc1c(F)cc(Br)cc1F)c1ccccc1[N+](=O)[O-] 432958381 GQSQBKXRJYKASO-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD C[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 433388062 TVOJGXODOIEFRU-JXFKEZNVSA-N 402.466 4.575 5 20 HJBD O=C(NCc1c(F)cccc1Cl)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436090726 SAHKLWHQKZCOJM-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1csc(-c2cccc([N+](=O)[O-])c2)n1 438422317 WCEDWBXBNDWAQM-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1CCN(C)C 438564848 YRADCYMCYSQVLE-UHFFFAOYSA-N 401.532 4.843 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2cccc(Oc3ccccc3)c2)c([N+](=O)[O-])c1 440816408 CKEPTPDQZWTNNC-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1 444917394 DWPAHMWZZIXCAV-IBGZPJMESA-N 412.833 4.563 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1sc(Br)cc1[N+](=O)[O-] 445250390 QFGRACXGYZBJOB-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Sc1nnc(-c2cccs2)o1 447683221 OBLUACHQZYPVKZ-UHFFFAOYSA-N 424.332 4.907 5 20 HJBD Cc1nn(C)c(C)c1C[C@@H](C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 460133251 WOWRQMHMYCWZDY-CQSZACIVSA-N 408.458 4.555 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)c1 460155074 MFWKQHDAUKYLBM-SFHVURJKSA-N 404.466 4.902 5 20 HJBD C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 461336494 XCHNWSILJGAAOJ-GUYCJALGSA-N 406.841 4.766 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464012786 FGDQMDHOTSCTEG-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](CSc2ccccc2)C1 464326328 WFDZUEHYIPKMNS-HZPDHXFCSA-N 402.541 4.716 5 20 HJBD CCOc1ccc(C(=O)N2CCC(F)(c3cccc(Cl)c3)CC2)cc1[N+](=O)[O-] 466867298 WEDCRZXUXPCFQW-UHFFFAOYSA-N 406.841 4.748 5 20 HJBD COc1ccccc1[C@H](CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)OC(C)C 473697367 QJPRGEMTKNBZIM-IBGZPJMESA-N 401.463 4.508 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)c1[N+](=O)[O-] 486241899 UIWWIJRFWMZMIK-UHFFFAOYSA-N 410.499 4.937 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(C(F)(F)F)cs1 486623560 DJLNHORDNCYORK-ZETCQYMHSA-N 401.391 4.776 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c(C)c1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487196070 QOUNJIJNHXNHBL-UHFFFAOYSA-N 422.382 4.845 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 487676524 VDTDPUNMHZJHPL-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488072838 JZGBDTRJPOAOPL-CYBMUJFWSA-N 401.850 4.940 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 489636764 SRDCEHIHDZAQPI-HOTGVXAUSA-N 422.403 4.992 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1F 499116853 JMIRUQSFQGNURA-UHFFFAOYSA-N 404.291 4.931 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 500438885 SRYPMFACCWUPLB-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3cccc4ccccc34)C2)cc1OC 501544534 RCROGXDCRKTXGD-UHFFFAOYSA-N 418.449 4.695 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)[C@@H]3CCCOC3)cc2[N+](=O)[O-])cc1 503204631 XBTMYIDWDMRUSI-NVXWUHKLSA-N 400.500 4.599 5 20 HJBD O=C(c1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1Cl)N1CCCCC1 506283918 UEZDUJHMCIRGNF-UHFFFAOYSA-N 409.820 4.765 5 20 HJBD CCn1c(SCc2c(Cl)cccc2OC)nnc1-c1ccc([N+](=O)[O-])cc1 506446237 WZDKFVWIUYCBOW-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1c(F)cc([N+](=O)[O-])cc1F 509006851 NABRHAGIOZGWOO-UHFFFAOYSA-N 422.819 4.989 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCN(Cc2ccc(Cl)cc2)CC1 517806709 RVHBRHLUZDUKES-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD C[C@H]1CCN(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2S1 518877359 QTRGQUKTQTVJJR-QWHCGFSZSA-N 406.891 4.933 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 523580712 CYYBSFCPYSPPHD-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](c1ccc(F)cc1)c1cccnc1 524836096 FHYVXKSCGNNZEB-JOCHJYFZSA-N 423.444 4.779 5 20 HJBD CC[C@@](C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 531093215 LAIXFFQOGXMZSJ-GFCCVEGCSA-N 404.332 4.553 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@H](c4ccccc4Cl)C3)o2)c1 533410129 WMHHNFXJTQWHEF-FQEVSTJZSA-N 413.861 4.519 5 20 HJBD C[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)no1 535543613 RNUIZATZFUUDEE-AWEZNQCLSA-N 421.482 4.662 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)CC2)cc1 535697116 WPYRMIWSRLOEKN-UHFFFAOYSA-N 407.470 4.883 5 20 HJBD CN(C(=O)c1ccc(Br)s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151057 IMTSXZCWYDNUHO-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD COc1cc([C@@H](C)NC/C=C\c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 538328389 VDMBOMWJZXINJT-LZCIBIBXSA-N 419.481 4.941 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 538965842 WCJZPVDJWIIGCW-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)CC(=O)N(C)Cc2ccccc2Cl)cc1[N+](=O)[O-] 539119989 HVQHDUFLTLZJRI-HZPDHXFCSA-N 403.910 4.644 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 542941538 XCTXXTRHRUDDHS-UHFFFAOYSA-N 405.332 4.854 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H](C2CCOCC2)C1 544417359 CDZNEDMLOLBFQV-SFHVURJKSA-N 418.559 4.766 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 561265033 KBQMESACJZTWNQ-QGZVFWFLSA-N 409.389 4.588 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc2c(c1)nc(CC)n2C1CC1 562752860 MRGBXAWSPIGPEW-UHFFFAOYSA-N 424.526 4.884 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4cn5c(n4)CCCC5)n3)cc2)c1 576233184 PAOXVUOTNVQCSZ-UHFFFAOYSA-N 403.398 4.637 5 20 HJBD CC1(C)CN(C(=O)c2sc(Br)cc2[N+](=O)[O-])[C@H]1c1cccs1 580918159 SCSIKKSTRZOJHZ-LBPRGKRZSA-N 401.307 4.704 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Sc1ccccc1 590646450 IZDRCSQMNBOJHQ-OAHLLOKOSA-N 421.478 4.503 5 20 HJBD CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 598686219 DNYOENGIDXQZFW-XJKSGUPXSA-N 404.850 4.680 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nccn3-c3ccc(Cl)cc3)n2)cc1 603748440 QYJWRQVNTRTZAU-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(C(=O)NC3CCCC3)cc2)cc1[N+](=O)[O-] 603976369 MJMUKUSRSOYGAV-UHFFFAOYSA-N 409.486 4.817 5 20 HJBD O=C(c1cccc(CSCCOc2cccc([N+](=O)[O-])c2)c1)N1CCCCC1 604053891 NAOPJQDXHWHMJU-UHFFFAOYSA-N 400.500 4.533 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2cccc([N+](=O)[O-])c2C)cc1 604118746 HPLDGZYIHIRLAM-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD Cc1ccc(-c2nnc(SCc3nc(-c4cccc([N+](=O)[O-])c4)no3)o2)c(C)c1 608929971 HKUIPTOFHQUCBY-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H]3CCCN(Cc4ccccc4)C3)cs2)c1 609598673 LWZFDVMXEDBVRY-IBGZPJMESA-N 422.554 4.720 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccccc3)c3cccnc3)cs2)c1 609599715 WLQDZWBNJUNYMQ-OAQYLSRUSA-N 402.479 4.993 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1OC 609783972 DSGROWXPACGHPM-AWEZNQCLSA-N 420.893 4.856 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CC(c3nc4ccccc4[nH]3)C2)cc1OC 611668424 INSZHVJFQCVKLV-OAHLLOKOSA-N 424.501 4.504 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2cccs2)n1 729116837 KKDINRUUWZNKIU-UHFFFAOYSA-N 404.469 4.924 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c([N+](=O)[O-])c1 730107866 XFPXOMBYXYRGED-INIZCTEOSA-N 416.192 4.527 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl 734782041 LLQLIAQVBLTKEE-UHFFFAOYSA-N 420.830 4.820 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 736159682 YJFIYDOAOGDZPM-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD Cc1noc(C)c1CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cc1c(C)noc1C 736624134 YDXYNVSRNWGEIN-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cn2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 744372082 QUFMQPMBGSXRIQ-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD C[C@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 750294146 LLVAJJALCDZYQS-AWEZNQCLSA-N 414.893 4.696 5 20 HJBD Cc1cc(C(F)(F)F)c(Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)nn1 750455624 WCSUTSVZCDTNDY-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD Cc1ccc(N(C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)C(C)C)cc1 751133788 ZQVGWWZKJQVGKC-UHFFFAOYSA-N 406.486 4.820 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1Cl 751184934 DESOAWWPMRFJPK-UHFFFAOYSA-N 414.201 4.688 5 20 HJBD CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 755406065 QREGERSQYPXIKK-CRAIPNDOSA-N 407.829 4.771 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 756966765 CMJCMFJGEVYZFQ-HNNXBMFYSA-N 412.877 4.819 5 20 HJBD CC[C@H](C)N(Cc1ccc(Cl)c([N+](=O)[O-])c1)Cc1nc(O)c2ccccc2n1 761254464 WKAQFZMPAUNNKB-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Oc2ncc(Br)cc2[N+](=O)[O-])cc1 761906318 BWRLTCMGBQYDLV-UHFFFAOYSA-N 410.224 4.892 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CN(C(=O)OC(C)(C)C)c2ccccc2)n1 762071950 PITHPNWCPYWKMO-UHFFFAOYSA-N 410.430 4.895 5 20 HJBD C[C@H](C[C@@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762693778 WSFUYWSXPSRZKP-IIBYNOLFSA-N 422.506 4.988 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3csc(CCc4ccccc4)n3)CC2)cc1 766527957 UECTWHSCYUNUAY-UHFFFAOYSA-N 422.554 4.595 5 20 HJBD CCOc1ccc2ccccc2c1OC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 766859042 VQZFHFCVWHJXTC-UHFFFAOYSA-N 410.382 4.593 5 20 HJBD CN(Cc1ccc(NC(=O)OC(C)(C)C)nc1)Cc1cc([N+](=O)[O-])ccc1Cl 768215008 MYOHTSYWRPSQFS-UHFFFAOYSA-N 406.870 4.622 5 20 HJBD CC(C)([C@H](OC(=O)[C@H](F)c1ccccc1)c1ccc(Br)cc1)[N+](=O)[O-] 774366683 LHQQHSGRSRCYEL-HZPDHXFCSA-N 410.239 4.800 5 20 HJBD CC(C)(C)c1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1O 775449248 ZBDHVFBTPOSBQI-UHFFFAOYSA-N 411.227 4.752 5 20 HJBD CC(C)CN(C(=O)/C=C\C1CCOCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496989 YHBWYWHJZOZQQB-FPLPWBNLSA-N 415.515 4.690 5 20 HJBD O=C(CCc1ccc(Cl)s1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135749 RQZZLWUMZIJTBM-LJQANCHMSA-N 402.859 4.970 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140297 WAMVGNSEEDNKNW-QDGLUTFLSA-N 411.417 4.884 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140298 WAMVGNSEEDNKNW-XIQMRYHBSA-N 411.417 4.884 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 781202360 KOSMEWJMXSDXMZ-BPAYBPMLSA-N 416.302 4.629 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cc(F)ccc1[N+](=O)[O-] 787670105 FPPMZGJWFWYAOO-LJQANCHMSA-N 419.368 4.730 5 20 HJBD COc1ccc(OC(=O)CCC(=O)c2cc(Cl)sc2Cl)cc1[N+](=O)[O-] 792119920 WVBRLPFETOYGPQ-UHFFFAOYSA-N 404.227 4.540 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 795711675 PBKBAYYJIKKEKY-LLVKDONJSA-N 417.805 4.615 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3ccc(Cl)s3)nc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 801098618 KQTAKEOYFBFQEK-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CC(C)CN(C[C@H](O)c1ccc(F)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809913002 ROGBFAOZVYNEHD-KRWDZBQOSA-N 409.845 4.611 5 20 HJBD O=C1CCCC(=O)N1Cc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811490253 OBBHLOAKFKUAEP-UHFFFAOYSA-N 422.268 4.553 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 815303630 PUZPUBLMHVOKFJ-UHFFFAOYSA-N 417.437 4.997 5 20 HJBD O=C(CNc1ccccc1SCc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 851789623 QKLUQFYODMEVRW-UHFFFAOYSA-N 419.506 4.888 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCCc3cc(F)c(F)cc32)CC1 918592505 LCPNPRGEZYUEOB-UHFFFAOYSA-N 415.440 4.702 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cnn(-c2ccc(Cl)cc2Cl)c1 919994148 OKWKFXIYOPMLRO-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(-c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])o1 1117234331 DBHWCYYEGMHMPU-LBPRGKRZSA-N 405.357 4.797 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(Nc2ccccc2Cl)CC1 1326220729 WELUCOYRIYZVHG-UHFFFAOYSA-N 402.882 4.973 5 20 HJBD COc1ccc(CCc2nnc(-c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)o2)cc1 1331827869 UJWNGZMUPNZFJD-UHFFFAOYSA-N 422.485 4.675 5 20 HJBD Cc1cc(C)c(C(=O)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(C)c1 10722720 ZKYQDYTYLCTNMZ-UHFFFAOYSA-N 413.473 4.506 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1ccccc1Cl 18591044 UELQILIXTUVVOJ-CYBMUJFWSA-N 423.925 4.859 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 22941153 YWQRAPNVMGWVPC-INIZCTEOSA-N 420.421 4.500 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC(c2nc3ccccc3s2)CC1 26227632 JQLZHYCXSZEKQM-UHFFFAOYSA-N 424.526 4.721 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 43341962 FTFQIPPPCWVPHJ-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](c2ccccc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 45823992 CSODFBKVFYODEL-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1)c1ccncc1 46244342 QAJKZEHBMCCOAA-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD Cc1c(NC(=O)[C@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cccc1[N+](=O)[O-] 52586073 GQXUKHXGTXZLLK-SFHVURJKSA-N 417.465 4.547 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 53461125 TWSMBXPWMDMECR-GFCCVEGCSA-N 418.375 4.505 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1OC1CCCC1 58328398 JUAZNNASKYZALA-AWEZNQCLSA-N 423.469 4.897 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 63097562 GBGABVWGYNOBJW-UHFFFAOYSA-N 417.497 4.534 5 20 HJBD C[C@@H](Sc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 65280663 CCGLRRRGWTXJLO-SNVBAGLBSA-N 421.418 4.750 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)Nc2ccccc2)c1 105561631 ZTOWHAZYOBQMHX-UHFFFAOYSA-N 422.466 4.970 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cn2)cc1C 108653370 NRWJLQNFIMGPPS-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD CC[C@H](C)[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 108784553 HBICXOBMVJTGJI-BTYIYWSLSA-N 409.490 4.567 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 146043093 HZAPMXDFTSVCKR-CQSZACIVSA-N 410.455 4.605 5 20 HJBD Cc1cnc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c([N+](=O)[O-])c1 301440153 LZYMEUIBDZRAJQ-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD COc1cccc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)c1[N+](=O)[O-] 435914905 HRHLOJDPMCYZFK-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(c2ccc(O)cc2)CC1 435935921 NDPISKLSIOJVAN-UHFFFAOYSA-N 416.452 4.546 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CNc1ccc(Oc2ccc(F)cc2)c(Br)c1 442141512 UTIBYRSNEKPCLR-UHFFFAOYSA-N 421.226 4.634 5 20 HJBD Cn1nc([C@H]2CCCO2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 447653596 UBCASIGKYZRTAL-GOSISDBHSA-N 424.482 4.583 5 20 HJBD Cc1sc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 460848326 RGEHOBQHFNKAPN-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD C[C@H](c1ccco1)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 461483506 SHBMMWALLONYMI-CQSZACIVSA-N 409.471 4.754 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(-c2ccccc2C(F)(F)F)on1 462387765 LJNWEHHLWMKOOW-UHFFFAOYSA-N 419.359 4.583 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 463954537 ZSTUNAVKJVIENN-KRWDZBQOSA-N 407.474 4.566 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCCO1 466741364 QBLXEXSKPUGEKT-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD COc1ccc(C2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 467168895 KRSYRDWVINLUCA-OAHLLOKOSA-N 408.458 4.594 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCc1ccccc1[N+](=O)[O-] 468909730 JKKTVPCZDAWBOC-OAHLLOKOSA-N 410.499 4.929 5 20 HJBD CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CC[C@@H]1c1ccccc1 470873550 ZUANQXTUTPJVBE-NQIIRXRSSA-N 407.514 4.851 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CC1 477853541 UMNKNDWLPBEORI-LJQANCHMSA-N 421.544 4.542 5 20 HJBD COc1cc(CNCc2cccc(C(C)C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 479385942 CTOOJWJTHPZVFG-UHFFFAOYSA-N 412.408 4.958 5 20 HJBD C[C@H](NCc1ncnn1-c1ccccc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 479462603 HUUXSMSCRLMVAI-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CC[C@@H](NC1CCN(C(=O)[C@H](CC)c2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 482111399 RDBKWGBCSKTTIN-DHIUTWEWSA-N 409.530 4.820 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 483412728 VYTXOYMTLRBROS-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD COc1cc(Cl)c(Cl)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 483435765 CXKLVBXDRPHXAT-UHFFFAOYSA-N 407.257 4.923 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OC(F)F)cc2OC(F)F)c1[N+](=O)[O-] 485745712 ZKZPZDNGUCOHEX-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 487594423 VILJGTDFSJWNKH-UHFFFAOYSA-N 402.756 4.596 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(N2CCCC2=O)ccc1Cl 488553756 LVZRRWBJFUXRAC-UHFFFAOYSA-N 419.890 4.739 5 20 HJBD Cc1cc(=N[C@H](C)c2ccc(OC(F)F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489310568 DJYSZGJIUURBCH-CYBMUJFWSA-N 400.385 4.557 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@@H](c1cccnc1)c1ccc(F)cc1F 496596253 YCMZCPMWFPVNDS-NRFANRHFSA-N 411.408 4.828 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccccc3N3CCC(CO)CC3)cs2)c1 497139988 BJCKMPRYNOPVDC-UHFFFAOYSA-N 424.526 4.539 5 20 HJBD O=C(c1cc(-c2ccc(Cl)s2)on1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498154748 ZVLSALOLCUEHEN-QGZVFWFLSA-N 421.887 4.853 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 499715212 WALHVWAWAUZKCZ-UHFFFAOYSA-N 406.442 4.688 5 20 HJBD CC(C)Oc1cc(Br)ccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 502479846 UJRXMDWZVXQRKB-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 503614995 LNCVGSWXNHYHDQ-LBPRGKRZSA-N 409.305 4.669 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 503856833 MGWFULHWLQLDMS-OAQYLSRUSA-N 409.873 4.676 5 20 HJBD COc1cc(OCC(=O)N(c2ccc(Cl)c(Cl)c2)C(C)C)ccc1[N+](=O)[O-] 505058782 ANESEEDTNJTJCC-UHFFFAOYSA-N 413.257 4.731 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)c(F)c2)C1 506209706 LGXYPUSQIZUYES-GOSISDBHSA-N 422.453 4.589 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 510266873 BGVZERZTOBXAGJ-UHFFFAOYSA-N 407.495 4.912 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(F)cc2)C(C)(C)C)c([N+](=O)[O-])cc1OC 514576975 RRWDEPOLMUTEOB-LJQANCHMSA-N 404.438 4.658 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 516333937 GQMKQEQJBBAUCE-MRXNPFEDSA-N 410.495 4.803 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1[N+](=O)[O-] 517620964 KVGJSAKTDZJJNU-LBPRGKRZSA-N 413.503 4.502 5 20 HJBD O=C1[C@H](Nc2ccccc2COCc2ccccc2)CCN1c1cccc([N+](=O)[O-])c1 518283592 IVEWESIVIDNRRN-HSZRJFAPSA-N 417.465 4.529 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@@H](C)c1cccc(C(F)(F)F)c1 519887353 JIJMAYXSJNBNJE-LBPRGKRZSA-N 414.355 4.603 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F 524019410 LESYNNDXELJQGI-UHFFFAOYSA-N 416.409 4.613 5 20 HJBD Cc1cccc(C(=O)Nc2ncnc3c2c(C)c(C)n3Cc2ccccc2)c1[N+](=O)[O-] 525843448 RMTBJUHMBJSXLN-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 530693905 BBFCVYCGLISEAF-STZCLMFTSA-N 420.506 4.696 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c(C)c2c1 530981632 TVGHGHRICUZGRK-MRXNPFEDSA-N 408.454 4.970 5 20 HJBD Cc1noc(C)c1[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 533603645 ISIWQMLTSGRLRP-ZDUSSCGKSA-N 407.426 4.563 5 20 HJBD CS[C@H](C)CC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 535294140 NXYMNWOLPCJSIW-LLVKDONJSA-N 412.870 4.937 5 20 HJBD C[C@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)Cc1ccc(Cl)cc1 539030096 TTZQKLAPTJCNER-INIZCTEOSA-N 416.905 4.887 5 20 HJBD COc1ccccc1-c1csc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 542536967 UJDRCXNKCPLEQR-LLVKDONJSA-N 401.419 4.608 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCC(OCC3CCCCC3)CC2)c1Br 543436116 GPPWHEMBIRHCOR-UHFFFAOYSA-N 412.328 4.626 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2cc(C)no2)cc1OC 543842209 BREPGGJADBGGSD-RHSMWYFYSA-N 403.479 4.662 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(COc3ccc(-c4ccccc4)cc3Cl)n2)nc1 545074213 LNQACUWYLDMVIZ-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccnc2)Cc2ccccc2C)c1 548954905 YQOUWAUEZNWNHA-UHFFFAOYSA-N 405.454 4.540 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Nc1ccc([C@@H]2CCOC2)cc1 549633847 CRFTYQDJZKBWKK-LLVKDONJSA-N 403.307 4.891 5 20 HJBD Cn1ccnc1[C@@H](NCC[C@H](c1ccccc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 556217596 NZBRTHCSJQAQCM-MOPGFXCFSA-N 418.419 4.744 5 20 HJBD Cc1sc(CCN[C@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)nc1-c1ccccc1 557274443 WMPYFIBSJCZTKK-OAHLLOKOSA-N 424.526 4.576 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 558346252 GLIZKSMICVXBGX-OAQYLSRUSA-N 401.397 4.606 5 20 HJBD COc1ccc(C(=O)C2CCN(Cc3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 559037384 RAVMHHHVIJGGDK-UHFFFAOYSA-N 422.403 4.717 5 20 HJBD CC(C)c1nnc(CCc2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)o1 562231511 RLBJQRBOAQSWAC-UHFFFAOYSA-N 421.413 4.729 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)c(C)c2)s1 562396308 IGGSNIPCGDQSED-LBPRGKRZSA-N 414.512 4.957 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)C(F)(F)F 569209099 RIEXXQUDKDJBBY-SECBINFHSA-N 420.290 4.778 5 20 HJBD C[C@@H](C(=O)N(C)Cc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 577318980 WKDJRHZNGZQOHG-LLVKDONJSA-N 411.683 4.773 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N[C@@H](Cc3ccc(Cl)cc3)c3ccccn3)c12 580127963 JYUFYGUNAKZKOG-SFHVURJKSA-N 405.845 4.982 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)cc([N+](=O)[O-])c1 584309225 VZNHKTVPICLDGI-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 585698362 LDWFEOWXLJKHHU-UHFFFAOYSA-N 424.400 4.763 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3cccc(Cl)c3)o2)cccc1[N+](=O)[O-] 598674218 OAKSNXYCJNVPNG-LLVKDONJSA-N 418.862 4.726 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccc(Cl)cc2)C1)Nc1ccc([N+](=O)[O-])cc1Cl 604467794 KCWGWIOQCOQRAY-LBPRGKRZSA-N 409.273 4.550 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)ccc1F 604540799 ITXFCDAUNAXNAZ-UHFFFAOYSA-N 422.372 4.621 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1Cl 609448835 WWQWJTBFQLSEMY-UHFFFAOYSA-N 407.829 4.558 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 609667915 WWXLHAFFHCMSIA-PMERELPUSA-N 419.462 4.636 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 610118996 LUWPDEBMBPGJOD-UHFFFAOYSA-N 401.216 4.523 5 20 HJBD C[C@H](C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 612552896 XDCHJEMTJWIIPF-LBPRGKRZSA-N 410.367 4.557 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)Nc1ccc([N+](=O)[O-])cc1F 612611487 CQPFAYZDHWSONJ-UHFFFAOYSA-N 416.384 4.625 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C\C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 729825952 DQUOTOSWWBYEHN-KVPUOBJLSA-N 412.442 4.585 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])s1 730202714 WOTWUHGEOYNAIJ-NSHDSACASA-N 404.398 4.519 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H](O)c3ccccc3C)c([N+](=O)[O-])c2)cc1 732806206 ZXTLBINXVVZHIQ-QFIPXVFZSA-N 405.454 4.609 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@@H](c1ccccc1)[C@@H]1CCCO1 733792922 XHYGBGWSZRDQFZ-HOTGVXAUSA-N 411.336 4.854 5 20 HJBD COc1ccc([C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@H](C)n2cccn2)cc1 734084513 GZYUMTFVNLEWBB-QKKBWIMNSA-N 421.409 4.705 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2cccc(NC(=O)c3cccs3)c2)c1 734116901 ZMHBWHKZGNJCOQ-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1cccnc1Cl 735317170 FSUSZCXKAFKPET-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735644300 MPSGTZIULFTHAN-CYBMUJFWSA-N 422.890 4.531 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc2ccccc21 741990144 XUCCQMQIRBUKCC-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)o1 744554756 WHYHDJRHEQHUFF-UHFFFAOYSA-N 421.453 4.906 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746060929 ZMGKDUKUNMATAN-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD Cc1ccc(N2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[C@H](C)C2)cc1C 747969525 PPAPZLGZCBSSJZ-MSOLQXFVSA-N 421.501 4.533 5 20 HJBD O=C(Nc1cnc(Cl)c(Br)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 759156474 HNVJUQDNUKLJHK-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cc1coc(-c2ccc(C)c(NS(=O)(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)c2)n1 759677986 GCZKQSNKMIIMBQ-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD O=C1CCCN1c1ccc(F)c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 763500938 ICWGYERKXZHZSM-UHFFFAOYSA-N 412.446 4.596 5 20 HJBD O=C(OCc1csc(Cc2ccccc2)n1)c1ccc([N+](=O)[O-])c2cccnc12 766228126 SWHKUCRWZHRHKB-UHFFFAOYSA-N 405.435 4.547 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nc(-c2cccc(F)c2)no1 771894581 QPHGOVUOENWWDX-RYUDHWBXSA-N 417.418 4.569 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775935242 XSOSFPYCZLRVMB-IINYFYTJSA-N 423.252 4.502 5 20 HJBD C[C@H]1CC[C@H](C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)C1 776293597 XFYMYRIFHFTMGT-STQMWFEESA-N 402.834 4.842 5 20 HJBD C[C@@H](NC(=S)NNc1cccc(F)c1[N+](=O)[O-])c1ccc(Cl)cc1Cl 790610024 VHFDXXGMNDHULH-MRVPVSSYSA-N 403.266 4.593 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790927615 LUFDVKZQESINHV-XIKOKIGWSA-N 406.841 4.548 5 20 HJBD C[C@@H]1c2nncn2CCN1C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 791160984 HHDIXCAZJFGAJN-MRXNPFEDSA-N 422.488 4.742 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl 791744108 HFYWHVUGFVHMKI-LBPRGKRZSA-N 411.241 4.554 5 20 HJBD Cc1c(CNC(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cccc1[N+](=O)[O-] 792310697 ARXYYYOBAYLJNR-UHFFFAOYSA-N 418.425 4.711 5 20 HJBD Cc1ccc([C@@H](NCc2ccc(Br)c([N+](=O)[O-])c2)c2ccccn2)cc1 793248814 HWISNCRVOCPXMK-HXUWFJFHSA-N 412.287 4.940 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN2CCC(F)(c3ccccc3C(F)(F)F)CC2)c1 799176223 JMKBRPFTSSAXLG-SFHVURJKSA-N 412.383 4.608 5 20 HJBD C[C@]12CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C[C@H]1C2(Cl)Cl 804707346 XXPRMPCEBXIXKQ-KOLCDFICSA-N 420.165 4.664 5 20 HJBD C[C@]12CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C[C@@H]1C2(Cl)Cl 804707349 XXPRMPCEBXIXKQ-ONGXEEELSA-N 420.165 4.664 5 20 HJBD Cc1ccc([C@H](O)c2ccccn2)cc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 808029347 XLKFRWDOAMTFOX-QFIPXVFZSA-N 405.454 4.756 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215544 TYSSYNOHRUUYJI-CQSZACIVSA-N 412.467 4.964 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1C(=O)NC(C)C 812986092 PHUKWXQUHWKOBN-UHFFFAOYSA-N 410.257 4.601 5 20 HJBD CC(C)COc1ccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1Cl 917811535 SQAVTTVLAIOLLG-UHFFFAOYSA-N 402.838 4.945 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 919553532 QAMOWOSWHGIFRJ-XSNHNAGMSA-N 422.359 4.587 5 20 HJBD Cc1c(Cc2nnc([C@H](C)Oc3cccc(Br)c3)o2)cccc1[N+](=O)[O-] 1261428995 KKXVEVLPLXXCNH-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(Cl)s2)C1 1322211196 KFRIGDLLGHUULG-LBPRGKRZSA-N 402.259 4.569 5 20 HJBD CC(C)(C)n1c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)nc2ccccc21 1323085920 QSYFRDMGJBWWDL-UHFFFAOYSA-N 417.263 4.714 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1csc(Cc2ccc(Cl)cc2)n1 1346734612 FNIQFAZDXXGNJM-UHFFFAOYSA-N 415.902 4.610 5 20 HJBD O=C(NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)CCC1)C1=CCCC1 1790624063 XNXRSWPHGJFWLA-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD O=C1c2ccccc2N[C@@H](c2cccn2-c2ccc([N+](=O)[O-])cc2)N1Cc1ccco1 7113044 LFBKNISIDCQCTJ-JOCHJYFZSA-N 414.421 4.745 5 20 HJBD COC[C@@H](C)n1c(C)cc(C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)c1C 7797857 KILHHMYJUKGPEA-GFCCVEGCSA-N 419.528 4.805 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 11082531 GSIBCHMHOSBGDF-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD COc1ccc(OCC(=O)Nc2ccccc2Oc2ccc(C)cc2)c([N+](=O)[O-])c1 11575593 VEXYZUAWEWGVSF-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD O=C(NC1CCCCCC1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15617617 LYVQWFJZUIVXLP-UHFFFAOYSA-N 413.440 4.669 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1Cl 55688234 SIOFISBWASPSKY-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])cc2Br)cc1)N1CCCCC1 301368274 TZIYDXNXDSLHLN-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD COc1cc(OC)cc([C@@H](Nc2cc(C)c([N+](=O)[O-])cc2Cl)c2nccn2C)c1 301445207 PGQXBYFOPNCTFA-LJQANCHMSA-N 416.865 4.509 5 20 HJBD Cc1cc(N[C@@H](C)c2cccc(N3CCOC3=O)c2)c(Br)cc1[N+](=O)[O-] 302627687 BJGFAHLGHWYONM-LBPRGKRZSA-N 420.263 4.795 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303782403 IRFSHEGYSYLYAU-ZIAGYGMSSA-N 402.520 4.509 5 20 HJBD CC[C@](C)(NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C)cs1 303958071 VQWBGDNHQUWHTQ-SFHVURJKSA-N 402.501 4.538 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426231640 RUXFRZIUCWIQLD-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@H]1CCC(F)(F)C1)c1ccccc1 429783523 LIBWOWWYKHLNNM-SCLBCKFNSA-N 423.847 4.953 5 20 HJBD Cc1ccc(C)c(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 430194039 SRCSWCPQJIDKSR-UHFFFAOYSA-N 406.432 4.849 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)c1[N+](=O)[O-] 435532911 SHDCZIRFSQNBCD-OAQYLSRUSA-N 402.406 4.869 5 20 HJBD CC(C)(C)C(=O)N1CCOc2ccc(Nc3cc(C(F)(F)F)ncc3[N+](=O)[O-])cc21 436316963 ZAGWWDNOSQLUBL-UHFFFAOYSA-N 424.379 4.524 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc(N3CCCC3=O)ccc2Cl)cc1[N+](=O)[O-] 439135446 ORACKBYOGSMOEG-UHFFFAOYSA-N 401.850 4.751 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1C 443969758 GXMMJQZIGQKAOJ-UHFFFAOYSA-N 401.463 4.717 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])cc21 446097373 XLTUXAAZZXTEHW-UHFFFAOYSA-N 409.265 4.602 5 20 HJBD C[C@H]1CO[C@H](c2cccc(Cl)c2)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 446252826 LXDNPABHCUITGM-LIRRHRJNSA-N 402.878 4.514 5 20 HJBD O=C(Nc1ccccc1COCc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446827659 PPXPFMIODUEWLK-UHFFFAOYSA-N 417.465 4.902 5 20 HJBD COc1ccccc1-c1nnc(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)o1 448106540 DMEYGXIJGUELQW-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463355177 PLALWUXOEJSTQN-CYBMUJFWSA-N 400.353 4.720 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 463960733 ZHVQMRFJBSOALY-GFCCVEGCSA-N 403.389 4.839 5 20 HJBD CC(C)c1cccc(O[C@@H](C)C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 464638408 LSTPGTGGJMGLMT-KRWDZBQOSA-N 412.486 4.556 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 471160173 MCWPXIXOIVFSLY-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD CCc1ccc(C(=O)N[C@H](C)c2ccc(NC(=O)N(CC)CC)cc2)cc1[N+](=O)[O-] 471342111 OKNHZOLNRZOBCF-OAHLLOKOSA-N 412.490 4.522 5 20 HJBD Cc1c(CNC(=O)CCCCOc2ccc(Br)cc2)cccc1[N+](=O)[O-] 481472071 JESPDQFEBJUXDU-UHFFFAOYSA-N 421.291 4.531 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cnn1-c1ccc(C(F)(F)F)cc1 481858632 MWFZPSPNAJAIAG-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 484010144 NNHCFDXJRBOWCT-HZPDHXFCSA-N 412.490 4.512 5 20 HJBD C[C@H](c1ccncc1)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485403016 IRTNYWSIWHUSKW-MRXNPFEDSA-N 421.476 4.828 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)c(Br)cc2F)c1[N+](=O)[O-] 486433452 HOORJYFRIWZEAH-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)C1CC1 489065927 GVSWLAIMUAODSS-CQSZACIVSA-N 410.861 4.800 5 20 HJBD CCOc1cc(CN[C@H](C)c2cccc(OCc3ccccn3)c2)ccc1[N+](=O)[O-] 492752864 XSKNQSJIRZJCFH-QGZVFWFLSA-N 407.470 4.818 5 20 HJBD CC[C@@H](C[C@H](C)CO)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 494689011 VHCOYCMZZMMBHC-BBRMVZONSA-N 406.866 4.567 5 20 HJBD Cc1cn2c(n1)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)CCC2 495336214 DYGNTLBRIMEWSS-MRXNPFEDSA-N 422.510 4.558 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497864965 OIMCCCCMETUYLH-KRWDZBQOSA-N 408.376 4.606 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 501059028 UCIUUIUEDVJAKP-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cc(CN2C(=O)c3cccc4cccc2c34)c([N+](=O)[O-])cc1OCC(F)F 503252816 LWGWBTPNOAHRCJ-UHFFFAOYSA-N 414.364 4.561 5 20 HJBD Cc1cccc(C(=O)N2CCCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 506446822 XKKMBOXBGZIPTL-UHFFFAOYSA-N 419.481 4.511 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1 509803658 HYMCLVCHYZYZIM-HNNXBMFYSA-N 422.890 4.537 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)C1CC1 512084188 UZSNENPNZISVKR-CQSZACIVSA-N 410.861 4.800 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512264406 MCLVBEBZSMMXRD-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3cc(OC)c(C)c([N+](=O)[O-])c3)c2)cn1 512940253 MWKFTCAJXMJVAW-UHFFFAOYSA-N 407.426 4.543 5 20 HJBD COc1cc(CN(C)Cc2scnc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 513420314 NLZZEZJULQQREJ-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD C[C@H](SCC(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 519948155 TYJWWSCHQUBCHT-NSHDSACASA-N 400.378 4.926 5 20 HJBD CCOC(=O)C[C@H](C)S[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 523712130 FWFWTCQYBVMIDP-MGPUTAFESA-N 416.499 4.658 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(Cl)c1Cl 531025852 ZACFAUIOLGRMQW-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1OC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 538144065 KYKSOKKEJZNZKZ-MNOVXSKESA-N 413.808 4.527 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@H](c3n[nH]c(C4CCCCC4)n3)C2)ccc2ncccc12 539762698 BCIYCRAAOZEGPL-INIZCTEOSA-N 406.490 4.693 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@@H]1NCCc1nc(-c2ccccn2)cs1 540171397 VVYMBNZOPPIMKL-AEFFLSMTSA-N 408.527 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c1 540600009 ALJOJJDGKUKKGB-UHFFFAOYSA-N 407.426 4.843 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2coc(-c3ccc(Br)cc3)n2)n1 545473237 BMMPZGCNZWPXTC-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(COc2ccc(F)cc2)o1 545959244 STOTVQWGIMEEIG-UHFFFAOYSA-N 400.362 4.557 5 20 HJBD C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 549865466 LXUPVPLRCSJZEQ-MUDIAHQHSA-N 400.422 4.544 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccccc1CSc1nc2ccccc2o1 550944233 OQMIELACVZSNOX-UHFFFAOYSA-N 421.434 4.986 5 20 HJBD COc1cc([C@@H](C)Nc2ncnc3sc([N+](=O)[O-])cc23)ccc1OCC(C)C 552974153 QHNZANITRNFPFO-GFCCVEGCSA-N 402.476 4.816 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2ccccc2Br)CCC1 553665746 GACDUIBDWFZWRM-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD CC[C@@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])C(C)(C)c1ccccc1 562207658 XKJLZZZULBNJMJ-GOSISDBHSA-N 422.428 4.691 5 20 HJBD CCOc1cc(Oc2ncnc3cc(F)c(Br)cc23)ccc1[N+](=O)[O-] 564019232 WIERLTOBIDJIDF-UHFFFAOYSA-N 408.183 4.631 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(-c4ccccc4C(F)(F)F)o3)n2)n1C 573762134 BWTZFNNPICBIIV-UHFFFAOYSA-N 419.319 4.633 5 20 HJBD CC(C)[C@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 574479938 ATOYLYGWMSQQCX-HAVBXNNZSA-N 424.501 4.675 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 579427522 LKFSYAVZFQBJMT-OAHLLOKOSA-N 404.426 4.981 5 20 HJBD CCc1ccc([C@@H](NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C(C)C)cc1 585705083 VDAYNZPNPHIBCB-IBGZPJMESA-N 422.428 4.894 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2C)c1C(F)(F)F 603565474 HIMQXTZOZDLIJR-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 603840591 MULVUMHKMLAPHR-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604105583 UZMJMGFTJADERX-UHFFFAOYSA-N 401.422 4.950 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609861288 YGTIRBRZSATPMP-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD COc1ccc(OCCn2nc(C)nc2-c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 610218218 FRSJKGBFOWQDMS-UHFFFAOYSA-N 423.256 4.556 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 616052811 MTSGKVALWAYJHL-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD O=C(Nc1nc(-c2ccccc2OC(F)F)cs1)c1cc([N+](=O)[O-])ccc1F 727715992 SWOYEPAFRJXOPJ-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)O[C@@H](C)C2 730493732 INJCVDLDYKWOGH-HZUKXOBISA-N 416.861 4.713 5 20 HJBD CC(C)Oc1ccc([C@@H](O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 734849515 DGYHKLQPTFISQW-NRFANRHFSA-N 412.511 4.934 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(OCCc3ccccc3)CC2)c(Br)c1 742396730 DGVXRJMYKJLCAL-UHFFFAOYSA-N 405.292 4.585 5 20 HJBD C[C@H](OC(=O)C1(c2ccc(F)cc2)CCCCC1)C(=O)Nc1ccccc1[N+](=O)[O-] 744861890 KYHJTFOBRPNDQL-HNNXBMFYSA-N 414.433 4.506 5 20 HJBD CC(C)[C@H](C(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 745551010 ZZEAHQRSLCHONL-SFHVURJKSA-N 424.375 4.535 5 20 HJBD Cc1noc(C)c1COc1ccccc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 746057001 CRHBYNQAYVQYDS-UHFFFAOYSA-N 416.817 4.789 5 20 HJBD COc1cccc(-c2nc(COC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cs2)c1 755055645 QOJGURFQRSOAHX-GFCCVEGCSA-N 416.430 4.713 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1cccc([N+](=O)[O-])c1Br 758340126 DFQRSXLWJFMPCT-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnc(Cl)c1Br 759292902 KEUIFTBRYMFLMM-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1 765849023 OCSGDDIZWGUXFX-HKUYNNGSSA-N 413.474 4.558 5 20 HJBD COCCOc1c(Cl)cc(NCc2cc(OC)c(F)cc2[N+](=O)[O-])cc1Cl 766076403 HUNSMBBTCSIOMX-UHFFFAOYSA-N 419.236 4.687 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(COc2ccccc2F)on1 767090615 BRQYAYYRVVKCRZ-UHFFFAOYSA-N 405.769 4.515 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 777831365 CDAGQCMPFNNQLS-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 784412060 FBMMEFVCEPDYBW-OAQYLSRUSA-N 416.905 4.957 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1C[C@H]1c1ccc(Cl)s1 787144504 NBLSFHVJDUSJDZ-ZIAGYGMSSA-N 404.831 4.812 5 20 HJBD COc1ccc([C@H](NCc2ccc(Br)c([N+](=O)[O-])c2)C(F)(F)F)cc1 788022344 CZFXJAAKKUPARJ-HNNXBMFYSA-N 419.197 4.759 5 20 HJBD Cc1cnc(COC(=O)c2csc(-c3ccc(Cl)cc3)n2)c(C)c1[N+](=O)[O-] 789444150 RZHFJJWJSWHWEY-UHFFFAOYSA-N 403.847 4.741 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc1[N+](=O)[O-] 798214486 JMVJWVPLIRDJAP-UHFFFAOYSA-N 417.727 4.760 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 811548591 BMELCGJFPIZNMI-URZJWIJPSA-N 422.506 4.667 5 20 HJBD O=C(Cc1ccc(Br)c(Cl)c1)Nc1cccc([N+](=O)[O-])c1Cl 813241761 CYQZVODUZLJXEE-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 813242565 SKEZZTHHUAOPNN-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nc(-c3c(Cl)cccc3Cl)no2)n1 904309607 FEJUWSXMDDYCGJ-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1 920270236 XLZDYGJGFZFMIF-CQSZACIVSA-N 412.467 4.964 5 20 HJBD O=C(CCc1nc(-c2ccsc2)no1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330333 AERNSFRKCYPSCX-UHFFFAOYSA-N 421.434 4.912 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3cc(F)cc([N+](=O)[O-])c3Br)o2)c1 1322028217 FFTOFIVDVZXSRL-UHFFFAOYSA-N 422.210 4.742 5 20 HJBD Cn1c([C@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2Br)nc2ccccc21 1324151436 WWYDZEJTZGSUGP-GOSISDBHSA-N 415.291 4.581 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 9788726 IZBKMZQNJKATJK-JTQLQIEISA-N 420.491 4.783 5 20 HJBD Cc1ccc(N2C(=O)/C(=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c(C)c1 15253940 RMOHVTYOHPCYQC-SFQUDFHCSA-N 419.462 4.734 5 20 HJBD O=C(Nc1nnc(-c2ccccn2)s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 46756994 XSPKOAKALAOLHX-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccccc3)Cc3ccccc3)n2)c1 59384445 IWPCQSOVPGFNHL-UHFFFAOYSA-N 400.438 4.847 5 20 HJBD CCc1cc(Sc2nnc(-c3cccs3)n2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 64905275 UTMKWALZLUGCCF-UHFFFAOYSA-N 424.511 4.622 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1cccc(OC(F)F)c1 107864159 BKOCGFDFEXPLHZ-AWEZNQCLSA-N 419.428 4.678 5 20 HJBD COc1ccc(-c2nnc(Sc3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 301101997 LGMZDFNIGKPRGK-UHFFFAOYSA-N 421.438 4.570 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1CCCc2cc(OC(F)F)ccc21 301409840 OJBXLKUEZGCCHI-INIZCTEOSA-N 419.384 4.642 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 425980374 NJFSHCJSVMGHFZ-UHFFFAOYSA-N 416.890 4.732 5 20 HJBD O=C(O)[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccccc1F 426803368 MHOJMAKTRMYTPD-HXUWFJFHSA-N 414.364 4.581 5 20 HJBD O=C(Nc1cc(F)c(F)cc1N1CCCCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 427428539 PJGSMGBEUCJGKS-UHFFFAOYSA-N 400.385 4.597 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1F 433988748 SWEHPCPGKRZNKK-UHFFFAOYSA-N 414.393 4.634 5 20 HJBD COc1ccc(Br)cc1CN(C)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 436030455 UWXLUSTZCSWWNA-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4cc(Cl)cc(Cl)c4C3)o2)cc1 437509254 UJESEURBGZRSBC-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F 445985509 LTMLPWFNFYECLS-DOTOQJQBSA-N 421.419 4.786 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])[C@@H]1CCOc2ccccc21 446424536 LNTGROKNXCHOOL-LJQANCHMSA-N 404.422 4.679 5 20 HJBD CCn1c(-c2cccc3cccnc23)nnc1N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 460195618 SYOAEHQEMAHAKL-UHFFFAOYSA-N 414.469 4.590 5 20 HJBD Cc1cc(C(=O)CCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c(C)s1 461709731 ZDOIXRYNULBKOM-LJQANCHMSA-N 404.513 4.510 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(OC)ccc1Br 462503417 QAFPGXLTQMCONN-ZDUSSCGKSA-N 407.264 4.573 5 20 HJBD Cc1ccc(CCN(C[C@H]2CCCO2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 464652134 YAFKMRRYONOPBF-HXUWFJFHSA-N 408.454 4.513 5 20 HJBD COc1cc(C(=O)NC[C@@H]2CCCS2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 465000280 IUBJUCOEVXAZGS-AWEZNQCLSA-N 422.890 4.675 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)Nc1cc([N+](=O)[O-])ccc1OC(F)F 466165087 LUTNKMNMZIPACQ-UHFFFAOYSA-N 424.791 4.695 5 20 HJBD CN(Cc1ccon1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467791994 YXNNUQYCYQBDJL-UHFFFAOYSA-N 403.847 4.660 5 20 HJBD CCSCc1cc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)no1 472526715 FYRAVCINVJUPBA-UHFFFAOYSA-N 404.473 4.520 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 473518613 KWYMRUOTOHPXFE-GOSISDBHSA-N 403.360 4.740 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1cccc2c1CN(c1ccccn1)C2 475562679 ORQXLVVNKYATJT-UHFFFAOYSA-N 403.442 4.771 5 20 HJBD CCOc1cc(NC(=O)Cc2cccc(Cl)c2OCC)c([N+](=O)[O-])cc1OCC 477891496 BWDICXPPDLNUTR-UHFFFAOYSA-N 422.865 4.626 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 478760925 CSSPGYQVEMCSIZ-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1Nc1ccc(NC(=O)c2cccc(Cl)c2)c(F)c1 480114505 DWNSNUQFRMZEBD-UHFFFAOYSA-N 417.828 4.908 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)[C@@H](C)C2CC2)cc1SC 482605575 RASBXOZVDWCNHG-AWEZNQCLSA-N 400.500 4.766 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OC 484499412 GRECVWMXFLILTQ-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD Cc1cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])ccc1C(F)(F)F 485903191 AQVYRODCGHYOIW-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD CC(C)c1ccc(CN2C[C@H]3CCC[C@@]3(c3nc(CC4CC4)no3)C2)cc1[N+](=O)[O-] 486450837 XONFUHZFQIWWEZ-WZONZLPQSA-N 410.518 4.607 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1N1CCCC1 486780758 QITGKCXVVCTZBC-UHFFFAOYSA-N 408.380 4.536 5 20 HJBD Cc1ccsc1-c1nnc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)o1 488806264 NBXOWXJFANJRCT-VIFPVBQESA-N 424.891 4.787 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1 490827129 YRKPBTWIDNGAAL-UHFFFAOYSA-N 421.453 4.591 5 20 HJBD Cc1nc(CNC(=O)c2ccc([N+](=O)[O-])c3cccnc23)oc1-c1ccc(Cl)cc1 498631699 OCVXBXWYMFVCTG-UHFFFAOYSA-N 422.828 4.690 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 498896450 ZTCCKODZHFGYEX-GOSISDBHSA-N 419.334 4.667 5 20 HJBD Cc1cccc(C(=O)Nc2nc(C)c(Cc3ccc(F)c(F)c3F)s2)c1[N+](=O)[O-] 499492111 LNMDPJMWTCYDES-UHFFFAOYSA-N 421.400 4.929 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 501591878 JLFWJOFLUPPJRO-AWEZNQCLSA-N 420.494 4.859 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 501675298 RUIMSSZRLSTXRN-UHFFFAOYSA-N 406.438 4.586 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3ccc(C)c([N+](=O)[O-])c3)s2)cc1 505140911 JPYGHTKTXXBPGK-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 510243582 RKIFNWMZYBXDIR-UHFFFAOYSA-N 407.495 4.912 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N1N=C(c2ccco2)C[C@@H]1c1cccs1 514691896 PNGFASYWICKAQJ-GOSISDBHSA-N 424.482 4.656 5 20 HJBD C[C@@H](NC1(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CCCC1)c1ccccc1 518335452 ITOJBBLAPCEQNC-MRXNPFEDSA-N 407.470 4.734 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 522923019 HNRHUEBDMNKSPL-IBGZPJMESA-N 410.861 4.924 5 20 HJBD O=C(Nc1ccccc1-c1cc(F)c(F)c(F)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 531099646 SPIMJEKYQGPNKD-UHFFFAOYSA-N 416.311 4.660 5 20 HJBD COc1cc(CSCc2csc(C3CC3)n2)c([N+](=O)[O-])cc1OC(F)F 533944535 BOWOPSMBUMEHKS-UHFFFAOYSA-N 402.444 4.972 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1C1CC1 536526144 MZDOQLNOCSTAFV-NSHDSACASA-N 404.492 4.623 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3C3CCOCC3)c([N+](=O)[O-])c2)cc1 537408661 LXDRHWBMPQJHTF-HXUWFJFHSA-N 409.486 4.551 5 20 HJBD C[C@H](NCc1ccnc(-c2ccc(Br)cc2)n1)c1cccc([N+](=O)[O-])c1 540377008 SBMABGHLYSYSIL-ZDUSSCGKSA-N 413.275 4.665 5 20 HJBD COc1ccc2oc([C@@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)c(C)c2c1 544476877 KXRCTJQNMIIKCX-OAHLLOKOSA-N 411.458 4.646 5 20 HJBD Cc1ccc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1NC(=O)c1ccco1 545073655 VZDXOLSNPFWJLP-UHFFFAOYSA-N 418.409 4.698 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2ncc(-c3ccc(Br)cc3)o2)n1 546178478 DMDGTIRAFDVZFW-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 547231833 WFZSIBRMASSKPG-DYVFJYSZSA-N 410.367 4.703 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2N[C@@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 549710809 JCKUXPWQQPOIOE-HNNXBMFYSA-N 407.474 4.610 5 20 HJBD CCOC(=O)C(C)(C)C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 552843080 TURVOVPJXIHUNY-UHFFFAOYSA-N 404.510 4.830 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)c(C)c1 555314687 JZRIBONRCNGIHG-UHFFFAOYSA-N 409.408 4.609 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(C)c1 557556966 MSUZZVZDLHPICS-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1cnc(-c2ccc(Cl)cc2)s1 565321165 LVFGVTHGCFZZDU-UHFFFAOYSA-N 413.846 4.633 5 20 HJBD COCCC[C@H](CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1 580357764 OVWMYWYSJHHEES-QGZVFWFLSA-N 418.921 4.852 5 20 HJBD COc1ccc([C@@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(C)c1OC 583432860 DNAGTZVQNCTJQU-GFCCVEGCSA-N 424.906 4.543 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])C12CC3CC(CC(C3)C1)C2 585699367 KFJHXJJNUFTVLZ-UHFFFAOYSA-N 424.444 4.636 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 603529908 IMWZYHDIIVYAIJ-MRXNPFEDSA-N 402.516 4.769 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 603562764 HLFMSRUUGRUDBY-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD CCC[C@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 608859635 WMXVTMPCUOKHIB-KRWDZBQOSA-N 416.421 4.574 5 20 HJBD COc1cc(CNC[C@@H]2Cc3ccccc32)c([N+](=O)[O-])cc1OCc1ccccc1 609222494 POPBMVTVPAEAKV-IBGZPJMESA-N 404.466 4.612 5 20 HJBD O=[N+]([O-])c1ccc(OCCNCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc1 609600592 KNTAOZNKNGGGFK-UHFFFAOYSA-N 423.416 4.906 5 20 HJBD CC(C)CN(C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609816350 IQCVAYFDPWLYCD-UHFFFAOYSA-N 409.845 4.977 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 612432090 CBBROEZSOKVCOZ-HXUWFJFHSA-N 408.408 4.754 5 20 HJBD CCOc1cc(NC(=O)Cc2c(C)nc3ccccc3c2C)c([N+](=O)[O-])cc1OCC 619212788 SQQYUQCHENQHRR-UHFFFAOYSA-N 423.469 4.738 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3ccc(CC[C@H](O)c4ccccc4)cc3)cc2N1 727829328 MXRPKQQFSXWNGA-QHCPKHFHSA-N 417.465 4.889 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cc1 743056855 NKWHCVATUOVUSH-CYBMUJFWSA-N 400.435 4.825 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1ccccc1F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745574627 VMVPMRJHDZMJHN-OLZOCXBDSA-N 422.840 4.526 5 20 HJBD Cc1c(CC(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cccc1[N+](=O)[O-] 747846273 SDIXAHBJGYKDRH-UHFFFAOYSA-N 403.410 4.571 5 20 HJBD Cc1c(C(=O)O[C@H](C)c2ccc(OC(F)F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748942449 AQZJHOXHOBZGQI-LLVKDONJSA-N 410.329 4.639 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750546765 AENSXQJMNPZKHC-ZJUUUORDSA-N 407.835 4.768 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 753214958 MWYPEKZSACUANQ-ZDUSSCGKSA-N 403.360 4.725 5 20 HJBD COc1ccc([C@@H](C)N(C)C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 755031831 XDVGENZDCKHQTO-LLVKDONJSA-N 408.252 4.591 5 20 HJBD C[C@@H](OC(=O)Cn1c(Cc2ccccc2)nc2ccccc21)c1cccc([N+](=O)[O-])c1 756519634 SRJSSMXLZDDFPO-QGZVFWFLSA-N 415.449 4.840 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])n1[C@H]1CCC[C@H](C)C1 758169387 OJYXELOMGMVFIA-FZMZJTMJSA-N 423.926 4.630 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 760027009 HGHQOPFELUTDAY-MRXNPFEDSA-N 408.861 4.526 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(NC(=O)OCC(F)(F)F)cc3)n2)cc1[N+](=O)[O-] 761638699 KCALDAUQXRPZCJ-UHFFFAOYSA-N 422.319 4.731 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCN(c3ccc(C(C)C)cc3)C2=O)n1 762070241 OXHPGBWGJLAZOJ-IBGZPJMESA-N 406.442 4.597 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccc(F)cc1F 775087816 RESWLSONSVPRLW-NSHDSACASA-N 424.425 4.558 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OC[C@H](O)c1ccccc1C(F)(F)F 790139861 QJKPNUDPMYGEBN-ZDUSSCGKSA-N 424.144 4.628 5 20 HJBD CCn1ncnc1COC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 801321878 HIAPJSUKCHJFMD-UHFFFAOYSA-N 411.461 4.902 5 20 HJBD COc1c(-c2nc(-c3ccc(-n4cnc5ccccc54)cc3)no2)cccc1[N+](=O)[O-] 809364279 WUZBHBAAUSQSMO-UHFFFAOYSA-N 413.393 4.659 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CC(C)C)C[C@@H](O)c1ccc(F)cc1 810318853 PFUOKIKBZBIEBL-HXUWFJFHSA-N 403.454 4.520 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 863998380 UCKYFYUXMGMSIV-UHFFFAOYSA-N 412.671 4.971 5 20 HJBD Cc1ccc(N(Cc2cccs2)C(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)cc1 915116437 YWEJJFGWKLUHHY-UHFFFAOYSA-N 406.467 4.548 5 20 HJBD COc1ccc2c(c1)CCCN2C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 915834496 SIODYDNRQVURER-UHFFFAOYSA-N 404.422 4.989 5 20 HJBD CC(C)(C)c1ccc(C(=O)Oc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 916957405 XOOBXTSPSZFFQD-UHFFFAOYSA-N 408.410 4.957 5 20 HJBD CC(C)c1ocnc1COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 1319742377 IUNSOWFPFOGZQJ-AWEZNQCLSA-N 410.430 4.631 5 20 HJBD O=C(Nc1cccc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1)c1ccc(F)cc1 1322283224 ITSSHKMLRZOETD-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD COc1cc(OCc2nnc([C@H](C)Oc3ccc4ccccc4c3)o2)ccc1[N+](=O)[O-] 1322778778 LMVDMRHHMZQOES-AWEZNQCLSA-N 421.409 4.859 5 20 HJBD COc1cc(C)c([C@@H](C)NCc2ccc([N+](=O)[O-])cc2Br)cc1OC 1324149441 ANXRTAXEQRCWKP-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)N1Cc2ccc([N+](=O)[O-])cc2C1 1337149316 RBKDIVGIFPDTHQ-UHFFFAOYSA-N 418.240 4.635 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1ccccc1-c1nc([C@@H]2CN3CCC2CC3)no1 1343114849 XMDVTSVRUJRJMS-MRXNPFEDSA-N 408.483 4.605 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(COc3ccc([N+](=O)[O-])c(F)c3)o2)cc1 1346614709 VTRAWGPZLXVGBA-UHFFFAOYSA-N 401.394 4.572 5 20 HJBD COc1ccc(-c2cc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)on2)cc1 11516808 IWDASELUMIYKCB-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214143 VWGMZLXSAUAJIF-CHWSQXEVSA-N 401.513 4.871 5 20 HJBD C[C@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2Cl)n1-c1ccc(F)cc1)N(C)C 29001429 CHJWNLBJPMGKHG-LLVKDONJSA-N 421.885 4.742 5 20 HJBD COc1cccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 60515374 YRNWLMAHFSYAFW-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)[C@H](C)C2CC2)cc1 71846587 PNIMANUPPQVOOC-OAHLLOKOSA-N 409.486 4.619 5 20 HJBD CC(C)c1nc2n(n1)CCC[C@H]2NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 236670760 BUYOLZXLAJLEMP-QGZVFWFLSA-N 415.881 4.848 5 20 HJBD Cn1ccnc1[C@](O)(CCNCc1c2ccccc2cc2ccccc12)C(F)(F)F 237913444 UWKLPEQKWPNNIE-JOCHJYFZSA-N 413.443 4.656 5 20 HJBD C[C@H](c1cccnc1)N1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 301255061 DDPVDTDSNTVPEP-GFCCVEGCSA-N 414.815 4.545 5 20 HJBD C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 410300030 FULHXVGBQNPBPX-ZDUSSCGKSA-N 408.483 4.784 5 20 HJBD CC(C)c1nn(C)cc1-c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 426198305 HPTURHZEKLWLTL-UHFFFAOYSA-N 418.457 4.781 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccco1 426976481 XNRAUJKBHQIOEG-HXUWFJFHSA-N 408.435 4.931 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 429788098 YEORZONYEOGKRO-UHFFFAOYSA-N 421.291 4.602 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCC[C@@H]3c3ccc(F)cc3F)n2)c1 432024524 LKDIRIXWALNQLW-GOSISDBHSA-N 400.385 4.650 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 440907296 WTWLECVCBWUSKP-UHFFFAOYSA-N 405.241 4.780 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441066164 OGCJNJZXLADIDO-ZLGUVYLKSA-N 424.522 4.824 5 20 HJBD CCCN(C(=O)[C@@H](C)c1c(C)nn(CC(F)(F)F)c1C)c1cccc([N+](=O)[O-])c1 441466759 RLQGRUFYZXRRIL-LBPRGKRZSA-N 412.412 4.517 5 20 HJBD CN(Cc1cc(F)c(F)c(F)c1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444294372 VIJHCGMXNBOWPO-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(Br)s2)c1[N+](=O)[O-] 444543111 JAJNPBPXZGNLJE-QMMMGPOBSA-N 401.307 4.632 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc([N+](=O)[O-])cc4OC)CC3)c2c1 444911454 ZPTPUIKUGTZINY-UHFFFAOYSA-N 424.457 4.505 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCc2c([nH]c3ccccc23)C1 447696544 TXHGMIFEQFAWNQ-UHFFFAOYSA-N 412.318 4.688 5 20 HJBD COc1ccc(-c2ccc(CN3CCC(c4noc(C5CC5)n4)CC3)o2)c([N+](=O)[O-])c1 463053981 CPUORBMMZPYFBK-UHFFFAOYSA-N 424.457 4.503 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1)CC(C)C 463954564 LIIXWHTYDBOKPX-UHFFFAOYSA-N 404.555 4.824 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c1C 475642955 WFBOSMOSXKZYDW-UHFFFAOYSA-N 401.463 4.717 5 20 HJBD COc1c(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)cccc1[N+](=O)[O-] 475646863 CWVIVYMUVODDIP-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478295428 XJKQAYJKDTZMBG-RISCZKNCSA-N 423.922 4.570 5 20 HJBD O=C(CCn1cc(-c2cccc([N+](=O)[O-])c2)cn1)Nc1cccc(C(F)(F)F)c1 478798703 HSTRKGOPYIRDMV-UHFFFAOYSA-N 404.348 4.506 5 20 HJBD Cn1cc([C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccc(F)cc2)cn1 479412106 OFFNERIPVZYQGZ-JOCHJYFZSA-N 406.417 4.607 5 20 HJBD COc1ccc(OCc2coc(-c3ccc(Br)cc3)n2)cc1[N+](=O)[O-] 479429039 YWVXXTWUKJUOEJ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@H](C)c1cc2ccccc2o1)c1ccccc1[N+](=O)[O-] 482151894 UMOUTWUCJYZEAL-QAPCUYQASA-N 424.453 4.644 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1NC(=O)C(C)(C)C 485686884 ATZACTXMPNIXLZ-UHFFFAOYSA-N 421.400 4.742 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 486865553 XECAORVQXUBNQE-CYBMUJFWSA-N 405.279 4.621 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 487747050 GITQYXJQXSXNOH-GFCCVEGCSA-N 422.279 4.865 5 20 HJBD C[C@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488881015 VRQDUEAXRYCJTO-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2ccccc2n1C(C)C 489219565 FKQAUZXKKXIHRE-UHFFFAOYSA-N 412.515 4.610 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccc(Oc3ccccc3)nc2)cc1[N+](=O)[O-] 489232756 NGQGIKQYDRLYEU-INIZCTEOSA-N 406.442 4.651 5 20 HJBD O=C(NCc1cc2ccccc2s1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 489599481 RJDNQTRQKWXSJM-UHFFFAOYSA-N 402.450 4.965 5 20 HJBD Cc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(-c2ccccc2)s1 497799183 KKPVJDMGQZVHFM-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(c1cnc(Cc2ccccc2)s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498154264 WISPLEDMFXMXIY-HXUWFJFHSA-N 411.508 4.530 5 20 HJBD COc1cc(CNCc2ccc(Oc3ccc(F)cc3)c(C)c2)c([N+](=O)[O-])cc1O 500889755 OFADINTXYQNXDP-UHFFFAOYSA-N 412.417 4.839 5 20 HJBD CCCN(Cc1ccc(OC)c([N+](=O)[O-])c1)Cc1nnc(-c2ccccc2Cl)o1 506125914 OXGWFYQOFVPPAN-UHFFFAOYSA-N 416.865 4.719 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccccc1F 513790446 YEJFEJPKPSMYQR-SAWYMBPVSA-N 408.477 4.558 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 520624518 LCNZAZOZIOPUOR-UHFFFAOYSA-N 417.465 4.806 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 520831380 HFXYULZTBBBHQE-JOCHJYFZSA-N 401.422 4.604 5 20 HJBD O=C(Nc1ccnn1[C@@H]1CCCc2ccccc21)c1ccc(NC2CC2)c([N+](=O)[O-])c1 522247955 JFQDVTDAGGNJLF-HXUWFJFHSA-N 417.469 4.544 5 20 HJBD Cc1cc(SC2CCCCC2)ccc1NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 522600946 VDQBTORGRBXESU-UHFFFAOYSA-N 402.520 4.898 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(CCc1ccccc1)c1ccccc1 522666849 DIYVLLCQOGGYNB-UHFFFAOYSA-N 413.433 4.738 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)C(F)(F)F 525170977 HMCWTDXMDDYTHN-HNNXBMFYSA-N 419.450 4.972 5 20 HJBD O=C(c1ccccc1CCC(F)(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 533956806 SCMFLGLQZPMSET-UHFFFAOYSA-N 422.403 4.773 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2scnc2C2CC2)cc1[N+](=O)[O-])c1ccccn1 534219942 RGNVKMKYFXZEJA-GFCCVEGCSA-N 409.471 4.749 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1cc(F)ccc1F 536302193 MJPXLJBDQVXUPR-NSHDSACASA-N 419.409 4.788 5 20 HJBD COc1cc([C@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1OC(C)C 539254138 MVLJGSMEVJIRAJ-HNNXBMFYSA-N 411.458 4.896 5 20 HJBD Cc1nc(-c2cccc(C(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])c2)cs1 539795078 XLZZZCLAHPKSTF-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 540794394 NEKQHXFDVZVXKI-UONOGXRCSA-N 410.396 4.526 5 20 HJBD COc1ccc(CNC2CCN(Cc3cc(Cl)cc(Cl)c3)CC2)cc1[N+](=O)[O-] 541378799 SUJSDPSUHPJNRE-UHFFFAOYSA-N 424.328 4.664 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)C(C)(C)c4ccc([N+](=O)[O-])cc4F)C3)[nH]c2c1 545139565 ZNIBPNMCQBTOKW-HNNXBMFYSA-N 424.476 4.602 5 20 HJBD O=c1c2ccccc2n(Cc2nc(CSCc3ccco3)no2)c2ccccc12 546955816 LKIVQVZVMXVANH-UHFFFAOYSA-N 403.463 4.612 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccnc(C(F)(F)F)c3)no2)c1 556341596 VLIRJOTZRXLSTP-UHFFFAOYSA-N 404.226 4.744 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)n1 561318196 YKFHSLYMIBVWAM-UHFFFAOYSA-N 424.360 4.662 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 564664520 TYXNXCPOLXHKSH-GJZGRUSLSA-N 416.909 4.526 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 574971366 HCRIMTFAPWWMCR-CXAGYDPISA-N 404.850 4.548 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1ccc(F)c2ccccc12 583492252 YNKMXKBZEBZRPY-CQSZACIVSA-N 419.412 4.692 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 588934824 GLDAMLISHBBHGV-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)c1 603737505 LQFUYNIWGWHTJH-UHFFFAOYSA-N 416.437 4.525 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 603940064 SFJHIGCWXVLDKX-HNNXBMFYSA-N 422.510 4.914 5 20 HJBD Cn1nc(C(F)(F)F)c(-c2ccc(Cl)cc2)c1NC(=O)c1ccccc1[N+](=O)[O-] 609026497 HVVYBCVGXAFDHH-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 609753681 IDMGTTUAUFCCHM-ZDUSSCGKSA-N 407.264 4.573 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CCC(C)(C)C)c([N+](=O)[O-])cc1OCc1cscn1 609868596 YMEFVGDHZRONHF-ZDUSSCGKSA-N 421.519 4.584 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCc3ccc(Cl)c(C)c32)cc1OC 609870539 GEVNGTZNXOMGFH-UHFFFAOYSA-N 404.850 4.557 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCOC[C@@H]1c1ccco1 610860814 YSXCAXAVIVFUOC-LJQANCHMSA-N 410.451 4.553 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(C(F)(F)C(F)(F)F)cc1 611158044 DXKQKADSMSVDBU-UHFFFAOYSA-N 413.302 4.911 5 20 HJBD C[C@@H]1COCCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613401299 XFOIIMJXYRHDQU-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1cc([N+](=O)[O-])ccc1Br 725985147 GIIQFFJFTLLCHT-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](OC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F)c1cccc([N+](=O)[O-])c1 730744829 QWBSHEPLYXSOTB-JTQLQIEISA-N 422.775 4.685 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc4c3OCCO4)o2)c(Cl)c1 733331995 RMKCISPFQUDYNY-UHFFFAOYSA-N 400.818 4.569 5 20 HJBD O=C(OC1CCN(c2ccc(Cl)cn2)CC1)c1cc2cc([N+](=O)[O-])ccc2s1 735219374 KFKIIGHTCCWALR-UHFFFAOYSA-N 417.874 4.684 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Br 741627516 HDRNLWWPQAIEEA-IOASZLSFSA-N 403.276 4.764 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)OCc1cccc([N+](=O)[O-])c1 744078668 RRHJROKBJMMZKG-UHFFFAOYSA-N 421.272 4.643 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)C2c3ccccc3-c3ccccc32)cc1[N+](=O)[O-] 747890433 MZJXCPYBVPIVIV-UHFFFAOYSA-N 403.394 4.653 5 20 HJBD CC(=O)Nc1ccc(CC(=O)OCc2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)cc1 752217107 KPEDMBHZZQCYRY-UHFFFAOYSA-N 420.421 4.631 5 20 HJBD COc1ccc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)cc1SC(F)(F)F 752568147 MGAJCLVAEMCPEM-UHFFFAOYSA-N 423.315 4.851 5 20 HJBD O=C(CCN1CCSC[C@H]1c1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1F 753920946 MTWODSUIDOKTCM-SFHVURJKSA-N 423.897 4.506 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCCC[C@@H]2C[C@H](O)c2ccco2)c1Br 754061726 MYAGQYPHRFBCJN-HIFRSBDPSA-N 424.295 4.526 5 20 HJBD C[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 756530675 YQRDSTQCUDTJOU-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761011511 NFACAYZEIUTMAQ-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCCCC(=O)Nc1c(C)cccc1C 763806108 MFXCKLBVVLZTJD-UHFFFAOYSA-N 416.499 4.899 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1ccc(-c2ccccc2)cc1 768555797 JNWPPMGFOLGEOO-NRFANRHFSA-N 422.506 4.837 5 20 HJBD Cc1cc(C)c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c(Br)c1 770271101 BSTXMZVPNVLIIE-UHFFFAOYSA-N 412.671 4.949 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 770380004 NKEDHMDXTOVOEX-HOTGVXAUSA-N 415.490 4.732 5 20 HJBD COc1cccc([C@H](OC(=O)c2cccc(SC)c2[N+](=O)[O-])c2ccncc2)c1 776904544 VUPGGZSDRXRDMH-HXUWFJFHSA-N 410.451 4.667 5 20 HJBD Cc1c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cnn1C1CCCCC1 781170701 IWBBFNYNVKPTJW-QFIPXVFZSA-N 420.469 4.946 5 20 HJBD CCOc1ccc(C(C)(C)C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1OCC 783402177 RRIQYIKXIVXULN-UHFFFAOYSA-N 408.401 4.587 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 785590332 OXRZXFKFMCRLIF-UHFFFAOYSA-N 400.438 4.575 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nc3c(Br)cccc3s2)cc1 795545745 NECDASBTJQWKGB-LBPRGKRZSA-N 411.302 4.793 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 796573099 LXSVESXOJFWEFM-CQSZACIVSA-N 409.191 4.744 5 20 HJBD O=C(OCc1cnc(Cl)cn1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 798637175 RLRIXEAFOBSRJO-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD CSC1(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)CCC1 799689377 IVRWIVSPSSNELO-CQSZACIVSA-N 401.488 4.605 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1ccc(SC(C)(C)C)cc1 810394751 ACTKWFPFYKVFHL-UHFFFAOYSA-N 401.488 4.625 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1csc(COc2ccc(F)cc2)n1 827392781 DYUNRHWERJTZQM-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OCC(F)(F)F 844771818 AAKCVFXAKOQEBE-BAQGIRSFSA-N 400.740 4.841 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2coc(CNC(=O)OC(C)(C)C)c2)n1 1261159146 VAVQVWNPZHVZRT-UHFFFAOYSA-N 414.418 4.546 5 20 HJBD CS(=O)(=O)c1cccc(-c2nnc(-c3cc4ccccc4c4cccnc34)o2)c1 1261435617 AASZKEAUQOBDNS-UHFFFAOYSA-N 401.447 4.509 5 20 HJBD CC1CCN(C[C@@H](C)c2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)CC1 1329074740 NMCQKDBURLBAHV-CQSZACIVSA-N 413.503 4.604 5 20 HJBD Cc1ccc(C)c(OCc2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1349922884 NPZKWPMRHRRHGY-ZDUSSCGKSA-N 403.822 4.967 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Br)cc1F 16987492 VGVXMDOVBXBFPI-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 22941149 YWQRAPNVMGWVPC-MRXNPFEDSA-N 420.421 4.500 5 20 HJBD O=C(NCc1cccc(OCc2ccccn2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 45959996 CDAWQYRCRAYMGP-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 54256566 BTMHEQULFGHJTK-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD CN(Cc1cc(Br)ccc1OC(F)F)Cc1ccccc1[N+](=O)[O-] 195732284 JABKRRZJMDNJIG-UHFFFAOYSA-N 401.207 4.591 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 303223633 VSXPFJIMPYDPNM-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD C[C@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 426331550 OTZXHZUBOSNIBR-INIZCTEOSA-N 411.483 4.710 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 429034459 JACFOAFHQCAJPC-OAHLLOKOSA-N 407.430 4.677 5 20 HJBD CCCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccc(F)cc1 429336579 QRPJVVAGQWJCAC-UHFFFAOYSA-N 421.432 4.535 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(Cc1cscn1)c1ccccc1 431938014 MJCIJEACSATGHZ-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(/C=C(/Cc3ccccc3)c3nccc(O)n3)cs2)c1 432704070 MXXIKTSDDDZWJF-ATVHPVEESA-N 416.462 4.997 5 20 HJBD CN(Cc1ccccc1Br)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435823300 ASLJDFYSKLSBFX-UHFFFAOYSA-N 421.294 4.716 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccnc(OCc2ccccc2)c1 436312574 AHTUCPFWUKRSME-UHFFFAOYSA-N 404.348 4.595 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437163772 IEHAVZHNCSZKJA-KBXCAEBGSA-N 408.376 4.511 5 20 HJBD CCCN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](C)c1ccc(Cl)c(Cl)c1 437383274 IAOWUNFJPHMGFX-GFCCVEGCSA-N 424.284 4.840 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)C2)cc1 437583865 YGAONLPVZLFQDJ-HNNXBMFYSA-N 403.866 4.743 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816600 RROJEYLXHATQSO-ZBEGNZNMSA-N 409.364 4.950 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440646549 MYKCLFLEJHLZQJ-ZDUSSCGKSA-N 411.483 4.712 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N(CCC)c2cccc([N+](=O)[O-])c2)c1 441429817 QLCQTIKHINIWTO-UHFFFAOYSA-N 411.502 4.914 5 20 HJBD CC(C)c1cc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c2ccccc2n1 464665151 RWHWLAZOKZWUOC-UHFFFAOYSA-N 419.481 4.950 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(CCC(=O)OC(C)(C)C)c2)c1[N+](=O)[O-] 470123744 XPVPDJPTLDFQKT-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCCC3(CCC3)C2)cc1[N+](=O)[O-] 470687471 FYNLACISKOVNBJ-UHFFFAOYSA-N 424.522 4.954 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 473343322 ZPTIWRPFIQCKSG-OAQYLSRUSA-N 417.893 4.501 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(CCN3CCCC[C@@H]3C)CC2)cc1[N+](=O)[O-] 479539109 CONQXXVZQUSTLW-OALUTQOASA-N 416.566 4.650 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(C)(C)C)ccc1O 481107626 OVPBAYLDQFREQQ-UHFFFAOYSA-N 404.488 4.589 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccccc2F)C1)c1cccc2c(=O)c3ccccc3[nH]c12 482869286 MMHDTOVLPFQKPV-JKSUJKDBSA-N 400.453 4.887 5 20 HJBD Cc1ccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cn2c1 484282866 SKAXDJYSUSEEQI-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3cccn3)cc2C(F)(F)F)c1[N+](=O)[O-] 485746851 KCTPDCUJOWTQOJ-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(-c2ccccc2)n1 486269839 WBHSYEBXFUILKS-UHFFFAOYSA-N 424.526 4.881 5 20 HJBD O=C(NCc1cccc(OCC(F)(F)F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 499509272 OUKPDNUNUJJFIF-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](COc1ccccc1F)c1ccccc1 506065370 YCHDNUVBINVIGE-FQEVSTJZSA-N 423.444 4.696 5 20 HJBD Cc1cccc(C(=O)N2CCCN(Cc3ccc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 506552075 ACTNAPQXVUUEEY-UHFFFAOYSA-N 419.481 4.511 5 20 HJBD CC(C)c1ccsc1C(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 507236626 XTXRYQPLLSPDQE-UHFFFAOYSA-N 401.410 4.640 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 508627443 GZLDDSLBTOXVHE-UHFFFAOYSA-N 410.449 4.522 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(Cc2cccc([N+](=O)[O-])c2)n1 508665435 LUPZQODASWDJFE-SFHVURJKSA-N 401.850 4.781 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)n1 509550584 WPUUTBMRWZDLKS-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)CC2)cc1 518255739 OMLFYPGHSUVIOQ-UHFFFAOYSA-N 407.470 4.883 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)ccc1[N+](=O)[O-] 518872247 PUOVBRRXNKUASK-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD O=C1CCCN1c1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 521815207 PWXBOFIKMVMTSP-UHFFFAOYSA-N 407.495 4.740 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1csc(-c2ccc(Br)s2)n1 523103907 OHPDCNDWDMHYHO-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2Cc3ccccc3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 525080129 XUECFQHBNOWVHS-IAGOWNOFSA-N 416.481 4.750 5 20 HJBD COC[C@@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1ccco1 531094607 JULGCPMTLCJQBC-OAQYLSRUSA-N 418.453 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 531708782 ZIPWMVDPVVDWKI-ZWKOTPCHSA-N 410.518 4.571 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc3c(c2)NC(=O)CC3)cc1[N+](=O)[O-] 532748278 FLCNIGYYTVMNLQ-UHFFFAOYSA-N 402.454 4.860 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccn(CC(F)(F)F)n2)ccc1Oc1ccc(F)cc1 532986272 HSXKGXYUVGLTQZ-UHFFFAOYSA-N 410.327 4.897 5 20 HJBD O=C(CN[C@@H](c1ccccc1)c1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 533055790 UYSXAHGOBIAQRP-NRFANRHFSA-N 413.836 4.705 5 20 HJBD COc1ccc(CN[C@@H](C)c2cnn(-c3cccc(Cl)c3)c2C)cc1[N+](=O)[O-] 536883433 WLBODVJQNCNHNI-ZDUSSCGKSA-N 400.866 4.602 5 20 HJBD CC[C@H]1CC[C@@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)O1 538251613 LGPIOWMZENMSLM-KSSFIOAISA-N 403.504 4.665 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 539678492 LBPLOZKWUMZXPI-DLBZAZTESA-N 422.403 4.617 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 539678497 LBPLOZKWUMZXPI-SJORKVTESA-N 422.403 4.617 5 20 HJBD CCN(CC)C(=O)CCC1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 543929103 PCNKQISCWCNJOB-UHFFFAOYSA-N 417.553 4.889 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(CN3CCCC3=O)c2)no1 547136456 CTNPTTDUIVDYKA-CQSZACIVSA-N 424.482 4.621 5 20 HJBD CC[C@@H]1CCCC[C@H]1c1noc(-c2ccc(Sc3nncn3C)c([N+](=O)[O-])c2)n1 551536626 VMQVUISKKIPRHM-TZMCWYRMSA-N 414.491 4.608 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCCC[C@H]2C(F)(F)F)c([N+](=O)[O-])cc1OCC 567423467 QMZMNUMJLJYDNV-NWDGAFQWSA-N 404.385 4.699 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCc2sc(Cl)cc21 578687104 NCDHHSXGEDDJDZ-LLVKDONJSA-N 401.271 4.536 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cnc4ccsc4c3)n2)c(Br)c1 582757401 CWZDFLGXJDHGFV-UHFFFAOYSA-N 417.244 4.608 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccccc2)CC(C)C)cc1[N+](=O)[O-] 603569259 DMAPCMKOFHZELO-UHFFFAOYSA-N 402.516 4.521 5 20 HJBD Cc1ccc(NC(=O)NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 603643028 SPSAUNBIGDZIMA-UWVGGRQHSA-N 413.318 4.934 5 20 HJBD O=C(c1cccc(-n2cccc2)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603741934 FQLVXUKYMXQXMS-UHFFFAOYSA-N 403.360 4.590 5 20 HJBD CC(C)(Oc1ccc(F)cc1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603818755 HHZJGHBIYRFBJY-UHFFFAOYSA-N 401.419 4.654 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2n[nH]c3c2CCCC3)c1 604240710 QCVOFNCLGOEFET-UHFFFAOYSA-N 408.483 4.595 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C[C@@H]1c1cccc(Br)c1 608809775 QFNNHZULPBXOHJ-JECHBYEQSA-N 421.316 4.510 5 20 HJBD O=[N+]([O-])c1cccc(F)c1S(=O)(=O)N(Cc1ccccc1Cl)C1CCCC1 609196552 OAHPDILJSAXJTF-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 609639767 DFQUPTUDQHHZOV-QHCPKHFHSA-N 424.545 4.742 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 609678448 OLYQRNGRZBPQSK-KRWDZBQOSA-N 413.518 4.965 5 20 HJBD CC(C)(CNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])Cc1ccccc1 609756955 APBBXJFAEARYLU-UHFFFAOYSA-N 410.392 4.535 5 20 HJBD CC(C)n1ncc2cc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc21 609764337 WKVUIDQAXXCFNO-LBPRGKRZSA-N 402.838 4.585 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1OCc1cccc(Cl)c1 609863335 OIYPRKYHKYPLPH-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Br)c(F)cc1Cl 610578665 VRXRHVIRYQVHEJ-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD CCC1(O)CCC(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 611315725 ULSGNGWFILZVPY-UHFFFAOYSA-N 418.877 4.854 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1ccc(Br)c([N+](=O)[O-])c1 727745956 BPNSTPSLMXICPT-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD C[C@@H](OC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 729788939 VTKJSBYBMWFYKU-IVZQSRNASA-N 417.417 4.967 5 20 HJBD O=C(Nc1ccc(OC(=O)C2CCSCC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 732931238 OFJUPVTVAJNMIO-UHFFFAOYSA-N 420.874 4.549 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 733245876 ZNNMTCDLNDTXPS-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=C(Nc1ccccc1C(=O)O[C@@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1Cl 733417204 RMWVEHLQISPSOZ-CQSZACIVSA-N 400.818 4.766 5 20 HJBD O=C(OCCCOc1ccc2ccccc2c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735354846 XZAQONPPNXOWCL-UHFFFAOYSA-N 418.405 4.628 5 20 HJBD CCCOc1cc(NC(=O)N2CCC3(CCCC3)C2)c([N+](=O)[O-])cc1OCCC 743081854 JSMUONLOQNBHSS-UHFFFAOYSA-N 405.495 4.970 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1cccnc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747231161 BBKIHMAWARSSHT-SFHVURJKSA-N 402.328 4.955 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2Cl)s1)c1cc(F)c(F)cc1[N+](=O)[O-] 750563480 JBNLHZXWSMAHDQ-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Nc1cccc(COCCOc2ccccc2)c1)c1cc(F)ccc1[N+](=O)[O-] 751095922 MJSNSYMMLABNOC-UHFFFAOYSA-N 410.401 4.582 5 20 HJBD CCc1ccc([C@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccc([N+](=O)[O-])cc2)o1 753641345 VWWHDBALOPCXCS-OAQYLSRUSA-N 411.414 4.546 5 20 HJBD Cc1c(C(=O)N2C[C@@H](C)CSc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 754371171 JLELDIOAFVOQSX-LLVKDONJSA-N 401.444 4.508 5 20 HJBD CC(C)Nc1cc(F)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755012100 ICWHIBOMYHXOBP-UHFFFAOYSA-N 414.206 4.708 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2ccsc2)cc1)c1ccc([N+](=O)[O-])cc1F 755246522 DKWDABJYODJCBI-LBPRGKRZSA-N 414.414 4.757 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757081186 HXFOGGQEPGFODK-IBGZPJMESA-N 416.886 4.686 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CC2(CCC2)Oc2ccccc21 757810171 ADKXQSICHIOROK-XJKSGUPXSA-N 416.861 4.578 5 20 HJBD CCCc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 759460169 ZAMLBTAWRSFRDC-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD C[C@@H](NC(=O)Nc1cc2ccccc2nc1Br)c1cccc([N+](=O)[O-])c1 760167685 XIARQBPZRCTYOG-LLVKDONJSA-N 415.247 4.788 5 20 HJBD CCCN(Cc1ccc(Cl)c([N+](=O)[O-])c1)C1CCN(C(=O)c2ccccc2)CC1 761250994 KSRCHJSPPFXTJS-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD COc1ccc(C(=O)N2CCCc3cc(N4CCCC[C@H]4C)ccc32)cc1[N+](=O)[O-] 763184662 MGMGGHHNRGPRQJ-MRXNPFEDSA-N 409.486 4.575 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1C[C@H](O)c1ccco1 765591220 RNZOGBBIYQNXAN-GIVPXCGWSA-N 414.502 4.651 5 20 HJBD O=[N+]([O-])c1cc(CN(CCCOc2ccccc2)C2CCOCC2)ccc1Cl 766929266 DBCACVUISMWXIP-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD C[C@@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 776290450 FRDMWHZAZZZJBO-CJNGLKHVSA-N 416.861 4.771 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)[C@@H](C)Cc1ccsc1 779333039 AUCHKIDKSJOECW-JTQLQIEISA-N 415.284 4.651 5 20 HJBD CSc1ccc2cc(CN3CCC(n4ccc([N+](=O)[O-])n4)CC3)c(Cl)nc2c1 785861600 HIWUOKACAGDPFR-UHFFFAOYSA-N 417.922 4.552 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 791710617 JOMBJFFYOYKRAB-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD Cn1c([C@H]2CCCN2c2ccc([N+](=O)[O-])cc2Br)nc2ccccc21 798395919 PDXYFLPFECEWRO-QGZVFWFLSA-N 401.264 4.586 5 20 HJBD C[C@H](NC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1cccc([N+](=O)[O-])c1 810167520 IVZWCTQTOFPFFS-LBPRGKRZSA-N 405.476 4.519 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1csc([C@H]2CCCO2)n1 811083873 QWEDHNNTDPHAKU-GFCCVEGCSA-N 403.243 4.567 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C(F)(F)F)n1 813182305 IMLYFWIGHWJIMV-UHFFFAOYSA-N 410.135 4.576 5 20 HJBD COc1ccc(C[C@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 815574200 HXZRHAVHTYNEAT-KKSFZXQISA-N 421.497 4.829 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 815636173 QLOQDMGVCSWKQW-HOTGVXAUSA-N 413.474 4.752 5 20 HJBD CC(C)Oc1cc(-c2nc([C@H]3CCCN3C(=O)OC(C)(C)C)no2)ccc1[N+](=O)[O-] 904701769 ANGWEBYZIJQYIJ-OAHLLOKOSA-N 418.450 4.504 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 915837167 WMGKTUATPKIULV-UHFFFAOYSA-N 407.407 4.652 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@@H]1CC1(Cl)Cl 916827681 QSNVTXAUGLATNZ-NSHDSACASA-N 415.660 4.960 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 917162192 JYGMIXNWXOKUOB-UHFFFAOYSA-N 409.511 4.772 5 20 HJBD CC(C)(C)c1noc(CCCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])n1 920330119 SYEAPXZNQGBALV-UHFFFAOYSA-N 409.442 4.871 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)c(Cl)c1 6062006 KRKYSGRSYNJKPG-VIFPVBQESA-N 403.649 4.811 5 20 HJBD CCSc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 14739982 OAPZJEQCEVDWSR-UHFFFAOYSA-N 418.525 4.962 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)OCc4cccc([N+](=O)[O-])c4)cc3)o2)cc1 16287654 RXMABZAQYZHWFJ-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2F)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17663720 FVJBJQCECJPERT-SNVBAGLBSA-N 413.390 4.687 5 20 HJBD CCn1c(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nnc1-c1ccccc1 17674244 XHEVDJCQJWVHOP-ZDUSSCGKSA-N 422.470 4.777 5 20 HJBD COc1cc(CSc2nc(C)c(C)n2CC(C)C)c([N+](=O)[O-])cc1OC(F)F 25745543 HDGJGNWEYZYTGT-UHFFFAOYSA-N 415.462 4.966 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccccc3N(C)C)cc2[N+](=O)[O-])n1 30669331 KSXSQZYRHRVGBT-UHFFFAOYSA-N 414.512 4.829 5 20 HJBD Cc1sc(NC(=O)COc2ccc([N+](=O)[O-])cc2)nc1-c1cccc(Cl)c1 40929528 KOZDAHUZOWUSMP-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=[N+]([O-])c1ccc(CNc2nc(-c3cnccn3)nc3sc4c(c23)CCCC4)cc1 60860675 CSULMUUNWZUSBQ-UHFFFAOYSA-N 418.482 4.547 5 20 HJBD O=C(NCc1ccc(COCC(F)(F)F)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 115444367 BTYXXWHXDRSYPF-UHFFFAOYSA-N 424.400 4.818 5 20 HJBD Cc1ccc(/C=C(/Cl)c2nc3sc4c(c3c(=O)[nH]2)CCCC4)cc1[N+](=O)[O-] 229910482 BHTCSWNYNHUWNW-MDWZMJQESA-N 401.875 4.817 5 20 HJBD CCN(CC)C(=O)Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 237054615 OZOCVPNGXHXOLN-UHFFFAOYSA-N 424.526 4.741 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccc(Oc2cccnc2)c(F)c1 301679481 IYAOLSXUDIUCSD-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD Cc1cc(N2CCC(O)(c3ccccc3Cl)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302848528 OUHGWMQEESAOAV-UHFFFAOYSA-N 424.888 4.502 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)NCCCCc1ccc([N+](=O)[O-])cc1 303832551 RFJWVQFBJQTFSJ-UHFFFAOYSA-N 413.474 4.539 5 20 HJBD O=C(O)[C@H](CCc1ccccc1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426765699 RIHCUWQQGCIJQN-FQEVSTJZSA-N 424.428 4.702 5 20 HJBD Cc1noc(C[C@H](N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])c2ccccc2)n1 429462893 RZHIPQPOHNYOHM-NRSPTQNISA-N 406.486 4.571 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3noc(-c4ccccc4F)n3)s2)cc1 435026874 JUQVIKNHSMCVDX-UHFFFAOYSA-N 410.430 4.802 5 20 HJBD O=C(Nc1nc(C2CCC2)cs1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 436178801 NCOJGXMTXQBCKG-UHFFFAOYSA-N 401.366 4.512 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc(O)c([N+](=O)[O-])c1 436972945 WEAVCRKPFSCPLC-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 438591791 MKTOGSXVKDNEDI-CXAGYDPISA-N 424.423 4.870 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@H](Sc1ccccc1)c1ccccc1 444631938 MYMDRCOKONMOPR-OAQYLSRUSA-N 418.478 4.621 5 20 HJBD COc1cc(CN[C@H]2CCc3ccccc32)c([N+](=O)[O-])cc1OCc1ccccc1 447943982 QHJFDIGZBINPDH-NRFANRHFSA-N 404.466 4.960 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])nc21 461213995 FRKGVUGOMOXARW-UHFFFAOYSA-N 410.293 4.680 5 20 HJBD O=C(c1ccn(-c2ccc(F)cc2F)n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 463689361 XNHJWYJRVXRVEJ-UHFFFAOYSA-N 412.396 4.648 5 20 HJBD CSc1ccc(C(=O)NC[C@H]2CCCO[C@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 464701383 GJOLNAZOQFXKRZ-XLIONFOSSA-N 400.500 4.523 5 20 HJBD O=C(NCc1cccc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465794694 YLDPVYIFPICDOB-UHFFFAOYSA-N 405.454 4.501 5 20 HJBD Cc1nccc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n1 466234810 HPACGFJDJWIWEW-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1C[C@@H]1c1ccccc1OC(F)(F)F 466296324 GIVGBFPQPDFMGK-ZIAGYGMSSA-N 414.767 4.567 5 20 HJBD COc1cccc(-c2nc(COc3c(Cl)cccc3[N+](=O)[O-])cs2)c1OC 471286580 KDUYPETUJGDKRB-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD CCOC1CC(CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 474924269 BEVWXLLWYXRXID-UHFFFAOYSA-N 400.500 4.681 5 20 HJBD COc1cc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)cc1C 479836907 LPIDVBYZLRAWBG-GFCCVEGCSA-N 411.380 4.678 5 20 HJBD CCSc1cccc(F)c1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 484925508 ZQOJJNUEUDZXEN-UHFFFAOYSA-N 405.270 4.922 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1C[C@H]2CCC[C@@]2(c2nc(-c3ccccc3)no2)C1 486852139 IWBBDJQEBSJDPO-JTUHZDRVSA-N 404.470 4.760 5 20 HJBD C[C@H](C(=O)Nc1ccc(Oc2cccc(F)c2F)nc1)c1ccc([N+](=O)[O-])cc1F 487148599 KXYMCZQCKOLSLB-NSHDSACASA-N 417.343 4.942 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 487979074 HXHXMENOMPFKQB-ZLIFDBKOSA-N 403.276 4.647 5 20 HJBD CC(C)n1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1-c1ccccc1 489157273 KUYSBDRKFCBPGO-UHFFFAOYSA-N 416.384 4.893 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1S(=O)(=O)C1CCCC1 491168022 PEBDILFQVNECES-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD O=C(c1csc(Cc2ccccc2F)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493179444 HMQYZSBTKRFENY-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1nc2c(Br)cccc2s1 494469617 GTRDAXWPOAYBBV-UHFFFAOYSA-N 421.276 4.631 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 496532632 FGAVJPVSAABYRO-QFIPXVFZSA-N 406.486 4.703 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1cccs1)N1CCCC1 505495224 MJIJMMDVUFPPQH-QGZVFWFLSA-N 419.572 4.725 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1Cc1ccc(OC)c([N+](=O)[O-])c1 507201116 DSCNNOAQOZAYJY-MRXNPFEDSA-N 421.291 4.712 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1ncc(-c2cccc(Br)c2)o1 511093098 BUXXJYQRQFEBBA-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511924229 UJWBADULDDDZEM-CYBMUJFWSA-N 405.279 4.621 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 512093854 ZEHDQWKVUJSQPC-UHFFFAOYSA-N 418.406 4.859 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)n[nH]1 512858203 XYYZBZKRYSWEGX-UHFFFAOYSA-N 418.862 4.621 5 20 HJBD C[S@](=O)Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 513089664 OXMFIBKGLULNCO-NDEPHWFRSA-N 418.902 4.677 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)Nc2cccc([N+](=O)[O-])c2C)c1C(F)(F)F 514901847 BTNAZWPRHFSVME-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNCc1cc([N+](=O)[O-])ccc1OC(F)F 518763478 OEKWIMSGAKXNKI-MRXNPFEDSA-N 419.472 4.860 5 20 HJBD Cc1ccccc1CN1CCC(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 520204164 RCKDMHGUGHNFSU-UHFFFAOYSA-N 405.498 4.917 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@H]2c2ccccc2)cc1)c1ccc([N+](=O)[O-])s1 521869195 OGQPTPHTOBAZFA-SFHVURJKSA-N 421.478 4.886 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(Cn3cncn3)c2)cc1[N+](=O)[O-] 522283311 URPBVGUNYHSPLM-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD COc1cc(CNC[C@@H](C)c2cccc(C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 524947161 SFSFWBOXLROCBR-CQSZACIVSA-N 412.408 4.746 5 20 HJBD C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 525567688 AXLKLAAVFZNWEX-VXGBXAGGSA-N 411.289 4.738 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 525617260 CYISURFGQSXWII-UHFFFAOYSA-N 415.298 4.894 5 20 HJBD Cc1c(CC(=O)NCCc2csc(-c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 533995541 JEJLPRBINMPUDA-UHFFFAOYSA-N 415.902 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538045470 MQIUENGUKQHJSM-QAPCUYQASA-N 407.829 4.656 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 538984047 YBHPUQQPCGILDM-UHFFFAOYSA-N 403.389 4.851 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H](C)c1cc2c(cc1Br)OCCO2 539093293 JMIZZLRDOHNITF-STQMWFEESA-N 421.291 4.849 5 20 HJBD COCc1c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])sc2cccc(F)c12 539793108 LTZRUIIULAVXBZ-UHFFFAOYSA-N 422.865 4.721 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cs1 545114905 OZHZLJZHBBEADA-VIFPVBQESA-N 402.457 4.614 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc([C@@H]4CCOc5ccccc54)no3)cs2)cc1 547100846 BQAAGXQRXNRLAY-OAHLLOKOSA-N 406.423 4.683 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCC[C@H](C)[C@H]1C 551343013 PSDNFMBXAQVKDC-QCUYGVNKSA-N 417.531 4.812 5 20 HJBD CCC1(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCOCC1 553665732 FBPWFEUHWIHQIT-UHFFFAOYSA-N 418.877 4.977 5 20 HJBD COc1cc(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)c([N+](=O)[O-])cc1OC(F)F 554748751 DDCPOFWQNFESJM-TXEJJXNPSA-N 412.355 4.758 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 555776887 IWUJRLCPRZOQEL-WMZOPIPTSA-N 411.502 4.581 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1)c1cccc([N+](=O)[O-])c1 567429078 PKZWGOGEBKAZNS-LLVKDONJSA-N 403.410 4.663 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2c(C)cccc2C)no1 570435669 OQJZSMJESZXYLM-QGZVFWFLSA-N 408.458 4.512 5 20 HJBD Cc1ccc(C(=O)N2CCC(NC(=O)c3cc4ccccc4c4cccnc34)CC2)cc1 589513024 NMUJUWRDRSEQHE-UHFFFAOYSA-N 423.516 4.731 5 20 HJBD O=C(c1ccc(-n2cccc2)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603741843 BCCVXDBCTHLLME-UHFFFAOYSA-N 403.360 4.590 5 20 HJBD CC[C@@H](C)N(C)CCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609026995 ALLAPWNISJSTFK-CQSZACIVSA-N 405.882 4.501 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCCO[C@@H](c2ccccc2)C1 609231136 WFBHDEGTAZEPRB-HSZRJFAPSA-N 418.449 4.991 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 609452293 OAYDHGHNGRVJRX-INIZCTEOSA-N 419.437 4.511 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 609978940 WIZSURPJFZASBN-UHFFFAOYSA-N 411.405 4.918 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610045910 CJFJJWRELJJPMG-AAEUAGOBSA-N 407.239 4.515 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccccc2)cc1OC 610226989 BYALECJZROCBIN-HXUWFJFHSA-N 420.465 4.634 5 20 HJBD COc1cccc(CC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 612122310 OERXCUHRKQUOAL-MRXNPFEDSA-N 422.485 4.669 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 616052810 MTSGKVALWAYJHL-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD CCc1c(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1cccc(Cl)c1 727710211 JDBOOLXESXYTJJ-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CC[C@@H](CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 729016079 HLUXWYFKULFHDL-KBPBESRZSA-N 404.850 4.702 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N(Cc1ccccc1Cl)C1CCCC1 729183300 QMMDPMHWARGMNL-UHFFFAOYSA-N 412.877 4.569 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 729411109 PQRMFEONNHSFNT-UHFFFAOYSA-N 404.879 4.737 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](O)c3cccc(C(F)(F)F)c3)o2)c1 730816769 GUCXYRIHOPMRKD-SFHVURJKSA-N 406.360 4.697 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1oc(COc2ccc(C=O)cc2)cc1C 731597260 HUNXNDVWLZAUQT-UHFFFAOYSA-N 408.410 4.757 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)no1 734781212 TZHRUVJKPRZGGY-UHFFFAOYSA-N 419.846 4.589 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 745885127 TZLYSGCAORYAMV-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 749357652 NOXXZXLRCYTDIU-KVDXNUTJSA-N 402.454 4.677 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](O)c1c(Cl)cccc1Cl 751993487 HDNKKKQFMBOLEU-AYVTZFPOSA-N 418.664 4.799 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)c(C)c1 753850199 IWTRSRVNFPKUKE-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CN(C)c1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c(C(F)(F)F)c1 757198182 LGZPBMNBQSFGRS-UHFFFAOYSA-N 416.787 4.655 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C 760026649 GOPXBJPCUPNCNV-QGZVFWFLSA-N 404.898 4.695 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cnc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 760502934 XCQFPXWSMPTZHT-LLVKDONJSA-N 418.862 4.630 5 20 HJBD O=C(Nc1scnc1C1CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 763185336 JFNODXQXNMKMKO-UHFFFAOYSA-N 402.657 4.597 5 20 HJBD Cc1nc2ccc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cc2s1 763220791 OUJXJSGCCUOTTA-FQEVSTJZSA-N 405.435 4.854 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 765525476 HRPDOUONRFHGJB-VIFPVBQESA-N 415.646 4.511 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 766245856 ZKHHMTFZFHYDAY-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)ccc1NC(=O)c1cccc(OC)c1[N+](=O)[O-] 766549532 XWKNOZKRALDNAD-UHFFFAOYSA-N 420.421 4.699 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)n2)c(O)n1 771141318 KCXUWRZEPGQVQI-UHFFFAOYSA-N 400.460 4.859 5 20 HJBD CCC(CC)n1ccc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 771335731 AMQPTWDEUWLUAG-UHFFFAOYSA-N 400.460 4.633 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NC(=S)NCCc2ccccn2)c1 771836144 ACMRNWGYGCGOGL-UHFFFAOYSA-N 416.553 4.732 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1Cl 773899996 GBVFGDNAEDUDLY-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)[nH]c2c1 797634636 DZRDUUNRNWHFGM-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD O=C(NCc1ccc(OCC(F)(F)F)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809916491 LSZAHLAMWCFSCP-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c2ccc(Br)cc2n1 917631379 ORKQFBKQAQNRNZ-UHFFFAOYSA-N 422.185 4.744 5 20 HJBD O=C(Nc1ccccc1SCc1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 921197285 JNTMSBNIPDAAQS-UHFFFAOYSA-N 408.435 4.868 5 20 HJBD CCC(=O)c1ccc(NCc2ccc(-n3cnc4ccccc43)nc2)c([N+](=O)[O-])c1 1321228157 FBZNOKUSZPDPMF-UHFFFAOYSA-N 401.426 4.534 5 20 HJBD Cc1cc(C)cc(OCc2nnc(Cc3ccc([N+](=O)[O-])cc3Br)o2)c1 1329038146 DPRDVKRWYZMZLJ-UHFFFAOYSA-N 418.247 4.527 5 20 HJBD CC(C)(C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1ccccc1[N+](=O)[O-] 1347680485 QHZYIUMUJUSBIC-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD O=C(Nc1ncnc2scc(-c3ccccc3)c12)c1cc(F)c([N+](=O)[O-])cc1F 1347769425 MDVOTOAMFRGNNJ-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD C[C@H](OC(=O)c1cc2c(s1)CCCCC2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 7086477 SSOXEELMZKWQEN-LBPRGKRZSA-N 413.455 4.893 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2ncc(-c3ccc(F)cc3)o2)c([N+](=O)[O-])c1 10846256 AQPNSHKLBWBINE-LLVKDONJSA-N 417.418 4.517 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc(F)cc2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 12677904 YEDKFAFGLAIHKA-SECBINFHSA-N 422.825 4.557 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nnc(C2CCCCC2)s1 14342828 CJGDJQQUQJSNII-UHFFFAOYSA-N 418.522 4.693 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(N3CCCCCC3)cc2)c([N+](=O)[O-])cc1OC 15827294 MFCZHIUCGHGMJO-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1Cl 32042330 MCYYNOOMQHSIJF-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 46361759 YOTWOFKGAIWMEB-IAGOWNOFSA-N 407.495 4.913 5 20 HJBD C[C@@H](SCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)cc1 62698291 LKAQAHAWJOPYEL-GFCCVEGCSA-N 422.890 4.842 5 20 HJBD COc1ccc([C@H](CNc2ccc([N+](=O)[O-])c3cnccc23)N2CCCCC2)cc1 68838422 DZBVECWODJDTKO-QHCPKHFHSA-N 406.486 4.791 5 20 HJBD O=C(NC[C@H](O)c1ccccc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 216579200 YOQSPLCTVMSIMH-IBGZPJMESA-N 412.829 4.504 5 20 HJBD CC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc2c(c1)OCCO2 236980770 MLDJZCRXBPMFPP-SFHVURJKSA-N 411.483 4.730 5 20 HJBD Cc1ccc(-c2nc(CNCc3csc(-c4cccc([N+](=O)[O-])c4)n3)co2)cc1 237759740 RJKCEZHXLMSIPY-UHFFFAOYSA-N 406.467 4.972 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](c3ccco3)N3CCCCC3)cs2)c1 238007153 WLJZAMZXZMJFAZ-LJQANCHMSA-N 412.515 4.628 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Sc1nnc(-c2cccnc2)n1Cc1ccco1 301080455 JHQVQNHBGPYZLB-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)NC2CC2)cc1 301337942 DMUZCGCJHSJOHI-NSHDSACASA-N 419.279 4.814 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cc2[N+](=O)[O-])cc1)c1cccc([N+](=O)[O-])c1 301735362 GXNZMZBQDFCYKJ-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CC2)c1 410228649 JECFSLVJUKCMDR-UHFFFAOYSA-N 418.440 4.508 5 20 HJBD CCOc1cc(C(=O)N2c3ccccc3S[C@@H](C)[C@H]2C)c([N+](=O)[O-])cc1OC 430669286 QSXKZYARGIYISM-OLZOCXBDSA-N 402.472 4.532 5 20 HJBD Cc1nn(CC(=O)N(C)C(c2ccccc2)c2ccccc2)c2ccc([N+](=O)[O-])cc12 432243184 STPOANKHOIEGSQ-UHFFFAOYSA-N 414.465 4.501 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 439245946 BQNLQJNXFZBFLM-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD O=C1CC[C@@H]2CN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c3cccc1c32 444308990 DCNCVWQNECSMTM-CYBMUJFWSA-N 412.420 4.541 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 444721665 WUTFIEFCHPUWSV-VBKZILBWSA-N 413.861 4.865 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)N(Cc1ccccc1)Cc1ccccc1 448110452 HFMCQYUAJDBHCR-KRWDZBQOSA-N 407.495 4.699 5 20 HJBD COc1cc(C)c(Br)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 462535712 PPXVXKRWSWKMOG-UHFFFAOYSA-N 418.247 4.941 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc(-c2ccccc2)c(C)s1 462984984 GKNBEYXIIRZSJF-SFHVURJKSA-N 423.538 4.954 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)c1ccc(F)c(Br)c1 463133790 ZTLXYWVZEJWIQQ-JTQLQIEISA-N 411.227 4.637 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463160025 INYWBGKVPUTNTA-KSSFIOAISA-N 408.376 4.511 5 20 HJBD C[C@@]1(CO)CCC[C@@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467518924 CCPRAMJMRKLEPX-PXNSSMCTSA-N 420.918 4.680 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 468141417 DLABLVYZIITUFF-KRWDZBQOSA-N 406.486 4.875 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])cn1)Nc1ccc(Cl)cc1-c1cccc2ccccc12 468766782 ASVYDZPYKZPYFN-UHFFFAOYSA-N 406.829 4.904 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1 470672176 BPCNLIQWQJEQOO-UHFFFAOYSA-N 421.453 4.670 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1ccc([N+](=O)[O-])cc1 476079679 LRHCULVPMYGVNC-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(n2c(C)nc3ccccc32)CC1 477363777 UVJNYAUAPJXAGY-LJQANCHMSA-N 420.513 4.685 5 20 HJBD CC(C)c1cnc(-c2ccc(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)cc2)o1 479837050 KDVNPNQSBMWGCP-UHFFFAOYSA-N 417.425 4.811 5 20 HJBD O=C(Nc1ccc(OCC(F)F)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 480362158 YETAONPRXSOCQC-UHFFFAOYSA-N 405.382 4.615 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 482560369 NPIKKFAQHMFHPV-ZWKOTPCHSA-N 414.525 4.596 5 20 HJBD Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])sc1Cc1ccc(Cl)cc1F 487611346 VAIXWKKYUMDPNA-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@H](C)Cc1ccc(OC)cc1 489060971 GLKNDHMTAXUSFN-OAHLLOKOSA-N 402.516 4.666 5 20 HJBD COC(=O)c1ccc(-c2nc(CN(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)cc1 490025674 NQVPBICLDAHGRG-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)c(C)c1 498477212 YKOZTHRPPNOBEN-CYBMUJFWSA-N 402.472 4.817 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N(Cc3cccnc3)C3CC3)c([N+](=O)[O-])c2)cc1 498852407 GCIYOLHABDRKKR-UHFFFAOYSA-N 402.454 4.720 5 20 HJBD Cc1c(C(=O)N(Cc2cc(Br)ccc2F)C(C)C)cccc1[N+](=O)[O-] 499258335 ZVVAUQDBXMGLRV-UHFFFAOYSA-N 409.255 4.856 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1cccs1)Cc1cccs1 500811535 XNJRWWSKPCNLGA-UHFFFAOYSA-N 417.512 4.638 5 20 HJBD Cc1ccc([C@@H](OC[C@@H](O)CN(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 501877714 ZKEVKICXMHOHIV-ZCYQVOJMSA-N 420.509 4.502 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)CSc1ccc([N+](=O)[O-])cc1 503614993 LNCVGSWXNHYHDQ-GFCCVEGCSA-N 409.305 4.669 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 505397037 QSDPSUGQNIPZAA-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(Cc3cccs3)C[C@H]3CCCO3)cs2)c1 506744589 RVALSTJLKGEWDI-GOSISDBHSA-N 415.540 4.961 5 20 HJBD C[C@@H](Nc1ccc2scnc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 507868120 WHPAAJGYWOZUIQ-SECBINFHSA-N 410.377 4.662 5 20 HJBD Cc1occc1CN(C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 508500852 UBWLZPCJSSJBIA-FQEVSTJZSA-N 413.861 4.961 5 20 HJBD C[C@@H](NC(=O)c1c(-n2cccc2)sc2c1CCSC2)c1cccc([N+](=O)[O-])c1 512708951 UJKBGFCKVAZJGT-CYBMUJFWSA-N 413.524 4.727 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)[C@H](C)SCc1ccc([N+](=O)[O-])cc1 513815128 NPLXWEKHJBMBNZ-INIZCTEOSA-N 410.499 4.658 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 514892510 FQJWEKOGTBNJNJ-QWHCGFSZSA-N 422.359 4.517 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(Cl)c1 515107638 MSKQFVMRHHWFNS-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(-c2ccc(F)cc2)s1 515339091 HKIKLIDYSANJPH-UHFFFAOYSA-N 414.414 4.876 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)C(C)C)cc1OCC 515745704 DDAJHNJBXKBSNR-HXUWFJFHSA-N 404.438 4.658 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(C(F)(F)F)cc2)nc1 517847708 XHQHXURRBKDRLZ-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD Cc1ccc(NC(=O)N[C@H](c2ccccc2)c2nc3ccccc3n2C)cc1[N+](=O)[O-] 522249106 GCRMIKLXQKBHAU-OAQYLSRUSA-N 415.453 4.701 5 20 HJBD CCN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(Br)cn1 522580913 DQYYNWQAOKIJHF-UHFFFAOYSA-N 406.280 4.717 5 20 HJBD O=C(Nc1nc(/C=C/c2ccccc2)cs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 522685800 YUWSNDFFHBCEBJ-MDZDMXLPSA-N 417.450 4.660 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1Cl 523719655 APKCDHCHPDCPJQ-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 524323071 JPBIYYIDGZZPTO-MRXNPFEDSA-N 409.269 4.816 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1cccc(F)c1 535592908 JUXMXGAWGYHWHV-NRFANRHFSA-N 403.417 4.731 5 20 HJBD O=C(N[C@H](c1cccc2ccccc12)C(F)(F)F)c1cc2c(cc1[N+](=O)[O-])OCO2 540969677 DRCUDKXSOHICET-GOSISDBHSA-N 418.327 4.510 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 544221727 UARZCJAXQUZILK-SJCJKPOMSA-N 421.400 4.820 5 20 HJBD C[C@H](c1cccc(C(=O)c2ccccc2)c1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 544914961 ZVNUAJLYKYIXHU-MRXNPFEDSA-N 413.433 4.951 5 20 HJBD COc1cc(Cc2noc(-c3cnc(-c4ccccc4F)s3)n2)ccc1[N+](=O)[O-] 545077130 QGNFYCWBWIPRRD-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD C[C@H](NC(=O)c1ccoc1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545780212 ROSNJWLYSCGHLK-ZDUSSCGKSA-N 420.381 4.521 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)NCC[C@@H](c1ccccc1)C(F)(F)F 551240250 GZVFMZHCPLDXCC-KDOFPFPSSA-N 409.408 4.638 5 20 HJBD Cc1cccn2c(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)c(-c3ccccc3)nc12 552113779 JRYRQHJXBIWPEG-UHFFFAOYSA-N 411.421 4.952 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 552282560 FJVCOHKLMGEFGH-SFHVURJKSA-N 404.392 4.535 5 20 HJBD CC[C@H](C)n1c(Sc2ncnc3sc([N+](=O)[O-])cc23)nnc1-c1ccccc1 552998296 ZWBATUBCPCLVME-NSHDSACASA-N 412.500 4.980 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1cccc(Cl)c1F)c1cccc([N+](=O)[O-])c1 560550256 WCPJWVPUMCOCFI-LJQANCHMSA-N 416.884 4.778 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccccc4OC(F)F)no3)cc12 564220539 JTVYEHBISMVJIE-UHFFFAOYSA-N 405.360 5.000 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2cccc(F)c2F)CC1 575230140 PZYRSHBJQKJISH-ZDUSSCGKSA-N 414.412 4.864 5 20 HJBD COC(=O)c1ccc(OCCOC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 587079420 HWBMWQNOISLWQT-UHFFFAOYSA-N 407.422 4.566 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccc(NC(=O)CC3CCCC3)cc2)no1 589128729 WCSJWLQJQOWHFG-UHFFFAOYSA-N 422.441 4.839 5 20 HJBD Cc1cc(NC(=O)c2cc(C3CC3)nc3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 592946696 AMXFNKHYLHFPDE-UHFFFAOYSA-N 413.437 4.767 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](C)c2ccc(C)cc2C)cc1[N+](=O)[O-] 603568796 XAXCDANXTZJHPR-INIZCTEOSA-N 402.516 4.673 5 20 HJBD Cc1ccc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 603623983 LBGVTNBKJZZXKQ-UHFFFAOYSA-N 400.818 4.914 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC(CN3CCCCC3)CC2)c([N+](=O)[O-])c1 604482889 FIVNICUDJIQBFB-UHFFFAOYSA-N 407.514 4.528 5 20 HJBD Cc1csc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 608835815 VYANLWFMUGMFJR-UHFFFAOYSA-N 405.483 4.502 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NC(=O)CCn1cc([N+](=O)[O-])nc1C 609066485 HCQWPSAHWRYVLT-INIZCTEOSA-N 408.458 4.577 5 20 HJBD Cc1cccc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1C 609855776 CCDDQXUURWKHPC-UHFFFAOYSA-N 419.268 4.981 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)COc3ccccc3[N+](=O)[O-])cc2c1 610070706 XJUKIAWJZKIFAH-UHFFFAOYSA-N 420.421 4.850 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)Cc1ccccc1[N+](=O)[O-] 610154662 KDOBMGPSVOXCHZ-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C\c2ccco2)s1 611407192 MUWLQBHCKMNQOU-VURMDHGXSA-N 416.484 4.963 5 20 HJBD O=C(Nc1ccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)cc1)c1ccc(F)cc1 611708542 DWGAKIVJODPJRS-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD CCN(CC)Cc1ccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])o1 615040779 GJSQVDZOFHYHHK-UHFFFAOYSA-N 423.469 4.861 5 20 HJBD Cc1ccc(OC(=O)CC[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 729651296 JDTHJUVGGFOLBI-QGZVFWFLSA-N 414.458 4.855 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H]2CCc3ccc(C)cc32)c([N+](=O)[O-])c1Br 730108795 KYPWNVZFNVTNRJ-GFCCVEGCSA-N 422.235 4.682 5 20 HJBD Cc1ccc(-c2ccc(C(=O)O[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c(F)c2)cc1 730345263 HCVWJAQIMCOPKW-HNNXBMFYSA-N 422.412 4.893 5 20 HJBD CN(C(=O)c1ccccc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 735523964 GHDCLNKQJLFCQP-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)c1 743863118 VHTAVMAXTSASGM-MRXNPFEDSA-N 408.458 4.558 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 744369997 UFQYPYOZEOHGNG-IEBWSBKVSA-N 411.458 4.837 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 744615892 BIJBERZIMRIMSE-HZPDHXFCSA-N 423.469 4.633 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)c1 745389237 ZCSCSGGVACKCOX-NSHDSACASA-N 421.331 4.890 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 748754788 FVWBUXBACYQVMC-UHFFFAOYSA-N 418.478 4.953 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(SC(=O)N(C)C)c1 752532223 QPOXIHNRENTNSN-ZDUSSCGKSA-N 420.512 4.593 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 760027212 OKAZBQXVIZVDOA-GOSISDBHSA-N 418.925 4.705 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@@H](C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 761270490 HQSZLRPHJUZJKC-DIFFPNOSSA-N 409.364 4.661 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 763512908 UWVXIIUAWYVHCU-UHFFFAOYSA-N 400.385 4.789 5 20 HJBD CCC(CC)(CNC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 763692392 BDZCFXPLUNNFJJ-UHFFFAOYSA-N 420.893 4.753 5 20 HJBD Cc1c(CC(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)cccc1[N+](=O)[O-] 766587227 OHJFCWZMIHTJLI-SFHVURJKSA-N 418.497 4.701 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Oc1cccnc1Br 774655068 WZZVAHYDVVKSEL-UHFFFAOYSA-N 414.084 4.594 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(C)c(N2CCOC2=O)c1 777582650 LMWWEZRUMZEQMH-HNNXBMFYSA-N 401.488 4.843 5 20 HJBD O=C(Nc1ccc(OC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1)c1ccsc1 778263642 NASLUJWXOJUFAC-UHFFFAOYSA-N 418.377 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1 778762578 BHKIMFGKBVGPNI-ZDUSSCGKSA-N 415.833 4.844 5 20 HJBD CCOC(=O)/C(=C/c1ccc([N+](=O)[O-])cc1Cl)c1nccn1Cc1ccccc1 783709159 XRZLOWRJJBXDLR-LDADJPATSA-N 411.845 4.597 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1cc(Cl)ccc1[N+](=O)[O-] 786018692 GZMCFEBIHBUVHT-GFCCVEGCSA-N 413.680 4.588 5 20 HJBD CCOc1c(Cl)cccc1CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 791500270 CYWNQDLXCVVRKF-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCCCN1Cc1ccccn1 795609523 ZVEQKVJMEADSFX-RUZDIDTESA-N 411.505 4.881 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)cc1Cl 795929043 WFBKOLDJAPATJV-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD C[C@H](OC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 803060799 JZGYDMATUOUDPY-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD COCCC[C@H](CNC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 804127562 MVMWOAMGUCUWPU-CQSZACIVSA-N 411.285 4.842 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 809920205 VGHSSGDGIBBKLG-PBHICJAKSA-N 407.829 4.507 5 20 HJBD Cc1cc(F)c(C(=O)COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1F 811373300 BUNQYHBVVVPWRW-UHFFFAOYSA-N 404.152 4.528 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2cccs2)no1 811375069 UQMNKGGMJGGZTG-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD Cc1ccccc1[C@@H]([C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)C 813206546 FLLNBWWKTAFLIR-SJKOYZFVSA-N 410.301 4.631 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 813305604 COWNMFFGDHAFGV-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD C[C@@H](N[C@H](c1ccccc1)c1nc2ccccc2n1C)c1ccc(N)c([N+](=O)[O-])c1 815573129 YWAAWIPIYRGQBX-IVZQSRNASA-N 401.470 4.504 5 20 HJBD CCc1ccc(-c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)cc1[N+](=O)[O-] 904487267 DVZIWUFVNSYTQJ-UHFFFAOYSA-N 412.409 4.608 5 20 HJBD C[C@@H](C1CC1)N(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 917680610 FKPNCHVDQLHKNN-NSHDSACASA-N 423.347 4.967 5 20 HJBD CN(CC1CC1)C(=O)c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1 917706649 DUVVJXTVPXVMBV-UHFFFAOYSA-N 407.514 4.536 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 918135790 LDOHWMQDAVSHRC-OAHLLOKOSA-N 420.425 4.606 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cnc(C)s3)cc2[N+](=O)[O-])n1 918533541 FFQYDFPNBHFUGA-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CN(C(=O)COc1cc(Cl)ccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 920992823 HZAWXQUTZCKFHX-UHFFFAOYSA-N 410.857 4.875 5 20 HJBD CCc1ccccc1O[C@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1322168610 GKCBXUDHKFHASF-QHCPKHFHSA-N 402.450 4.820 5 20 HJBD CC(C)COc1ccc(C(C)(C)c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1 1322399278 NNOAPQYOHBGTQC-UHFFFAOYSA-N 411.458 4.918 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)o1 1322878387 VYFHDRBMFYLARD-GASCZTMLSA-N 408.458 4.803 5 20 HJBD Cc1cccc(-c2nc(Cc3nnc(COc4ccccc4[N+](=O)[O-])o3)cs2)c1 1326975482 MUKGSAZEJFCEEX-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD C[C@@H](c1nnc(SCc2ccc([N+](=O)[O-])cc2)n1-c1ccc(Cl)cc1)N(C)C 3376523 QCFRTGLHICYNLN-ZDUSSCGKSA-N 417.922 4.744 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2csc(C)n2)n1 7430149 UNTHXDMHFDSGHK-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2ccc(Cl)cc2[N+](=O)[O-])cs1 11207423 LXHLFIMYWLLAKF-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 22547525 BGSHNZGSYVXUNB-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OC(F)(F)F)cc2)c1 31952089 XWHHWOSXSIPIDH-JTQLQIEISA-N 400.378 4.706 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 56003121 QHOGOOHDQZFKDJ-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCc1ccc(N(C(=O)CCn2cc([N+](=O)[O-])cn2)c2nc3ccccc3s2)cc1 63569035 WOEZEJZODIMONM-UHFFFAOYSA-N 421.482 4.718 5 20 HJBD C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cc2ccccc2o1 107699127 DTFSVKRCVSSVLC-NSHDSACASA-N 408.332 4.773 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)CC(C)(C)c2ccccc2)cc1[N+](=O)[O-] 109208561 XZEJJCVJLKKCTJ-INIZCTEOSA-N 416.543 4.709 5 20 HJBD COc1ccc([C@@H]2CCN(C(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)C2)cc1 109946714 FOGYVDXFNXSMGS-LJQANCHMSA-N 417.465 4.977 5 20 HJBD COC[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1cccc(C(F)(F)F)c1 301515175 FCXZJANHIOEVGG-ZDUSSCGKSA-N 420.185 4.571 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 302973267 BRIFNAJNHAMZNL-OAHLLOKOSA-N 415.858 4.625 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3cccc(C)c3)cc2)cc1OC 303721509 WIUJJAUPBQNTFR-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)c(C(F)(F)F)c1 325498860 LXIWALXOEOGOSB-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1ccc(Br)cc1[N+](=O)[O-] 430488981 TYDPDBFGDNNIGD-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD CN(Cc1cn2c(n1)CCCC2)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 432796248 FYXVWJIFUJWHFJ-UHFFFAOYSA-N 400.866 4.673 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 435986234 ZDVCCOAQCMYSLS-MRXNPFEDSA-N 400.478 4.960 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)[C@@H](C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 436190961 XKRKDPZNUPIKKE-BETUJISGSA-N 404.850 4.777 5 20 HJBD Cc1ccccc1C(C)(C)CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436364509 HNRFZWRBGJHIRS-UHFFFAOYSA-N 420.459 4.976 5 20 HJBD COc1cc(-c2nc(CN(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)ccc1O 436744926 RABSGWRLTVGCSM-UHFFFAOYSA-N 411.483 4.597 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437145140 LEAZANIWAQJDFT-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD CC(C)[C@H]1CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCS1 438489407 JDVUCXPTUMHBPV-LJQANCHMSA-N 401.488 4.992 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(OCC4CC4)CC3)c([N+](=O)[O-])c2)cc1 439693519 TYBSPZUFQUHILI-UHFFFAOYSA-N 409.486 4.551 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444119716 BHKZFNGBKCIUDE-HUUCEWRRSA-N 416.493 4.706 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(SCc2ccccc2)cc1 444646720 SWCIADVWNMZVIW-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)CSc3ccc([N+](=O)[O-])cn3)n2)c(C)c1 448108810 RDKCKBGCPIZPDB-UHFFFAOYSA-N 414.512 4.769 5 20 HJBD CC(C)c1ccc(C[C@H]2CCN(C(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)C2)cc1 461701601 BSYAZBLKAOTGJD-QGZVFWFLSA-N 419.481 4.677 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1cccc(N2CCCC2=O)c1 462387251 ISARLBJCUHGCFR-GFCCVEGCSA-N 404.264 4.657 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccncc2)nc2ccccn12 462630737 KTIJFEFVESVLGY-QGZVFWFLSA-N 415.453 4.512 5 20 HJBD O=C(NC[C@@H]1CCCOC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464437759 CQXPCINOVZPLQM-ZDUSSCGKSA-N 406.891 4.556 5 20 HJBD CCOc1cccc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1OC(F)F 465242995 POSGZLABXGLIHJ-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)c(F)cc1F 467033347 MBBQOFRUVVUUMG-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD CC(C)[C@@H](O)CCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467789567 RTSFCFQDCFLDHH-INIZCTEOSA-N 408.907 4.536 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCc1ccc[nH]1 468956327 PBTRHWDUBHJSKR-VBKFSLOCSA-N 418.478 4.780 5 20 HJBD COc1c(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)cccc1[N+](=O)[O-] 476657264 GLEFSVQPZHYLIO-UHFFFAOYSA-N 407.253 4.840 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](OC)c1ccccc1Cl 479675280 MIYAGPHZOREANW-XIKOKIGWSA-N 408.907 4.866 5 20 HJBD C[C@@H](N[C@@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 480602287 AIDDQBBGRSHURE-KUHUBIRLSA-N 421.419 4.628 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 483761210 SKYSIOQRDFOMKB-UHFFFAOYSA-N 400.385 4.766 5 20 HJBD Cc1ccc([C@H](C)NCCCOC2CCN(Cc3ccccc3)CC2)cc1[N+](=O)[O-] 484506017 TYNYVCNKTZIING-FQEVSTJZSA-N 411.546 4.625 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)nnc1-c1ccccn1 485410656 JRRQASVTFZEYGC-UHFFFAOYSA-N 422.445 4.621 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Br)cc(Cl)c2O)c1[N+](=O)[O-] 485519683 UJZYCFFBKGEDGC-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487012247 PMFRLZSXBRJYRA-UHFFFAOYSA-N 414.767 4.546 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 487752547 KDOJKFFQNUDPJV-UHFFFAOYSA-N 415.190 4.544 5 20 HJBD Cc1csc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1Br 489730109 WACCRMDZPHUYAT-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1c(Cl)cccc1[N+](=O)[O-] 497649446 ODPXVPIKAZFLEH-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nc1-c1ccc(F)cc1 498175804 FVEUZQUURINOKP-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 502115008 CCZYEGOXCBWQBN-LLVKDONJSA-N 403.797 4.548 5 20 HJBD CC[C@@H](C)n1c(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nnc1-c1ccccc1 507016633 ZVDIIXLEZQGIPA-CYBMUJFWSA-N 423.498 4.860 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H](c3ccccc3C)C2)c([N+](=O)[O-])cc1OCC 508240595 GXVZEBVBIJEACJ-MRXNPFEDSA-N 413.474 4.722 5 20 HJBD Cc1ccc(CNc2nccn2Cc2ccc(Br)cc2)cc1[N+](=O)[O-] 508501042 WVBPMNIZQGJPOZ-UHFFFAOYSA-N 401.264 4.523 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 515091532 JYLKTIXDNLBBGN-NSHDSACASA-N 423.347 4.736 5 20 HJBD CC(C)C[C@@H](C)n1nccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 515703448 SHKOTFKELSMDKL-GFCCVEGCSA-N 414.384 4.592 5 20 HJBD CC(C)c1ccc(CN2CCCC[C@H]2c2nnc([C@H]3CCCO3)o2)cc1[N+](=O)[O-] 517263092 UTUGWMWIYWTOJK-PKOBYXMFSA-N 400.479 4.680 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N(Cc1ccncc1)C1CC1 522709056 VOIXQKQVFGATIW-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD Cc1ccc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1NC(=O)c1ccco1 523496672 IQKLWEVVHROLBH-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCc3cccc(C)c3)c2)cc([N+](=O)[O-])c1OC 525049814 FHMIZHLURCSZIM-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CCOc1ccc(NC(=O)CN2CCCC[C@@H]2c2cc3ccccc3[nH]2)c([N+](=O)[O-])c1 533520480 ABHAFEVDKYMYQA-OAQYLSRUSA-N 422.485 4.641 5 20 HJBD C[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(-c2ccncc2)cc1 537134810 KMVLBRUVBKXGCP-OAHLLOKOSA-N 401.426 4.558 5 20 HJBD CCOc1ccc([C@H](C)NCCc2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 540183316 RAUBRCFFCIOHNX-ZDUSSCGKSA-N 404.417 4.632 5 20 HJBD CCc1cnccc1[C@@H](C)NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 540203404 ZAOWDHACUSAHDW-QGZVFWFLSA-N 421.497 4.991 5 20 HJBD CCCCOc1ccc(-c2nnc(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)o2)cc1 540856064 QCHIXYAPECTJNR-UHFFFAOYSA-N 419.441 4.736 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(Cl)c1)c1ccccn1 544091797 VAMARHNTPRUQDN-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544453914 XFHWZGLOPPLCNV-WBVHZDCISA-N 424.881 4.516 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 547231834 WFZSIBRMASSKPG-GUYCJALGSA-N 410.367 4.703 5 20 HJBD CCOc1ccc(C(=O)N2CC[C@@H](Sc3cccc(Cl)c3)C2)cc1[N+](=O)[O-] 553908117 VJNJVPVULWUSIT-MRXNPFEDSA-N 406.891 4.654 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(C(F)(F)F)ccc1Cl 554356282 QBSAKCZINAPZOV-UHFFFAOYSA-N 401.772 4.973 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2ccc(Br)cc2C1 556476896 NTCKXMCLXDGDJH-HNNXBMFYSA-N 417.303 4.511 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 557398434 BKUZPPXNCNJFMI-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD COCCC[C@H](CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(Cl)cc1 571233682 QGXJKEBUSXDIOD-OAHLLOKOSA-N 415.877 4.670 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCc2sc(Cl)cc21 584084801 FFUTXDXSXLSHGR-KCJUWKMLSA-N 401.271 4.534 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1nnn(-c2cccc([N+](=O)[O-])c2)c1C 609183473 YHYVPPXXMHBXNM-UHFFFAOYSA-N 417.878 4.502 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)s1 609293001 UYYFRYHFOPRCEJ-DYVFJYSZSA-N 411.487 4.871 5 20 HJBD Cc1cn(-c2ccccc2)nc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 609305751 SHFBPVQPSJJOOO-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD C[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 609725229 PITFCHYPTXIUFS-LLVKDONJSA-N 416.783 4.913 5 20 HJBD C[S@@](=O)Cc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609762676 NMIPTNBZNUCCDA-GDLZYMKVSA-N 410.451 4.518 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2ccc([N+](=O)[O-])cc2Br)c1 610038948 ORKGNYOODJYDGH-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1C 610199318 PMZSNMCWMSCICV-NRFANRHFSA-N 407.514 4.792 5 20 HJBD Cc1nccn1-c1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 611402000 WBPNURSWCBWIBM-UHFFFAOYSA-N 410.499 4.519 5 20 HJBD Cn1c(SCc2ccn(-c3cccc(F)c3)n2)nc2cc3ccccc3cc2c1=O 623236434 FXEVRFQMBFFUKQ-UHFFFAOYSA-N 416.481 4.704 5 20 HJBD O=C(Nc1ccc(CN2CCC(O)CC2)cc1)c1cc2ccccc2c2cccnc12 677839623 JWLOUVOHWQOISA-UHFFFAOYSA-N 411.505 4.597 5 20 HJBD C[C@H](OC(=O)[C@]1(C(F)(F)F)CCN(Cc2ccccc2)C1)c1cccc([N+](=O)[O-])c1 728167998 YDTOQAYHFZJHMM-YWZLYKJASA-N 422.403 4.654 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccc(O)cc1)Cc1ccco1 729545462 FXKLCXDHOUYNKS-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)OCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 729823265 JZAIDAHYCLAGQV-QFIPXVFZSA-N 418.449 4.508 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C(=O)Nc2ccc(Cl)cn2)c1 731464360 HQROQZSRBHSCGW-IZZDOVSWSA-N 422.828 4.547 5 20 HJBD O=C(CSc1ccccc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444952 AXYAICGKXOMRDD-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNC(=S)Nc2ccc(OC(F)(F)F)cc2)cc1 739438136 YKVFQVPHUOBZMJ-UHFFFAOYSA-N 413.421 4.803 5 20 HJBD Cc1ccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1NC(=O)NC(C)(C)C 741113806 RPAPEZUGYDLCGI-UHFFFAOYSA-N 405.838 4.696 5 20 HJBD O=[N+]([O-])c1cc(NC(=S)NCc2ccc(OC(F)(F)F)cc2)ccc1Cl 742975368 KSIQQYDBIJEVEV-UHFFFAOYSA-N 405.785 4.633 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1ccc(-c2ccncc2)cc1 746347332 ZSSUGRWMNWFULF-QGZVFWFLSA-N 416.481 4.748 5 20 HJBD O=C(O[C@H](c1ccccc1)c1ccncc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747220410 FHONSKKVMZJDAO-GOSISDBHSA-N 402.328 4.955 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 750842429 NYHIBWXYZZLJSQ-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)o2)cc1C 755061761 GMSLSIUXYDECTG-ZIAGYGMSSA-N 413.405 4.809 5 20 HJBD CC(C)[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Cl 755065879 NJLDVIGIFIXGPY-XIKOKIGWSA-N 422.840 4.697 5 20 HJBD CN1CC[C@H](CNCc2ccc([N+](=O)[O-])c(Cl)c2)[C@H]1c1ccc(Cl)c(F)c1 761527308 OGRRELFVZOQZDQ-AUUYWEPGSA-N 412.292 4.823 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)oc1-c1ccc(Cl)cc1 761580378 DCYWFODNXAQKQH-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@H](C(=O)OCc1cccc(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 763396559 AYLKKXPLDFPSKQ-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(C)c(OCc3cccnc3)c(C)c1)CC2 773985413 JZVTXMWYDOHKKQ-UHFFFAOYSA-N 417.465 4.697 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 784642954 LENJCLIVDMJVGM-UHFFFAOYSA-N 409.873 4.798 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(Cc2c(Br)cccc2[N+](=O)[O-])CC1 789762863 WZVSHSYRYZEEDQ-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 790466931 VAIMKWAINXCJRV-VIFPVBQESA-N 404.747 4.826 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nc(C(C)C)n(-c2ccccc2Cl)n1 800148777 BZLTZDRDOWIODU-UHFFFAOYSA-N 417.828 4.652 5 20 HJBD Cn1ccnc1[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(Cl)cc1 809920148 SVZAKCGTVVEVJQ-INIZCTEOSA-N 420.256 4.546 5 20 HJBD Cn1cc(-c2ccc(F)c(C(=O)OCc3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)cn1 815232349 GRYNQTWEJRRAGL-UHFFFAOYSA-N 423.322 4.510 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 917779205 LNCYPQDDNWBDKF-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)n1 1318778149 XVASINCTRTXNFU-UHFFFAOYSA-N 411.508 4.808 5 20 HJBD COc1ccccc1C1(c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)CCC1 1320210744 XFTOKMVIBOOXNM-UHFFFAOYSA-N 404.426 4.529 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])cc3c2OCCO3)o1 1322205960 RTINOZMICVWAHB-CYBMUJFWSA-N 419.393 4.709 5 20 HJBD C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc(NC(N)=O)cc1 1322806049 JZCHQJYYJIPVRW-LBPRGKRZSA-N 414.849 4.850 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1 1326813647 SQGWJHJRVNYDFW-UHFFFAOYSA-N 405.389 4.571 5 20 HJBD C/C=C/C=C\C(=O)NC1(c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)CCC1 1790699372 MFACBGKTKBWKNP-ZPYFUIHZSA-N 423.444 4.614 5 20 HJBD Cc1ccc(C(=O)Nc2ccnn2Cc2cccc(Cl)c2Cl)cc1[N+](=O)[O-] 15239855 RTGNNSBXFSWTOK-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)c1ccccc1SCc1cccs1 15835035 PGKOOHHVOPLTCX-UHFFFAOYSA-N 413.524 4.791 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccncc3)n2Cc2ccccc2)c(F)c1 42097181 MGCBPZVTKYWNPR-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 45395455 POWDIPVNEIYYQY-UHFFFAOYSA-N 401.894 4.513 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 56196525 WXNPCVZHVFKOKF-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 60348725 HAVJSSMKVRFSEG-OAHLLOKOSA-N 401.488 4.706 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(N[C@@H](C)c2ccccc2)c(Cl)c1 64657853 NSBJLHNTHUFXGA-ZDUSSCGKSA-N 413.865 4.565 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)o1 64906595 GYOMSYYDSVFKSE-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COC(=O)c1cc(C(=O)N2CCC[C@@H]2c2cccc3ccccc23)cc([N+](=O)[O-])c1 65884409 ICBOFSXRXDEESL-OAQYLSRUSA-N 404.422 4.512 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 97476274 YRNSWICOUGUUFD-NSHDSACASA-N 419.279 4.814 5 20 HJBD C[C@@H](Cc1ccccc1F)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 108818584 RCTSWCYQFGUFIV-HOTGVXAUSA-N 422.460 4.663 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccnc(N4CCCCCC4)c3)cs2)c1 238009350 UGZBSEPYWZCJKO-UHFFFAOYSA-N 423.542 4.784 5 20 HJBD O=C(CSc1cccc(Br)c1)Nc1ccc(Cl)cc1[N+](=O)[O-] 303206621 PTXUILJKHMHKGT-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD COc1ccccc1[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)N(C)C 409726776 IMFFAEQDFMEAPA-HXUWFJFHSA-N 411.527 4.724 5 20 HJBD Cc1cc(N2CCC(c3ncccc3Cl)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 428673072 SKMATRWEHYDNKK-UHFFFAOYSA-N 409.877 4.793 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435817921 KTKDVPKYFDEWAK-UHFFFAOYSA-N 417.465 4.702 5 20 HJBD Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436137887 AQBBOQFTMGWXIO-FQEVSTJZSA-N 404.416 4.685 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(c2cc(C(F)(F)F)ccc2Cl)CC1 436145747 AORDECIRPCDIQV-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD CC(C)COc1cccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436150578 KGFILNPYILENBV-UHFFFAOYSA-N 418.468 4.785 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCc4ncsc4C3)cc2[N+](=O)[O-])cc1 438675101 RFGJRILJVMLZRX-UHFFFAOYSA-N 411.508 4.709 5 20 HJBD Cn1cc(C(=O)Nc2cccc(CCC(F)(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 440706670 UOOJSHVMUATCKQ-UHFFFAOYSA-N 418.375 4.743 5 20 HJBD CCO[C@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(CC)CC 444228504 UCJXTCZWKOYQEC-VXKWHMMOSA-N 406.526 4.737 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] 444784314 NHYXHFVFBQMOEG-OAHLLOKOSA-N 415.799 4.778 5 20 HJBD COc1cc(Cl)ccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 445683753 SEOSCRXYFLGSFM-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])[C@H]1CCOc2ccccc21 446424535 LNTGROKNXCHOOL-IBGZPJMESA-N 404.422 4.679 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cc(SC)ccc3[N+](=O)[O-])cc2)cc1 460565964 QAJFUQYONYIKGP-UHFFFAOYSA-N 408.479 4.922 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](C)C[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-] 461311933 VVDXXMRFUSWCNY-KBXCAEBGSA-N 418.490 4.585 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(OC(C)C)c(OC)c1 462420340 VCMJBZQKSOIVTB-WMZOPIPTSA-N 414.502 4.837 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)c1 462859082 CIYYNISOACQTKT-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCc2cc(Br)ccc21 463654675 XCAOZOMJOYBFSO-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 463738136 HEQPUJKAQDRJCR-UHFFFAOYSA-N 408.376 4.606 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Nc2ccccc2CCC(F)(F)F)c([N+](=O)[O-])c1 464791413 SXFQLCCQQCUYRH-LBPRGKRZSA-N 411.380 4.537 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cc(F)c(Br)cc1Cl 466464407 MQEPOGZPTNOYQV-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD Cc1noc([C@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n1 466877037 KDLQTGZVQLZKNQ-JTQLQIEISA-N 418.862 4.582 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 468322968 JAQWUKVPOIAHRX-QGZVFWFLSA-N 408.502 4.872 5 20 HJBD CCc1onc(-c2ccccc2)c1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 483306841 LFEMKGIMVDSXGF-UHFFFAOYSA-N 403.341 4.666 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)NCc3cccc([N+](=O)[O-])c3C)c2C(C)C)cc1 484818477 VKYNZFHNHWXSBY-UHFFFAOYSA-N 407.474 4.842 5 20 HJBD Cc1ccc(C)c(SCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 486066926 FJQYVHHFBQEUGB-UHFFFAOYSA-N 412.433 4.895 5 20 HJBD CSc1cccc(C(=O)Nc2nc(Sc3ccccc3)ns2)c1[N+](=O)[O-] 486208628 ZYDIKINCVPLEAN-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)c(Cl)cc2F)c([N+](=O)[O-])cc1OCC 488386613 ADNBVKTYWRTXKU-UHFFFAOYSA-N 400.765 4.576 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)cc1)c1ccccc1 489027339 NAXMZSYJDIMGIL-AWEZNQCLSA-N 405.410 4.749 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 489285460 HDDNLMCFWULGAT-UHFFFAOYSA-N 417.878 4.537 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)NCC(C)C)c(Cl)c2)ccc1[N+](=O)[O-] 492585092 WNAOFXWLBJCPLC-UHFFFAOYSA-N 405.882 4.645 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cnn(Cc2ccccc2)c1 494476768 PILVRYGTOWSAJB-CQSZACIVSA-N 404.392 4.709 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N(CCc1ccc(Cl)cc1)CC1CC1 498135389 LURUMQAEEFTGBD-UHFFFAOYSA-N 409.873 4.891 5 20 HJBD COC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 498595744 YZIWPLFAOIKNHG-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(C(=O)Nc2ccccc2)c1C 498638930 OWZODSUFQOBWRA-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(COc4ccc(F)cc4)c3)n2)c1 506246699 NSVJIZZMEMBNKC-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](CCc2ccccc2)c2ccccc2)c2c(c1)COCO2 507915791 VZTGXNCAPXEOGI-HSZRJFAPSA-N 404.466 4.925 5 20 HJBD O=C(NCCCCCN1CCCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 508091055 UJAFMJFDEMXGNM-UHFFFAOYSA-N 413.543 4.742 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 508292274 ATSQFCMUNFQBGD-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 509464926 PUJIUPCRKPLLRI-INIZCTEOSA-N 415.490 4.683 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 510434219 MESYNCHYIAANOR-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN(C)CCc2ccccc2[N+](=O)[O-])cs1 511127002 SQAKGIUDEDIYSV-UHFFFAOYSA-N 424.526 4.719 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)CCNc4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 515244225 LVSLNLAUTZHEOM-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])NCc1ccc(Cl)s1 518661082 VYZWTBMXWCITPE-UHFFFAOYSA-N 402.859 4.672 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2ccccc2)CC2CCOCC2)cc1[N+](=O)[O-] 518899934 MDPLJYKTZUBOQP-UHFFFAOYSA-N 410.514 4.961 5 20 HJBD Cc1nn(C)c(C(=O)NCc2cccc(Oc3ccc4ccccc4c3)c2)c1[N+](=O)[O-] 519200100 SFBFGVOTDZGFFM-UHFFFAOYSA-N 416.437 4.512 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Oc2ccccc2C(F)(F)F)cc1 520555332 JQBUYTNXTDKGPB-UHFFFAOYSA-N 420.347 4.631 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Sc2ccccc2Cl)cc1 520950393 WTWMHLUYYPVJPF-UHFFFAOYSA-N 402.863 4.625 5 20 HJBD C[C@@H](NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1Cl 522730957 RBMHFOJDPABMAD-LLVKDONJSA-N 405.241 4.578 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 524265559 UAOFFDLHFVUCMO-MRXNPFEDSA-N 421.682 4.946 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 530726937 JPHHSNBXYQIWKN-SCLBCKFNSA-N 418.291 4.965 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc3c2CN(c2nccs2)C3)cc1[N+](=O)[O-] 536270350 VALXQONIERNBMD-CQSZACIVSA-N 423.498 4.763 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 539339159 JOMARHRCEABIMQ-GJZGRUSLSA-N 424.888 4.988 5 20 HJBD COc1cc(C(=O)N2CC[C@H]2C(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 539439869 BPLOIDGNXCIKNA-HNNXBMFYSA-N 404.850 4.920 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 540111246 BNHWPDMDJLLZFE-INIZCTEOSA-N 421.501 4.615 5 20 HJBD O=C(NCc1ccc(C(F)(F)F)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540121460 WOYTWCZXGIRSHO-UHFFFAOYSA-N 401.344 4.606 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 543443506 BRFXAILUGYLXRI-JTQLQIEISA-N 421.400 4.802 5 20 HJBD COc1ccccc1OCc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)o1 544768464 QEFMLAQZOOMBCT-UHFFFAOYSA-N 407.382 4.801 5 20 HJBD Cc1nc(Cc2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cs1 544912574 TWFCZABWLODDRZ-GFCCVEGCSA-N 422.470 4.569 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3ccc(Br)cc3OC(F)F)no2)c1 545855666 UNOQNHMJFCYBSB-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)c1 549036022 MRLBLRAVLBFEII-UHFFFAOYSA-N 411.433 4.634 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](c2cncc(Br)c2)C2CC2)cc1[N+](=O)[O-] 551818716 RPLLPQUEKJEJNF-HXPMCKFVSA-N 420.307 4.953 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccc(OC(F)F)cc1 552501584 DPSQDUNSPWPZSU-UHFFFAOYSA-N 403.385 4.526 5 20 HJBD Cc1c(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cccc1[N+](=O)[O-] 557438504 QAUGSGKSROMSSG-KPZWWZAWSA-N 408.376 4.524 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(Cc2nnc(-c3ccccc3Cl)o2)C(C)C)c1 583176880 XEOXZMQEUWTMSF-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD CSCCNC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603451743 ZMBCACRHHMEEJK-UHFFFAOYSA-N 416.446 4.858 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 604521437 KERYASISHUSIHR-LKUPVBHCSA-N 422.485 4.806 5 20 HJBD CC(C)C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608851359 PJGFKGYMACNLKU-HNNXBMFYSA-N 424.375 4.860 5 20 HJBD O=[N+]([O-])c1ccc(OCCCN2CCCC[C@H]2c2nc(-c3ccccc3)no2)cc1 609101987 KYCXGKFKYWCVKJ-FQEVSTJZSA-N 408.458 4.641 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc(-c2ccccc2)cs1 609722706 XERDWWAGSJHFIQ-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCOC[C@H]1c1ccco1 610860813 YSXCAXAVIVFUOC-IBGZPJMESA-N 410.451 4.553 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cccc(C(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 611439267 QCCOPOYDAHCWBT-OAHLLOKOSA-N 400.475 4.800 5 20 HJBD CC(C)(CC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 614897377 NXMNWMOGFABLMF-UHFFFAOYSA-N 404.332 4.553 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Oc2nccs2)c1 618713020 FOAUQBBDZRVEMO-UHFFFAOYSA-N 423.372 4.792 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 618750016 PBTHCJZHUVQESR-UHFFFAOYSA-N 424.379 4.511 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C\C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729871770 IGVOQKKNZWPCIK-WVUXNXBLSA-N 423.425 4.579 5 20 HJBD CCCCN(C)c1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 730505784 CPERTWRZZRIAGU-UHFFFAOYSA-N 410.518 4.686 5 20 HJBD C[C@@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccc(Cl)cc2[nH]1 732519208 YTFWBZCYCOPKHI-GFCCVEGCSA-N 414.849 4.643 5 20 HJBD CN1CCC[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)[C@@H]1c1ccc(C(F)(F)F)cc1 735909046 TYCJJAFMIDWHHE-APWZRJJASA-N 422.403 4.854 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 739774463 OIXSFZBXSGOOQF-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD CSC(C)(C)CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 743488014 DRIYUKSPEIGVSY-QGZVFWFLSA-N 407.923 4.659 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)nc2ccccc21 746010859 MJGWMRDFYIOFEM-QGFLDLQWSA-N 404.470 4.902 5 20 HJBD CCn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nc2sc(C)c(C)c2c1=O 746390820 OTBUYRHVJMEBAY-UHFFFAOYSA-N 409.920 4.949 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(N(CC2CC2)C(=O)OC(C)(C)C)CC1 750836121 YOMSPWHMHFFHFU-INIZCTEOSA-N 403.523 4.767 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1cc([N+](=O)[O-])ccc1Br 751125878 QPKQBOHRPAQBBT-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1c(C(=O)O[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cccc1-c1ccccn1 755100173 XARBGKXOEVGJKE-VQTJNVASSA-N 406.442 4.502 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)n1 756993034 BEOVDPFSCOCZAQ-UHFFFAOYSA-N 414.849 4.526 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)OC(C)(C)C 757621601 DOTPTGZRLOYVHK-WBVHZDCISA-N 420.510 4.511 5 20 HJBD Cc1cc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)ccc1F 760070664 VQCQEXYOVWQUFQ-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 775597125 RROYSMUXZGQLAW-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD CSc1cccc(C(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)c1[N+](=O)[O-] 777139934 QMAGYCHEGZHOGH-IBGZPJMESA-N 420.918 4.556 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccc([N+](=O)[O-])cc2)on1 778093859 XYFOFIBKDMEQPG-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD CCOC(=O)/C=C\c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 779432884 LKSOTUNXONXDOY-FLIBITNWSA-N 409.467 4.907 5 20 HJBD Cc1c(C(=O)Nc2ccc(OC(C)C)cc2Cl)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 781760909 HCSLWESQPKQBMC-UHFFFAOYSA-N 407.810 4.813 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)n(C(C)(C)C)n1 782124839 WLNCRGJBTVZEOD-UHFFFAOYSA-N 423.311 4.859 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 783869974 SNPZLHTWNDOSMR-VIFPVBQESA-N 417.609 4.718 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2cc(Cl)ccc2n1C 784064462 FFYPWWXDOMQEAN-NSHDSACASA-N 405.863 4.531 5 20 HJBD CC(C)c1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1[N+](=O)[O-] 784117852 LTWAZONBWUIODO-LJQANCHMSA-N 401.444 4.514 5 20 HJBD C[C@@H](NC(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 784221278 DLHJQKRYLOBNFS-CJNGLKHVSA-N 407.392 4.874 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3ccccc3OCc3cn4ccccc4n3)n2)cc1 794663299 JIWZPNQVBOPGEB-UHFFFAOYSA-N 413.393 4.539 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1 800146606 BTUVEMQFTCGVMP-UHFFFAOYSA-N 419.497 4.626 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccncc2)nc2ccccc12 804178752 PHXHKABNFRCYEJ-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1Cl 805436432 KGJFPASDCVCRJF-UHFFFAOYSA-N 421.906 4.938 5 20 HJBD O=C(CC[C@H]1Cc2ccccc2NC1=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811047664 VBICRNBQQMIBSH-LBPRGKRZSA-N 423.252 4.536 5 20 HJBD Cc1cc(Br)ncc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813032719 ZTOCTUMFZIACDW-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@H](C(=O)c1ccccc1)c1ccccc1 819006854 NMKASVVFEHUCGV-QFIPXVFZSA-N 407.447 4.854 5 20 HJBD CCC(CC)c1cc[n+](/C(C(=S)NC(C)C)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 839407027 NRFLTPSYKJVADO-UHFFFAOYSA-O 414.551 4.995 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Cc2ccccc2)cc1[N+](=O)[O-] 854572147 QBBOCQAEWDMOIY-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD COc1ccc([C@H]2CCCN2c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])cc1 916990111 HRXMNWVIAZEYAR-CQSZACIVSA-N 411.336 4.872 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@H](C)N4Cc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 1116330988 MMQGPRCCVSELBW-RYUDHWBXSA-N 410.499 4.507 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2cc(Br)cc([N+](=O)[O-])c2)o1 1326961467 HRIVJABHCFNNNS-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD O=[N+]([O-])c1ccccc1OCCc1nnc(-c2ccc(Br)cc2Cl)o1 1329261343 GZDJODNXQKIGHV-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 7108106 WSLDUVSVNLIPFN-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD CSc1ccc(C(=O)OCC(=O)Nc2c(C)cccc2C(C)C)cc1[N+](=O)[O-] 9622034 JCAYNZMMHMOKJY-UHFFFAOYSA-N 402.472 4.544 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])n1 15637398 MHNGBZPBRQVAAE-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)cccc1[N+](=O)[O-] 15919065 NNRDYEOZAZSOKZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 46361757 YOTWOFKGAIWMEB-DLBZAZTESA-N 407.495 4.913 5 20 HJBD COc1cc(OCC(=O)N(Cc2ccccc2)c2cccc(C)c2)ccc1[N+](=O)[O-] 66016757 CVBNGLXRTAZJNF-UHFFFAOYSA-N 406.438 4.524 5 20 HJBD COc1ccc(OC(=O)c2cc(-c3cc(C)oc3C)nc3onc(C)c23)c([N+](=O)[O-])c1 105513418 XRAFPSCLCVVZIR-UHFFFAOYSA-N 423.381 4.544 5 20 HJBD CCOc1cc(CNc2cc(C)cc(C)c2)ccc1OCCn1c([N+](=O)[O-])cnc1C 237029391 AXWKLVORERIZEQ-UHFFFAOYSA-N 424.501 4.806 5 20 HJBD Cc1nc2ccccc2n1CCCNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 237765299 BNJZJOCPJWRVPW-UHFFFAOYSA-N 407.499 4.556 5 20 HJBD O=C(NCc1nc2ccccc2[nH]1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 247306682 GZDDHFGSIZETJI-UHFFFAOYSA-N 422.828 4.847 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](c2ccccc2)c2cccc(Cl)c2)c([N+](=O)[O-])c1 301114266 SVFINKVIYQNWFP-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD CCc1cc(NCc2nnc(-c3ccccc3)s2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301650643 PXBCIGWAQHVITL-UHFFFAOYSA-N 418.482 4.745 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1c(F)cc([N+](=O)[O-])cc1F 301782014 JSZGXAPAHHTLRL-UHFFFAOYSA-N 404.200 4.645 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCc1cc(Br)ccc1OC(F)F 324332075 WUFKUFGYVDCGJO-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD O=[N+]([O-])c1cnc(N[C@@H](Cc2ccccn2)c2ccc(Br)cc2)s1 409647804 MCLDOHNMNLCZDQ-AWEZNQCLSA-N 405.277 4.605 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)ccc1O 427164008 KPMLEOJMGFJHEL-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD COc1cc([C@@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 431873400 TUGRWRLOYRVNLV-OAHLLOKOSA-N 415.490 4.686 5 20 HJBD COc1cccc(C(=O)N2CCc3sccc3[C@@H]2c2cccs2)c1[N+](=O)[O-] 435647056 LTLJQXTWOTXBIT-GOSISDBHSA-N 400.481 4.514 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1[N+](=O)[O-] 436044869 CSCLEQIQODNLOM-CQSZACIVSA-N 422.281 4.656 5 20 HJBD COc1ccc(-c2noc(CS[C@@H](C)c3ccccc3[N+](=O)[O-])n2)c(OC)c1 437297703 ZDZZUYBLUQCKRZ-LBPRGKRZSA-N 401.444 4.656 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 441392157 IKCDOKVSMGAZON-HNNXBMFYSA-N 411.408 4.913 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444282766 CPAKRCJZYXDEIH-UHFFFAOYSA-N 412.367 4.717 5 20 HJBD Cc1sc(CCN[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)nc1-c1ccccc1 447578398 FFMXKNLUUUDDJZ-ZWKOTPCHSA-N 411.531 4.539 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)nc21 461233825 IFOWTJWBBGMLDD-UHFFFAOYSA-N 410.293 4.680 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 462628262 DKSCJTSUXVFFOH-HNNXBMFYSA-N 406.442 4.730 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463830613 MMWBCMNPOIMQHV-JTQLQIEISA-N 420.309 4.993 5 20 HJBD C[C@@H](Oc1cccnc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 464188792 QMFIRABBJXXCPA-GFCCVEGCSA-N 401.469 4.609 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)c1 464696284 MKKNZPMHYBGURV-OXJNMPFZSA-N 400.500 4.523 5 20 HJBD CC(C)C[C@H]1CCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 465302759 AKLDDRHRXUDZDH-GFCCVEGCSA-N 411.289 4.596 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 466908228 PRJBYEGXOODFMH-RXVVDRJESA-N 407.514 4.606 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNC1CCN(Cc2ccc(F)c(F)c2)CC1 468656044 QVIOVDFOWJAKLA-WJDWOHSUSA-N 401.457 4.531 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470703658 DHNMWJYAIZJMSG-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CSCc1cn(-c2ccccc2)nc1-c1ccccc1 477001909 KZAWBLKFZJCVKQ-UHFFFAOYSA-N 405.483 4.614 5 20 HJBD Cc1sc(CCNC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc1-c1ccccc1 478845103 YGGGTUMUYDSHRG-UHFFFAOYSA-N 422.510 4.574 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 482033985 AUFSYEKBEBSQBT-UHFFFAOYSA-N 411.439 4.804 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)ccc2Sc2nccn2C)c1[N+](=O)[O-] 486252451 PXFSCYGPDMADOG-UHFFFAOYSA-N 418.475 4.593 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(Oc2ncccc2F)CC1 487775312 FQCHGYFVAYKTSR-UHFFFAOYSA-N 401.441 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cccc(C(F)(F)F)c2)nn1C 489997017 YSSHPONSWJEMDO-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 490943008 RQHLQUHBJLQCLD-LJQANCHMSA-N 405.454 4.889 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(n3ccc(C(F)(F)F)n3)CC2)cc1[N+](=O)[O-] 491739166 KGYSYWHGDCXWDN-UHFFFAOYSA-N 424.423 4.585 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)c3ccc([N+](=O)[O-])c4cccnc34)CC2)n1 498154333 WUOIOZFQMWBXRD-UHFFFAOYSA-N 424.526 4.917 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 498974973 QDFMGJCXSRESAL-CYBMUJFWSA-N 405.376 4.788 5 20 HJBD Cc1ccccc1-n1c(SCc2c(F)cccc2[N+](=O)[O-])nnc1-c1ccncc1 504502999 OIHYBGZTJCMJJO-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)CCCNc2ccc([N+](=O)[O-])cc2)nc2ccccc21 506199391 HYALWOULMKRRPI-NRFANRHFSA-N 421.501 4.520 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2nc3cc(Br)ccc3o2)C2CC2)cc1 509026833 BDSLAMQCQMYEHD-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD Cc1noc([C@H]2CCCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)C2)n1 509356344 HYPOFGZQOABHLK-INIZCTEOSA-N 412.421 4.597 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc2cc(Cl)ccc2s1 509779508 AWRBNOACYWZPHB-UHFFFAOYSA-N 418.906 4.978 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510053303 DAVXGBOXMRQYAK-DNVCBOLYSA-N 423.494 4.616 5 20 HJBD CCN(CC)[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 510329521 PRDIJEZPFKXTLK-LJQANCHMSA-N 423.435 4.655 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1OCC 511606686 PGIBPQHEYWQFMQ-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2ccc(Oc3cccnc3)c(C)c2)cc1C 511713162 DCIJPCBLQAISTD-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)CC1 516375003 GACXWTNRNCIJPW-HNNXBMFYSA-N 404.470 4.944 5 20 HJBD Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(OC[C@@H]2CCCO2)c1 518523743 SEYLJEBOKCBBOM-AWEZNQCLSA-N 405.838 4.758 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 519890340 UOWFETGMSZBTCU-CYBMUJFWSA-N 406.435 4.642 5 20 HJBD Cc1nn(CCC(=O)N(c2cccc(C(F)(F)F)c2)[C@@H](C)C2CC2)c(C)c1[N+](=O)[O-] 523272231 LFKJETAMAIBZEY-ZDUSSCGKSA-N 424.423 4.649 5 20 HJBD Cc1ccc([C@@H](C)NCc2ncc(-c3cccc(Br)c3)[nH]2)cc1[N+](=O)[O-] 531206051 BREQEVRYZNQKLV-CYBMUJFWSA-N 415.291 4.907 5 20 HJBD O=C(NC1(c2ccc(F)cc2F)CCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 534970679 GYYZZCADXJXBHZ-UHFFFAOYSA-N 400.303 4.701 5 20 HJBD CN(C(=O)CCCOc1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355460 ALEPLQOEQJMNDR-UHFFFAOYSA-N 415.446 4.679 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 539356863 PNXKSRKAQZLCDA-LLVKDONJSA-N 418.500 4.549 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](Cc3ccccc3)c3ccccc3F)n2)c1 540440870 QBBUWEAJAAUCKZ-OAQYLSRUSA-N 418.428 4.858 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451258 FPGRPNVCCSLZCK-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2nc(C(F)F)[nH]c2c1 543156915 STPIBRBOMZXFBR-UHFFFAOYSA-N 412.805 4.793 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(Cc3ccccc3)cs2)cc1SC 543209012 XPTRNZHKPHECLP-UHFFFAOYSA-N 415.496 4.625 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1F 544271423 DCHYOBAGENOMCW-UHFFFAOYSA-N 405.401 4.857 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(Cc4ccc(Oc5ncccn5)cc4)no3)cc2c1 546387983 OELXVGNJCBZVCK-UHFFFAOYSA-N 415.365 4.564 5 20 HJBD CC(C)(C(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1ccccc1[N+](=O)[O-] 549902719 GXAXOXHVUWHQEM-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD O=[N+]([O-])c1cccc(OCCN[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)c1 551424768 CBTVJKPAJHVRJJ-HXUWFJFHSA-N 424.419 4.750 5 20 HJBD CC(C)c1[nH]nc(C(=O)N2CCC(c3ccc(Cl)cc3Cl)CC2)c1[N+](=O)[O-] 552956479 ZXUVNZOHPFZDGL-UHFFFAOYSA-N 411.289 4.768 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 568687006 HJHGBOHLPPYBSI-LJQANCHMSA-N 421.457 4.966 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 589146645 XDVZEQXVIOCQRO-LSDHHAIUSA-N 408.458 4.824 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 603449322 DQQHCEYVTUXJBS-JOCHJYFZSA-N 424.460 4.568 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4o3)CC2)c(C)c1 603875533 RKMNPMBINOFMOS-UHFFFAOYSA-N 406.438 4.693 5 20 HJBD O=C(NC1CC1)c1ccc(NCc2cccc(COc3ccccc3)c2)c([N+](=O)[O-])c1 603944497 UIOGPSIMESFUQL-UHFFFAOYSA-N 417.465 4.678 5 20 HJBD C[C@@H](NS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 604039885 RZMSKWIVROUILL-MRVPVSSYSA-N 409.678 4.595 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCc3nc4ccccc4[nH]3)c([N+](=O)[O-])c2)cc1 608972810 HFVXOEANDMDPIZ-UHFFFAOYSA-N 415.453 4.686 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Oc3ccncc3)c(Cl)c2)cc1[N+](=O)[O-] 609011908 MLFSBKGFVDYDAX-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 610175966 MRQUJWBHLBAHQW-UHFFFAOYSA-N 417.878 4.582 5 20 HJBD COc1cc(CN2CC[C@@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 611274494 ATHOAMFJDFEAEF-OAHLLOKOSA-N 414.355 4.631 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 615037723 QMDULOVUYBYKLB-NXEZZACHSA-N 413.318 4.934 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)c1 619791093 SMRMMOQEZOIDFH-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD Cn1cc(CCNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)cn1 727769023 WLRJWZKYNHQCCS-UHFFFAOYSA-N 409.489 4.639 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 727992719 MDIYEWQTJSDOCZ-GOSISDBHSA-N 410.861 4.931 5 20 HJBD COCc1nc2ccccc2c(C)c1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 734885574 CXJYUXDDSJIPTF-UHFFFAOYSA-N 400.818 4.608 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C(=O)c1ccc(C(C)(C)C)cc1 735353550 KJJFLUSSINGTEY-CYBMUJFWSA-N 422.437 4.574 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1F 739630774 LRUQVSUCCCOYAA-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD CC(C)C[C@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 743923390 GPTHVKWDVOFXLM-INIZCTEOSA-N 424.284 4.744 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F 750286280 BURCSODSJKTNHJ-NSHDSACASA-N 414.405 4.883 5 20 HJBD CC(C)(C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(C(F)(F)F)c1 751657792 BTVOVJOAAUEJJB-UHFFFAOYSA-N 408.332 4.776 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccccc1)C[C@H](O)c1cccs1 755762074 JXXHMGYZENVONU-FQEVSTJZSA-N 421.478 4.514 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1cccc([N+](=O)[O-])c1Br 757919664 FQSPAEYECKVHOM-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)c2)n1 758906982 KCNISBQBDRKNCZ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 762982094 KUORFYNZLSYQQI-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 763961890 HAHKCAWMIBSCES-HNNXBMFYSA-N 408.930 4.757 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1C[C@@H](O)c1ccco1 765591222 RNZOGBBIYQNXAN-HMXCVIKNSA-N 414.502 4.651 5 20 HJBD COc1c(Cl)cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 770552142 DXXRVLRWBYNIIN-UHFFFAOYSA-N 407.257 4.923 5 20 HJBD C[C@H](Oc1ccc2c(c1)CCC2)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132018 HCDIINOTQOYEPP-HJPURHCSSA-N 418.449 4.579 5 20 HJBD CC[C@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)CCO1 779294763 MWFFRXXNIVKMOW-MAUKXSAKSA-N 401.415 4.510 5 20 HJBD Cc1cc(COC(=O)Cc2cccc(NC(=O)OC(C)(C)C)c2)ccc1[N+](=O)[O-] 781171022 GDRYWMBYKTYCOT-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1C 781310878 SVIYGQAMNMNLPQ-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1C 781312869 GKRJJLJPZSWTKZ-NSHDSACASA-N 411.241 4.702 5 20 HJBD COc1ccc(OC(=O)c2nc(-c3cccc(C(F)(F)F)c3)oc2C)c([N+](=O)[O-])c1 782196489 ZCLJIWANGLDCCR-UHFFFAOYSA-N 422.315 4.805 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 784994671 WODUUKRVWJVZCN-NEPJUHHUSA-N 401.806 4.706 5 20 HJBD COC(=O)CCc1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 786580253 ZKNJXDOMULWVGG-UHFFFAOYSA-N 410.426 4.581 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 790653159 GWNGQMWOWSBGKL-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OC[C@@H](OC(C)C)c2ccccc2)cc1SC 795389346 NQYRVIOCOIDUQJ-GOSISDBHSA-N 405.472 4.648 5 20 HJBD CC(C)[C@](C)(NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(N)=O 804428767 VUUDWSGYNRJRCX-SANMLTNESA-N 414.505 4.563 5 20 HJBD C[C@@H](O)c1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1F 804790164 AUKSXMJEPPGHAS-CQSZACIVSA-N 409.417 4.652 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1cccnc1F 808012726 IQGRVLOEZHMERM-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1cccc([N+](=O)[O-])c1Cl 816943942 NOEMRKZUADMFFV-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 917093947 XZFHYZCQCUDTLJ-UHFFFAOYSA-N 404.470 4.653 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 920779034 ZGVGIPJILMXRME-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCc3c(ncn3-c3ccccc3)C2)o1 929066409 TWNRJOGJTWPPCS-UHFFFAOYSA-N 400.438 4.599 5 20 HJBD O=C(O[C@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1cc(F)cc([N+](=O)[O-])c1 1122860415 YPFMFYQLRCELCS-QGZVFWFLSA-N 424.215 4.836 5 20 HJBD C[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1OCc1ccccc1 1319776658 OVBZLODNHYLHIR-QGZVFWFLSA-N 417.465 4.960 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2cccc(F)c2)nc1 1321286150 DEBNGCSCMWVUEG-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD Cc1nnc(SCc2ccc([N+](=O)[O-])cc2Br)n1Cc1ccccc1 1324139231 LXXZZHFLNQSKJL-UHFFFAOYSA-N 419.304 4.598 5 20 HJBD C[C@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C(c1ccccc1)c1ccccc1 1330234477 IXFDZRJZNPHYCR-KRWDZBQOSA-N 401.466 4.841 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(CCc3ccccc3)o2)c(Br)c1 1338302664 RJMDGJRANLNRAG-UHFFFAOYSA-N 420.288 4.818 5 20 HJBD O=C(Nc1ccc(C2(NC(=O)c3cccc(F)c3[N+](=O)[O-])CCC2)cc1)C1=CCCC1 1787623260 MCHJSXKRSLWSPJ-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccccc1Cl 16054184 KZHCLNOSFUORGK-JTQLQIEISA-N 407.904 4.655 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(C/C=C/c1ccccc1)c1ccc2c(c1)OCCO2 23147947 AHKHXBOZJZNWKB-QPJJXVBHSA-N 416.433 4.726 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 24933397 WPEBJQVIARUSMX-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCC(C)C)c(Cl)c2)c([N+](=O)[O-])cc1OC 30201378 GSMZIAMYPAJTMT-UHFFFAOYSA-N 408.838 4.553 5 20 HJBD CCCCOc1ccc(OC)cc1N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 30523248 OJTFUUXSWWMQBM-HNNXBMFYSA-N 401.463 4.530 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc(C)c(C)o3)c2)cc1OC 54346839 WESIUBORZQYXCR-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1F 58276504 JQCCFCRNDWBTAJ-UHFFFAOYSA-N 422.372 4.621 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)CCOc2ccccc2[N+](=O)[O-])s1 66028999 KCMZPJPKCRCLGC-UHFFFAOYSA-N 400.456 4.556 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(NC(=O)C2CC2)cc1 105818596 QWQAJEFPSAVVLE-NSHDSACASA-N 404.264 4.879 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 109402307 MILRNAZDBHJNMR-LJQANCHMSA-N 420.849 4.733 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccc(Oc3cccnc3)c(F)c2)c(Br)c1 301534697 MFKREOVYGZQWMD-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD CN(C(=O)CSc1nnc(-c2cccc3ccccc23)o1)c1ccc([N+](=O)[O-])cc1 303847697 JMMPDGVWKFOYBG-UHFFFAOYSA-N 420.450 4.553 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Br)c(C(F)(F)F)c1 392727863 JGTYFAFOWYGNON-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 410280293 WCXMSGGEXIQWJO-CQSZACIVSA-N 424.526 4.669 5 20 HJBD C[C@@H](CC(=O)c1ccccc1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427172123 QDDUCZUQEZSQPJ-KRWDZBQOSA-N 418.449 4.565 5 20 HJBD O=C(NCc1ccc2c(c1)COC2)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 431875267 KZCAPRYKXZGCOR-UHFFFAOYSA-N 405.410 4.739 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@@H](c2ccccc2)c2ccccc21 436267051 BWFXXTYCBGARNE-QFIPXVFZSA-N 410.433 4.573 5 20 HJBD Cc1[nH]c2ccccc2c1CCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436358298 IZOFRIGNAZBBPT-UHFFFAOYSA-N 413.452 4.583 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 437276697 OBTIPRUOPJWRGA-UHFFFAOYSA-N 413.299 4.588 5 20 HJBD Cc1c(CNc2ccc([N+](=O)[O-])cc2S(C)(=O)=O)sc2ccc(Cl)cc12 440650959 UJMLHHKXONUSGW-UHFFFAOYSA-N 410.904 4.787 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCO[C@H](c2ccco2)C1 442675239 AFNHFJXXEHHAQY-SFHVURJKSA-N 410.451 4.553 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444013166 XUYYQPSHJXQRAR-UHFFFAOYSA-N 406.526 4.931 5 20 HJBD Cc1ccc(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c(Sc2ccccc2)c1 444635861 MBHPPYYFILCAPJ-UHFFFAOYSA-N 418.478 4.861 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 445810458 LFEDGEDMVCWLQV-IRXDYDNUSA-N 423.513 4.593 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]2[C@H](CCCN2Cc2ccccc2)C1 463967641 FXPVFVRGYAIPSX-IFMALSPDSA-N 422.529 4.730 5 20 HJBD Cc1cccc(Br)c1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 464527965 RGHLJCNASZEJDW-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C2CC2)nc2ccc(OC)cc12 466504689 NJZGQHHORKAHNX-UHFFFAOYSA-N 407.426 4.680 5 20 HJBD COc1cc(OC)cc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 468154065 NIGPAWUIDHXAIO-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)[C@@H](CC)C2)cc1OC 470008323 KGVWRCDTBQJDIU-WMZOPIPTSA-N 412.486 4.658 5 20 HJBD CC[C@H]1CN(c2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CC[C@H]1c1ccccc1 470873549 ZUANQXTUTPJVBE-GHTZIAJQSA-N 407.514 4.851 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nc(-c2ccc(Br)s2)no1 471296762 OFNJSLYMSPQFQE-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 475312721 MQZIYZVXCKIXGF-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477540342 JBGIVHIICREKEI-GFCCVEGCSA-N 406.870 4.701 5 20 HJBD O=C(N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cc2cc([N+](=O)[O-])ccc2o1 477878674 MWAQTCLMCCEBHG-INIZCTEOSA-N 402.353 4.750 5 20 HJBD C[C@@H]1CCCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 479264418 VBYFBIONEUJTPH-CQSZACIVSA-N 415.877 4.763 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2Cl)C1 484720238 LPBVDQACWQFFOY-QGZVFWFLSA-N 406.891 4.574 5 20 HJBD O=C(c1ccc(Oc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1)N1CCCC1 485417489 NMUKXDARIJFRGL-UHFFFAOYSA-N 407.401 4.824 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1c(F)cccc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485488925 HZILOBYSVYLMTB-JXFKEZNVSA-N 424.403 4.738 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cccc([N+](=O)[O-])c3C)c2)cc1 502422618 KKEIRIRJEPPAJC-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 504895590 VDYGLBXPPVGSFU-GOEBONIOSA-N 421.444 4.524 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3ccccc3Cl)C2)cc1[N+](=O)[O-] 505112752 FWXNOSWRRQNCMX-IBGZPJMESA-N 402.878 4.759 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)ccc1[N+](=O)[O-] 508814929 ZBZROTUFXBXGQQ-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD CCc1ccc(NC(=O)c2nnc(CSc3cccc([N+](=O)[O-])c3)s2)cc1 509694834 CRJCFAVFXPTHGC-UHFFFAOYSA-N 400.485 4.553 5 20 HJBD O=C(CCCC(=O)c1ccc(Cl)c(Cl)c1)NCCc1ccc([N+](=O)[O-])cc1 513856931 DOKMSNHUUSEKLH-UHFFFAOYSA-N 409.269 4.614 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 516944623 VNVJBBODBKLSOT-SCLBCKFNSA-N 403.276 4.825 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1 517288562 WYVPBPPTYAYFQS-LJQANCHMSA-N 415.877 4.543 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3c(F)cccc3F)C3CCCC3)o2)cc1 520605140 IWMDJZMMDZIOKH-UHFFFAOYSA-N 414.412 4.868 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1 524610789 GCLAKIIJPZTVGI-UHFFFAOYSA-N 412.490 4.724 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(CC(=O)OC(C)(C)C)c1 525215193 ZWMCYFHUCLUQLR-AWEZNQCLSA-N 416.499 4.598 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3c(F)cccc32)cc1[N+](=O)[O-])c1ccccn1 531126673 KNIJMTIMRPGVKD-OAHLLOKOSA-N 420.444 4.895 5 20 HJBD COc1cc([C@@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccncc1 532211885 XCSUTWXSRYWAKT-IAGOWNOFSA-N 407.470 4.989 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)cn1 532473548 JKXPPAPYSIYLIK-MRXNPFEDSA-N 423.469 4.959 5 20 HJBD COc1cccc(Br)c1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534031263 YEZFSFOKBWZWNQ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1cccc(C(=O)c2ccccc2)c1 536381322 GCTNAORMYWTRRJ-INIZCTEOSA-N 400.434 4.519 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccs1 538387727 UHVYZXNDSIUZIF-MRXNPFEDSA-N 421.478 4.596 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F 541638160 KPFWALABQWHSPJ-KGLIPLIRSA-N 409.408 4.642 5 20 HJBD CC(C)OCCCCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 542162174 ZIDGVKGCEUAVNS-UHFFFAOYSA-N 406.866 4.976 5 20 HJBD Cc1cncnc1-c1noc(-c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 546439584 BOYJVOLETMVVKE-UHFFFAOYSA-N 422.832 4.676 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2ccccc2F)CC1 548367951 UHUURJBIAUZTJF-UHFFFAOYSA-N 400.453 4.840 5 20 HJBD Cc1sc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)nc1-c1cccc(Cl)c1 551921026 WYVORHQCCPNVNX-UHFFFAOYSA-N 417.830 4.661 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccc(C)cc3)c2C(C)C)c1 555096451 BJNZPZBJLCFBCN-UHFFFAOYSA-N 408.458 4.863 5 20 HJBD C[C@@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)c1ccc(C(F)(F)F)cc1 556889241 AYIOQXQZOGCCNE-IFXJQAMLSA-N 418.419 4.830 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H](c2ccncc2)C1 560530608 SSZBSCYSQOMDDA-IBGZPJMESA-N 402.454 4.753 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 566878779 TZVOMIKNGRCHRK-DLBZAZTESA-N 417.387 4.557 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc21 576006913 YDUHUNFUMLEVTI-PXUDHTSWSA-N 409.467 4.648 5 20 HJBD COc1ccc(CN[C@@H]2c3ccccc3O[C@@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 588933995 DXUAIVOPQMDYRN-GYCJOSAFSA-N 420.465 4.794 5 20 HJBD O=C(N[C@@H](c1ccc(Br)cc1)C1CCC1)c1ccc([N+](=O)[O-])cc1F 604018123 ZKAWGENLCRXUEN-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD C[C@H](OCC1CC1)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791865 RJKZLRFECGZDFC-LBPRGKRZSA-N 422.840 4.611 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 612483956 GUNIURMYYCXBNY-KZULUSFZSA-N 421.266 4.964 5 20 HJBD CCOc1ccccc1-c1noc(-c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)n1 612884785 PRXDNYDDTRELNO-UHFFFAOYSA-N 419.441 4.572 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(C(N)=O)c(Cl)c2)cc1[N+](=O)[O-] 618890456 FKTJIFVKWFEVIO-UHFFFAOYSA-N 410.861 4.727 5 20 HJBD O=C(OCc1ccc(Cl)c2cccnc12)c1cc([N+](=O)[O-])ccc1Br 725986241 STOFRUMDKZULBR-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD COc1ccc(CC(=O)O[C@@H](c2cccc(Cl)c2)C(F)(F)F)cc1[N+](=O)[O-] 730422866 XUUSTFYHASETIF-INIZCTEOSA-N 403.740 4.646 5 20 HJBD O=C(OCc1csc(-c2ccoc2)n1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 731079369 VHEXDPCASSKVRR-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccccc2SC(F)(F)F)c([N+](=O)[O-])c1 731495770 VSPYYZCYTXRDTK-UHFFFAOYSA-N 415.393 4.656 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCCCC[C@@H]1c1ccc(Cl)cc1 735265112 WEODXCXJAQOONA-GOSISDBHSA-N 403.822 4.914 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 735490334 GQTXARBQOGBLII-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCCN(C(=O)OC(C)(C)C)c3ccccc32)c1 741954176 NTHIGYMKNAHZAE-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC(c3cc4ccccc4[nH]3)CC2)cccc1[N+](=O)[O-] 744228144 ABLWLHKNLZVFGV-MRXNPFEDSA-N 406.486 4.591 5 20 HJBD Cc1c(CC(=O)Nc2ccccc2C(=O)N[C@@H](C)c2ccccc2)cccc1[N+](=O)[O-] 747842695 JZDDSVIRAOCMBI-KRWDZBQOSA-N 417.465 4.575 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 749384081 PDXRVQSSSQODKW-UHFFFAOYSA-N 409.402 4.516 5 20 HJBD COc1ccc(C2(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)CCC2)cc1OC 754282657 GNAHRKUWRVWYCA-UHFFFAOYSA-N 404.850 4.634 5 20 HJBD C[C@H](C(=O)OCc1csc(Cc2ccccc2)n1)c1ccc([N+](=O)[O-])cc1F 755063605 MXMRQNISTUHVMV-ZDUSSCGKSA-N 400.431 4.628 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1ccc(O)c([N+](=O)[O-])c1 755564492 JWFRPPRMDFTPQN-UHFFFAOYSA-N 407.407 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3ccc(F)c(C(F)(F)F)c3)[n+]2[O-])c1 755587519 USIPZJQFPAJPFZ-XQRVVYSFSA-N 405.307 4.619 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1CNCc1cc([N+](=O)[O-])ccc1Cl 756900654 VBUWNCCUTKABAD-UHFFFAOYSA-N 405.285 4.745 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1cccc(C)c1C 763806295 NUBXCUIZPPDCCT-AWEZNQCLSA-N 402.472 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)c1 766591629 ULTGOYPEYYJSEO-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(-n3nc(C)c(Cl)c3C)cc1)CC2 773992250 MZZNOYRAFWVTBN-UHFFFAOYSA-N 410.861 4.562 5 20 HJBD CC(C)CN(C(=O)c1ccc(F)nc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495452 FPUFDQFEXBLGDL-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218723 UMTWAIVFOOZQKX-DESBSMFKSA-N 412.486 4.996 5 20 HJBD Cc1c(C(=O)Nc2ccc3cccc(Cl)c3n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 780305307 HHVBUAJCPSYVSW-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Cc2ccccc2F)CC1 786640762 WJXYZYDBUQDLIB-NRFANRHFSA-N 406.413 4.784 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CC[C@@H](CSc2ccccc2)C1 790562474 TUAAYPVAJAJVBS-CYBMUJFWSA-N 408.882 4.571 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1C 798057158 IRYJWNXGKLJQTB-UHFFFAOYSA-N 403.460 4.834 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@@H](c2ccccn2)C1 798711839 WQUNSTFXTOEVTA-MRXNPFEDSA-N 405.479 4.771 5 20 HJBD O=C1NCCCN1c1cc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1F 811507887 RNZJSXMFDUAPKI-UHFFFAOYSA-N 413.236 4.573 5 20 HJBD CC(C)(CCc1noc([C@@H]2C[C@@H]2c2ccc(OCc3ccccc3)cc2)n1)[N+](=O)[O-] 812816044 JNTRTEHCMNWEDP-WOJBJXKFSA-N 407.470 4.908 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(N(C)Cc3ccccc3)cc2)cc1[N+](=O)[O-] 918048633 LOBYBVNODYTTRL-UHFFFAOYSA-N 420.469 4.562 5 20 HJBD COC(=O)N(C)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 919893879 LPLMCFDRCMUQIG-UHFFFAOYSA-N 420.425 4.793 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCC(Nc3ccccc3)CC2)CC1 1318024236 XPNKXIFBOJRKCA-UHFFFAOYSA-N 422.529 4.548 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2sc(N3CCCC3)nc2Cl)n1 1327288478 KAOSYJLQADZSEZ-UHFFFAOYSA-N 405.867 4.639 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)o2)c1 1348874578 LENOHFZVUDCETO-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD CCOc1ccc(NC(=O)CSc2nc(-c3ccccc3)cs2)c([N+](=O)[O-])c1 6207472 JMOYSCJJEMBSPX-UHFFFAOYSA-N 415.496 4.848 5 20 HJBD C[C@H](OC(=O)c1ccccc1Oc1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 6351639 DADPNEIMRJTMNR-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(Cl)c3F)cc2)c(C)c1[N+](=O)[O-] 8016019 QLQBFXSSLAMFDH-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD COCCCn1c(SCc2ccccc2[N+](=O)[O-])nnc1-c1ccccc1Cl 11313733 MBEXLBIRCCETSY-UHFFFAOYSA-N 418.906 4.836 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NCc2cccs2)cc1[N+](=O)[O-] 25363114 HGFKRQHKHPJYDY-UHFFFAOYSA-N 412.492 4.539 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 26770088 CBRQMGRBKBJEAT-JTQLQIEISA-N 413.257 4.800 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(NC(=O)C2CC2)cc1 27294418 IDFRKOQKTJBUGI-CQSZACIVSA-N 409.486 4.732 5 20 HJBD CCOc1ccc(-c2nc(COc3cc([N+](=O)[O-])ccc3OC)cs2)cc1OC 27739166 HKCJZZOASYTCGE-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 48316711 RWWDCUZPHYTGQO-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc([N+](=O)[O-])c(C)c2)cs1 54914860 HGXRDBOEMRAPEH-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)cc2)n1 109402691 ZPPIZTLEBHZJNB-UHFFFAOYSA-N 419.459 4.668 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)c1 146318036 ZVDUOCATEVTVDY-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H]3CCCO[C@@H]3c3ccccc3)cs2)c1 238007828 UDCXJGMSFLEYQC-WIYYLYMNSA-N 409.511 4.976 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@@H](C)c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 426018347 FWRKJUXZOWXRRR-GXTWGEPZSA-N 424.906 4.612 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3[C@H]4CC[C@@H](C4)[C@@H]3C(=O)O)cc2[N+](=O)[O-])cc1 426823333 IJOMQGAPRIRMIE-SNHGZMDHSA-N 412.511 4.643 5 20 HJBD Cc1nn(CC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1-c1ccccc1 435668636 AZKOFYSQQIKQAK-UHFFFAOYSA-N 419.466 4.529 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436309644 GFUMJXYRAVCLQG-QFIPXVFZSA-N 424.460 4.661 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@H](c2ccccc2C(F)(F)F)C1 436324583 LLXQLJSCGBEUMT-AWEZNQCLSA-N 421.297 4.605 5 20 HJBD Cc1c(NC(=O)N(Cc2ccc3c(c2)OCO3)C2CCCCC2)cccc1[N+](=O)[O-] 437593324 UCGPKVLNZGEZQC-UHFFFAOYSA-N 411.458 4.999 5 20 HJBD CC(C)(C)Oc1ccccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 438475433 CEYOZNNBCBZDPB-UHFFFAOYSA-N 413.474 4.847 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccc(Br)cc3)o2)c(F)c1 440592276 BAWMLLFVTAYFOW-UHFFFAOYSA-N 414.187 4.837 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 443204096 YSPZXDQDJULYNG-WDEREUQCSA-N 412.309 4.849 5 20 HJBD CCCOc1cc(NC(=O)[C@@H]2CCCC(F)(F)C2)c([N+](=O)[O-])cc1OCCC 443466247 PFVUMWKRCSDULF-CYBMUJFWSA-N 400.422 4.936 5 20 HJBD O=C(N[C@H]1CCCOc2cc(F)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444286716 LAMXCASYRVYYIW-IBGZPJMESA-N 420.415 4.603 5 20 HJBD Cc1cc(Br)ccc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460348920 FZZZBPJEYRSOME-QGZVFWFLSA-N 407.289 4.554 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCCC[C@H]2n2cccn2)ccc1Oc1ccc(F)cc1 467761789 DQKZBZGNHJRKQJ-WOJBJXKFSA-N 410.449 4.996 5 20 HJBD COCCN(C(=O)C[C@@H]1CCC[C@@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 469918005 MQHFRVMUYPNVFU-HOCLYGCPSA-N 403.504 4.524 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(C)cc1)c1ccccn1 471386290 SDYBLJITXLEUSP-WMZHIEFXSA-N 403.482 4.773 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@@H](c2ccccc2)c2nc(-c3ccccc3)no2)cc1 475775522 TZXWGCMKSIHEIT-NRFANRHFSA-N 400.438 4.567 5 20 HJBD COc1ccccc1C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C 477558495 VWTVNZPUZXYYFK-MRXNPFEDSA-N 410.392 4.619 5 20 HJBD CN(C)Cc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 479416792 GAYGASVQYMHACP-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])C1(c2cccc(C(F)(F)F)c2)CC1 479432596 ZPBSGMDLPHPIJI-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD COc1cccc(C2=CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 479893714 RHZSGWGNKPWPMJ-HNNXBMFYSA-N 406.442 4.504 5 20 HJBD Cc1c(CNCc2ccc(OC(F)F)c(Br)c2)cccc1[N+](=O)[O-] 480488884 SXOLQTBRAZFKGW-UHFFFAOYSA-N 401.207 4.557 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2c(C)nn(-c3ccccc3C)c2C)c1 480755102 DQCJWXWWJAHOME-UHFFFAOYSA-N 424.526 4.700 5 20 HJBD Cc1cnccc1OC1CCN(c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485401351 FIHQZVTVRFDKPZ-UHFFFAOYSA-N 408.433 4.547 5 20 HJBD COc1ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 486788368 PPHLHXOBQRAKBQ-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD Cc1cc(F)ccc1-c1nc(C(C)(C)C(=O)Nc2cc([N+](=O)[O-])ccc2O)cs1 486803711 SMQLJTFUJAGLHR-UHFFFAOYSA-N 415.446 4.788 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2c(F)cccc2F)s1 487623504 CXDUKBPFOYLWCP-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(NC(=O)CC(C)C)c(F)c2)cc1[N+](=O)[O-] 488038030 YBXBWZJEIFMBPS-CQSZACIVSA-N 401.438 4.518 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1C(=O)N(C)C(C)C 488164596 AZNVIKPWPWOOKS-UHFFFAOYSA-N 423.391 4.655 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 489281219 VMLDOPIYWFWPHU-GHTZIAJQSA-N 411.502 4.605 5 20 HJBD Cc1c(NC(=O)N[C@H](c2ccccc2OC(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 491331731 ZFDJTLSSHQXHTR-CQSZACIVSA-N 419.306 4.930 5 20 HJBD COc1cc(C(=O)N[C@H]2CCCC[C@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 499160215 XULPPHOZQHONAI-OLZOCXBDSA-N 400.422 4.540 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2cc(Cl)ccc2Cl)s1 499812915 NXHJPIZBTHVWKL-UHFFFAOYSA-N 423.281 4.783 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 505966512 RRGTTXNVZMQOKI-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc21 507342657 UGRCWUMAOJVQIP-HZPDHXFCSA-N 402.454 4.927 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] 507530073 ILPBOOOAVGDRQV-ZDUSSCGKSA-N 413.524 4.996 5 20 HJBD CCN(CC)[C@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 510483378 SWTBLKMNOTWJNK-KUHUBIRLSA-N 423.435 4.874 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 512520966 XADZYMJFGWVGNG-CQSZACIVSA-N 406.417 4.505 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CSCc1ccc(Br)cc1 514213248 FBCIZWWJSXTDIU-UHFFFAOYSA-N 409.305 4.846 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1csc(-c2ccc(F)cc2)n1 514318827 PJGCWRGVUOXXRK-UKTHLTGXSA-N 420.425 4.512 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccccc1C(C)C)c1ccccc1[N+](=O)[O-] 515169968 IKYNZEKPGXPBJB-LJQANCHMSA-N 413.474 4.923 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2C)cc1[N+](=O)[O-] 520011570 PIKFCSCAFURXLW-MRXNPFEDSA-N 424.501 4.602 5 20 HJBD COCCN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H](C)c1cccc(C(F)(F)F)c1 520154579 HQVHSNZHDZACOM-ZDUSSCGKSA-N 411.380 4.855 5 20 HJBD O=C(NC[C@H]1CCCCN1Cc1cccc(Cl)c1)c1c(Cl)cccc1[N+](=O)[O-] 520315701 IYUHKSSCPRUOHF-MRXNPFEDSA-N 422.312 4.686 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])c(O)c3)cc2)cc1 521742263 QQRDWFVPIGRYHJ-UHFFFAOYSA-N 408.410 4.534 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(c1ccc(F)cc1[N+](=O)[O-])C2 521884479 UUKNKSBUFOMSED-UHFFFAOYSA-N 406.417 4.941 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(COC2CCCC2)cc1 523534032 JLSVDMBVTCJTOW-UHFFFAOYSA-N 410.879 4.508 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 524255153 IDUXZJDWUCKUBC-NSHDSACASA-N 411.683 4.714 5 20 HJBD CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)C(C)(C)c1ccccc1 525023241 HVUAKKWYJDLFSF-KRWDZBQOSA-N 405.292 4.844 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535474839 KEMKYUSOCDYECE-UHFFFAOYSA-N 407.401 4.893 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 536127202 JKJRTBBKVKMLQE-MRXNPFEDSA-N 409.486 4.543 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1cccc(Cl)c1 538271681 MXRRAEQXZSOUHQ-QGZVFWFLSA-N 408.907 4.866 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)Nc1cc(Cl)cc([N+](=O)[O-])c1O 538651060 XGNFVOSLWPCJQM-UHFFFAOYSA-N 401.806 4.570 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 538742542 XUPBJKLYDXTJFA-CQSZACIVSA-N 412.533 4.658 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 539229498 QDGJPIBRSIBCGH-UHFFFAOYSA-N 404.426 4.504 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(COc3ccc4ccccc4c3)no2)cc1 544250709 BCPDLICVMGGNOR-UHFFFAOYSA-N 404.426 4.755 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)n1 544844572 SKRNVZNLFABMMN-MRXNPFEDSA-N 424.284 4.656 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(NC(=O)CC(C)C)c(F)c3)n2)ccc1[N+](=O)[O-] 545073001 DFPIIGRBIWEUSW-UHFFFAOYSA-N 412.421 4.668 5 20 HJBD CC[C@@H](CC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 545463729 ZQYDHKXCZBIEDU-VIFPVBQESA-N 404.332 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)Oc2ccccc2Br)n1 546398083 PCBIZDMMQPOJAV-NSHDSACASA-N 404.220 4.856 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 546489717 HSMKMOMKZOXUKY-INIZCTEOSA-N 406.398 4.662 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc1 546978958 VLIHENVCJMNTBW-CQSZACIVSA-N 412.471 4.695 5 20 HJBD Cc1nc(CNC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 551797266 GHYMBZJRFBRTAN-UHFFFAOYSA-N 413.861 4.806 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1cn(-c2ccccc2)nc1-c1ccccc1 552880012 NIRQQEVZOZIZRR-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cc3sc(N4CCCC4)nc3s2)n1 558336811 VUEAOGMCFYZIHV-UHFFFAOYSA-N 413.484 4.892 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 561266850 YQTACVPTRKJVTL-LJQANCHMSA-N 416.359 4.520 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(c3cc(C(F)F)nc4ccc(Cl)cc34)C2)nc1 566758809 NSRAFOYHDOWFLL-LBPRGKRZSA-N 419.819 4.820 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c(Cl)cc1F 568441145 HDCCMLBMXXGUTJ-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1cc(CN2CCCC[C@@H]2c2cc[nH]n2)ccc1OCc1ccc([N+](=O)[O-])cc1 569290866 IVKYYVXMZMISTJ-OAQYLSRUSA-N 422.485 4.633 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cccnc4N4CCCCC4)no3)cc12 572799377 GRUWSVYBWRZQKJ-UHFFFAOYSA-N 423.476 4.784 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H](C3CC3)C2)cc1[N+](=O)[O-] 589526625 QWTVQQIRUDCHJN-KRWDZBQOSA-N 424.522 4.810 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 603562020 ITSCTMVEEAFHHJ-MRXNPFEDSA-N 423.256 4.537 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCc3cc(Cl)c4c(c3)OCCCO4)o2)c1 608982631 ZGOGTRAUTPTEDR-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H](c2ccccc2)CC1 608994208 IRSDEIJNSAXNGZ-HNNXBMFYSA-N 405.401 4.998 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3)CC2)cc1 609441879 REMUCNWXRXKCKT-UHFFFAOYSA-N 412.461 4.668 5 20 HJBD COc1ccc(-c2ccc(CNCCc3c[nH]c4ccc(OC)cc34)o2)c([N+](=O)[O-])c1 609600787 UCNICHUCKJAKEH-UHFFFAOYSA-N 421.453 4.686 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880660 DGSWPTVFIZOMKL-CQSZACIVSA-N 402.863 4.677 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H](Cc1ccccc1)C1CC1 609911843 AGRDKHRXGFTBTR-MRXNPFEDSA-N 424.444 4.843 5 20 HJBD Cc1sc(NC(=O)c2coc(-c3ccccc3)n2)nc1-c1cccc([N+](=O)[O-])c1 609968938 CZEIYMLECUJCKY-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC[C@@](C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nccs1 610049453 WACRZMCMNOEMQM-OAHLLOKOSA-N 419.450 4.604 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC1CCOCC1 610163354 LOIWZCUDQLYCNN-INIZCTEOSA-N 413.474 4.591 5 20 HJBD CCCCN(C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C)[C@H](C)c1ccc(C)o1 617015623 XYRDUSOKWFOVPS-OAHLLOKOSA-N 417.462 4.986 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c1)N2 726605456 PONOIWKJIPGGRG-OAQYLSRUSA-N 423.400 4.731 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2csc(C3CCCC3)n2)cc1SC 728649775 PUVWBOBZPZWUQU-UHFFFAOYSA-N 408.501 4.796 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)c1 737387105 KOTSGTKEXVEXBJ-UHFFFAOYSA-N 418.800 4.699 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 742846528 FZGNPNYXEFUIMS-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1 745499203 DMMYOQKARSGVSC-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccc(Cl)cc2)no1 746057094 FIYPXNRMEZBMNN-VIFPVBQESA-N 408.197 4.870 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cl)cc2)no1 746075927 LINQBJGNSMGJBS-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(OCC2CCCCC2)cc1 748306635 YDPHLUQEEUJDFS-UHFFFAOYSA-N 413.474 4.613 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2ccccc2C(F)(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748629678 HPFCINBYNFDDJS-LLVKDONJSA-N 411.336 4.630 5 20 HJBD COC(=O)c1cc(NC(=O)c2sccc2-c2ccc(F)cc2)c(C)c([N+](=O)[O-])c1 754613495 AWKXNTNVLDBBAT-UHFFFAOYSA-N 414.414 4.810 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCCCC[C@H]1c1ccco1 754978243 TUVNIOWOXRWGSD-ZDUSSCGKSA-N 411.227 4.847 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F)C(=O)Nc1ccc2ccccc2c1 754990880 VBPRZOQGHHXXFY-LLVKDONJSA-N 416.792 4.725 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(C(F)(F)F)cc1 756353291 ZTBNWNXXYLXGBR-SFHVURJKSA-N 420.343 4.789 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cccc(COCc2ccco2)c1 757198936 GLXIKXRHOCWQNN-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 759871541 QOXUYZWYKTVDTI-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2cccs2)o1 765529146 VURDWYARLUIQOA-GXTWGEPZSA-N 401.444 4.579 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Sc2ccccc2Cl)nn1 769658028 RMSBAFSBZPPLFL-UHFFFAOYSA-N 422.800 4.720 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1cccs1 782838225 ZVFSHOPLCWDOMH-LJQANCHMSA-N 411.483 4.732 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2OC(F)F)cc1[N+](=O)[O-] 784256290 GKQDOUKTNZJSQO-GFCCVEGCSA-N 422.384 4.504 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc(F)c([C@@H](C)O)c2)cc1[N+](=O)[O-])c1ccccn1 804794478 HPKGDTSKHXOICX-UONOGXRCSA-N 424.432 4.608 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 809917128 AJUZMEACQHZQIL-UHFFFAOYSA-N 404.854 4.555 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 810319487 HKLFJTXUJMQQNX-HSZRJFAPSA-N 419.481 4.925 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc([C@H]3CCOC3)cc2)CC1 818738805 PXVUAGWELOHFCI-SFHVURJKSA-N 409.486 4.587 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 916652712 DWVNVKLXBFWESE-CYBMUJFWSA-N 400.696 4.850 5 20 HJBD CCOc1ccc(-c2nc(COc3ccc([N+](=O)[O-])c(F)c3)cs2)cc1OC 921266654 CJNBVTQRXKIXNF-UHFFFAOYSA-N 404.419 4.844 5 20 HJBD COc1ccc(C(NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 1115844520 HTNAXQQSAMUECC-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD O=C(Nc1ccc(Oc2nc(C3CC3)nc3c2CCC3)cc1)c1cccc([N+](=O)[O-])c1 1116423241 BKMLUFVMPHSBDI-UHFFFAOYSA-N 416.437 4.796 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(Cl)c(F)c1 1253911315 FQJCKRGDTBJTBI-NSHDSACASA-N 412.870 4.617 5 20 HJBD NC(=O)CSc1ccccc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1319289792 LIWSOZDRDHUYAW-UHFFFAOYSA-N 417.874 4.698 5 20 HJBD CCNc1ccc(C(=O)O[C@H](C(=O)Nc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 11298118 KXMQJZVFJPSAOP-NRFANRHFSA-N 419.437 4.563 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(SCc2cn3ccccc3n2)cc1 26563852 NHQKENVDABVEGX-UHFFFAOYSA-N 422.441 4.926 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 27357155 CZHKGTGGSUUPKV-MRXNPFEDSA-N 408.401 4.551 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 31311866 NGYBWSQGIIHMOT-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD CCCCCCNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 36718756 WUHYNNWHOZODEG-UHFFFAOYSA-N 403.866 4.811 5 20 HJBD CN(CCc1ccccn1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 57028014 ZHVIKLQKSDRHSS-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 71961319 QYAODIIWAUTXCE-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nc(-c3ccccn3)cs2)o1 237958878 NQURSVJVCJJPDT-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 426034295 HVAFOSCKLRHOMN-LIRRHRJNSA-N 405.882 4.647 5 20 HJBD Cc1cnc(OCc2csc(-c3ccc(Br)cc3)n2)c([N+](=O)[O-])c1 426587473 QYMKQYRXYGNVTL-UHFFFAOYSA-N 406.261 4.763 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(c4ncccc4Cl)CC3)o2)c1 429220519 OKTQFUTZYJYORH-UHFFFAOYSA-N 412.877 4.720 5 20 HJBD Cc1ccc(CN[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c(-n2cc(Cl)cn2)n1 433070875 WOVSBQISFSMZBL-LJQANCHMSA-N 411.893 4.650 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1 433961132 RRFNQMWEMGEAIK-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436243191 PEJARRQPBYIEHQ-CQSZACIVSA-N 413.502 4.652 5 20 HJBD COc1ccc(Br)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437263230 RQCQGPYEPMEMBL-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)c1ccccc1 437470544 UYQXUNLYDJJFQN-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 438591794 MKTOGSXVKDNEDI-SUMWQHHRSA-N 424.423 4.870 5 20 HJBD CCOc1cc(/C=C\c2ccc([N+](=O)[O-])cn2)ccc1OCc1ccc(C(=O)OC)o1 439529885 XCOAKYFPOOCRQK-XQRVVYSFSA-N 424.409 4.518 5 20 HJBD C[C@H](CC(=O)OC(C)(C)C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440461564 IEMJGXZENXOUTL-CQSZACIVSA-N 416.499 4.596 5 20 HJBD O=C(CCN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1c(Cl)cccc1Cl 441239575 WFMCDWDUCZTLQR-UHFFFAOYSA-N 408.285 4.895 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC 443946902 KMSYEASNAIZDCL-UHFFFAOYSA-N 407.854 4.594 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccc(NC(=O)C2CCCC2)c1 443957017 FANUXPWNJISVHN-UHFFFAOYSA-N 410.474 4.662 5 20 HJBD CCO[C@@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C12CCCCC2 444077009 AZQZHIFFVWRATG-WOJBJXKFSA-N 422.500 4.678 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446081090 ODCIHGLGTCTQPA-LLVKDONJSA-N 413.293 4.699 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 447579142 JFIPWNQPLGOOMJ-GOSISDBHSA-N 417.893 4.561 5 20 HJBD COC(=O)c1cc(Oc2ccc(Cl)cc2)ccc1NC(=O)c1ccc([N+](=O)[O-])o1 460460194 LVSIRTYKWBWUOF-UHFFFAOYSA-N 416.773 4.672 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c1 461105658 FEUZKEWVFUQNHA-KRWDZBQOSA-N 413.232 4.563 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccn1)c1ccc(F)cc1 462438572 CUACFMPUSYJBEP-GOSISDBHSA-N 407.445 4.931 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(CN4CC[C@H](O)C4)c3)s2)cc1 462860898 HYIMVUKWCHGKJL-FQEVSTJZSA-N 409.511 4.502 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463168092 WHCFSCWBAFAKBD-UHFFFAOYSA-N 421.419 4.894 5 20 HJBD COc1ccc([C@H](NCc2cc(OC)c(F)cc2[N+](=O)[O-])c2ccc(F)cc2)cc1 464082462 OQXRIAHOTOCUQW-JOCHJYFZSA-N 414.408 4.769 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1ccc(OCC2CC2)c(F)c1)c1cccc([N+](=O)[O-])c1 469223152 DHXCFGPLVHSUOB-ZIAGYGMSSA-N 401.438 4.644 5 20 HJBD C[C@@H](NC(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1)c1cccc([N+](=O)[O-])c1 469398219 SXQUORJZAMKZBL-CQSZACIVSA-N 401.413 4.823 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])CC[C@H]1c1ccccc1 470002508 GJTYTIUBXRHSFS-NVXWUHKLSA-N 414.527 4.981 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H](c2c(F)cccc2F)C1 470353381 UKUGPYPLUSYKOC-LLVKDONJSA-N 412.845 4.635 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N1CCCCC[C@@H]1c1ccc(C(F)(F)F)cc1 471320860 FEXROPSVKNPJCW-QGZVFWFLSA-N 424.423 4.653 5 20 HJBD O=C(CCCc1cccc(C(F)(F)F)c1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 472517981 GZFAGKXRQDRMQD-UHFFFAOYSA-N 424.375 4.736 5 20 HJBD COc1ccc(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cc1Oc1ccc(C)nn1 475638710 CYCZURXMKZERAB-UHFFFAOYSA-N 423.429 4.755 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(C(F)(F)F)cc1 481858865 VFZSEDWEKDZVOR-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)CC2CCC2)cc1SC 483358738 XYSPEMKRRIRITE-UHFFFAOYSA-N 400.500 4.768 5 20 HJBD CCc1c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cnn1-c1ccccc1C 485360692 UDWJCXJQKYOUPS-UHFFFAOYSA-N 419.485 4.848 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccoc1Br 485496909 VYQISHRGEJJNSL-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2sc(Br)cc2[N+](=O)[O-])s1 485901832 AJMHJPXSUJYFOO-MRVPVSSYSA-N 418.338 4.579 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 486665902 RBFYGTBEAVMFJY-UHFFFAOYSA-N 420.494 4.904 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(Br)cc2F)cc(C(F)(F)F)c1 487199792 NIEUGLMRYRBHJK-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD CC(C)c1ccc([C@H]2CCCCN2C(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)cc1 490797573 VYRODLCAZCXSJR-HXUWFJFHSA-N 419.485 4.666 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(CCc2ccccc2)Cc2ccncc2)cc1[N+](=O)[O-] 493081200 QQQUNOPBNIBBNH-IBGZPJMESA-N 418.497 4.814 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCc2cc(Br)cc(F)c21 502744784 KYKGFTJUBXYQQT-UHFFFAOYSA-N 419.206 4.836 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 504777342 DOJFMCYHALDAFH-GOSISDBHSA-N 416.546 4.842 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 509815552 VPDULJJKBGKPQE-CQSZACIVSA-N 422.890 4.780 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccnc(Oc2ccccc2)c1 509837368 HJEANUQZXKPQPL-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)c1ccc(Br)cc1[N+](=O)[O-] 516032934 JIXDWHFURIYHIC-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD Cc1ccc(C2(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)CCOCC2)cc1 520324913 SVZDVIMCMZZVOH-UHFFFAOYSA-N 423.494 4.714 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1CN1CCc2ccccc21)c1cccc([N+](=O)[O-])c1 521223503 RHEYNIBYARCSRE-QGZVFWFLSA-N 401.466 4.900 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C[C@@H]1C 524888729 GRBJZTIYTPLHBU-FUHWJXTLSA-N 407.580 4.678 5 20 HJBD C[C@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 534889742 BSUCALJWMVAZMG-INIZCTEOSA-N 400.434 4.519 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 538772039 BZJHPRNGNROPSN-OAHLLOKOSA-N 411.433 4.820 5 20 HJBD C[C@H](c1cccnc1)N1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 539841061 NZUFOPYMTXWOHW-QGZVFWFLSA-N 408.527 4.597 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccccc2[N+](=O)[O-])[C@H](C)C2CC2)cc1 541264448 DRQFPRJVBGXXHA-OAHLLOKOSA-N 400.500 4.523 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1cccc(F)c1 541487707 CKXWDYPSBYHKPE-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD COc1cc(CNCc2c(Cl)cccc2Cl)c([N+](=O)[O-])cc1OCC(F)F 541847401 RGOBZJXYGVPPJN-UHFFFAOYSA-N 421.227 4.844 5 20 HJBD O=C(CC[S@](=O)c1ccc(C2CCCCC2)cc1)Nc1ccccc1[N+](=O)[O-] 548826046 RYWNFCOKFSYJIA-NDEPHWFRSA-N 400.500 4.779 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c1 551344697 TZDIYFLZTTXQBN-UHFFFAOYSA-N 406.320 4.679 5 20 HJBD O=C(Nc1ccc(OC(F)F)cn1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 558160993 FKOKZBXRQNRSBZ-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD CC(C)(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 559675604 ORUNRBVXAOPTOM-UHFFFAOYSA-N 404.897 4.860 5 20 HJBD Cc1cc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(Cl)cc1F 582450228 KCACINDLYOMIFA-UHFFFAOYSA-N 416.840 4.810 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(OC)cc1 603518134 YUCHXCNRRUYSFU-UHFFFAOYSA-N 414.405 4.767 5 20 HJBD COc1ccc(CN(C)C)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 603590685 NFPJBBJYPQLAPE-UHFFFAOYSA-N 420.469 4.661 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc12 603966543 GYMPTESVQFKLPX-GFCCVEGCSA-N 424.457 4.934 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 603975167 LKJKGOQGMHPWSK-GFCCVEGCSA-N 421.906 4.506 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2cccs2)cs1 604447233 SIHXNEHSSYMTSM-VIFPVBQESA-N 409.876 4.839 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)ccc1[N+](=O)[O-] 609732668 MQBUTKWMGMOSFX-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD CN(C)Cc1cccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609773743 DFFWFSLTMJYMQD-UHFFFAOYSA-N 420.469 4.770 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@H]1CCN(c2ccc(Cl)cc2)C1 610661287 XEMJTKPKESOCDJ-OAHLLOKOSA-N 402.882 4.513 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C\C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 729825951 DQUOTOSWWBYEHN-FJVVXJACSA-N 412.442 4.585 5 20 HJBD COc1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1NC(C)=O 734157377 LHHJWVINLCZOJC-UHFFFAOYSA-N 420.469 4.942 5 20 HJBD C[C@H](Oc1ccccc1Br)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 734895789 IFBRXVSJBDUHBM-JTQLQIEISA-N 414.639 4.521 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](CCO)c2cccs2)ccc1Oc1ccc(F)cc1 740900387 OQVSEAVKKAXXCD-KRWDZBQOSA-N 402.447 4.801 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 743138595 FAOFQGVVHHCTKQ-UHFFFAOYSA-N 423.441 4.985 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CC[C@H](CSc2ccccc2)C1 743837518 LVFNHAFBXAYLDF-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1ccc([N+](=O)[O-])c(F)c1 744943727 PZCXWGNAQSYJKK-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD COc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1OC1CCCC1 749980804 IFSOUHQDABWHMS-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2C[C@@H](O)c2cccs2)cc1[N+](=O)[O-] 754396248 PMGKXNCVTQHLKU-MAUKXSAKSA-N 402.516 4.682 5 20 HJBD Cc1nc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 757061036 JEHKISXUIFSYOW-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD Cc1c(NC(=O)C[C@H](C)C2CCN(C(=O)OC(C)(C)C)CC2)cccc1[N+](=O)[O-] 764515506 RILHDKLGHFUCPJ-AWEZNQCLSA-N 405.495 4.515 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cnc(Cc2ccccc2F)s1 771979960 ZVGWKJREQZXCAL-UHFFFAOYSA-N 419.865 4.707 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(N2CCOC2=O)c1C 777699431 BPPMFGZIUHCLLQ-HNNXBMFYSA-N 401.488 4.843 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 779161574 ISZXGTOUOSGYII-NVXWUHKLSA-N 415.490 4.590 5 20 HJBD CCCCN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H](C)c1ccc(C)o1 781606958 QGMXQIYYGRLAMS-OAHLLOKOSA-N 403.435 4.727 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(CCc1ccccc1)C1CC1 787338485 GANQOVNTBHTMPW-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ncc(Cl)cc2Cl)CC1 787503453 XDMCAPQUNIMJQG-UHFFFAOYSA-N 409.273 4.785 5 20 HJBD CCOc1cc(COC(=O)Cc2c(C(C)=O)oc3cc(C)ccc23)ccc1[N+](=O)[O-] 805664217 FBLIKNJHAUMCGN-UHFFFAOYSA-N 411.410 4.537 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809955576 ZEAKUMHMHYWEHS-VIFPVBQESA-N 405.307 4.731 5 20 HJBD O=C(Nc1cc(Cl)ccc1-n1cccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812920259 GUFYHJHHCNOVBT-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1cccc(OC(F)(F)F)c1 864010598 GPCNTMQRNRMXON-NSHDSACASA-N 409.364 4.559 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Cl)C(C)C)c1C 877043846 PJBKGPZUPAOUIN-GOSISDBHSA-N 404.850 4.685 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 913502169 QOVOEMKSGKHPCB-JTQLQIEISA-N 412.229 4.951 5 20 HJBD Cc1noc(-c2ccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)cc2)n1 1116157612 CSFRXBNPBBBWRC-UHFFFAOYSA-N 414.421 4.800 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)o2)cc1 1257731314 QDRHETUJPPXRCS-IBGZPJMESA-N 422.441 4.720 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OC)c1Br 1338189444 IXCQBNBMGXOHPV-ZDUSSCGKSA-N 407.264 4.573 5 20 HJBD COc1ccc(NC(=O)COc2cc(Cl)c(Cl)cc2Cl)c([N+](=O)[O-])c1 5958363 HGFZABRGGMYYQU-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD O=C(COC(=O)Cc1ccc(-c2ccccc2)cc1)Nc1cc([N+](=O)[O-])ccc1Cl 10715767 KGJVAKZNNUBACL-UHFFFAOYSA-N 424.840 4.640 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1Cc1ccc(F)cc1 55999703 VDYNDPYHTCWGER-FQEVSTJZSA-N 414.480 4.528 5 20 HJBD Cc1c([C@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 64421156 IQRCDVSXWQZLFL-STQMWFEESA-N 402.834 4.948 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@H](c2nnc3ccc(C(F)(F)F)cn23)C1 96991661 UFSKJHIENQLIPR-HIFRSBDPSA-N 419.407 4.597 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 108423616 MQQMRINEMRCMFE-UHFFFAOYSA-N 419.268 4.750 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 115176502 YISIAOXFQGOKDF-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD O=C1CCCN1C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 238053295 XKJWGAOBGPNXQM-HXUWFJFHSA-N 421.522 4.777 5 20 HJBD Cc1cc(Sc2nnc(-c3cccnc3)n2Cc2ccccc2)ccc1[N+](=O)[O-] 301128379 YHLWRADPMVOTPQ-UHFFFAOYSA-N 403.467 4.756 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCc3ccco3)s2)cc1C(F)(F)F 301843015 KIOORFMHAAXFET-UHFFFAOYSA-N 402.379 4.821 5 20 HJBD C[C@H](CCNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 410217637 HMJKUPBQYGEJIF-CQSZACIVSA-N 406.432 4.883 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCC[C@H](c2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 432088960 MIYOJDJZUPGAIE-WMLDXEAASA-N 409.408 5.000 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1cccc(Nc2ccccc2)c1 432496387 LJRCRGYBPNRHBV-UHFFFAOYSA-N 414.421 4.904 5 20 HJBD O=C(Nc1ccc(-c2csc(C(F)(F)F)n2)cc1)c1ccc(O)c([N+](=O)[O-])c1 436904396 PWSSIRYGDFYUPF-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437387217 QWGNCIOBAIVKCZ-UHFFFAOYSA-N 424.501 4.710 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438618565 KASCVECJPAWDKG-LBPRGKRZSA-N 415.334 4.509 5 20 HJBD O=C([C@@H]1C[C@]12CCCc1ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 440634591 IVTIVURVABFRDV-RXVVDRJESA-N 418.415 4.780 5 20 HJBD CCc1ccc([C@H](NCc2ccncc2OCC(F)F)c2ccc([N+](=O)[O-])cc2)o1 442863855 DUEOYLJKDPAYCG-OAQYLSRUSA-N 417.412 4.668 5 20 HJBD Cc1cc2cc[nH]c2cc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 444992913 YWQKKUBDXYJNKZ-LJQANCHMSA-N 424.457 4.589 5 20 HJBD Cc1ccc(NC(=O)Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)c(C)c1 445359006 XYBDKDQVHRNHLG-UHFFFAOYSA-N 408.439 4.658 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C\c3ccc(OCc4cccnc4)cc3)n2)s1 445477779 APPKECZNMADVLZ-UITAMQMPSA-N 406.423 4.851 5 20 HJBD CN(CCCCCO)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 447643551 KPMXUEZYUBKULV-UHFFFAOYSA-N 408.907 4.634 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])nc21 461231967 ZGFYDAPLJULJNU-UHFFFAOYSA-N 416.322 4.742 5 20 HJBD Cc1cccc([C@@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 462186796 HSQUSTRKMCPJMU-HNNXBMFYSA-N 415.298 4.736 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccccc2CCC(F)(F)F)c([N+](=O)[O-])c1 464828536 CELITGVTOCGUKK-UHFFFAOYSA-N 411.380 4.539 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc(OCCF)c2)cc1[N+](=O)[O-])c1ccccn1 470111517 KFEZCGNCTPLTOV-HNNXBMFYSA-N 424.432 4.764 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)s1 470707201 KUXWDPKGLFYJBG-UHFFFAOYSA-N 400.391 4.692 5 20 HJBD Cc1ccc([C@@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccn2)cc1 471070509 UOQQHHBQQCOJOL-XMMPIXPASA-N 414.465 4.875 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1nc2ccccc2cc1[N+](=O)[O-] 473517813 BKXZLLVYDHCCLB-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD O=C(c1cc2ccccc2n1CC(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486441588 JIQSRHWNRYMQJW-UHFFFAOYSA-N 417.387 4.917 5 20 HJBD CC[C@H](C)[C@](C)(O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 489052244 JEOXPPHVGOCIIF-KPZWWZAWSA-N 411.296 4.504 5 20 HJBD COC(=O)c1cc(NC(=O)c2c(-c3ccccc3)oc(C)c2C)c(C)c([N+](=O)[O-])c1 489754416 IUYSENMEVMMKOX-UHFFFAOYSA-N 408.410 4.819 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 490074953 WCNNYVDBIKEBPH-IBGZPJMESA-N 401.850 4.723 5 20 HJBD CCOc1cc(F)ccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 502025666 YOHLLDZISXYHKR-UHFFFAOYSA-N 418.368 4.882 5 20 HJBD O=C(N[C@H]1CCCOc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 504748158 LSIUFWKBZSDUAA-FQEVSTJZSA-N 417.465 4.851 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(F)cc4cccnc34)n2)cc1[N+](=O)[O-] 505390578 VLVWUBIVAOYCNU-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 505734902 YLLULXYKXZBRKX-ZDUSSCGKSA-N 418.375 4.980 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(NCC(F)(F)F)s1 511059861 PDAKIHRZAKJEPN-UHFFFAOYSA-N 408.427 4.500 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1cccc(Cl)c1Oc1cccnc1 511241349 JZDJMVDZGFNPBA-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)ccc1[N+](=O)[O-] 511441253 CTCMGMMBURKAOJ-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 511465521 SGSLCJPRXAJHRJ-UHFFFAOYSA-N 414.465 4.811 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 512027186 UGVGSNWNAVXRTQ-LBPRGKRZSA-N 414.405 4.528 5 20 HJBD C[C@H](CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1 512824943 ZHBKRACTXCRHSG-LLVKDONJSA-N 416.396 4.765 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cncc(C(F)(F)F)c1 517619290 OLKPJZKTJKUAPV-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(Cc1cccc(OCc2cccc(Cl)c2)c1)NCc1ccc([N+](=O)[O-])cc1 518539290 IDUURTCLPPUIKR-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCCOc1ccccc1-c1ccccc1 519986487 QGFBYEUKDPSIRG-UHFFFAOYSA-N 408.479 4.549 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](CC(C)C)N[C@@H](C)c2ccccc2)c1 520394554 PYUKZQAPAKRURN-FUHWJXTLSA-N 415.559 4.812 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1OC 521147077 LAXRBJQZQKWMPF-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD O=C(Nc1ccc2ncnc(O)c2c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 523336889 LTPGDQFJRMBPMC-UHFFFAOYSA-N 418.434 4.647 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)s2)cs1 523486777 KVKVEQYYYQUSNY-UHFFFAOYSA-N 415.540 4.725 5 20 HJBD CC(C)(C(=O)N1CCCc2cc(Br)ccc21)c1ccc([N+](=O)[O-])cc1 523508189 MTAJMCPMAMZBLH-UHFFFAOYSA-N 403.276 4.614 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)CSc2ccc([N+](=O)[O-])cc2)c1 524700857 DQZVLFRXXRIWEN-UHFFFAOYSA-N 407.495 4.619 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3csc(-c4cccs4)n3)CC2)cc1 525283081 VNLMCVCQNQDAEF-UHFFFAOYSA-N 401.513 4.823 5 20 HJBD Cc1ccc([C@H]2CCCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 525544266 VCENPFFNWWAJJQ-OAQYLSRUSA-N 407.514 4.873 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccnc2-c2csc(C)c2)cc1OC 527091582 AUNZHVZOVGWPNP-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@@H]1c1cccc(Br)c1 530776766 PBCBZLYTEZYGCD-HUUCEWRRSA-N 404.264 4.652 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)c1 533675564 SDMROLPEXCDFEC-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN(C)[C@@H](C)c1sc(C(C)C)nc1C 533765831 ILAAABFSVIAPPZ-HNNXBMFYSA-N 404.536 4.813 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1cccc(Br)c1 534270207 POPHEMIVXNSMGD-GOEBONIOSA-N 421.316 4.755 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 534462724 TYXPMVWBRWQGJH-QGZVFWFLSA-N 420.494 4.772 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537233179 XURVETJPTBKDLW-UHFFFAOYSA-N 407.857 4.772 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 538426591 OABCVDCCIRCRBE-GZVCGHTCSA-N 410.923 4.678 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 541584487 CXNDPOPFIPLDLL-LBPRGKRZSA-N 415.446 4.917 5 20 HJBD Cc1occc1C(=O)Nc1cc(Nc2ncnc3cccc([N+](=O)[O-])c23)ccc1F 542507864 UTMUJXGHPHPYOR-UHFFFAOYSA-N 407.361 4.574 5 20 HJBD Cc1c(C(=O)NC2CCN(Cc3cc(Cl)cc(Cl)c3)CC2)cccc1[N+](=O)[O-] 544092723 WGDBYTUTOXDVRV-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CC(C)NC(=O)Nc1cccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)c1 544142149 LPLFWCHXBNIKNY-UHFFFAOYSA-N 409.446 4.501 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3cn4cc(Cl)cc(Cl)c4n3)no2)c1 544373511 VEULCWYZWSFPPU-SNAWJCMRSA-N 416.224 4.694 5 20 HJBD CC(C)c1nc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546386091 ORHKJWCTFDJYKY-SNVBAGLBSA-N 408.867 4.942 5 20 HJBD CC(=O)Nc1nc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cs1 546469269 GJBCEQMESUWNOB-UHFFFAOYSA-N 423.410 4.519 5 20 HJBD COc1cc(Cc2noc(-c3cccc(-c4ccccn4)c3C)n2)ccc1[N+](=O)[O-] 546473177 RHXDFXOXFYEKPJ-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(-c2ccco2)cc1F)c1ccc([N+](=O)[O-])cc1 552266665 YJFQCYHAMGLTNS-OAQYLSRUSA-N 406.417 4.607 5 20 HJBD Cc1c(Cc2noc(-c3ccccc3OCc3cscn3)n2)cccc1[N+](=O)[O-] 558356094 AVESKXSYPUNJQJ-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(OC)cc2)nc1C 558677712 YJOKPDZJBJPNEQ-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1cc(C(=O)N2CC(C3CCC3)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 562867680 WDBJCGKEIQQKQT-UHFFFAOYSA-N 416.861 4.921 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1cccc([N+](=O)[O-])c1 563693068 KVTKPSCPKLUWMB-SFHVURJKSA-N 405.285 4.557 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 567175243 LHXUBPKQQHLCAS-NVXWUHKLSA-N 409.486 4.518 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2cn3cc(Cl)cc(Cl)c3n2)no1 579525358 RFWWSIUGTGLNPF-JTQLQIEISA-N 418.240 4.675 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 580765981 JWCJOJQWFKFLAC-IBGZPJMESA-N 411.483 4.950 5 20 HJBD Cc1cc(C)cc(-c2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)c1 598735381 JMOMETMUFCQIPI-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 605639067 YKDRGMARCKGFHR-QGZVFWFLSA-N 418.924 4.898 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@H](c2cccc(F)c2)C1 608962518 GPUXSCRNYPDYQO-QFIPXVFZSA-N 422.412 4.740 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc(-c4ccccn4)s3)n2)cc1[N+](=O)[O-] 609010292 WHGXPJBPXPHCJD-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)C3CCN(C)CC3)cc2[N+](=O)[O-])cc1 609583607 RIGXAHXNQHURFL-MRXNPFEDSA-N 413.543 4.515 5 20 HJBD Cc1cc(Cl)cc2nc(SCCCn3c(=O)oc4ccc([N+](=O)[O-])cc43)oc12 609750901 NDVIGUCRNKOLFL-UHFFFAOYSA-N 419.846 4.788 5 20 HJBD COc1cc(C(=O)N[C@@H](C)C(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 610169933 HAIHVZKQKRWRAQ-INIZCTEOSA-N 420.465 4.562 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)c1 610220980 SNDPSZQJVJPZGR-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCC(C1CC1)C1CC1)c1ccccc1 610342993 NQFIIQZLCJRVMP-OAQYLSRUSA-N 413.905 4.954 5 20 HJBD Cn1nc(-c2ccncc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206492 GLVDTOJDWVUARA-UHFFFAOYSA-N 423.498 4.677 5 20 HJBD Cn1ccnc1[C@H](NCCc1ccc(-c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 611560845 NHXDSDOBEHDEJI-XMMPIXPASA-N 412.493 4.917 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 612483958 GUNIURMYYCXBNY-XIKOKIGWSA-N 421.266 4.964 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3cccc([N+](=O)[O-])c3)c([N+](=O)[O-])c2)cc1 727431888 XPQJYIZODRSETL-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD CCN(C)C(=O)c1cccc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 729187969 AZODUDIHFRACRA-UHFFFAOYSA-N 404.422 4.573 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc2ccccc2s1 734110428 DOEFXDJQLIJPOQ-HNNXBMFYSA-N 413.502 4.642 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3cc(Cl)cc([N+](=O)[O-])c3)n2)CCCC1 737378942 FKCNTOLNXGJHBT-UHFFFAOYSA-N 408.842 4.592 5 20 HJBD Cc1ccc(NCCCNC(=O)c2ccc(C3SCCS3)cc2)c([N+](=O)[O-])c1 739652860 CELFQHYEEJCZLG-UHFFFAOYSA-N 417.556 4.614 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 745565475 OMTKJHAFPJHRSN-LLVKDONJSA-N 421.331 4.505 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(=O)c1ccc(Cl)cc1 745565593 TUJJHDFAGVTLNK-SECBINFHSA-N 401.724 4.695 5 20 HJBD Cc1c(CC(=O)OCc2cccc(NC(=O)OC(C)(C)C)c2)cccc1[N+](=O)[O-] 748465683 CTCDODKIAPKBSE-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD CCOc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c(C)c1 750841933 WFJDXGIEWIXRQU-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 754219978 GYIUGXZOUSLLHL-UHFFFAOYSA-N 424.457 4.844 5 20 HJBD COc1ccc(CCC(C)=O)cc1OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 756023506 RDIVHSPMLKUWIM-UHFFFAOYSA-N 417.483 4.845 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(C(=O)Nc2ccccc2)cc1 758165156 HSXOQYXPTYOHTA-UHFFFAOYSA-N 423.856 4.773 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(Cc4c[nH]c5ncccc45)n3)cc2)cc1 761859291 VEDSCLBXMIQEJO-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1)c1ccsc1 765778965 RJWJFKAXHASGOI-UHFFFAOYSA-N 419.418 4.676 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c2ncccc12 766707953 ZXGXPAUNWKGOPV-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](c1ccccc1)c1nccs1 770183589 LVKQPIOUAPIIBA-SJCJKPOMSA-N 418.858 4.805 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C(=O)Nc2ccccc2Cl)C(C)C)c1[N+](=O)[O-] 777077157 CXGQDBXAHBSXDN-QGZVFWFLSA-N 422.890 4.790 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CN[C@@H](C)c3ccc(N)c([N+](=O)[O-])c3)c2)cc1 788557769 QBNJOWJIKPZWIH-INIZCTEOSA-N 404.470 4.589 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790516009 MLGXRILCFAVHED-UHFFFAOYSA-N 407.829 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Oc2ccc(N(C)C(=O)OC(C)(C)C)cc2)c1F 790572417 IHPWZWXDXSDROE-UHFFFAOYSA-N 404.394 4.633 5 20 HJBD O=C(c1cc(C2CC2)cnc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 793488741 PIEYNRHSEHBBPI-UHFFFAOYSA-N 413.783 4.725 5 20 HJBD C[C@H](Cn1ccc2ccccc21)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 797040682 KLZFDHUXEFYKCK-OAHLLOKOSA-N 405.410 4.584 5 20 HJBD CO[C@@H](c1ccccc1F)[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813147762 ZPNGUQVEKHIYDO-XXFAHNHDSA-N 401.221 4.547 5 20 HJBD O=C(Nc1ccccc1SC(F)(F)F)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 916057537 SBVACMLHIBMBFT-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 917778219 GVURNXFUJVFHMR-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nnc(COc2ccccc2-c2ccccc2)o1 1323810139 UUSXBHQVYQDYRY-UHFFFAOYSA-N 416.437 4.878 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 1336227178 GCJWAYCFBFEBCM-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD COC(=O)c1ccc(Oc2nnc(-c3ccccc3)cc2C(F)(F)F)cc1[N+](=O)[O-] 1337960630 HSJFTIUSMFETEP-UHFFFAOYSA-N 419.315 4.650 5 20 HJBD CC(C)CC(=O)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3F)CCC2)cc1 1787663771 MNKSCYMCICOKKW-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cccc(F)c2)c2ccccc2)c([N+](=O)[O-])c1 5631692 ARIDZBRZNMILLI-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Nc1ccc(-c2ccccc2)cc1 7919481 CBEYRZQOHMHXRU-UHFFFAOYSA-N 417.421 4.589 5 20 HJBD C[C@H](Sc1ncc(-c2ccc(F)cc2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 10715090 AVZUPIVHVOZNQL-JTQLQIEISA-N 405.382 4.647 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11029860 KJSNVYGYYJCHHO-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)sc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17956808 PHCNQTNUYJRCKO-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 23659958 WQVZRPVYFWJVAQ-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD Cc1ccc([C@@H](NC(=O)COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C2CC2)cc1 25374579 WMPDFUYOIKILAF-OAQYLSRUSA-N 424.478 4.542 5 20 HJBD O=C(NC[C@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])o1 32750610 BQXUSGOECCLAPE-OAHLLOKOSA-N 409.829 4.884 5 20 HJBD CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1Br 59120310 YTKLTEXJSOUNCX-CQSZACIVSA-N 405.292 4.900 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)CCn2cc([N+](=O)[O-])cn2)c2cccs2)cc1 72022802 ONXPSPMDFBIXCK-QVKFZJNVSA-N 412.515 4.662 5 20 HJBD CN(Cc1cccc(F)c1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 98065581 RQEIVMKJEUWEKV-UHFFFAOYSA-N 402.369 4.503 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccccc3F)n2Cc2ccco2)c(F)c1 301702268 GQJUKTGICGEDLH-UHFFFAOYSA-N 414.393 4.924 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Br 302756395 BDXBQMQKOWFXQN-SECBINFHSA-N 413.655 4.510 5 20 HJBD COc1ccc(Br)c(CN(C)C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)c1 429626913 YRJHDANVJBGOQR-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@@H]1c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 430555216 HTGYNDAIEORPLZ-SJORKVTESA-N 403.276 4.512 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)c1[N+](=O)[O-] 434247068 CVAOVUDAINKCCK-QGZVFWFLSA-N 416.840 4.753 5 20 HJBD COc1cccc(C(=O)NC2(c3ccc(Br)cc3)CCCC2)c1[N+](=O)[O-] 435560157 UHPLEVFFNXHMFV-UHFFFAOYSA-N 419.275 4.565 5 20 HJBD COc1cccc(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)c1[N+](=O)[O-] 436048629 KDERTIHXFZMJNX-UHFFFAOYSA-N 407.253 4.840 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 439660457 YOOCSUGKHPTRDA-UHFFFAOYSA-N 421.419 4.945 5 20 HJBD CC[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1C 444176990 RVIJTXPJAKPONB-INIZCTEOSA-N 418.468 4.716 5 20 HJBD COc1ccc(CSCc2nc(C(C)(C)c3cccc(F)c3)no2)cc1[N+](=O)[O-] 445623953 NHMWUHXUGVOMFB-UHFFFAOYSA-N 417.462 4.885 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cccc([C@@H]2CCOC2)c1 446427339 CXJHAUWMORMPFM-HXUWFJFHSA-N 418.449 4.930 5 20 HJBD Cc1ccc([C@H](OC[C@H](O)CSc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1 448046681 BDNLBDAWIMNNID-RBBKRZOGSA-N 410.495 4.557 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC[C@@H]1c1ccccc1 460644098 VQTLZZLOXANQLT-BEFAXECRSA-N 407.495 4.984 5 20 HJBD COc1ccc(-c2ccc(CN[C@H]3CCO[C@H](c4ccccc4)C3)o2)c([N+](=O)[O-])c1 462636334 CLTPTIWISZKRFN-SBUREZEXSA-N 408.454 4.873 5 20 HJBD CC[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465256458 IMXXBVMYDQLAOD-ZDUSSCGKSA-N 416.425 4.530 5 20 HJBD CN(C[C@@H]1CCCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467512691 KYBJVKAIPNJMSZ-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD O=C(c1cnc(Cc2ccccc2F)s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 476309536 YMLXHPHJWFLRQV-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD COc1c(C(=O)Nc2nc3ccccc3n2Cc2ccccc2C)cccc1[N+](=O)[O-] 476537417 BPGCEWCOXCCZDQ-UHFFFAOYSA-N 416.437 4.562 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(F)cc1OC(F)F 476830074 NPPJFJPKDJTHMV-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1cc2n[nH]cc2cc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 481299408 METGGPLRWPXYEH-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccn2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 481341242 HAFUFULEHMNHQH-CQSZACIVSA-N 407.455 4.743 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1[C@@H]2CCC[C@@H]12 483160647 ZJXKTLUZZNCFAB-ZIAGYGMSSA-N 404.825 4.842 5 20 HJBD CCCCSc1nnc(Sc2ncc([N+](=O)[O-])cc2Br)s1 485180369 DDCMAOUNSBOKRT-UHFFFAOYSA-N 407.340 4.647 5 20 HJBD CCc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 485315050 HNTTZSDPISOSJO-CYBMUJFWSA-N 413.865 4.879 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2sc([N+](=O)[O-])cc12 488484593 UYVNPKNBYKOIIQ-QMTHXVAHSA-N 424.404 4.585 5 20 HJBD C[C@@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 489682416 GSSMNKMUVIFXKD-LLVKDONJSA-N 410.411 4.510 5 20 HJBD O=C(Nc1c(-c2ccccc2)nc2ccccn12)c1cc([N+](=O)[O-])ccc1OC(F)F 498236087 FEWPOAVRQKZKOV-UHFFFAOYSA-N 424.363 4.763 5 20 HJBD COC[C@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 498605718 LVFSNLIXGFRPKC-AWEZNQCLSA-N 404.850 4.539 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccc(Cl)cc1)c1cccs1 500761327 GKFNYOWOSADRJW-GOSISDBHSA-N 402.859 4.838 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 502548123 SORFGVFFVBJHTF-UHFFFAOYSA-N 409.442 4.856 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 506580636 PTFRTOWFSKTAAN-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD C[C@@H](NC(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cccc([N+](=O)[O-])c1 509699221 ODPOBFZFIVLYFR-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD COc1ccc(N(C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1F 509804601 DNOCZDYLQSRACF-LBPRGKRZSA-N 410.829 4.605 5 20 HJBD Cc1c(NC(=O)C(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cccc1[N+](=O)[O-] 511573992 RMURQYINLZCDCS-QGZVFWFLSA-N 422.268 4.512 5 20 HJBD C[C@H](c1cccnc1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 522209270 NSUJCWWZQOJYHZ-QGZVFWFLSA-N 408.527 4.597 5 20 HJBD Cc1ccc([C@@H]2COCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)o1 524196737 UAKGBNDYRXLAQZ-SFHVURJKSA-N 418.515 4.848 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 534160863 WVFLOIWPOJZKHI-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1nc(-c2ccc(F)cc2)cs1 539279447 IDEHAYMPQDHQCH-OLZOCXBDSA-N 414.462 4.535 5 20 HJBD CC(C)[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)cc1F 543846419 IFMALNNWGHNSFM-GOSISDBHSA-N 404.829 4.924 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 543853518 AQZHCUGWWWCXMU-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CC[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 550656735 HBOODGIYJVVBGM-OAHLLOKOSA-N 406.404 4.767 5 20 HJBD COCC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)c(Cl)c1 551336684 SVTLFRNDTJQHGC-MRXNPFEDSA-N 401.221 4.548 5 20 HJBD O=C(Nc1nc(-c2cc3ccccc3o2)cs1)c1n[nH]c2ccc([N+](=O)[O-])cc12 553196019 WGVLRWHQFMAXTP-UHFFFAOYSA-N 405.395 4.593 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(Cl)cc(F)c2Br)cc1[N+](=O)[O-] 555861481 UARGNUAWSGHHOM-SECBINFHSA-N 415.646 4.949 5 20 HJBD COCc1cnc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 557442926 CRVKSKQAHWYKBV-UHFFFAOYSA-N 401.469 4.601 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(OC(F)F)c(F)c3)o2)c([N+](=O)[O-])c1 559334759 ILOHFPQXMLUXTG-UHFFFAOYSA-N 422.359 4.894 5 20 HJBD C[C@@H](C(=O)NCC(C)(C)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 562300679 ATRBMPOEUKMJMI-CYBMUJFWSA-N 405.292 4.555 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 569388717 CXKZLAGIKIHWKM-SFHVURJKSA-N 418.515 4.565 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)c1ccco1 580821153 HKWVIYQNZKCRFG-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC3(CCC3)CC2)cc1[N+](=O)[O-] 588023037 MZFBNRDATXGWRH-UHFFFAOYSA-N 424.522 4.954 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609684897 RXGXMHUVKLIJDW-JTBPQFFMSA-N 423.494 4.785 5 20 HJBD O=C(CCc1ccc(Br)cc1F)NCCCCc1ccc([N+](=O)[O-])cc1 610046454 KVFCUBTVBIVQID-UHFFFAOYSA-N 423.282 4.568 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1ccc([N+](=O)[O-])cc1Br 610061615 ISPHBKYSRAKPBX-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](C[C@@H]1CCOC1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610742582 PAAGLXHBJCCSTK-KBPBESRZSA-N 420.918 4.944 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(N4CCC(O)CC4)cc3)s2)cc1 734157116 KLGUVQQIQRKGOV-UHFFFAOYSA-N 409.511 4.897 5 20 HJBD Cc1cc2oc(=O)cc(COc3ccc(Br)cc3[N+](=O)[O-])c2cc1C 741855283 IQPUWHKAURPSFC-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD Cc1ccc(Br)cc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 741937480 TXLBYDRSRULMEZ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 742146526 SSVMIOUVQRPWKT-UHFFFAOYSA-N 406.273 4.640 5 20 HJBD Cc1cc(COC(=O)c2cc([N+](=O)[O-])ccc2Br)c2ccccc2n1 744915884 UBVIBXGJEBYTNO-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD O=C(Nc1ccccc1CN1C(=O)CCC1=O)c1c2ccccc2cc2ccccc12 746516016 OFIRPXFAVCOQLL-UHFFFAOYSA-N 408.457 4.894 5 20 HJBD Cc1ccc2nc(C3CC3)cc(C(=O)O[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c2c1 747048982 YHUILHQBEXTSNA-AWEZNQCLSA-N 419.437 4.513 5 20 HJBD C[C@@H](OC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cnccn1 747741248 UXZWMMFMYVJUFG-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD CC1(C)C(=O)N(CN(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)[C@H]1c1ccccc1 749939890 VHRILBCHZHJBOA-SFHVURJKSA-N 421.419 4.526 5 20 HJBD Cc1ccc(N(C(=O)c2cc([N+](=O)[O-])ccc2I)C(C)C)cc1 751133701 ZELORNKWWXRCJM-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CCCSC(C)(C)C 754252736 BXUILHDBNAGMPI-UHFFFAOYSA-N 420.535 4.694 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(N2CCOC2=O)cc1 761209793 GIDMXOWZHHCNSJ-HNNXBMFYSA-N 404.426 4.787 5 20 HJBD Cc1cc(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)c(N)c([N+](=O)[O-])c1 762538945 GWTZDHVOPZAYMS-UHFFFAOYSA-N 407.392 4.524 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1)C1CCCCC1 764211406 RIJNZKZOIXYINF-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 765479421 GTWWCSSLHPSURJ-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD CC(C)(c1noc(CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1)c1ccccc1Cl 771648636 RLRSFBJPYVMZAC-UHFFFAOYSA-N 416.821 4.651 5 20 HJBD O=C(OCc1ncc(Cl)cc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 777072050 VPWQPYWQJBNQLC-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(Cc3cccc(F)c3)s2)ccc1Br 777518059 AQVKBAOCIISIBL-UHFFFAOYSA-N 423.267 4.551 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)CC1 777953466 GLIZPJJMSVRGFM-UHFFFAOYSA-N 424.888 4.664 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(N2CCCCC2)CC1 779974159 XKGXHYWJUTZZLM-UHFFFAOYSA-N 405.564 4.624 5 20 HJBD COc1ccc(C(=O)OCc2nc3ccccc3c3ccccc23)nc1Br 781754106 FTECSRJNCRFHCT-UHFFFAOYSA-N 423.266 4.911 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 782850077 WHMYCRIQPMERKC-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)C1CCC(NC(=O)OC(C)(C)C)CC1 789433410 UXJCGCDDKWUSOP-UHFFFAOYSA-N 420.510 4.511 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Br)c(F)cc2Cl)c1F 790828938 DMEMBJCMGZMWCC-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2cccc(Cl)c2)n1)c1ccccc1[N+](=O)[O-] 796446504 YYCXYVGBHAGXLH-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C(=O)Nc1ccc(SC2CCCC2)cc1 800628153 VALCMZMJOOWKEG-UHFFFAOYSA-N 417.462 4.654 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccccn2)nc2ccccc12 804176169 DXCDUKZYPINPFC-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCCc1nnc(-c2ccccc2)o1 806114703 UIMADRMXNUZPLV-UHFFFAOYSA-N 413.455 4.546 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813244442 CEMIPRUHXVBWLM-UHFFFAOYSA-N 418.862 4.793 5 20 HJBD Cc1ccccc1Cc1noc(CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 904644838 XKMZQPTVYUZFBK-UHFFFAOYSA-N 406.364 4.550 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(-c3ccncc3)cs2)CC1 929358529 MFGKOCWVDIFECC-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)cc1[N+](=O)[O-] 1117191468 JVKURIDAPAOTMY-LLVKDONJSA-N 404.220 4.856 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1csc(Cc2ccc(Cl)cc2)n1 1318595394 SAGHJKAKDUTTKI-UHFFFAOYSA-N 401.875 4.568 5 20 HJBD COc1cc(CNC(=O)c2c3ccccc3cc3ccccc23)cc(OC)c1OC 1318928880 PVNMRDKZLGLKKY-UHFFFAOYSA-N 401.462 4.949 5 20 HJBD COc1ccc(Nc2nc(COC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cs2)cc1 5710778 GGPICINKLKFQBP-NYYWCZLTSA-N 411.439 4.560 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c1 7797758 PHAFYIZDJMNXTQ-LLVKDONJSA-N 401.469 4.526 5 20 HJBD CNc1ccc(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cc1[N+](=O)[O-] 10690663 OKMXNWQYZHOUHI-IBGZPJMESA-N 414.465 4.680 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1ccccc1 15652994 PLGJRERXOYOXRI-OAHLLOKOSA-N 422.462 4.930 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2nc3ccccc3o2)CC1 25180855 SNKPWHJTUXIMQK-AWEZNQCLSA-N 411.483 4.623 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(SCc3cccnc3)cc2)cc1[N+](=O)[O-] 27120604 JEHNSHPMNRONGU-UHFFFAOYSA-N 408.483 4.966 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(C(F)(F)F)ccc1Cl 28451515 GODGSTPKRNKMRV-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD COc1cc(C(=O)Nc2ccc(SC3CCCC3)cc2)c([N+](=O)[O-])cc1OC 30184163 WKHYBZSREPNOIE-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD COc1cc(C(=O)N2CC=C(c3cccc4ccccc34)CC2)c([N+](=O)[O-])cc1OC 63691027 IVZXNUOIAVYVLZ-UHFFFAOYSA-N 418.449 4.695 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2n1C 117493556 HMUNHMVLKXSFAW-MGPUTAFESA-N 424.526 4.716 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(-c2ccccc2)n1-c1ccc(F)cc1F 301069309 DVUWLOAXJOWJGZ-UHFFFAOYSA-N 411.393 4.667 5 20 HJBD COC1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c(C)n3)cc2C(F)(F)F)CC1 301628066 HYZBGXIWBRHPRD-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD Cc1cc(N2CCO[C@@H](c3cccc(OC(F)F)c3)C2)c2cccc([N+](=O)[O-])c2n1 302030069 RREQAKYXKGSIBQ-LJQANCHMSA-N 415.396 4.631 5 20 HJBD CCOc1ccccc1[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436092174 QLPFNKPSAHEAAF-CYBMUJFWSA-N 422.431 4.849 5 20 HJBD CN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccc(OC(F)(F)F)c1 436322433 NNOYSZMYUDYUBS-UHFFFAOYSA-N 412.367 4.857 5 20 HJBD CC[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1nc(C)cs1 436422843 XNMPZDODNHULES-INIZCTEOSA-N 413.449 4.606 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 439668155 AHWXQFLRTCFEEG-UHFFFAOYSA-N 420.444 4.651 5 20 HJBD O=C(Nc1nnc(C(F)F)s1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440698871 YBSZCINTQDJVDT-UHFFFAOYSA-N 408.411 4.787 5 20 HJBD C[C@@H](CNc1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 443312708 NEIWYJLPWJHEJP-INIZCTEOSA-N 407.495 4.976 5 20 HJBD O=C(NCc1ccc(-c2cccnc2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444116405 PDXWUSBVMKIYJW-UHFFFAOYSA-N 423.447 4.812 5 20 HJBD O=C(Nc1cccc(Oc2ccccc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 446421238 QFQWHZPIPPJRCR-UHFFFAOYSA-N 411.801 4.618 5 20 HJBD CC(C)c1cnc(-c2ccc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)o1 447052566 SLSUEIKEFQOAEN-UHFFFAOYSA-N 406.442 4.963 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 447861166 NLWINCVVNLJWLU-UHFFFAOYSA-N 400.410 4.688 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cccnc2)c1 462432494 RKOUIGFPHKYRMD-LJQANCHMSA-N 405.454 4.776 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1cccnc1 462998303 TYZYWZAHJRNHOA-HSZRJFAPSA-N 417.509 4.830 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463355178 PLALWUXOEJSTQN-ZDUSSCGKSA-N 400.353 4.720 5 20 HJBD CSc1ccc(C(=O)NC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 464701384 GJOLNAZOQFXKRZ-YLJYHZDGSA-N 400.500 4.523 5 20 HJBD COc1ccc2c(c1)N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCCC2 465520529 GGLKHMPPMOUWQO-UHFFFAOYSA-N 424.375 4.528 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)c(Cl)cc2F)c([N+](=O)[O-])cc1OC 466566290 KSAUJDQSLAVIFA-UHFFFAOYSA-N 403.193 4.700 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Br)cc(OC(C)C)c1 470990324 CBVBVEKMVIAXTG-UHFFFAOYSA-N 423.263 4.796 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cccc1C(F)(F)F 471844971 MBQUYODCSJSJNV-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1cccc(C=C2CCN(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c1 472642262 VXOJBCNWYUZSQU-KRWDZBQOSA-N 409.486 4.862 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2)C1 481882133 WJYWGRHXKGDUIO-IBGZPJMESA-N 400.500 4.557 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(Cl)c(Cl)c2)cc1SC 482023067 ABIDDLUOMNQGIE-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD C[C@@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1ccc([N+](=O)[O-])cc1 482036394 NCFKHZHASQPMLS-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1nc(-c2ccccc2)oc1C 485282667 FBENIVDRBXHORK-HNNXBMFYSA-N 400.456 4.543 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 485566099 HHHOKJAYEWVHLO-INIZCTEOSA-N 408.429 4.733 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3sc(Br)cc3[N+](=O)[O-])c2)n1 486318501 JMWWSXRBSCVWKB-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)c1 491111580 XEGILCNLKGQSCJ-CYBMUJFWSA-N 417.556 4.672 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)cc1 493212198 XWPFJZVPPVLLKY-UHFFFAOYSA-N 423.494 4.922 5 20 HJBD CN(Cc1ccc(Br)c(F)c1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 494860081 TWOUAXPITRUXAR-UHFFFAOYSA-N 407.195 4.515 5 20 HJBD CC(C)NC(=O)Cc1ccc(N[C@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc1 495011402 WLGRRCKWEMRKIL-XMMPIXPASA-N 403.482 4.863 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])c2cccnc12 498304760 RMDIJMIDSLVVIE-UHFFFAOYSA-N 418.384 4.508 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 501261786 NZVGLTUKXKJUEX-QGZVFWFLSA-N 405.882 4.592 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 502066694 NDYSABDGTSGMFT-CYBMUJFWSA-N 411.483 4.884 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H](c1ccccc1)c1cccnc1 503260603 PTSBDWHYOODTSU-GOSISDBHSA-N 414.368 4.502 5 20 HJBD Cc1ccc2oc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3Br)nc2c1 504538101 GFDHNLIBQVEYNY-UHFFFAOYSA-N 422.260 4.538 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(F)cc1 512839942 LGOBSRJNUUTZCN-CVEARBPZSA-N 422.460 4.836 5 20 HJBD COc1ccc(CNC(=O)NCC2(Sc3ccccc3)CCCC2)cc1[N+](=O)[O-] 521005982 FCQSLYUTGNGCSV-UHFFFAOYSA-N 415.515 4.508 5 20 HJBD Cc1ccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 521815959 GSNXLHJEGMYKQZ-UHFFFAOYSA-N 405.248 4.851 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(OCC4CCCCC4)CC3)o2)c1 533290994 MJQIWGLKFJDNSB-UHFFFAOYSA-N 413.518 4.854 5 20 HJBD O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2nc3ccccc3o2)c(Br)c1 534916596 NQSGCZZSBVPHIO-CQSZACIVSA-N 403.236 4.625 5 20 HJBD CSc1ccc(NC(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 536301531 DAGCHDYYOIRFOF-UHFFFAOYSA-N 415.496 4.844 5 20 HJBD CN(C(=O)[C@@H]1C[C@]12CCCc1ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251051 BXPLOHLXVVHTBW-MBSDFSHPSA-N 419.506 4.975 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542557072 ZVGKJQKXBLHEAT-ZDUSSCGKSA-N 417.531 4.843 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])[nH]1 543324103 QOKUJCMGQJIJNT-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC4(CCOCC4)[C@@H]3C)c([N+](=O)[O-])c2)cc1 544416079 WCKXKDMWCVNGCW-KRWDZBQOSA-N 409.486 4.551 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@@H]2c2ccc(Br)cc2)n1 544908974 VPBBZKDQPZQCOG-CABCVRRESA-N 400.232 4.602 5 20 HJBD COc1cc(Cc2noc(CSCc3cccc(Cl)c3)n2)ccc1[N+](=O)[O-] 545777837 XEVIPFHSBAPLJE-UHFFFAOYSA-N 405.863 4.664 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3c(F)cccc3Cl)n2)ccc1[N+](=O)[O-] 547200012 ISGIKUSPKAGDQY-VXGBXAGGSA-N 403.797 4.641 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC4(CCOCC4)[C@@H]3C3CC3)o2)c(Cl)c1 552392610 WBDUKEMYOCAWKJ-FQEVSTJZSA-N 402.878 4.899 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCCO1 564120125 FUUMSQWNZQLTAY-HXUWFJFHSA-N 400.500 4.633 5 20 HJBD C[C@@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1c[nH]c2ccccc12 567396334 CUWHUGSVIJKWMB-ZDUSSCGKSA-N 401.426 4.877 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cncc(Br)c2)no1 571916955 FPROTXBWPZPXGB-GFCCVEGCSA-N 403.236 4.539 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cc(O)nc5ccccc45)no3)cc12 582551898 RPAWTSFLTSAARY-UHFFFAOYSA-N 406.401 4.652 5 20 HJBD Cc1ccc(-c2noc(Cn3ccc4cc([N+](=O)[O-])ccc43)n2)cc1N1CCCC1 584211414 FTDPHXVHJCDUNW-UHFFFAOYSA-N 403.442 4.556 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@@H]1c1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 602761782 URDNVBGVTOGNBB-QFIPXVFZSA-N 419.437 4.600 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 603784410 FKZZQQLUCAUROU-JTQLQIEISA-N 401.772 4.556 5 20 HJBD Cc1c(OCC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cccc1[N+](=O)[O-] 603916909 NQPLBXPFSXNOFG-QGZVFWFLSA-N 409.269 4.953 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)NCc3ccc([N+](=O)[O-])cc3)CC2)cc1 604077284 VKKUJAGSHAVOLQ-UHFFFAOYSA-N 423.513 4.598 5 20 HJBD COc1ccc(C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1OC 608983242 HDRBULCREGPHTO-HXUWFJFHSA-N 421.453 4.718 5 20 HJBD COc1ccc(CC(=O)N[C@@H](C)c2ccc(Oc3ccccc3)c(F)c2)cc1[N+](=O)[O-] 609010881 IXWBXWDHMBYJJX-HNNXBMFYSA-N 424.428 4.955 5 20 HJBD COc1cc(-c2nc(-c3ccccc3OCc3ccccc3)no2)ccc1[N+](=O)[O-] 609475365 URSDWTSANZMJFY-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc3oc(CC(C)(C)C)nc3c2)cc1[N+](=O)[O-] 609811102 NIFCZEMEKGWWBP-UHFFFAOYSA-N 412.446 4.655 5 20 HJBD CCCCOCCN(C(=O)c1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1 609837288 JLKKSCVTUVWQFW-UHFFFAOYSA-N 421.291 4.821 5 20 HJBD C[C@H]1Sc2ccc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2NC1=O 609858966 WYTBKGOVUSQHKQ-CYBMUJFWSA-N 411.483 4.617 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610039341 HQAVLZGEQHCPDC-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1 610104548 QQNIFQJIWUEBKK-QFIPXVFZSA-N 411.502 4.863 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610960192 BNGZEXSWWSYENY-DNVCBOLYSA-N 422.403 4.854 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 727999463 WXDSADRSRDCRBZ-UHFFFAOYSA-N 411.355 4.995 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)s1 730202176 LXXUJMIHSXIIQB-CYBMUJFWSA-N 402.863 4.894 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1OC 734394906 RCRPXRAIOYZAMA-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD CC(C)COc1ccc(CC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 735445642 PHFAMQWBOKVCLP-UHFFFAOYSA-N 410.426 4.571 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cnn1-c1ccc(F)cc1 735803041 QCAYKFQYBIJCLV-UHFFFAOYSA-N 402.813 4.696 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1)c1ccc([N+](=O)[O-])cc1 739551626 MGICWJZPRAOQRP-UHFFFAOYSA-N 422.412 4.869 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2sc(C)nc2C)n1 744500588 QFLMRWZIYAOOHL-UHFFFAOYSA-N 421.525 4.941 5 20 HJBD CC[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1nc(C)cs1 749431976 YTFBTWNBTYXOGO-JTQLQIEISA-N 418.700 4.657 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1cnc(-c2cccs2)s1 751443483 KQFQZVVEIOTYNE-KPKJPENVSA-N 417.855 4.778 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 755693672 INELXNMGYUNAKY-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD C[C@H](OC(=O)[C@@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 764589332 ZAMGETXVBISQRR-YJBOKZPZSA-N 414.458 4.508 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(OCc2ccncc2)cc1 790554965 PQWVMAXRRLLYAS-UHFFFAOYSA-N 415.808 4.543 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 795125595 LEHJYQOWOIKEOS-IBGZPJMESA-N 408.376 4.784 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 800846096 DAHBIEYGUOWAEJ-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD O=C(NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1Cl 803363169 DPDAFSKKVBTQRP-GOSISDBHSA-N 409.269 4.799 5 20 HJBD Cc1cc(Cc2noc([C@@H]3C[C@H](C)CCN3C(=O)OC(C)(C)C)n2)ccc1[N+](=O)[O-] 809434174 WXDZORMUKOJBSW-DYVFJYSZSA-N 416.478 4.585 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCC[C@@H](CNC(=O)C(F)(F)F)C1 813283017 GPJVNBQWLBJUCE-HNNXBMFYSA-N 414.427 4.524 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 915117537 XAYGEGRAYDBZSL-INIZCTEOSA-N 424.478 4.638 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cs1 1115938514 JRPFYSJFYYFBRT-UHFFFAOYSA-N 406.467 4.606 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1252357383 YXHRNGQMMMHQJO-UHFFFAOYSA-N 419.437 4.621 5 20 HJBD COc1ccc(Br)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 1319760756 RGLRGIDGNSCUHQ-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1327856212 JLPCBPQVXLDOIF-JTQLQIEISA-N 422.210 4.610 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1C(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1340801521 PBSXMUNQRYCRHP-SNVBAGLBSA-N 413.783 4.610 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cnc4c(c3)c(C3CC3)nn4-c3ccccc3)o2)c1 1341456635 WIWZTDQXIFZLDO-UHFFFAOYSA-N 424.420 4.923 5 20 HJBD C[S@@](=O)c1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1343333882 HVBIHDHLSPVDFG-HHHXNRCGSA-N 404.875 4.535 5 20 HJBD CCOc1ccc(C(=O)N2CCC(c3nc4ccccc4s3)CC2)cc1[N+](=O)[O-] 8264763 RHXOHHLCDUJPEV-UHFFFAOYSA-N 411.483 4.623 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc([N+](=O)[O-])ccc1Cl 12488148 QISKBHGJONADKJ-LJQANCHMSA-N 410.813 4.785 5 20 HJBD O=C(Cc1csc(-c2cccc(Br)c2)n1)Nc1ccc([N+](=O)[O-])cc1 25010756 YMUVWNYOFFDYOA-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)c1[N+](=O)[O-] 55689033 LEDCPUXYKONRJC-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 56147170 CUNVAKBPEVWYFX-ROUUACIJSA-N 407.495 4.613 5 20 HJBD CCCN(C[C@@H]1COc2ccccc2O1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 58979946 MQKUJESUBZGDHG-MRXNPFEDSA-N 412.467 4.502 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(c2ccccc2)c2ccccc2)cc1SC 97548838 LYLZBYLMKASDFE-UHFFFAOYSA-N 422.506 4.887 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1)c1cc2ccccc2o1 195720559 PIZQYKNELCWXKA-QFIPXVFZSA-N 401.422 4.930 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)ccc1[N+](=O)[O-] 303497687 QWIJQONOHQKGPY-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC[C@@H](c2nnc3n2CCCCC3)C1 429185595 DNDLHRZPIJZETA-GFCCVEGCSA-N 410.305 4.604 5 20 HJBD COC(=O)c1cccc(-c2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)c1 429263763 KSZVUMRYHQZODZ-UHFFFAOYSA-N 411.483 4.530 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1ccc(Br)cc1[N+](=O)[O-] 429936197 MCDQOXZEUZHMKZ-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CC(C)(C(=O)NCc1ccc(Oc2cccnc2)c(F)c1)c1ccccc1[N+](=O)[O-] 432884697 IDKAORLANBMTGT-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3ccc(Cl)cc3s2)cc1OC 436466767 UYCIVDLTXPTWDX-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD Cc1nc(COc2cccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)cs1 437121518 BVYGGLWRWWDOOD-UHFFFAOYSA-N 423.494 4.744 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1cscn1)c1ccccc1 437223992 XAOLAOPKNRZFBV-UHFFFAOYSA-N 407.373 4.917 5 20 HJBD CC(C)(C)NCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439490173 GIZMCWXEPYXZAF-UHFFFAOYSA-N 407.923 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN(c2ccc(C(F)(F)F)cc2)CC1 443926522 JKFFHVFVGYXDMF-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(NC[C@@]1(O)CCC[C@H](C(F)(F)F)C1)c1cc2ccccc2c2cccnc12 444481113 KVWBTPWOMVRLMS-YCRPNKLZSA-N 402.416 4.601 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3nc(C)ccc3[N+](=O)[O-])C2)n1 447680499 HJNVAJRLPUDYMJ-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc([S@](C)=O)cc1 447691960 XJMAQAGHBKCWNI-HKTWGTONSA-N 423.363 4.554 5 20 HJBD Cc1ccc(OC(F)F)c(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 465232936 SGMFIBOXTWCMEZ-UHFFFAOYSA-N 407.417 4.625 5 20 HJBD C[C@@]1(CN[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCCS1 467428751 ILWMNYUKOLBALY-QUCCMNQESA-N 419.934 4.803 5 20 HJBD COc1ccc2c(c1)nc(CNCc1ccc(-c3ccccc3[N+](=O)[O-])s1)n2C 472128489 QEDCAMHPSUIXKU-UHFFFAOYSA-N 408.483 4.508 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)c(Cl)c1 475634564 NBXJEKHECZXJDJ-HNNXBMFYSA-N 409.191 4.744 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F)CCC2 479534309 DINNNEIXPLRTKJ-QZTJIDSGSA-N 414.811 4.968 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2oc3ccccc3c2C)cc1SC 481845292 VOCZSBIVESQSQW-GFCCVEGCSA-N 400.456 4.871 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(Br)ccc1F 482482689 BQJDCZQMPITKNC-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD COc1ccccc1-c1noc([C@H](C)N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])n1 483639301 UGOBLVJCULUBTQ-TXPKVOOTSA-N 422.485 4.715 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 485678644 QDIMBSOURARMFO-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD COc1cc(CN[C@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC(F)F 491036137 KXIRLLUASKXXOV-AWEZNQCLSA-N 410.442 4.574 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 498982551 OTNHMSBNOFNXBW-UHFFFAOYSA-N 410.495 4.514 5 20 HJBD O=C(NC1(c2ccccc2)CCCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 505158740 MNXYVFWITNYUBT-UHFFFAOYSA-N 408.376 4.735 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1F 505572338 JFGMGGVJCACZLG-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccccc1Br 507633429 DRHPEHAPUPVXIK-JTQLQIEISA-N 416.318 4.614 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 508275695 QXEAJKVWRDEFSO-CYBMUJFWSA-N 411.483 4.884 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1Cl 509365018 INGUHWKEBUNNEQ-GFCCVEGCSA-N 421.906 4.507 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 510082255 DCFDWCCEWFOVJQ-GOSISDBHSA-N 413.865 4.987 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccccc1[N+](=O)[O-] 511710799 XWOUMTNTKJZRMH-KOMQPUFPSA-N 406.404 4.954 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 511829903 MTKKBLFTRQKLLH-DLBZAZTESA-N 421.419 4.786 5 20 HJBD CN(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1Cl 512285180 GNQGEHDBSPKVPT-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD Cc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c(OCC(F)(F)F)c1 512567406 AJRHNEJBZOGANZ-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4ccc(NC5CC5)c([N+](=O)[O-])c4)CC3)c[nH]c2c1 515244345 VUBBIGMJBSONAN-UHFFFAOYSA-N 416.481 4.888 5 20 HJBD O=C(CCCc1cccs1)Nc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2)c1 517296754 LVQOELRUBGKWHV-UHFFFAOYSA-N 409.467 4.870 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 520024239 QWKHGPIVZPRHTH-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)nnn1-c1ccccc1 522671545 RLJJHIBYCAVFEN-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Cc1cccc(OCc2ccccc2Cl)c1)NCc1cccc([N+](=O)[O-])c1 524338517 HRAUOLHFJJMCIG-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD CC1(C)[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@H]2CCO[C@H]21 525466786 LAGVVSDOGREYID-ATZDWAIDSA-N 416.861 4.584 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)Cc1cccs1 525532192 DEPBKXRYAIUHFB-HOTGVXAUSA-N 424.526 4.833 5 20 HJBD COc1ccc(CNc2cccc(COc3ccc4c(c3)OCO4)c2)cc1[N+](=O)[O-] 532730160 QKAUOZFMVBMWTG-UHFFFAOYSA-N 408.410 4.523 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](C3CCOCC3)C2)cc1[N+](=O)[O-] 535133692 IYSKIQJMFIBTHS-GOSISDBHSA-N 406.548 4.622 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 535677655 WDPRHKSEJCCENM-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC)c(CO)c1 538574700 QMMZYFJWDPQQGW-UHFFFAOYSA-N 404.488 4.630 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(OCc2noc(C3CC3)n2)cc1 539845134 XTXBGNNAKMVJRK-UHFFFAOYSA-N 422.441 4.612 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC1CCN(c2nc3ccccc3s2)CC1 539890427 VKLSFUFUVIWPMH-UHFFFAOYSA-N 424.526 4.529 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 541295300 BRZOAFMAINIKPO-LBPRGKRZSA-N 424.906 4.625 5 20 HJBD CCn1c([C@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])nc2ccccc21 541423513 OCFXHHQOAAEQAO-LBPRGKRZSA-N 418.906 4.587 5 20 HJBD CCc1ccc([C@H](NCc2ccc(Cn3cccn3)cc2)c2ccc([N+](=O)[O-])cc2)o1 541550228 VEZHVOYLOVZKHL-XMMPIXPASA-N 416.481 4.874 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NC[C@@H]2CCCO[C@H]2c2ccccc2)c1Br 543450022 XSUQMQPTZOFCOT-YOEHRIQHSA-N 406.280 4.641 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 543468569 LVVDSVGPOKRCSB-LSDHHAIUSA-N 418.465 4.902 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccc1 543524223 KELCDRVNYLYEGF-IVZQSRNASA-N 408.429 4.752 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 544460357 HRYTYINBWYQIIG-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD CC1(CO)CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 545140746 MBINLXJISNSRBN-UHFFFAOYSA-N 420.918 4.634 5 20 HJBD CC(C)(C(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 546538721 OYGWYJUBHLMMDK-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD C[C@H](C(=O)Nc1c(-c2ccccc2)nc2ccccn12)c1ccc([N+](=O)[O-])cc1F 546705427 VMDKWJJIJLDPDS-AWEZNQCLSA-N 404.401 4.791 5 20 HJBD Cc1nc(Cc2noc(-c3ccc(N4CCC[C@@H](C)C4)c([N+](=O)[O-])c3)n2)sc1C 547168819 RVLMEKBRQOCRHI-GFCCVEGCSA-N 413.503 4.545 5 20 HJBD C[C@H](NCc1ncn(-c2ccccc2)n1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 550931739 UNJQRWNGEZWPGZ-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3c(F)cccc3[N+](=O)[O-])cc2)s1 557493456 PIPGBPGFRZJMFE-UHFFFAOYSA-N 408.432 4.697 5 20 HJBD C[C@@H]1COCC[C@H]1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 572589063 DDXMAOCZBXNKLI-KGLIPLIRSA-N 420.918 4.802 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 576307350 GQTXSINHMYACEG-UHFFFAOYSA-N 408.454 4.832 5 20 HJBD COc1ccc(C(=O)CCCCCc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 589147093 CSALHLJOIXKECL-UHFFFAOYSA-N 401.444 4.701 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)cc1F 590174671 CTEWCZPYVDSCMA-NSHDSACASA-N 424.354 4.962 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCN(C2CCC2)C1 590452477 ZHKSYVHARGQTPG-INIZCTEOSA-N 417.575 4.576 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(C(F)(F)F)cc2[N+](=O)[O-])N1Cc1ccco1 602762961 XURUCISUVPJTQZ-GOSISDBHSA-N 417.343 4.973 5 20 HJBD Cc1ccc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c(C)c1 603642578 UYZLKJUMLYIYFV-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469945 IKYXFUJLFHTTSN-UHFFFAOYSA-N 400.438 4.596 5 20 HJBD C[C@H](Oc1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 608897140 OXMXHJJHUYUPHT-VIFPVBQESA-N 420.290 4.621 5 20 HJBD COc1cc(CN2CCCC[C@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OC(F)F 609280660 JAIBOLTWVVIDKR-INIZCTEOSA-N 422.428 4.941 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 609507463 KUBWVJYHPZHGOO-UHFFFAOYSA-N 407.357 4.560 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2nc(/C=C/c3ccccc3)cs2)c(Cl)c1 609781654 YUBGZHLFSMGHKY-VOTSOKGWSA-N 421.887 4.676 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H](C[C@H]2CCOC2)C1 611355628 FKQWQNDEZXNYRL-ZWKOTPCHSA-N 409.486 4.617 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(-c2noc(C(F)(F)F)n2)c1 619573447 WSFMUUYERYZLSQ-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD CCCn1c(SCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)nc2cc(Cl)ccc21 619841368 YNVNETMWTVNUPE-UHFFFAOYSA-N 418.906 4.763 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1C(F)(F)F 728032857 GSMFYEQQWHLTBS-INIZCTEOSA-N 408.376 4.746 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 729118321 YFRMUKFUJQKNHF-UHFFFAOYSA-N 402.428 4.764 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CONC(=N)c1ccc(Oc2ccccc2)nc1 729673999 FAJBLPHLRWQQEJ-UHFFFAOYSA-N 422.441 4.616 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)ccc21 731462872 UHJREIUOMQGTPP-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1OCc1cscn1 734887105 HLUNHEQMXYMUHF-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3cc(Cl)cc(Cl)c3)o2)cc1 735676771 FODNAXSIASDORG-SFHVURJKSA-N 407.253 4.985 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2cc([N+](=O)[O-])c(C)cc2F)c1 742029253 CLAJLFRVAGFNQC-UHFFFAOYSA-N 416.430 4.519 5 20 HJBD Cc1nc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)s1 751688325 FXTWYKYOBQRBCZ-GFCCVEGCSA-N 412.471 4.593 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2CSC2=NCCS2)cc1[N+](=O)[O-] 752744416 FGHLXCUJQNZMDT-AWEZNQCLSA-N 415.540 4.730 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1Br 755015224 RNUFFJBJUFTZSW-UHFFFAOYSA-N 421.144 4.854 5 20 HJBD Cc1c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cnc(Cl)c1Br 759280828 CWJAYOYYGIAUJN-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3cccc(N4CCOC4=O)c3)no2)cc1[N+](=O)[O-] 762195795 UWNKGMATJBBHHK-UHFFFAOYSA-N 408.414 4.566 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCCc3csc(-c4ccccc4)n3)CC2)cc1 764652969 DTRFHIAAIAKDLY-UHFFFAOYSA-N 408.527 4.519 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F 769992292 VYIXEZCRWSPDBH-KRWDZBQOSA-N 413.371 4.654 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(Br)c1 776131942 BWARLBIYGKJNAX-GOSISDBHSA-N 413.227 4.699 5 20 HJBD C[C@@H](CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O)c1ccc(C(F)(F)F)cc1 785849335 RCAUAEXJERMCEH-LBPRGKRZSA-N 409.364 4.786 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)ccc1Oc1ccncc1 786022657 MBVYGVFDSREGSD-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD CCc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(Cl)c1 791591317 QWPBHFAJWXLVEI-UHFFFAOYSA-N 420.803 4.727 5 20 HJBD CC(C)c1nc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)co1 802963980 AUVVPHPOVKEREW-CQSZACIVSA-N 410.430 4.631 5 20 HJBD O=C1CC[C@@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n2cccc21 809363245 NDYHJPPMXQGNCY-IBGZPJMESA-N 416.393 4.805 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809920621 XLFRXRBULSZPSV-UHFFFAOYSA-N 416.236 4.721 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nnc(-c2cccs2)o1 811373057 OHXUFRPRVUXMJH-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD CCOC(=O)/C(=C/c1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccnc(F)c1 812247549 PVBHNBHZEHQVIP-GZTJUZNOSA-N 412.373 4.501 5 20 HJBD COc1cc(COC(=O)c2cccc([N+](=O)[O-])c2C)cc(Cl)c1OC(F)F 892086321 UWHFOECSZHFTOT-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc([N+](=O)[O-])c1C 904454101 OGJKOOXVSFUXLY-UHFFFAOYSA-N 404.382 4.816 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CC12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 914729121 XUCHJBWKSHQDMI-SNEKZUEESA-N 421.335 4.677 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@H](OCC(F)(F)F)C1 917091883 MGZJUDRDYKKITM-QMMMGPOBSA-N 406.710 4.815 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)NC2=S)c(C)c1 917606789 DUFMRMMUDMEAJH-PDGQHHTCSA-N 419.462 4.734 5 20 HJBD CCS(=O)(=O)Nc1cccc(C(=O)OCc2nc3ccccc3c3ccccc23)c1 1322450032 IAAJKOSTEYYHFC-UHFFFAOYSA-N 420.490 4.507 5 20 HJBD Cc1ccc2nc(-c3ccc(NC(=O)Cn4nc(C)c([N+](=O)[O-])c4C)cc3)sc2c1 8986225 FDDVYJMSDIQFPV-UHFFFAOYSA-N 421.482 4.632 5 20 HJBD CC(C)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 16965811 MRBUOEKZIPMPKS-UHFFFAOYSA-N 400.485 4.973 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@H](C)c3ccncc3)cc2[N+](=O)[O-])n1 54086045 ZCAZSMOTVAEJCR-CYBMUJFWSA-N 414.512 4.739 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2ccc(Br)cc2)o1 59405721 AMILKVRRZNCBQZ-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1ccc([N+](=O)[O-])cc1Cl 72035813 FZTWYQBJTGBMML-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2cccc([N+](=O)[O-])c2C)o1 104797804 JALDQOKQGTUZEY-UHFFFAOYSA-N 402.410 4.910 5 20 HJBD CCN(CC)Cc1ccccc1CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 236915701 VNEQMPBFZYJLGS-UHFFFAOYSA-N 410.543 4.850 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(Br)cc1 301068122 BRAJEWBYPOFCJU-ZDUSSCGKSA-N 418.291 4.766 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(-n3cnc4ccccc43)cc2)cc1[N+](=O)[O-] 302171531 KPQFULTWKBMXGO-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD COc1ccc(C(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)cc1[N+](=O)[O-] 302888885 LDZHIAHPRULPEL-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD Cc1ccc(C(=O)N[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1[N+](=O)[O-] 303262530 PNTYNGQLGUMQDB-INIZCTEOSA-N 411.458 4.973 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(Cl)c(C(F)(F)F)c1 409712259 NVDGOVQTQPPQHA-XFFZJAGNSA-N 421.762 4.921 5 20 HJBD C[C@@H]1C[C@@]1(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 410366703 HUERIDLCUKNNLG-GLEZIHRCSA-N 415.696 4.737 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC(c2ccncc2)CC1 430422806 FMIZIZAATXXHOO-UHFFFAOYSA-N 403.438 4.802 5 20 HJBD O=C(N[C@@H]1CCC[C@H](C(F)(F)F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435938054 QJKFDCVNZHPATC-CMPLNLGQSA-N 424.369 4.810 5 20 HJBD CCNc1ccc(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc1[N+](=O)[O-] 439124626 QSNRESVSLUXQJA-UHFFFAOYSA-N 401.772 4.975 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1nc2ccccc2n1CC 439895127 FFSYNKNONBFOJY-LJQANCHMSA-N 423.473 4.732 5 20 HJBD Cc1c(CC(=O)Nc2cc(Cl)ccc2Sc2nccn2C)cccc1[N+](=O)[O-] 440054446 SDYRINNAIUEULC-UHFFFAOYSA-N 416.890 4.623 5 20 HJBD CCc1nc(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cs1 442028707 JSNJISGQFMCSML-UHFFFAOYSA-N 413.524 4.737 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])O[C@@H](C)C2 443826836 BSNVRDMACJRAMT-JTQLQIEISA-N 410.348 4.588 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1C 443943163 DFSDOILGLBXAKM-UHFFFAOYSA-N 421.501 4.987 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 444781398 OTILYXIJUITUFX-INIZCTEOSA-N 406.364 4.737 5 20 HJBD CCCOc1c(Cl)cc(CNCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1OCC 446839133 POSPTOIIEUZMGU-UHFFFAOYSA-N 418.881 4.602 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nncs2)cc1 462438671 UEMZJEYJRSZIMY-CQSZACIVSA-N 414.512 4.805 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1CC)O[C@@H](C)C2 464721363 WJNAOBBZWCHMEX-IXDGSTSKSA-N 410.470 4.622 5 20 HJBD COc1cc(C(=O)N2C[C@H]3CCC[C@@H]3C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 465084265 KJSVBJPQJQOIQB-HUUCEWRRSA-N 416.861 4.921 5 20 HJBD Cc1cccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1Br 470139553 DLFROUIPGOTNGF-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(c2ccccc2F)C2CCCC2)cc1OC 471422895 TZIQLEQUKQYGFY-UHFFFAOYSA-N 402.422 4.731 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)s1 473844100 AUEIRHRIQGFMFX-UHFFFAOYSA-N 418.519 4.634 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(Cl)cc1C(F)(F)F 474870719 RKVSESHKWMBUTA-UHFFFAOYSA-N 410.739 4.909 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(OCC2CCCC2)CC1 475215298 HUNUVEKFYLGFPR-UHFFFAOYSA-N 415.412 4.817 5 20 HJBD COc1c(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)cccc1[N+](=O)[O-] 476227851 QLYNIPIZWYNJDL-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD C[C@@H](NCc1cc(Br)ccc1Br)c1ccc([N+](=O)[O-])cc1 478402579 UPTBWZWRJNYNGZ-SNVBAGLBSA-N 414.097 4.971 5 20 HJBD COc1cc(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)cc([N+](=O)[O-])c1C 478693213 DSGBWAJDKXRJEN-UHFFFAOYSA-N 408.458 4.532 5 20 HJBD CCCOc1cc(NC(=O)Nc2c(C)noc2CC)c([N+](=O)[O-])cc1OCCC 479941371 PVHZMPKJKRRLSM-UHFFFAOYSA-N 406.439 4.675 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccc(Cc3nnc4n3CCC4)cc2)o1 480479300 FRFAQXUBAJWQLS-UHFFFAOYSA-N 415.453 4.595 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1C 482956722 JVAWUAMDFNVHOS-UHFFFAOYSA-N 410.474 4.838 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)c1[N+](=O)[O-] 485509408 YJCBCXOBQIVXHR-CYBMUJFWSA-N 417.556 4.672 5 20 HJBD CC(=O)c1cc(N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)ccc1[N+](=O)[O-] 488472871 CCCJZCIQRBEEAJ-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)CC3 491573457 IDONTUAPXJLTSQ-GJZGRUSLSA-N 409.511 4.539 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)s1 498281824 HJRUPGQOJVWMRH-UHFFFAOYSA-N 419.466 4.573 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NCc2cccc(F)c2)cc1[N+](=O)[O-] 498870755 GNCUJEBDTOCZRE-UHFFFAOYSA-N 424.453 4.617 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)N1CCC(=Cc2cc(Cl)ccc2Cl)CC1 500361793 OUIJIMDSJZBSDB-UHFFFAOYSA-N 406.269 4.803 5 20 HJBD C[C@@](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 504563901 RCRVDWRDPIFXEB-OAHLLOKOSA-N 417.181 4.565 5 20 HJBD C[C@H](O)C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 504889712 QZVQRJITMGYASG-DZGCQCFKSA-N 420.918 4.775 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F 506300206 YXRUTELJZQIPRT-CQSZACIVSA-N 403.429 4.808 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 508650503 KLOMWDVWIRZVOY-HNNXBMFYSA-N 405.813 4.996 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C(F)(F)F)cs1 508913690 LKCCEEXYFKUTSW-ZCFIWIBFSA-N 413.299 4.580 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccnc(Oc3ccccc3)c2)cc1SC 511328198 RGIBPCSHWPQDJZ-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)[C@@H](C)c3cccs3)cc2[N+](=O)[O-])C1 512650770 GWZUNGSNVGNHMC-XHSDSOJGSA-N 401.532 4.972 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H](F)c3ccc(C(F)(F)F)cc3)n2)c1 515492283 AZVKXJSQMXZEHF-MRXNPFEDSA-N 411.311 4.655 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc(O)c3)o2)c(Br)c1 518277489 QVLMTKKDJUEDKC-UHFFFAOYSA-N 403.232 4.613 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520387763 JOSGUWVCUTZFOY-JTQLQIEISA-N 403.287 4.779 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 520496298 APNPSENGQNVLRU-UHFFFAOYSA-N 418.497 4.719 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 530832491 ZTNNIWCCZWEDLS-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD COc1ccc(CN2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 533529184 SSGNBUVPDJXBSQ-GOSISDBHSA-N 408.502 4.914 5 20 HJBD CCCOc1cc(NC(=O)N2CCc3ccsc3C2)c([N+](=O)[O-])cc1OCCC 534825628 DMXNLRQVJVGINH-UHFFFAOYSA-N 419.503 4.824 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 536664674 RYOPVODIESTURI-HHHXNRCGSA-N 400.525 4.693 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537180067 WMLZOSLEOULXPP-UHFFFAOYSA-N 416.481 4.895 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccccc1OC(F)F 540347616 LHQQQKQXGYHPGQ-ZDUSSCGKSA-N 403.385 4.745 5 20 HJBD CN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 541248180 AQXSKDTZEYSHTC-INIZCTEOSA-N 420.309 4.918 5 20 HJBD CC[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 542511650 RDKYZOTXHILOCP-PELKAZGASA-N 405.373 4.580 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCC3=O)cc2)no1 544904327 QWQJCCBZWWEGLD-ZDUSSCGKSA-N 410.455 4.625 5 20 HJBD CC(=O)Nc1ccccc1-c1noc(-c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)n1 544923126 KMLLGEQHQCWWDH-AWEZNQCLSA-N 421.457 4.507 5 20 HJBD COc1ccc2cccc(Cc3noc(C(F)(F)c4ccc([N+](=O)[O-])cc4)n3)c2c1 545030711 XZNIWEQUPRKWMT-UHFFFAOYSA-N 411.364 4.871 5 20 HJBD COc1cc(Cc2noc(-c3sc(Cc4ccccc4)nc3C)n2)ccc1[N+](=O)[O-] 545073128 MZAOLRZFKQCRMG-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccc(CC)cc2)n1 545251921 SSXINAXXQXAMHB-UHFFFAOYSA-N 411.483 4.861 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545828340 USELENMVIIHJPF-KPZWWZAWSA-N 408.857 4.557 5 20 HJBD Cc1c(Cc2noc(CCc3ncc(-c4ccccc4Cl)o3)n2)cccc1[N+](=O)[O-] 547193524 DFKKDZZDVJXIER-UHFFFAOYSA-N 424.844 4.971 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Oc3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547196077 UYZNTKXKFQYKNQ-LLVKDONJSA-N 423.347 4.736 5 20 HJBD C[C@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)C1 553482326 AAJCFZAPBASHSM-GTNSWQLSSA-N 418.375 4.850 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 556581600 YXSJQLRENXIXIZ-UHFFFAOYSA-N 424.375 4.860 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(Cl)cc2)C1 557956821 DINRIBKOMXTXIJ-GOSISDBHSA-N 420.918 4.962 5 20 HJBD COc1cc(Cc2noc([C@@H](Oc3ccccc3)c3ccccc3)n2)ccc1[N+](=O)[O-] 561905178 DGMNHOINLFRULL-QFIPXVFZSA-N 417.421 4.746 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)c(C)c2)s1 562396307 IGGSNIPCGDQSED-GFCCVEGCSA-N 414.512 4.957 5 20 HJBD CC[C@](C)(OC)c1noc(-c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 577685082 BSFFNERTODEMDL-KRWDZBQOSA-N 406.489 4.833 5 20 HJBD O=C(NC[C@H]1COc2ccccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 581573929 UNXUJGDPEIEVKU-HNNXBMFYSA-N 406.463 4.652 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ncc(Cl)cc3Cl)cc2[N+](=O)[O-])CC1 585715606 ZYLQKACTPBNQRH-UHFFFAOYSA-N 409.273 4.785 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCCC[C@@H]3c3ncc(-c4ccccc4)[nH]3)c2c1 588037872 QPMDPHRUBXDGKA-HXUWFJFHSA-N 400.442 4.660 5 20 HJBD CCCN(Cc1ccc(C)cc1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 603564837 BVWFPWBDBHVRDA-UHFFFAOYSA-N 402.516 4.584 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603829825 SBKUWFLFBSFAQT-GFCCVEGCSA-N 409.389 4.866 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C(C)(C)C)[nH]n2)cc1 608783534 YFQNUKOPQLXNIL-UHFFFAOYSA-N 410.430 4.669 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC[C@@H](Nc4ccccc4)C3)c([N+](=O)[O-])c2)cc1 608974239 ZTZZYYQSCYCPIX-OAQYLSRUSA-N 416.481 4.846 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 609062712 WMAMZVGCOLQTKX-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1cc(F)cc([N+](=O)[O-])c1 609444199 IQFAWOBESOGKSQ-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD CN(C)Cc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609780846 HCRJNVZBDNUWDT-UHFFFAOYSA-N 420.469 4.770 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(CN4CCC(O)CC4)cc3)s2)cc1 609851369 BTTBDRAUCOZWIS-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 609981710 VYEDJQRQFGMHNI-QKLQHJQFSA-N 410.518 4.695 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1OCCC(C)C 610224381 GBDDKZSUPJOGGB-INIZCTEOSA-N 400.475 4.828 5 20 HJBD Cc1cccc(C2(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CCCC2)c1 726828978 ARUITZURMCGYJL-UHFFFAOYSA-N 407.426 4.508 5 20 HJBD C[C@@H]1Cc2ccccc2N1Cc1ccoc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 728462428 VGECLCVAYPJTLM-GFCCVEGCSA-N 413.380 4.670 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3cc(F)cc([N+](=O)[O-])c3)cs2)cc1 731459536 ICAAPIQQXDMHAS-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1Oc1ccccc1 731518706 JHMGFMYPZMHVCU-CQSZACIVSA-N 424.384 4.710 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=S)Nc2ccc([N+](=O)[O-])cc2)cc1OC 734381477 XBBAHAVZDYRDNL-UHFFFAOYSA-N 417.531 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@H](C)c1cccc(C(F)(F)F)c1 738747747 VRABZUAEMZNTKC-LBPRGKRZSA-N 424.375 4.598 5 20 HJBD COc1ccc(-c2ccc(CNc3c([N+](=O)[O-])cc(CO)c(C)c3[N+](=O)[O-])cc2)cc1 742418427 RJONSNWDKGDZNO-UHFFFAOYSA-N 423.425 4.591 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)c1c2ccccc2cc2ccccc12 746515284 MSXAQQVOLWBYNZ-UHFFFAOYSA-N 410.473 4.718 5 20 HJBD COc1ccccc1-c1nc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cs1 746822597 GHBQRIXJCICYIQ-UHFFFAOYSA-N 417.874 4.653 5 20 HJBD CN(C)C(=O)Sc1cccc(COc2ccc([N+](=O)[O-])cc2Br)c1 753058961 COMWXRDZVFMCEQ-UHFFFAOYSA-N 411.277 4.710 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1c(Cl)cccc1[N+](=O)[O-] 754632067 OCXJNLHCRSXEDR-LLVKDONJSA-N 401.633 4.809 5 20 HJBD C[C@@H](c1nc(C2(NC(=O)OC(C)(C)C)CCCC2)no1)c1ccc([N+](=O)[O-])cc1F 758286873 YRRSGXUXBFXAFR-GFCCVEGCSA-N 420.441 4.563 5 20 HJBD COc1ccccc1[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 759610405 TYUFMMQKFQENMJ-OAQYLSRUSA-N 404.378 4.691 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CC1 764270501 BEYRJPGCPLMLPN-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD COc1ccc(NC(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767881087 GKAZRMJBJFIRMO-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD CC(C)n1ccc(CC(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)n1 772233280 SFFPOBQDSZZWOC-UHFFFAOYSA-N 402.501 4.766 5 20 HJBD C[C@H](C[C@@H](O)c1cccs1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774677148 XNADPSBHRSKUEY-LDYMZIIASA-N 411.352 4.630 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218546 SBZYGSHNHUZOBB-QHCPKHFHSA-N 415.405 4.520 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781649701 UUIBRRMLNXLOQX-INIZCTEOSA-N 411.458 4.826 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 782781384 NRGIWKPXLWTFMK-UHFFFAOYSA-N 415.453 4.504 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(-c2noc(C(F)(F)F)n2)c1 788126910 LMKPSVAJISUAKK-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(C(F)(F)F)cnc1Cl 788632423 FVOBWSFWQRKSFC-UHFFFAOYSA-N 408.163 4.588 5 20 HJBD C[C@@H](NC(=O)CCc1ccccc1)C(=O)OCc1nc2ccccc2c2ccccc12 799546740 OYVLCCOYTLQCSZ-GOSISDBHSA-N 412.489 4.569 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cnc(-c2ccccn2)s1 811082952 CKSZTLGLDYPJRE-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD COC(=O)c1c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])sc(C)c1C 812828250 MZEABENAYWYYQO-UHFFFAOYSA-N 403.243 4.619 5 20 HJBD O=C(N[C@H]1CCN(c2cccc(Cl)c2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813043214 HOASJSYJEPHSAH-LBPRGKRZSA-N 414.676 4.564 5 20 HJBD C[C@H]1CCCCN1CCOc1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813246292 GGZIJCLYQNNWAP-HNNXBMFYSA-N 417.893 4.754 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(N2CCCOC2=O)cc1 815621066 KJPLUAXJAIZBRK-CYBMUJFWSA-N 423.391 4.811 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cc2c(Cl)c(Cl)ccc2[nH]1 917260240 LEFHMBDICABWFG-UHFFFAOYSA-N 423.208 4.606 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1C 920224164 WLYMKSIMAYXXLU-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD COc1ccc(C(C)=O)cc1CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920329768 PTFFIQZKGWMTDY-UHFFFAOYSA-N 405.406 4.621 5 20 HJBD C[C@H](Cc1ccc(F)cc1)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 920999967 ZCOXFKWJQJVNTP-OAHLLOKOSA-N 422.412 4.770 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nc4ccccc4[nH]3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 1115861500 DGCNCFJXZATHEO-QGZVFWFLSA-N 414.469 4.621 5 20 HJBD Cn1cnc(-c2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2)n1 1343448097 IQAOOVSYUXGSJJ-UHFFFAOYSA-N 409.833 4.916 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3nc4c(s3)CCCC4)no2)c1 1347669014 PXOILBAOHVRQCV-UHFFFAOYSA-N 410.377 4.590 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c12 1348953810 WMOGTHGKHIPXAN-UHFFFAOYSA-N 418.438 4.630 5 20 HJBD C[C@H]1CCc2sc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2C1 7188299 ZUVRWLAFGRGUFX-NWDGAFQWSA-N 413.455 4.749 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nc(C(F)(F)F)nc2ccccc12 22248218 PPIYFLCXACGVFS-UHFFFAOYSA-N 422.388 4.596 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(Br)cc1 49525877 YJPVXTGDRFCNLH-SNVBAGLBSA-N 414.097 4.971 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cn1 58271104 RUZBGOCPZFFYHB-UHFFFAOYSA-N 409.467 4.735 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccccc1)Cc1ccccc1 64856316 GPLWMRLYCYLQGR-UHFFFAOYSA-N 412.449 4.623 5 20 HJBD CC(C)(CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 72088967 PGKZKMRZKQSEHG-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1ccccc1-c1ccccc1 109203678 QSTPEQHRDCTQPE-KRWDZBQOSA-N 422.506 4.938 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 109394987 SCRRGJRRBPCRCN-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1C(=O)N1CCCCC1 110763947 MZZQKSQKBHXGBR-IZZDOVSWSA-N 413.861 4.526 5 20 HJBD Cc1ccc(Oc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(I)n1 301836194 VCRCIVZWYKBNGE-UHFFFAOYSA-N 424.116 4.714 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1Br 302763473 MVSQHEADYNNOOW-SECBINFHSA-N 413.655 4.510 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(SCc3cccnc3)cc2)ccc1[N+](=O)[O-] 430132966 DHGBGFUVLGIRQE-UHFFFAOYSA-N 409.467 4.608 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCSC2(CCCCC2)C1 430892362 DHBYLHNTVVIPDC-UHFFFAOYSA-N 403.529 4.610 5 20 HJBD Cc1nc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])sc1Cc1ccccc1Cl 433983961 FDTJQQASBVYWRM-UHFFFAOYSA-N 419.894 4.703 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccccc2C)c1[N+](=O)[O-] 435551636 WAZSULYUACVSTB-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435940617 YBSZTMFZXVDWIV-SFHVURJKSA-N 422.456 4.926 5 20 HJBD Cc1cccc(C)c1OC[C@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436155991 OMPFCGLUTYVVOR-INIZCTEOSA-N 418.468 4.635 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2ccc(F)cc2Cl)cc1 439239070 RPPDHOHYZQTHRC-UHFFFAOYSA-N 420.849 4.783 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 440689947 DDDTVSFDCHKXBI-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ncccc1Oc1ccccc1 440748264 CEBVOPMNDWHBTP-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 441212101 SGFNUWHWNVODCY-MRXNPFEDSA-N 415.837 4.502 5 20 HJBD CCN(c1ccccc1Cl)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 442223282 YBBYFPRBGNIOGP-UHFFFAOYSA-N 409.678 4.770 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)CCC2 444013593 VRNMZQSMOUVHJE-FQEVSTJZSA-N 403.507 4.596 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444120082 CFIRTZADEPDUSY-ZIAGYGMSSA-N 416.493 4.706 5 20 HJBD C=Cc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 462565913 PNNPFTDIVAFZHK-UHFFFAOYSA-N 404.416 4.575 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1[C@@H]1CCCCC1=O 462780577 YTNUQYXPIVRUNI-GIVPXCGWSA-N 400.519 4.694 5 20 HJBD CC(C)(CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1Cl 463485388 YKQQLJIFCMCBJR-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1Oc1ncccc1Br 468629337 UZMUTMGEDWEURG-UHFFFAOYSA-N 418.203 4.698 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 474726707 HWAKJDOAAWHTOB-GJZGRUSLSA-N 412.877 4.698 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cc1OC 474753038 RLGJZXUHVZZXRV-KBPBESRZSA-N 420.893 4.920 5 20 HJBD Cc1nc(NC(=O)c2cc(C3CC3)nc3onc(CC(C)(C)C)c23)ccc1[N+](=O)[O-] 475316697 XXYUEYPSWWJZPQ-UHFFFAOYSA-N 409.446 4.553 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OCC 478981193 IIHRTFWCLPIBOB-CQSZACIVSA-N 418.515 4.995 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480383646 XGFHAQKTBUVTMO-UHFFFAOYSA-N 409.442 4.833 5 20 HJBD CC[C@H](N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 482094339 CXEXZTMJSTYAED-VGSWGCGISA-N 410.518 4.653 5 20 HJBD CC(C)c1c(NC(=O)COc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 482687938 CQEQPPZNAJDSSB-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(Cc4cncs4)no3)cc2[N+](=O)[O-])n1 482738878 DYOPSVCQJXDHBU-UHFFFAOYSA-N 417.497 4.608 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)[C@H](C)C2CC2)cc1 484287182 UTFCDRPZGLPOQK-HZPDHXFCSA-N 414.527 4.911 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(F)cc1N1CCCCC1)c1ccc([N+](=O)[O-])cc1F 484884559 QQMYQIIMHLPSBE-GFCCVEGCSA-N 407.392 4.745 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 485922123 LJXAKJNTFFMKRS-UHFFFAOYSA-N 417.270 4.893 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)c1ccc(Br)cc1[N+](=O)[O-] 486151090 JDYVSAJTGZPIQR-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)NC[C@H]1CCCCC(F)(F)C1 490365880 JDTWQXLWBNFZKI-NSHDSACASA-N 409.355 4.628 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3[nH]ccc3c2)cc1[N+](=O)[O-])c1ccccn1 497877044 URBUEFBFLDNVSB-AWEZNQCLSA-N 401.426 4.897 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Cl)cc1)c1cccs1 500786004 ICSOTEUPGLESFX-LJQANCHMSA-N 402.859 4.594 5 20 HJBD C[C@@H](NC(=O)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 502293590 OSTBUZUEGJUNFH-QVKFZJNVSA-N 424.888 4.987 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(C(=O)NC(C)C)c1 503137634 IDOVWAJNALZICM-UHFFFAOYSA-N 415.515 4.796 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)N[C@H](c1ccccc1)C1CCCC1 504552266 BLJZGQGJSACQTP-HSZRJFAPSA-N 404.470 4.506 5 20 HJBD C[C@H]1C[C@]1(NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 505743379 GTGLPCZUCOICFX-LFPIHBKWSA-N 402.881 5.000 5 20 HJBD O=C(c1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1)N1CCc2ccccc21 506293625 DFFMQRJGWPGUIG-UHFFFAOYSA-N 409.392 4.688 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)CC2)n1 509681839 RBRMKKKNGKROON-UHFFFAOYSA-N 412.515 4.850 5 20 HJBD CC(C)[C@H](C)N(CC(F)(F)F)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 510864297 DMCHNGBKVZEJGJ-JTQLQIEISA-N 416.318 4.585 5 20 HJBD O=C(NCc1c(F)cc(F)cc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 511059363 AKJLBGZEBIGOTN-UHFFFAOYSA-N 416.355 4.521 5 20 HJBD COc1ccc(OC)c(CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C)c1 514677368 DEDDNOXSFBREHD-AWEZNQCLSA-N 418.465 4.682 5 20 HJBD Cc1cc(NC(=O)c2ccc(-c3ccccc3F)o2)n(-c2ccc([N+](=O)[O-])cc2)n1 514912579 XQLKVUVWARSEPU-UHFFFAOYSA-N 406.373 4.740 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1ccc([N+](=O)[O-])cc1Br 523501492 AIVAVRYYWGAZLL-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD C[C@@](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)(c1ccccc1)C(F)(F)F 536135951 NYJCYPYBXNQKRA-HSZRJFAPSA-N 424.422 4.749 5 20 HJBD Cc1c(F)cccc1NC(=O)CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536302595 ODJHJJSBBPUKDG-UHFFFAOYSA-N 415.446 4.959 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)cc1[N+](=O)[O-] 541129803 YWCREYTZQHQJBM-CQSZACIVSA-N 424.423 4.585 5 20 HJBD Cc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])cc1NC(=O)C(C)(C)C 541284230 WPSZVKKEPMNMLR-UHFFFAOYSA-N 401.488 4.619 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)c2)n1 545146358 BLCZYOWOGAAOFE-UHFFFAOYSA-N 412.421 4.571 5 20 HJBD CCOc1cccc(-c2cccc(NC(=O)c3cc(OCC)ccc3[N+](=O)[O-])n2)c1 548995951 VJLSGJYHDJWJLL-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD C[C@H](C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 553159280 MUGGTVAATFAPDU-BTDLBPIBSA-N 409.339 4.739 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 554852331 WIIXVCAPOVMTRP-NSHDSACASA-N 408.813 4.695 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(F)c(Br)c(Cl)c1 557712815 GYQKOIGQZOXDGC-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD O=c1c(Cl)c(NCc2ccc3sccc3c2)cnn1-c1ccc([N+](=O)[O-])cc1 558599075 OFBZQYAWEOOFMR-UHFFFAOYSA-N 412.858 4.621 5 20 HJBD Cn1nc(CC(C)(C)C)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 564747000 XCXGDDMMQHNFNE-UHFFFAOYSA-N 421.501 4.781 5 20 HJBD COC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 577417705 WERJRYGTMDPJJE-GOSISDBHSA-N 403.891 4.849 5 20 HJBD COc1ccc(-c2ocnc2C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 579048982 FHJQKZXGZCKJTG-UHFFFAOYSA-N 422.422 4.634 5 20 HJBD CN(Cc1ccc(C(C)(C)C)cc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603469434 INQCPXPPMGXTRQ-UHFFFAOYSA-N 409.530 4.767 5 20 HJBD O=C(CCSc1nnc(-c2ccc3ccccc3c2)o1)Nc1ccc([N+](=O)[O-])cc1 603726701 VNTQRLLQVMEWJB-UHFFFAOYSA-N 420.450 4.919 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)cc1[N+](=O)[O-] 603995823 OFQJHSHZYMZSHT-UHFFFAOYSA-N 422.416 4.670 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccc(F)cc1)CC1CC1 604290712 PVXUJXDXWIIPCL-UHFFFAOYSA-N 414.480 4.733 5 20 HJBD COc1ccc([C@@H](N[C@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604478615 BMTBYNGLPMIBGB-OSPHWJPCSA-N 419.481 4.700 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 608983590 KMYFDCSSVFKUPD-UHFFFAOYSA-N 416.909 4.624 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H](c1ccccc1)n1cccn1 609762984 VPPOCZNMIIZWEI-JOCHJYFZSA-N 414.421 4.812 5 20 HJBD C[C@H](NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1cccc(Cl)c1Cl 609795658 IHFTWRNUJRSRIT-NSHDSACASA-N 405.241 4.578 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1 610059296 NYROCQRYXPKERB-HNNXBMFYSA-N 409.511 4.888 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610179449 DXEOKVKJHFAOQB-UHFFFAOYSA-N 407.392 4.555 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-n2cccn2)cc1C(F)(F)F 612015432 QUWSNKMAVCPRSC-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C/C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742872429 FMNZNJWQGRLGFB-INMULRNOSA-N 424.497 4.855 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F)c1ccc(Cl)cc1Cl 754986618 VMJQVRCEFIISAX-UHFFFAOYSA-N 406.580 4.734 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 755673278 VUNJFYAFMNLISG-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(Nc1nc([C@H]2C[C@H]3CC[C@H]2C3)cs1)c1cccc([N+](=O)[O-])c1Br 759341398 MMNNGHIUWIZHEU-NHCYSSNCSA-N 422.304 4.970 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760248364 YTEQAUQZQYYWRG-UHFFFAOYSA-N 401.400 4.600 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767137643 FDEZOANZICKFKP-CQSZACIVSA-N 403.360 4.725 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cc1 767412184 NOBJQSWNSNDVQS-HNNXBMFYSA-N 424.551 4.810 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(c3ccc(N(C)C)cc3)CC2)cc1[N+](=O)[O-] 773358120 HPNAFPMSBZFUDV-KRWDZBQOSA-N 410.518 4.619 5 20 HJBD COc1cc([C@@H](C)NC[C@@H](O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 775031334 IKBSNFDRFBPXCS-VGOFRKELSA-N 422.481 4.567 5 20 HJBD C[C@H](CC(=O)c1cccc(F)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133481 LPRIWNZHFVQCQY-QRQCRPRQSA-N 422.412 4.671 5 20 HJBD COc1ccc2cc(CN(C)C(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)ccc2c1 778219421 DPHKRNQDPGXDKJ-UHFFFAOYSA-N 400.381 4.507 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cc1cccc(OCc2cccnc2)c1 778840644 PZLWCHCLFPLXIJ-QGZVFWFLSA-N 406.438 4.724 5 20 HJBD O=C(N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 779459097 OSYYDYLYMIMXMA-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1ccccc1Cl 781259013 FGDOWUFZKGSZOB-CYBMUJFWSA-N 420.852 4.519 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1F 784153381 HKRNJOYFMOHPHG-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1[N+](=O)[O-] 784839977 ZNHJYWFWXBIRDD-NSHDSACASA-N 424.359 4.786 5 20 HJBD CCC(=O)c1ccc(N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])c1 795092074 ABUDGXVAVVCKTK-OAQYLSRUSA-N 402.878 4.791 5 20 HJBD COCCOc1c(Cl)cc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1Cl 795256988 PTFWRGAMMIVQEW-UHFFFAOYSA-N 407.200 4.817 5 20 HJBD Cc1ccc(OCCC(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c(Br)c1 800146830 COTNJNGWLOCQAT-UHFFFAOYSA-N 411.227 4.521 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C2SCCS2)c1 806172562 OIKBSFFCNQPEDA-UHFFFAOYSA-N 403.529 4.780 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(C(F)(F)c3ccc(F)cc3)no2)c1 809362644 FLEWNKJCYBYUPN-UHFFFAOYSA-N 418.375 4.914 5 20 HJBD Cn1ccc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c2ccc(Cl)cc2)n1 809919949 KCIHSFFOQWSMLL-QGZVFWFLSA-N 420.256 4.546 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)cc1 813006001 XCOJUGWGXQHWTG-UHFFFAOYSA-N 409.269 4.894 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 813245935 PJZIXLWTMUPZCY-UHFFFAOYSA-N 421.925 4.832 5 20 HJBD CCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1ccc(Cl)cc1 813246175 AODNYGUAMXUWHY-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD N#CN1CCC(OC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 816254458 IUSAKORXBCONKO-UHFFFAOYSA-N 417.874 4.502 5 20 HJBD CC(C)[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)N(C)c1ccccc1 877514731 PCSFXPDMFBCAAZ-QGZVFWFLSA-N 401.513 4.984 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(/C(Cl)=C\c2csc([N+](=O)[O-])c2)s1 917143968 SYIJBCKRYJJDMJ-VZUCSPMQSA-N 410.839 4.636 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCC(=O)c1cccc2ccccc12 920778693 UKMPDCFTQTUPRP-ZDUSSCGKSA-N 413.813 4.595 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCCc2c1cnn2Cc1ccccc1 1319034158 ASNKOSPNJWHWGA-LJQANCHMSA-N 419.485 4.656 5 20 HJBD Cc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)nc2ccccc12 1319814108 IVWNNRLZAKVEEB-UHFFFAOYSA-N 404.451 4.852 5 20 HJBD Cc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccc(F)cc2n1 1324929589 XHXRGSSKZKZDIP-QFIPXVFZSA-N 417.396 4.932 5 20 HJBD O=C(NCc1cnc(-c2ccccc2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1327646716 HEKGBDYODKTYCM-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2sc(-c3ccccc3)nc2C)c([N+](=O)[O-])c1 1526863282 RCAABBCBUJMFQL-ZDUSSCGKSA-N 412.471 4.918 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2ccccc2C(C)C)c1 16197158 PWHBSCWDMKAXEW-CYBMUJFWSA-N 402.472 4.624 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)SC1=Nc2ccccc2CS1 22022012 HIGJGVSSUVZVAB-LLVKDONJSA-N 403.485 4.598 5 20 HJBD COc1ccc(-c2nc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cs2)cc1 65864941 IYLJMCJKKFBCQY-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD Cc1nc2ccccc2n1CCCOC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 216010991 HXKHVMUJWDWQET-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)C1CC1 237622267 RYVTWTUCTXKFRX-NSHDSACASA-N 422.359 4.678 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)ccc1[N+](=O)[O-] 303325107 VDGBLFFJUCDUQK-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 428398333 LHGNIIURSNJQDQ-UHFFFAOYSA-N 417.893 4.560 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)c2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] 429573965 CXLVRCLRQPMIKC-UHFFFAOYSA-N 407.264 4.730 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccccc1Br 431184968 WWRDLRVDYBFXIJ-XQAQDONZSA-N 421.316 4.510 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 434011062 HHXSSVZPEKYDDU-IRXDYDNUSA-N 423.307 4.501 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1csc(CC(=O)Nc2ccccc2)n1 434718043 NSHVGKLHEODOCB-UHFFFAOYSA-N 424.526 4.643 5 20 HJBD CCc1nc2cc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)ccc2o1 436061219 PLRPLMVFPLXWDL-UHFFFAOYSA-N 419.387 4.915 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1F 436074897 IODGWPOGXOVCEZ-UHFFFAOYSA-N 412.367 4.750 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 437115729 MAUSAMDTWSWRDN-RTBURBONSA-N 413.543 4.953 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437117843 MIVROBXDJDGMPT-SNVBAGLBSA-N 409.389 4.584 5 20 HJBD CCC(CC)(CCO)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442645773 JCLTUGUQCVKQBM-UHFFFAOYSA-N 402.516 4.665 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Sc2nncs2)c(Cl)c1 445791120 TWKBEBVWQGHYFQ-UHFFFAOYSA-N 420.903 4.822 5 20 HJBD O=C(CCCCc1ccc(Br)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446425443 KKIMTXDWASEACB-UHFFFAOYSA-N 417.303 4.655 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(Cl)c(Br)c3)n2)cc1 447343002 LZMGBKLBOCPVOD-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2ccc(Cl)cc12 463935092 CLRLIUYXDKGKQI-GFCCVEGCSA-N 403.891 4.569 5 20 HJBD O=C(Nc1ccc(OCC(F)F)c(C(F)(F)F)c1)c1ccc(F)cc1[N+](=O)[O-] 470224439 WQNCZIMXDGLRMH-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 472281741 SIQYDBGUGLQWJP-OAQYLSRUSA-N 422.872 4.737 5 20 HJBD COc1cccc(C=C2CCN(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c1 472642263 VXOJBCNWYUZSQU-QGZVFWFLSA-N 409.486 4.862 5 20 HJBD O=[N+]([O-])c1cccc(CNCc2ccc(OCCOc3ccc(Cl)cc3)cc2)c1 477207401 PZNLIGYEYWFHLY-UHFFFAOYSA-N 412.873 4.996 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 479122955 YCWWOOJBMAGANK-HUUCEWRRSA-N 424.501 4.596 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)c2ccncc12 481055688 SGEMDZPRYFOULH-MRXNPFEDSA-N 411.767 4.961 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])s2)cnn1-c1cccc(C(F)(F)F)c1 482715490 LDPKZAHSHRLPLX-UHFFFAOYSA-N 410.377 4.676 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1coc(-c2cccc(Cl)c2)n1 485378542 WQXLQYPZMQANMD-ZDUSSCGKSA-N 420.874 4.888 5 20 HJBD O=[N+]([O-])c1ccc(NCc2noc(-c3ccccc3F)n2)nc1-c1ccc(F)cc1 485404199 JCEMCUBLQVYMRM-UHFFFAOYSA-N 409.352 4.597 5 20 HJBD CSc1cccc(C(=O)N[C@@](C)(c2ccc(F)cc2)C(F)(F)F)c1[N+](=O)[O-] 486403201 GHSLMAHBRFWVPS-INIZCTEOSA-N 402.369 4.663 5 20 HJBD O=C(N[C@H]1CCOC2(CCCC2)C1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491867888 RSTZVYWEHVECAJ-INIZCTEOSA-N 412.511 4.968 5 20 HJBD C[C@@H](CCCC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 495103942 WGUPIOZNTJOPAU-VIFPVBQESA-N 418.359 4.943 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1SCCO 498085546 XUMAOIONSXBDLK-UHFFFAOYSA-N 410.451 4.724 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccccc2)c1 500287015 KRPXDTRRABSYJO-HNNXBMFYSA-N 421.478 4.966 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCc2cc(Br)cc(F)c21 502757532 ZOYYJNHLCCUFQT-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD Cn1cc(C(=O)N(Cc2ccccc2)CC(C)(C)C)c(-c2ccc([N+](=O)[O-])cc2)n1 504878029 ZXNQMHRVQBUTOC-UHFFFAOYSA-N 406.486 4.684 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)c12 504981169 PPBHNZPSCCJAHL-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 508156595 ACSIJUDELDOVBC-OAHLLOKOSA-N 422.485 4.886 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 509946755 UBCBNIIULWBKCM-JSGCOSHPSA-N 410.396 4.526 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1CCCN(C2CCC2)C1 511291013 ULKYUHMCYZCXSV-DLBZAZTESA-N 419.591 4.870 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)c1 511781172 KVENGTPYTVKQKP-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1nc(C(C)(C)C)cs1 517363531 FSNGSTCSQJNKNW-GHMZBOCLSA-N 411.911 4.647 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c3c(C)noc3n2)c(C)o1 520144767 YOUWFTVXMJTZHA-UHFFFAOYSA-N 410.361 4.708 5 20 HJBD Cc1cc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)cc([N+](=O)[O-])c1 520453356 MRSKTTAETGQDCY-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2cccc([N+](=O)[O-])c2C)cc1C 521135203 CBLSYMPIQZCWTC-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccncc3)ccc2C)cc1OC 523185615 QEJROGYFRKQXOW-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc([C@H](C)OC)n1 537472499 ZNHMRLNBGFHWLC-LBPRGKRZSA-N 409.533 4.581 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3nnc([C@H]4Cc5ccccc5O4)o3)s2)cc1 538593538 URQBJNABJUBXML-GOSISDBHSA-N 420.450 4.995 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 539445709 DBQWGTONWAFLRO-HOCLYGCPSA-N 422.489 4.891 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 540582161 OQTOOGGCKQNPIR-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD Cn1cccc1[C@H]1CCCCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 541408090 ISWQEKKMOCYIPK-MRXNPFEDSA-N 407.923 4.823 5 20 HJBD COc1ccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1OC1CCCC1 544096099 HMOCLCRIKDHTBS-UHFFFAOYSA-N 407.426 4.725 5 20 HJBD Nc1ccc(-c2noc(Cc3csc(-c4ccccc4Cl)n3)n2)cc1[N+](=O)[O-] 545550446 PDGUEHXYWHJBMQ-UHFFFAOYSA-N 413.846 4.595 5 20 HJBD C[C@@H](O)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 545946946 YWXSESUEQFNYAP-HIFRSBDPSA-N 420.918 4.632 5 20 HJBD CCn1c(Sc2ccc(Br)cc2[N+](=O)[O-])nnc1-c1ccccc1 549766741 ISZSIFRMHRUZGL-UHFFFAOYSA-N 405.277 4.787 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 553454220 WBWGYDXCRMJEDD-QGZVFWFLSA-N 402.332 4.591 5 20 HJBD Cc1c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cccc1-c1ncco1 557359958 RZXQUUKRQSHIQH-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)c1 558792695 YPARLGJEMLSOIP-UHFFFAOYSA-N 416.327 4.716 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(c4cccc5ccccc45)CC3)nc(O)c2c1 561175976 PFNZKZIJWWAZJS-UHFFFAOYSA-N 400.438 4.781 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C1(c2cccc(Br)c2)CCCC1 603569079 UHNBETPSLKESIX-UHFFFAOYSA-N 417.303 4.828 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 603744507 OYFVUYVYMRLHFK-WDEREUQCSA-N 415.799 4.945 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)n2)s1 608944691 IRMNCFHOCNYXNB-UHFFFAOYSA-N 402.482 4.950 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(C)c1 609154948 CQZBHTAZKXAAFY-QHCPKHFHSA-N 407.445 4.618 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 609173953 RTZPODYEAYODKK-CQSZACIVSA-N 416.441 4.600 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(C(F)(F)C(F)(F)F)cc1 609240853 VQSVXQHATZAGKG-UHFFFAOYSA-N 406.332 4.980 5 20 HJBD O=[N+]([O-])c1cccc(CS(=O)(=O)Cc2ccc(Oc3ccccc3F)c(F)c2)c1 609925209 GPCRKIFRRXPHKP-UHFFFAOYSA-N 419.405 4.780 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCSc2ccc(Cl)cc21 611995547 QJZWNJPNGNARIZ-QGZVFWFLSA-N 405.907 4.794 5 20 HJBD Cc1sc(C(N)=O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 612478899 QRKWIUDCBZCGCS-UHFFFAOYSA-N 419.528 4.598 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 617747740 ROTYFYAZUAJTOB-SCLBCKFNSA-N 403.276 4.825 5 20 HJBD O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H](c1ccc(F)cc1)c1cccs1 727101601 XNRGZUPOPCQIKT-IBGZPJMESA-N 419.865 4.767 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3ccc(Cl)cc3[N+](=O)[O-])n2)CCCC1 731800134 QDBAUZNSZPOYAO-UHFFFAOYSA-N 408.842 4.592 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC(c2ccccc2F)CC1 736040456 SHBCBTOVYQGXII-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cs2)cc1 736867906 XZHVDHXCKHKKFR-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)OCc2ccc([N+](=O)[O-])cc2Br)cc1 741947526 SKAASSXNERVFAI-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2ccc(-c3ccncc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 746344888 OHUBOBODDQZDHM-OAHLLOKOSA-N 420.425 4.673 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2N(C)C(=O)c2cccs2)cc1[N+](=O)[O-] 752494115 YGZFCGGANQSHNP-OAHLLOKOSA-N 423.494 4.732 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)nc12 755002130 PVCCXVKBEXOXCA-UHFFFAOYSA-N 424.251 4.975 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1 755287550 VYSVJDCMHKIADL-UHFFFAOYSA-N 408.385 4.524 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CC2(CCC2)Oc2ccccc21 757810169 ADKXQSICHIOROK-CZUORRHYSA-N 416.861 4.578 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 770054711 IOASKTUMCKIWQM-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2nc(-c3cc4ccccc4o3)cs2)cc1 774212949 STAFVYCKXYVFOF-UHFFFAOYSA-N 410.480 4.951 5 20 HJBD COC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1F 775484097 KBJMBGZBMOZVDY-UHFFFAOYSA-N 407.423 4.665 5 20 HJBD C[C@H](SCc1ccccc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138720 RVUXVCHLHKCBBQ-HRAATJIYSA-N 408.479 4.944 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 778035922 YWKBVRHCSOIZKT-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(Nc1ccccc1C(=O)OCCc1ccc([N+](=O)[O-])cc1)OCc1ccccc1 781688594 YMORIPXYINGUPL-UHFFFAOYSA-N 420.421 4.743 5 20 HJBD CC(C)c1ccc(C(=O)N(C)C2CCC(NC(=O)OC(C)(C)C)CC2)cc1[N+](=O)[O-] 784129781 JNEUPQYVFYDRLJ-UHFFFAOYSA-N 419.522 4.626 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)OC(C)(C)C)C(C)C)no1 789766590 ZQEDRQMSKOJEET-NVXWUHKLSA-N 418.494 4.936 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 791879266 DXNZOGISWMQKSY-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1Oc1ccncc1 800895001 VYDHCQAZVUAWGI-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809966311 XEOOBGDSCYJTBM-NEPJUHHUSA-N 418.321 4.637 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812980849 BYBHEQSEQPNTLX-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)co2)cc1 815405432 WBJBSPZKZBDSKT-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3cccc4c3OCC4)c([N+](=O)[O-])c2)cc1 864023883 RORAINHFFPXSNC-UHFFFAOYSA-N 403.438 4.703 5 20 HJBD CCOc1cc2c(cc1NCc1c(Br)cccc1[N+](=O)[O-])O[C@H](C)C2 864026769 BZUVXURFEKITKJ-LLVKDONJSA-N 407.264 4.692 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccc(C2SCCCS2)cc1 921231736 NRTJPCSGPSPFRF-UHFFFAOYSA-N 404.513 4.789 5 20 HJBD Cc1c(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)nnn1-c1ccc(-c2ccccc2)cc1 1261425794 NDNDPKWWJSBBRZ-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](c1ccccc1)c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 1318487494 TZWWFNGYEYQXFT-VUVZNRFTSA-N 422.441 4.762 5 20 HJBD O=C(Cc1cccc(OCc2cccc(Cl)c2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322108825 CGMUADQBMCDILX-UHFFFAOYSA-N 422.868 4.912 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(CCSc3ccc(F)cc3)o2)cc1OC 1322288866 HEFUFZMQNBTOCX-UHFFFAOYSA-N 419.434 4.526 5 20 HJBD CCN(CC)c1nc2sc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)cc2s1 1341253486 XJPGKPWBIIFVOA-UHFFFAOYSA-N 401.473 4.829 5 20 HJBD C[C@@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1Cl 6028056 QZYZPGOKULTUNF-SNVBAGLBSA-N 407.904 4.827 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841661 IJSLDDGJDJSLMI-SECBINFHSA-N 408.197 4.870 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10729508 IYNZJHUWHSDBCC-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10731781 ZYTDSIXPMKCNBL-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)COC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 16195952 RNMIZKCKPNHCQK-ZDUSSCGKSA-N 402.472 4.626 5 20 HJBD Cc1ccc([C@@H](NC(=O)COc2ccc(Cl)cc2[N+](=O)[O-])c2cccs2)cc1 17724590 GRUIKVUDVRUSNM-HXUWFJFHSA-N 416.886 4.903 5 20 HJBD Cc1ccc(NC(=O)c2c(C)nn(Cc3ccc(F)cc3)c2Cl)cc1[N+](=O)[O-] 21441392 YZPOSJUNCDGPPR-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD Cc1nccn1-c1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cn1 52510397 GBOAQFLHLWUJSU-UHFFFAOYSA-N 411.771 4.768 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccc(C)cc3)nc3onc(C)c23)c([N+](=O)[O-])c1 217963834 OOSHYELKGKUMNK-UHFFFAOYSA-N 418.409 4.676 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 301055735 HMYFRBRBTODBKF-UHFFFAOYSA-N 401.772 4.732 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nccn1C 301085600 LGUGWODDZYYFMW-KRWDZBQOSA-N 406.364 4.557 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 303858629 WEEFFLNUTSMOSI-QGZVFWFLSA-N 424.423 4.810 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(C[S@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 428910512 YFUIUPNHVGHFJZ-WNNBVUDTSA-N 417.531 4.833 5 20 HJBD CN(C)[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 432794212 ADJNVBZJZLPDCO-CQSZACIVSA-N 422.323 4.533 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 440714123 PMYVTLHAKOYYCK-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD CCSCc1ccnc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444281734 AWMJZTBNJZFBRQ-UHFFFAOYSA-N 407.470 4.721 5 20 HJBD Cc1n[nH]c(C)c1CCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 445229523 ULGDIWSNDRRKTD-UHFFFAOYSA-N 405.414 4.562 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3ccccc3Br)o1)CC2 446733982 BFAGQCVCIBLWQP-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1ccncc1 462492917 HFGIYXNUGOXUDC-QHCPKHFHSA-N 417.509 4.830 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCc3ccn(-c4ccc(F)cc4)n3)o2)c1 462733618 JOATWPHTHGEHRH-UHFFFAOYSA-N 406.417 4.512 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463922236 QIEBRXPCRJBAIP-UHFFFAOYSA-N 411.767 4.721 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N(CCCCO)C1CC1 468029202 DRXZBTBSOGJCRG-UHFFFAOYSA-N 420.918 4.777 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(-c2ccccc2F)o1 483496478 QSUUWCNETHLVBT-ACCUITESSA-N 403.369 4.648 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1c(F)cccc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485488927 HZILOBYSVYLMTB-OXJNMPFZSA-N 424.403 4.738 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H]3CCN(CCc4ccccc4)C3)o2)c1 488463321 YMIYLOVJWUFSFZ-FQEVSTJZSA-N 405.498 4.509 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 493034389 QRAZJTAYDIABRS-CRAIPNDOSA-N 413.474 4.528 5 20 HJBD Cc1ccc(NC(=O)C[C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 494501452 CPAOIGLRDOEIPC-CQSZACIVSA-N 409.408 4.737 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 500586428 QWZAKNHSWBUYOD-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(NCc3ccc(F)cc3)s2)c(F)c1 505455087 GGOXJOJYDMXFFK-UHFFFAOYSA-N 412.418 4.768 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCc3ccccc3N2C(=O)c2cccc([N+](=O)[O-])c2)cc1 508484877 CQDBGTAFMZRXOZ-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD CCN(CC)[C@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccsc1 509278154 XOVCNLMZPYBIQJ-HXUWFJFHSA-N 418.563 4.659 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512264410 MCLVBEBZSMMXRD-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccccc1-c1ccc(CN(C)C)cc1 515234780 QABKVRXSFNWQML-UHFFFAOYSA-N 418.497 4.954 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3nccnc3c2)cc1 515449895 BMNZXPRZFYMZMM-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD C[C@H]1CN(c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C[C@H](C)O1 515614551 BRGIMXVMICOLQA-KBPBESRZSA-N 411.483 4.675 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2ccccc2Cl)cc1[N+](=O)[O-] 516528493 JYDLROCATCUFFF-KRWDZBQOSA-N 420.918 4.854 5 20 HJBD CCCn1c(Sc2c([N+](=O)[O-])nc(C)n2CC)nnc1-c1ccc(Cl)cc1 517196823 LRDJAKRXHICXAS-UHFFFAOYSA-N 406.899 4.593 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNCc1cccc(-c2nc(CC(F)(F)F)no2)c1 519570283 BLZPINHKRLOFMZ-YWEYNIOJSA-N 418.375 4.553 5 20 HJBD CCC(CC)[C@H](O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 521377943 ZCJGCNRIMRJXRO-QGZVFWFLSA-N 411.296 4.504 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 523097879 SVMBBLFEIFURSK-CABCVRRESA-N 422.403 4.863 5 20 HJBD Cc1ccc(NC(=O)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)cc1[N+](=O)[O-] 524308492 PRGPMRFJVNRDAR-QGZVFWFLSA-N 410.257 4.815 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc3c2CN([C@@H](C)C(C)C)C3)cccc1[N+](=O)[O-] 524323887 CYKBGEDSJTZWIE-SJORKVTESA-N 410.518 4.702 5 20 HJBD COc1cc(C(=O)N[C@H](CC(C)(C)C)c2ccc(F)cc2)cc([N+](=O)[O-])c1OC 525555537 WPSRCJYKZYBTSH-MRXNPFEDSA-N 404.438 4.658 5 20 HJBD CN(C(=O)c1cn(-c2ccccc2)nc1-c1cccs1)c1cccc([N+](=O)[O-])c1 532825891 KLRRWZGKVUERME-UHFFFAOYSA-N 404.451 4.786 5 20 HJBD O=C1NCCCN1c1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 533216624 DRUVWGXIFCAHAC-UHFFFAOYSA-N 408.483 4.855 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1)C1CCCCC1 536485130 KACWESPYESGCQQ-UHFFFAOYSA-N 420.469 4.733 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc2)cs1 538153116 MNSPTWDNPDQBKR-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(C(F)(F)F)ccc1Cl)c1cccc([N+](=O)[O-])c1 540375670 IAJWKLWZBPKPKN-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540544620 HICOHPUHPIVIMX-UHFFFAOYSA-N 416.359 4.883 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccc(CN4CCCC4)c3)o2)c([N+](=O)[O-])c1 540811573 SGDALPXZXSVUPN-UHFFFAOYSA-N 421.497 4.749 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1c(F)cccc1Br 541068580 GUIANOYZXLBEEC-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccc(F)cc1F)C1CCCC1 541527433 XZGQGYKRQDVTFZ-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD CCCc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1cccc(F)c1 542418478 RUGFWHFJKAJKKX-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cccc(NC(=O)c2ccco2)c1 542928787 WSBBJTZIBOOVCF-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD COc1cc(Cc2noc(-c3csc(-c4ccccc4F)n3)n2)ccc1[N+](=O)[O-] 545046543 IAWPVKNYYFRCSC-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD COc1cc(Cc2noc(-c3cc(-c4ccccn4)ccc3Cl)n2)ccc1[N+](=O)[O-] 545071042 YIBXGPXABTXYFP-UHFFFAOYSA-N 422.828 4.960 5 20 HJBD CCCc1c(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cnn1-c1cc(C)ccn1 545489632 SIRLYYOTLVBCTI-UHFFFAOYSA-N 407.474 4.614 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc2sc(N3CCCC3)nc2s1 565976807 DVFUOQRIWPOFGD-UHFFFAOYSA-N 402.501 4.735 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)C(C)C)cc1OC 590666647 YYDPFPAMNNZZGL-IBGZPJMESA-N 406.866 4.783 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccccc1)C1CC1 603263722 SKIOPTCDYKNBNU-UHFFFAOYSA-N 410.417 4.897 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2CCN3CCC[C@@H]3C2)cc1 603682255 TUQRSDUPLOPYKN-ZWKOTPCHSA-N 401.894 4.757 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cc2cc([N+](=O)[O-])ccc2o1 603734143 PZJWCXSEOHBCSQ-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD O=C(NCCCn1cnc2ccccc21)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603781138 DTPJNFMAKPMLPC-UHFFFAOYSA-N 416.437 4.557 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 604493768 KQYUWHIBZWQUDR-ZDUSSCGKSA-N 416.421 4.527 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 609183518 URPFDJZWWZYJIU-GFCCVEGCSA-N 421.906 4.506 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2)o1 610037380 BORDQTMUQUXEIM-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(CCCO)C(c3ccccc3)c3ccccc3)nc2c1 610581394 QLWPSHOCSMDYGI-UHFFFAOYSA-N 417.465 4.710 5 20 HJBD CCOCCOCc1cccc(CNC(=O)c2cc3ccccc3c3cccnc23)c1 643184495 MHEQKYVPFVWPKC-UHFFFAOYSA-N 414.505 4.871 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1Cl 727574064 GCJDPNGFVCURKW-GETOMWPZSA-N 402.834 4.530 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc2c1O[C@@H](C)C2 728166040 USWDUEQSNBOWMJ-LBPRGKRZSA-N 416.861 4.637 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc2c(c1)CC(=O)N2C 728301945 PVTLNNAXEUUGPH-CQSZACIVSA-N 407.495 4.693 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1C[C@@H]1c1cccc2ccccc12 730877255 QTNNJBGLUNWKCT-UXHICEINSA-N 415.405 4.645 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741821584 WPSVKKJGFWRDOI-ZDUSSCGKSA-N 420.381 4.526 5 20 HJBD CC(C)[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745548920 OJSZAODSENKTIC-ACJLOTCBSA-N 404.850 4.558 5 20 HJBD Cc1c(C(=O)N(C)Cc2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748605517 BAFMBBPTJCXXOD-UHFFFAOYSA-N 412.229 4.699 5 20 HJBD Cc1c(C(=O)N(Cc2ccco2)Cc2cccs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748605719 DNAUDSQLYJJTTN-UHFFFAOYSA-N 415.427 4.617 5 20 HJBD CC(C)(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)n(-c2ccccc2)n1 749554514 IOJWIDOEJXHUIM-UHFFFAOYSA-N 423.429 4.530 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 752108670 DABXLKJBTOITAM-QUCCMNQESA-N 408.454 4.850 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2ccc(-c3nc4ccccc4[nH]3)cc2)c1[N+](=O)[O-] 753101238 XZSTXUJVGKJQRZ-UHFFFAOYSA-N 404.401 4.516 5 20 HJBD C[C@H](OC(=O)Cn1c(Cc2ccccc2)nc2ccccc21)c1cccc([N+](=O)[O-])c1 756519633 SRJSSMXLZDDFPO-KRWDZBQOSA-N 415.449 4.840 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756966943 FOAJQOKRDGUSIJ-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)c1[N+](=O)[O-] 758488658 TXMXYMHLGHOCIO-LJAQVGFWSA-N 412.442 4.602 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 759599673 WHPIWJIBOWQNFK-GOSISDBHSA-N 402.328 4.955 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 760781464 ITHZAISIHJYUEA-UHFFFAOYSA-N 411.227 4.637 5 20 HJBD CCN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@H](c1ccc(C)cc1)c1cccnc1 763471662 VXUHKZKDBGPXPY-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD CCn1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc2cc(Br)ccc21 769288297 UMKKXTKQGCFSJY-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CCc1ccc(C(=O)N2CCC[C@H](n3c(CC)nc4ccccc43)C2)cc1[N+](=O)[O-] 773181768 DFXJJOIMJWQVKE-SFHVURJKSA-N 406.486 4.547 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1F 774910376 NHBZAAAPBQVUNS-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc2cc([N+](=O)[O-])ccc2o1 776131127 CGMLVISYICJDPH-HXUWFJFHSA-N 419.349 4.591 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])s1 776217581 JUXVJKDIAAXAQL-MRXNPFEDSA-N 420.393 4.908 5 20 HJBD CC[C@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)CCO1 779294766 MWFFRXXNIVKMOW-YJBOKZPZSA-N 401.415 4.510 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3cccnc3)nc3ccc(C)cc23)cc1[N+](=O)[O-] 782468050 VDBJUFLCCOTJBF-UHFFFAOYSA-N 414.421 4.774 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1)c1ccccc1[N+](=O)[O-] 796439888 OIHYZKCVCDARLK-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD CO[C@@H](COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 801246862 BLEAGVKQQHFDLT-KRWDZBQOSA-N 418.858 4.916 5 20 HJBD O=C(Nc1nnc(-c2ccsc2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812927262 WHOOEGZEKCKIBI-UHFFFAOYSA-N 401.256 4.734 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1NC(=O)N(C)C 813237741 PKMINZQQQIHTDQ-UHFFFAOYSA-N 411.245 4.556 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)cc1[N+](=O)[O-] 913500630 IKUXUVPCXMYGSH-UHFFFAOYSA-N 423.347 4.635 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H](C)CC(C)(C)C 917591144 SSZPPDLHQVVVDN-HNNXBMFYSA-N 402.495 4.844 5 20 HJBD C[C@H](CC(=O)N1CCCC[C@@H]1CCOC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 918638854 VMBWNCVZKZDHEA-WIYYLYMNSA-N 424.497 4.717 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)/C=C\c4ccc([N+](=O)[O-])cc4)CC3)c2c1 919873775 ZXBUFHHASJTWDM-WTKPLQERSA-N 405.454 4.504 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3n[nH]c4ccccc34)cc2[N+](=O)[O-])n1 934875212 WSHSMZMBVFEMCW-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1cccs1 1255650390 YZNHISGEFJXXGQ-QGZVFWFLSA-N 406.847 4.574 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cnn(-c4ccc(Cl)cc4Cl)c3)o2)c1 1322042552 ZZDUSFYPAONXRD-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])o1)NC1(c2ccc(NC(=O)C3CCCC3)cc2)CCC1 1787612063 NPYKHZRWWQTCKI-ZRDIBKRKSA-N 423.469 4.525 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2ccccc2F)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 18025846 KJHSAAWPIYPCDA-GFCCVEGCSA-N 423.356 4.962 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 22547612 KLKQOBBUNLJCCQ-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD C[C@@H](OC(=O)Cc1ccc2ccccc2c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 24978294 NIKAZLDLTNQBJI-CYBMUJFWSA-N 412.829 4.514 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 30477578 DSWQMBWYARMILZ-LLVKDONJSA-N 412.364 4.512 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3ccccc3)c3ccc(F)cc3)n2)cc1 55855302 LTQNSTRTTIWGLA-NRFANRHFSA-N 404.401 4.663 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)ccc1Oc1cccnc1 57310406 GDUWWKYVQUUKHC-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCCN1CCC(N(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 64309893 DWPKJNBQYZDQNA-UHFFFAOYSA-N 407.580 4.679 5 20 HJBD CC1CCN(c2nnc(Sc3nc4ccc([N+](=O)[O-])cc4s3)n2C2CC2)CC1 111193239 KVUSDZORVZIPBU-UHFFFAOYSA-N 416.532 4.518 5 20 HJBD O=C(Cc1ccccc1Br)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 409723440 XDBWNUFXQWMGCQ-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1C[C@H]1c1cccc(F)c1 433957178 HTCPDPCVHYJBOF-UONOGXRCSA-N 414.380 4.517 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1Oc1ccncc1 437489052 FXYXNUMSUNXZRR-UHFFFAOYSA-N 403.438 4.895 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(N[C@H](C)c3ccccc3[N+](=O)[O-])cc2)cc1 439558723 XCEQNVLWCRVYRO-MRXNPFEDSA-N 411.483 4.877 5 20 HJBD CCCN(C(=O)c1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 441443027 RPFZCNAMPACKRB-UHFFFAOYSA-N 417.465 4.928 5 20 HJBD CCCOc1cc(C)ccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444251904 WBOAPQPKBGBYNY-UHFFFAOYSA-N 418.468 4.848 5 20 HJBD O=C(N[C@@H]1CCCOc2c(F)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444292366 VBCNHZLNSLRXPR-LJQANCHMSA-N 420.415 4.603 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@H]2C)c1[N+](=O)[O-] 446246468 FCBXYNVYGUUHPZ-WBMJQRKESA-N 406.891 4.572 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 447564256 WGMZLASYVIJNBF-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 461306213 ZKHMWLJHTXMACF-OSPHWJPCSA-N 414.480 4.904 5 20 HJBD CCOc1ccc(NC(=O)CNc2cccc(CSC(C)C)c2C)c([N+](=O)[O-])c1 462175257 JRQPHSCGBIIERB-UHFFFAOYSA-N 417.531 4.994 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc(-c2ccncc2)cs1 462995680 XHATYOQCCZVTKD-HOCLYGCPSA-N 410.499 4.559 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cccc(Cl)c1 464627467 LVZWDDCITVEKLP-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD C[C@@H](O)C[C@H](CNC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 468964410 LBIALSNGPJRENU-YLJYHZDGSA-N 419.481 4.623 5 20 HJBD CSc1ccc(C(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 469108766 VLDMSZGKDPZCRC-UHFFFAOYSA-N 404.438 4.915 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1 470123419 WNQNYHNLTFOSSD-UHFFFAOYSA-N 414.458 4.520 5 20 HJBD CCc1ccc(C(=O)N(Cc2ccc(OC(F)F)c(OC)c2)C2CC2)cc1[N+](=O)[O-] 471347141 YMRTUMYJFHRMFY-UHFFFAOYSA-N 420.412 4.572 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)CC)ccc2Cl)cc1OC 471427951 PVVYJBGZWSASHB-UHFFFAOYSA-N 406.822 4.501 5 20 HJBD COc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c(OC2CCCC2)c1 477628326 NXCLIMZNLXVYKI-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CC[C@H](C)n1ncc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 479502528 ZIHMWZDHQJLTFZ-QWRGUYRKSA-N 413.400 4.529 5 20 HJBD CC(=O)c1ccc(N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)c([N+](=O)[O-])c1 481063341 WSRCTPXTZMCOKZ-OAHLLOKOSA-N 402.756 4.615 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@@H](C)CC[C@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 483520822 NLMNKENTYWMBIM-LIRRHRJNSA-N 411.458 4.695 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(OCc2ccccc2C)c1 484491672 SLCXMYLKMGERPJ-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD O=C(NCc1cnc2ccccc2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485943137 SPIYVDZJBBVWLN-UHFFFAOYSA-N 413.433 4.652 5 20 HJBD CSc1cccc(C(=O)Nc2cc(NC(=O)C(C)(C)C)ccc2C)c1[N+](=O)[O-] 486241865 MNIFCVNOLOGSOB-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD Cc1nc([C@@H](C)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)oc1-c1ccc(Cl)cc1 488976974 FTUDHFUQIIOBFR-CQSZACIVSA-N 415.881 4.806 5 20 HJBD CC[C@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 489504088 ZCEJOXZTYPRYAZ-PWSUYJOCSA-N 416.425 4.890 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](NCCc2cc(F)cc3c2OCOC3)c2ccccc2)c1 491048991 DSBMSUIDPIKHNG-QFIPXVFZSA-N 408.429 4.522 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1OCC(F)F 497523213 DJHHATNPSMVBLR-UHFFFAOYSA-N 413.808 4.599 5 20 HJBD CC(C)(NC(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc([N+](=O)[O-])cc1 504958689 AOUFKIMMBFYRDT-UHFFFAOYSA-N 415.343 4.732 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 505653306 DYKDUXGHKUNTOS-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C(C)C)cc1 510821818 RIXUTSZHCYODKC-QGZVFWFLSA-N 409.389 4.724 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1OC 515576915 AWIMTNFCNMMWIO-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD COc1ccc(CNC(=O)c2ccc(CSc3ccccc3)cc2)cc1[N+](=O)[O-] 515732165 AORNHSVLCTUAQS-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)Nc1ccc(C(N)=O)cc1[N+](=O)[O-] 517368449 RICMKEQKNFRMIQ-JTQLQIEISA-N 416.890 4.952 5 20 HJBD O=C(c1ccc(N2CCc3ccccc3C2)cc1)N1CCCc2ccc([N+](=O)[O-])cc21 522873818 POHSOJPPPSORKY-UHFFFAOYSA-N 413.477 4.751 5 20 HJBD CCCNC(=O)c1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 524963811 RTNIJPHBCLRORF-UHFFFAOYSA-N 419.437 4.779 5 20 HJBD O=C(NC[C@@H](CCO)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 525421443 PFPLCNDOPZMEOY-GOSISDBHSA-N 421.453 4.675 5 20 HJBD CNc1ccc(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 532149032 JJBWUAMURWYCHV-UHFFFAOYSA-N 407.392 4.675 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)n1[C@H]1CCC[C@H](C)C1 535337793 WRAXXKMBFWGCTN-AAEUAGOBSA-N 423.926 4.630 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)cn1 538189959 DORAPXVEBJPTGO-UHFFFAOYSA-N 400.504 4.733 5 20 HJBD O=C(Cc1nc(O)c2c(-c3ccc(F)cc3)csc2n1)c1cccc([N+](=O)[O-])c1 538308045 OJWLIEGGKJSODO-UHFFFAOYSA-N 409.398 4.537 5 20 HJBD CC(C)CN(C(=O)C1C[C@H](C)O[C@@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452747 GOYHCAYLPGLALL-GJZGRUSLSA-N 417.531 4.911 5 20 HJBD C[C@H](COCC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541693812 RZNMOIASTJIJPW-GFCCVEGCSA-N 420.453 4.574 5 20 HJBD CC(C)(C)c1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 541824493 HAGQYFVCUYLRSH-UHFFFAOYSA-N 421.251 4.910 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543155641 PRRMIESUDMNPNK-NSHDSACASA-N 421.931 4.697 5 20 HJBD CCN(CC)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 543590883 XUCLMHYNBAQLPI-SFHVURJKSA-N 419.591 4.681 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 544402922 WECURIARQYRWPI-BTYIYWSLSA-N 400.450 4.990 5 20 HJBD C[C@H](C(=O)N(Cc1cccc(Br)c1)C1CC1)c1ccc([N+](=O)[O-])cc1F 544422652 CXTHABJQKBLQCY-LBPRGKRZSA-N 421.266 4.791 5 20 HJBD CC(C)c1c(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)cnn1-c1ccccc1 544661560 ONYBLAFJLOEFDZ-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)c1)c1ccco1 545613181 OHKLXTZSTWAXMX-UHFFFAOYSA-N 410.773 4.811 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)c1 549090263 UAVPUPYVPJNRLZ-CTYIDZIISA-N 408.376 4.688 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCc1cccc([N+](=O)[O-])c1 549154145 UDBUDIIJZVKIEK-SFHVURJKSA-N 403.482 4.763 5 20 HJBD CCc1cccc2c(C3CCN(CCC(=O)Nc4ccccc4[N+](=O)[O-])CC3)c[nH]c12 559868153 AQGDAWUIQHSZCZ-UHFFFAOYSA-N 420.513 4.847 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCCC[C@H]1c1nnc([C@H]2CCCO2)o1 561701526 MIWPMMXFUWBUIW-DZGCQCFKSA-N 412.368 4.580 5 20 HJBD O=[N+]([O-])c1cc2c(c(COc3c(Cl)cc(Cl)c4cccnc34)c1)OCOC2 567401579 NHJSEIUKIZNBGZ-UHFFFAOYSA-N 407.209 4.895 5 20 HJBD Cn1cc(CN2CC[C@H](CSc3ccccc3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 568306195 STQPVTWVZOXDGD-KRWDZBQOSA-N 408.527 4.610 5 20 HJBD O=C(Nc1nccn1Cc1ccccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 572039825 JDVAWGRWOPKBOL-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD O=C(Nc1nnc(C2CC2)s1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 579057449 QSGSRPFLMUEELR-UHFFFAOYSA-N 404.517 4.858 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2Nc2ccc(-c3cn4c(n3)CCCC4)cc2)n1 583420997 RXNLOSIWEPQDDC-UYAOXDASSA-N 406.490 4.587 5 20 HJBD C[C@@]1(CCCO)CCCN1Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 584437646 QXLBENADMXCYEI-DEOSSOPVSA-N 420.513 4.575 5 20 HJBD COc1cc(C(=O)N2CC[C@H](C)Sc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 585708370 MUABNFPACGQKRJ-NSHDSACASA-N 424.425 4.736 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(COc4ccccc4)cc3)cc2N1 603855688 DFYCQAMDRQUJCW-UHFFFAOYSA-N 403.438 4.671 5 20 HJBD Cc1cc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2)cc(C)c1OCC(F)(F)F 604073902 PCBOBIRDVJDKMV-UHFFFAOYSA-N 411.380 4.817 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccc(C(F)(F)F)cn2)c(F)c1 609587681 CXHUSXDNQGUQIB-UHFFFAOYSA-N 424.310 4.531 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(OCc3ccccc3)cc2C)c([N+](=O)[O-])c1 609761120 CEHMINVSXTWTIW-UHFFFAOYSA-N 412.467 4.591 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc12 609973775 HRUZPXQFQVEAKX-UHFFFAOYSA-N 422.441 4.646 5 20 HJBD CC(C)c1c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 609974008 XRQJDKOYTLICEH-UHFFFAOYSA-N 410.861 4.760 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1cccc(Br)c1 610046703 YIVVTQYRRMBOFK-RTBURBONSA-N 417.303 4.600 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 610665421 ZELNZIMRKVQWGY-FZKQIMNGSA-N 418.291 4.965 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1csc([N+](=O)[O-])c1 612502245 REIMXRMVYSOJFB-NSHDSACASA-N 423.210 4.842 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@H]3c3ccccc3C(F)(F)F)cc2N1 728052051 AKLSPZNWSRWVEC-INIZCTEOSA-N 405.376 4.840 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 728835333 NKGWTQMJHZJBOQ-CYBMUJFWSA-N 403.460 4.803 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 735245217 HPZIPSPLNKBKTL-LLVKDONJSA-N 413.218 4.843 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 735317567 KIRPJUSWHAWSHC-AWEZNQCLSA-N 422.397 4.734 5 20 HJBD O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1cc(Cl)ccc1[N+](=O)[O-] 746048821 OYHYVKREWDXESI-UHFFFAOYSA-N 409.751 4.539 5 20 HJBD Cc1c(C(=O)Nc2ccc(OCc3ccccc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748599169 UROAVUHHPCXFMJ-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD C[C@@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 750294150 LLVAJJALCDZYQS-CQSZACIVSA-N 414.893 4.696 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@@H](C)c1ccccc1OC 751078162 VSOYNKNYPSDDCM-ZDUSSCGKSA-N 421.291 4.979 5 20 HJBD Cc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c(Br)n1 756641217 BCICSCNTQUCPRN-UHFFFAOYSA-N 404.264 4.650 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1cc(C)ccc1C 763811958 WJMWUBYBUWKOMQ-CQSZACIVSA-N 402.472 4.508 5 20 HJBD O=C(OCc1nc(C2CCCCC2)cs1)c1cc([N+](=O)[O-])ccc1SCCO 768818070 UEEPNPLKCBVLFX-UHFFFAOYSA-N 422.528 4.540 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccsc2)ccc1[N+](=O)[O-] 771187259 ZIDLZMVKGWJIMN-HXUWFJFHSA-N 411.483 4.732 5 20 HJBD COc1cc(/C=C(\C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)ccc1O 772263151 JKRWEYMSZGDYFM-JHDSZEHQSA-N 418.449 4.727 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccc(-c4ncc5n4CCCC5)cc3)CC2)cc1 777643181 VAJFYJMLIJZNJV-UHFFFAOYSA-N 417.513 4.876 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCc2cn(C(C)C)nc21 781051940 DKULWBHZMSWAOL-KRWDZBQOSA-N 402.520 4.682 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 781970635 PYTYSGYYSJUMLM-UHFFFAOYSA-N 421.409 4.750 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 796558286 IYORYOQPBLMVKY-WDEREUQCSA-N 402.275 4.514 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1OC 813248801 SFWDEURYKIOGJL-SNVBAGLBSA-N 413.257 4.718 5 20 HJBD CC(C)CN(CCc1ccccc1)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 914516676 AILCCKKEFYXSIR-UHFFFAOYSA-N 405.292 4.698 5 20 HJBD CCOC(=O)Nc1ccc2c(CSCc3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 916075894 TXBJYWCCHSDHHZ-UHFFFAOYSA-N 414.439 4.703 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 916497329 RJSPLIHYQUYVMC-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1cc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)cc([N+](=O)[O-])c1 1257774183 JATTWVZWWMSQFW-NSHDSACASA-N 404.220 4.856 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1318012055 BOJSELPMKQKHCB-UHFFFAOYSA-N 414.421 4.563 5 20 HJBD Cc1cc(C(=O)N2CCN(C(=O)c3c4ccccc4cc4ccccc34)CC2)c(C)o1 1322234580 ABPKNEYHBALEOL-UHFFFAOYSA-N 412.489 4.801 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(-c2cccc(OC(F)F)c2)o1 1346627088 XDWRAKZUZWRDKF-UHFFFAOYSA-N 411.748 4.521 5 20 HJBD CC(C)CCN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 6598084 RJMUKCBEVUQWAQ-GOSISDBHSA-N 420.307 4.673 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2s1)c1ccc(Br)c([N+](=O)[O-])c1 16004653 POTFKLXJFMUOET-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CC(C)Cc1nnc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 21745540 FHGPCPHEYZYXMQ-UHFFFAOYSA-N 411.487 4.509 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1ccccc1Br 65864913 PYWYHRQTBPTZBZ-UHFFFAOYSA-N 405.292 4.727 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CSCc3c(C)noc3C)n2)cc1[N+](=O)[O-] 105350579 IMVLUDMBZQHATR-UHFFFAOYSA-N 418.500 4.503 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(C)C 106085092 TURBXDUEFVFIJL-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD COc1ccc(NC(=O)c2oc3ccc(Br)cc3c2C)c([N+](=O)[O-])c1 216434509 YNKSLAPFBBLQGF-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD CC(C)(C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1csc(-c2cccs2)n1 410021084 LELBGYHQOOIYOE-UHFFFAOYSA-N 424.507 5.244 5 20 HJBD CC[C@@]1(CO)CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 428526119 QXSRGDWJRCAMKL-JOCHJYFZSA-N 414.527 4.679 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccnc3c([N+](=O)[O-])cccc23)CC1)c1ccccc1 432323289 PSQVNBJPIRSLNU-LJQANCHMSA-N 418.497 4.740 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1cc(Br)cc([N+](=O)[O-])c1 433001944 VBENDOLFXYIPFW-UHFFFAOYSA-N 418.169 4.584 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2nc3ccccc3[nH]2)CC1 437362702 CLSFZWDSKRGDBH-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD COc1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1Br 437772206 JNKMYAKWZGDKJV-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CN(C(=O)CCOc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1Cl 439126186 ZIXPVYNWHQULPR-UHFFFAOYSA-N 402.756 4.699 5 20 HJBD CCOc1cc(C(=O)N(CC(F)F)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC 440662338 SCRTXRDUYTVQGP-UHFFFAOYSA-N 414.792 4.567 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(Br)s2)c1 440874057 KYXBBXSUOHBCEU-QMMMGPOBSA-N 401.307 4.632 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2Nc2ccc(-c3ncc4n3CCCC4)cc2)n1 447595785 OQWQEYNWZOUTBQ-PMACEKPBSA-N 406.490 4.587 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Cl)cc1-c1ccccc1Cl 447631388 ZKXKIPXGKAEDEE-UHFFFAOYSA-N 405.241 4.794 5 20 HJBD COC(C)(C)C[C@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 460256573 AGMXRNYJVJLGMW-ZDUSSCGKSA-N 406.866 4.974 5 20 HJBD Cc1nc(C(F)(F)F)sc1C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1C 462548844 UZQUBVWKOUAWRU-UHFFFAOYSA-N 424.198 4.702 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@H](CSc2ccccc2)C1 464326327 WFDZUEHYIPKMNS-HOTGVXAUSA-N 402.541 4.716 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1OCc1csc(C)n1 466517073 UMCMWLOURGCWNI-UHFFFAOYSA-N 413.455 4.590 5 20 HJBD CC(C)c1csc([C@@H]2CCCN(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)C2)n1 467216670 JGFAQTVCLIPWIU-CQSZACIVSA-N 422.938 4.917 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ncccc2Cl)CC1 469969758 ROIXJYHJSTVIRY-INIZCTEOSA-N 401.894 4.618 5 20 HJBD COc1c(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 475315154 JAWHJBMEEUCKLN-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD O=C(Nc1nc(-c2c(F)cccc2F)cs1)c1c[nH]c2cccc([N+](=O)[O-])c12 477106254 JSVLXKHUQPZBIB-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1NCc1ccccc1 477729701 HBEZECIHJDDKEL-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccc(F)cc2Br)cc1[N+](=O)[O-] 479705062 YHQVOOGFVILQIB-LBPRGKRZSA-N 424.270 4.707 5 20 HJBD COc1ccc(NC(=O)[C@@H]2C[C@@H]2c2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 480235557 UNTLQGGDGVQJOY-NHCUHLMSSA-N 418.449 4.925 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1c(F)cccc1F)C1CC1 485768328 HWUJKMHMOXSDSU-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N(CC(F)(F)F)C1CCC1 486207214 WBQYEZZEHJNMHK-UHFFFAOYSA-N 408.376 4.731 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 486328660 MPMOQNTVYDXXAS-LBPRGKRZSA-N 415.231 4.756 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487787639 DXXUKMQELLVWJO-IBGZPJMESA-N 408.483 4.515 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)C1CCOCC1 487938350 IOFRQRAOLKPFBQ-HXUWFJFHSA-N 400.500 4.681 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ncccn2)cc1C 488311191 LQAQXPCTKHHYOZ-UHFFFAOYSA-N 410.455 4.850 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 497597949 IFPSJFVUDBNWDU-CYBMUJFWSA-N 422.281 4.759 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc([N+](=O)[O-])c2cccnc12 497930258 OCAIMJJZGYCDFA-UHFFFAOYSA-N 413.437 4.595 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)c(C)c2c1 498283797 XSQCQXPMOYUKNC-CYBMUJFWSA-N 405.410 4.697 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OC(F)F 499341301 QZDWZJAGPTYVCG-JTQLQIEISA-N 414.792 4.691 5 20 HJBD C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)cs1 500095739 HAJMCTMSTVRXPS-OAHLLOKOSA-N 410.499 4.788 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC2CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 501244706 KUSYLRWTRHIMNA-CQSZACIVSA-N 418.444 4.718 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)(C)C)cc1 502661034 HFLGDGDXTKVJNG-UHFFFAOYSA-N 415.515 4.878 5 20 HJBD CC(C)c1ccc(CSCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)cc1 508816968 HUNSFERHPVLOMV-UHFFFAOYSA-N 416.499 4.751 5 20 HJBD CC1(c2nc3ccccc3s2)CCN(Cc2nc3ccc([N+](=O)[O-])cc3[nH]2)CC1 511119197 CYZVVRUMZSUHMH-UHFFFAOYSA-N 407.499 4.635 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 512274923 CHEKGQXKLGTFOH-IBGZPJMESA-N 402.859 4.594 5 20 HJBD CC(=O)N1C[C@H]2[C@@H](N[C@@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)CCC[C@H]2C1 515013555 INKGOMUSBWLJAM-WQCNXYOZSA-N 413.543 4.621 5 20 HJBD O=C(NCc1ccc(CN2CCCCC2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 516272359 CFCKQWNDHFHCAT-UHFFFAOYSA-N 402.882 4.556 5 20 HJBD Cc1cccc(COc2cccc(CC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2)c1 517301025 ASTOPSBZWYRHPO-GOSISDBHSA-N 404.466 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccs2)c2ccc(F)cc2F)c1 517903630 MWEQPVPVJJASTD-UHFFFAOYSA-N 404.394 4.790 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2C1 517981521 UOIZOYMDVBDTFV-UHFFFAOYSA-N 424.460 4.532 5 20 HJBD CCC[C@@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)c(Cl)c1 520167031 YHHDILSKTVIBBY-QGZVFWFLSA-N 417.314 4.862 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCc3ccccc3N2C(=O)c2csc([N+](=O)[O-])c2)cc1 524034449 GKSXUTSOQFJMAM-LJQANCHMSA-N 421.478 4.565 5 20 HJBD Cc1nc([C@@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)sc1C 532510480 WAZJZMDFEJYCIU-QGZVFWFLSA-N 412.515 4.745 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(N4CCC(CO)CC4)cn3)s2)cc1 532866318 DVYCSMZGULTHKP-UHFFFAOYSA-N 424.526 4.539 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 533945346 WEKAXXUIHXJMRL-DEOSSOPVSA-N 415.449 4.533 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC)c(NC(C)=O)c1 539648068 MZBORRKYJVITMQ-UHFFFAOYSA-N 417.487 4.706 5 20 HJBD CN(C)c1ccc(C(F)(F)F)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 539922494 UZVZRDPUEIXDSG-UHFFFAOYSA-N 419.407 4.692 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 541529106 RKGMMAUVEVPCFI-XOBRGWDASA-N 423.494 4.950 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F 543495510 ZJRVKPYUHCRDMZ-KBPBESRZSA-N 409.408 4.642 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(Cc4cccc(C(F)(F)F)c4)no3)c2c1 545550473 QXTBYVIPZIWTAR-UHFFFAOYSA-N 402.332 4.660 5 20 HJBD COCCC(C)(C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 546070286 QEIHZBZOXASFLE-UHFFFAOYSA-N 422.865 4.594 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 547240846 OXCNPGGVSOUUGR-UTKZUKDTSA-N 414.477 4.604 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cccnc1Oc1ccc(Cl)cc1Cl 548745679 VYUDGMOXRZNGRN-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1coc(-c2ccc(Br)cc2)n1 549168541 OEERCOQZNIOSSK-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD COc1cc(F)cc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)nc12 550490566 DCJDDTJNOMRYEE-UHFFFAOYSA-N 415.324 4.623 5 20 HJBD COCc1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)s1 552115058 LRZDPBIGTNFWLK-UHFFFAOYSA-N 407.498 4.663 5 20 HJBD CCN(C)C(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 554171212 UNRFLELMOCZWHH-UHFFFAOYSA-N 419.437 4.731 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 555776885 IWUJRLCPRZOQEL-FUHWJXTLSA-N 411.502 4.581 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 560539640 MUQOBPNUKRTSOL-DPDLHNOKSA-N 422.485 4.647 5 20 HJBD COc1ccc2oc([C@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c(C)c2c1 569585357 GSEZZBCWAFHVRM-LBPRGKRZSA-N 417.849 4.601 5 20 HJBD COc1cc(Cc2noc(-c3cscc3-c3ccccc3)n2)c([N+](=O)[O-])c(OC)c1 573492318 DAPQYGFHBLXNQK-UHFFFAOYSA-N 423.450 4.981 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C3)cs1 578300467 QTJFMVRQGOBRJG-GFCCVEGCSA-N 413.524 4.777 5 20 HJBD O=[N+]([O-])c1c(N2CCc3nc(-c4ccccc4Cl)sc3C2)nc2sccn12 583402482 GWXRHXQQNLOLMR-UHFFFAOYSA-N 417.903 4.644 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@H](C4CC4)C3)cc2[N+](=O)[O-])n1 589526540 QDIJOLQGHMVLDB-HNNXBMFYSA-N 403.529 4.773 5 20 HJBD C[C@@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc23)C[C@H](c2cccc(C(F)(F)F)c2)O1 589875584 ZSAUOOCKRHOCGU-KZULUSFZSA-N 418.375 4.523 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 590664703 HGZXBTBPKRWDBK-UHFFFAOYSA-N 407.455 4.538 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2ncnc3scc(-c4ccccc4)c23)c1 603860157 UPXUJTXBMZRDEE-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD Cc1ccccc1OCCCC(=O)Nc1ccc(CNc2cccnc2[N+](=O)[O-])cc1 603861544 CBLFNZLOOMZFKC-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] 604546946 MUUYYGYLRRQVBY-UHFFFAOYSA-N 422.363 4.567 5 20 HJBD CSc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc1 609703431 WEDLERRETVRFTF-CYBMUJFWSA-N 409.471 4.532 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 609856020 KDVGIBFDYCBQBQ-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD Cc1cc(N2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 609964982 VVFBPHFCANMILO-GOSISDBHSA-N 420.444 4.599 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCCN(C)c4ccccc43)cc2[N+](=O)[O-])C1 609974249 YMZOIJXJPYJUIK-HDICACEKSA-N 422.529 4.564 5 20 HJBD CCc1nc([C@@H]2CCCCN2C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)no1 609975250 MDZQHJOJSYAZEA-SFHVURJKSA-N 421.457 4.651 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])C(C)C)cc1OCC 610222438 VAFDSZNQFMIXKY-OAQYLSRUSA-N 400.475 4.828 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1)c1cc([N+](=O)[O-])ccc1F 734347118 CEMAAWJRVNNXJN-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1ccc(Cl)cc1[N+](=O)[O-] 734358101 BXETYFRDGCMTJC-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735802682 CQYGCIVZJDIYIX-CYBMUJFWSA-N 421.906 4.564 5 20 HJBD C[C@@H]1C[C@H](N(C)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCN1Cc1ccccc1 738885036 OGWOFXQMKZZUKP-CRAIPNDOSA-N 416.909 4.765 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742001306 SZVIXSZPKOUTST-WQFPPAFTSA-N 403.822 4.592 5 20 HJBD CN(c1ccc2ccccc2c1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 743251457 RHQUXMDTSFCCNB-UHFFFAOYSA-N 411.266 4.880 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)OCc1csc(Cc2ccc(Cl)cc2)n1 745336280 KNPHUYOLPGWBAC-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 749947952 RVFRUIMBXGFAFF-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](c2ccccc2)C2CCOCC2)c1Br 754032003 WYLYWJRKRWAYHR-QGZVFWFLSA-N 406.280 4.641 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])cc2s1 758438907 RYIIWQQIUNKHEN-UHFFFAOYSA-N 416.434 4.643 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(COc2ccccc2)c1 758589056 BCBWEZJIDFTAFH-UHFFFAOYSA-N 401.422 4.836 5 20 HJBD CCn1c(NC(=O)c2cccc([N+](=O)[O-])c2Br)nc2cc(Cl)ccc21 758985028 PSBCMIYZLQVGDB-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD Cc1ccc(C(=O)CC(C)(C)CC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)cc1 759837380 RYQAFXINVXWZEE-UHFFFAOYSA-N 410.426 4.777 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1ccco1 764802344 VQDYIKRGYONYDJ-GFCCVEGCSA-N 412.364 4.727 5 20 HJBD COc1cccc(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 768021976 FOSRHATWZWMDKA-FQEVSTJZSA-N 406.438 4.522 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)NCCc2ccc(Cl)cc2Cl)C2CC2)c1 768473363 RNKUQOKYKPIQHL-UHFFFAOYSA-N 424.353 4.983 5 20 HJBD Cc1cc(NC(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 771185258 FGNUUWLPNFAUAU-IBGZPJMESA-N 403.866 4.534 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)CC2)cc1 775596665 LWHAEWZDHSVGEZ-UHFFFAOYSA-N 402.882 4.726 5 20 HJBD O=C(C[C@@H]1CCCOC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 793531576 NKXPDPQQCQIWCO-AWEZNQCLSA-N 422.840 4.613 5 20 HJBD CO[C@H](COC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1 801304488 FNXXEOHFCXMBHJ-CQSZACIVSA-N 414.639 4.555 5 20 HJBD COCCOC(=O)Nc1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811468780 HXZJTWTXCRHITI-UHFFFAOYSA-N 414.245 4.709 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc([N+](=O)[O-])c1C 904508227 SDAZKGZEYIEFPJ-UHFFFAOYSA-N 422.372 4.955 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3cc(Cl)ccc3[N+](=O)[O-])CC2)c(C)c1 914767608 LQKWBMVDIDENOR-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1ncc(-c2cccc(Cl)c2)o1 916403012 LQIKUSZQAHCYAW-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@H]2c2cccc(Br)c2)cc1 917590253 MTAUZEWYKMBVCI-INIZCTEOSA-N 406.305 4.891 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(OC(F)F)cc1 1320409507 UNLTWXINHKCQCP-JTQLQIEISA-N 401.207 4.810 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 1324025301 ZXLQECIXLNUOSH-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(Cc3cccc4ccccc34)o2)C1 1324070204 ZTXYUAGXGLCTTF-FQEVSTJZSA-N 400.394 4.588 5 20 HJBD CC(=O)N(c1nc(COc2cc(F)ccc2[N+](=O)[O-])cs1)c1ccccc1F 8373735 PWZZZJIVPUNNEF-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccc(Cn3cccn3)cc2)c([N+](=O)[O-])c1 16738638 VJPRIEDINPRVFC-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)cc1[N+](=O)[O-] 19005455 OXYPOAXCLMVPJK-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD COCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 44550246 RNAMNXJMXFNOSF-UHFFFAOYSA-N 408.410 4.794 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 44791073 GXFNJVJXFDEGFD-UHFFFAOYSA-N 418.453 4.808 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](Cc3ccccc3)c3ccccc3)n2)cc1 51797328 XGVNWDUQHBHCRV-NRFANRHFSA-N 400.438 4.718 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107799236 VFZVNQMXJIIELG-CABCVRRESA-N 412.795 4.943 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)c1cc(C(F)(F)F)ccc1Cl 216143798 ZZMFATPMRRSMPA-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD COc1ccc(Cl)cc1C1(CNC/C=C/c2ccccc2[N+](=O)[O-])CCOCC1 237268272 MMWBTDNOSMQXPM-GQCTYLIASA-N 416.905 4.608 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCCCC[C@H]1c1ccccc1 302998907 UIINNDBBUGJIKN-NRFANRHFSA-N 407.514 4.955 5 20 HJBD COc1cc(C(=O)N(C)CC(F)(F)F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 409628312 USTDERNSRRDJPK-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@@H]1CCOC1 410233886 MELSDUSKWJVABR-GXTWGEPZSA-N 406.891 4.554 5 20 HJBD O=C(O)[C@@H](CCCc1ccccc1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426774279 NPJGMXGLQJCFHU-HXUWFJFHSA-N 410.495 4.889 5 20 HJBD COc1ccc(CN(CCc2ccccn2)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1 431436875 XFZUAWYIAQCZBL-UHFFFAOYSA-N 420.469 4.584 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C/c1ccc(O)c([N+](=O)[O-])c1 436794638 WAQHPSNJHCJTCJ-GDNBJRDFSA-N 417.446 4.683 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@H](C)CO1 437031195 HLVZDCHRLNOQQQ-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444029371 IQDJSNZYCSBYPN-GDBMZVCRSA-N 418.443 4.978 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H](C)c2cccc(O)c2)c2ccccc2)c([N+](=O)[O-])c1 444750990 AKMRCPMCELYVKC-KSFYIVLOSA-N 405.454 4.639 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)CCc1cccc([N+](=O)[O-])c1 445796762 AZEWOEJZUGDKOH-NRFANRHFSA-N 404.470 4.597 5 20 HJBD C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1Cl 446379420 RIBZAKXJPFGOME-VIFPVBQESA-N 406.225 4.646 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)cc(F)c1Br 464712795 PNDQUKMIVUFICW-UHFFFAOYSA-N 401.619 4.965 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@@H](c2cccc(Br)c2)C2CCOCC2)cc1 467413651 NZJOEFQKDYFOJY-HXUWFJFHSA-N 419.319 4.657 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCc2ccncc21 468350323 QXMRQULBENNTEA-UHFFFAOYSA-N 411.870 4.997 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCc2c(-c3ccccc3)cccc21 468602101 ONTHWBCALBVMLR-UHFFFAOYSA-N 411.417 4.719 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](CN(C)CC3CC3)C2)cc1[N+](=O)[O-] 470240174 ZXNHRDWCIKHUBY-GOSISDBHSA-N 419.591 4.537 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3ccc(Cl)cc3F)s2)c1 470638882 UZEAQDKDBPOMNA-UHFFFAOYSA-N 424.866 4.880 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1ccc([N+](=O)[O-])s1 475589908 PCLMGPCGVKMSSZ-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD COc1ccc(-c2csc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 475938089 FZQUYAZZXUSBFG-CQSZACIVSA-N 411.483 4.892 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 478795686 LYPGZQUXVYAGTN-UHFFFAOYSA-N 421.828 4.789 5 20 HJBD C[C@H](N[C@@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 480602288 AIDDQBBGRSHURE-LIRRHRJNSA-N 421.419 4.628 5 20 HJBD O=[N+]([O-])c1ccccc1N[C@@H](CO)c1ccc(Br)cc1C(F)(F)F 481058635 MXFORIYANKFWKH-ZDUSSCGKSA-N 405.170 4.522 5 20 HJBD CCOc1ccc(C2(CN[C@H](Cc3ccccc3[N+](=O)[O-])C3CC3)CCOCC2)cc1 481560946 IYEWTJZSVWBXGP-HSZRJFAPSA-N 424.541 4.653 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccccc3)s2)cc1SC 482027724 WWGDIYBVBYWIBH-UHFFFAOYSA-N 415.496 4.625 5 20 HJBD COc1c(C)ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 482503104 PEVRWFBYXOWRLH-UHFFFAOYSA-N 414.405 4.970 5 20 HJBD COc1ccc(CNc2ccccc2N(C)C)cc1OCc1ccc([N+](=O)[O-])cc1 482543356 JVKZCNWEPRUUBH-UHFFFAOYSA-N 407.470 4.861 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(C)cc3)nc2)cc1OC 483960325 VBLJDNJPSNYLAY-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])n[nH]1 486794976 BGIIKQMOOXDJQO-LSDHHAIUSA-N 422.489 4.960 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(N2CCCCCC2)cc1C 489284081 GHRNNMUGKJMJSP-UHFFFAOYSA-N 412.490 4.614 5 20 HJBD CCCN(C(=O)c1cccc(SC)c1[N+](=O)[O-])[C@H](C)C(=O)Nc1ccccc1C 489974962 ASDYTDWUZXUBPL-OAHLLOKOSA-N 415.515 4.505 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1ccc([N+](=O)[O-])s1 490848333 VXCOPKWSUQJHKR-UHFFFAOYSA-N 407.879 4.625 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2cccc(Br)c2)cc1[N+](=O)[O-] 493104201 IKFTYIGRMQUWLD-ZDUSSCGKSA-N 406.280 4.568 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(OC(F)(F)F)cc1 495378939 HUJGBGVESIGJCR-LBPRGKRZSA-N 422.363 4.736 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccccc1N1CCCCC1 498491296 DKBCNYYYCKIEIE-UHFFFAOYSA-N 410.518 4.705 5 20 HJBD CC(C)COc1ccc(C(C)(C)NCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 500483755 DUEQQURNJMUWBT-UHFFFAOYSA-N 400.475 4.521 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@@H](Cc3ccc(Cl)cc3)c3ccccn3)cc2N1 502806173 PKUKBFSHLXTORC-IBGZPJMESA-N 422.872 4.924 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 505116598 CQELVOPDFXGHDK-OAQYLSRUSA-N 420.490 4.994 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 511690628 IXLYPOCBCXQZPN-CQSZACIVSA-N 404.291 4.538 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C2CC2)[C@H](C)c2ccccc2Cl)cc([N+](=O)[O-])c1 512066807 HKOJSXRXEOMOBX-CYBMUJFWSA-N 416.861 4.791 5 20 HJBD COc1cccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1OC 513626646 AOGPYWJZJCEMGQ-UHFFFAOYSA-N 407.470 4.670 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cnn(-c2ccccc2)c1C1CC1 515215179 BTWYNOHOACNCEL-UHFFFAOYSA-N 414.368 4.512 5 20 HJBD Cc1cc(Sc2nnc(C)n2-c2ccccc2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 515582946 STWUNUQEZBGKKU-UHFFFAOYSA-N 418.482 4.709 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)N(Cc1ccccc1)c1ccccc1 521437268 VDRALEMRMAFVBU-UHFFFAOYSA-N 412.449 4.692 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cncc(Br)c1 522666025 HQHNABQYAQVSAG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Nc1nc(/C=C\c2ccccc2)cs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 522685799 YUWSNDFFHBCEBJ-KTKRTIGZSA-N 417.450 4.660 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2F)c1 523012260 UOIHLSQTVVSHBK-UHFFFAOYSA-N 411.437 4.671 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCC2CCCCC2)CC1 525158917 IIAMPBCKMRSWSV-INIZCTEOSA-N 406.548 4.663 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(Cl)cccc1Cl 530887432 ASBXUMFKPYNKQY-UHFFFAOYSA-N 422.190 4.762 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 532625451 SQEQFLBGKRTHRO-CVEARBPZSA-N 424.526 4.720 5 20 HJBD COc1ccc(-n2ccnc2SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 534057031 VHFIOQOBYYVCRO-UHFFFAOYSA-N 408.439 4.736 5 20 HJBD CCC1(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCOCC1 534923216 IVVVVBLMMQPMEB-UHFFFAOYSA-N 417.893 4.549 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]2CCCC[C@@H]21 535509215 RLVCCMPXGWSATH-BEFAXECRSA-N 415.515 4.664 5 20 HJBD Cc1cc(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)ccc1[N+](=O)[O-] 535800583 HTWUYLDIKMDSQC-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@@H](C)c1cccc([N+](=O)[O-])c1C 537979035 RBWCVNHPXVFBGB-AWEZNQCLSA-N 420.307 4.654 5 20 HJBD COc1ccc(C[C@H](NCc2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1OC 538152593 FFTVKYLUOQEVSZ-NRFANRHFSA-N 410.445 4.825 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@@H]3c3ccc(F)c(F)c3)cs2)c1 538444549 CTIWWDOSIIFYCS-RBUKOAKNSA-N 417.437 4.616 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(Cc3nnc(-c4c(Cl)cccc4Cl)o3)c2)c1 538660679 WITJRGKNFAIICC-UHFFFAOYSA-N 416.224 4.863 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)c1 539500785 KFPLQBPLIYIBGJ-NRFANRHFSA-N 414.458 4.779 5 20 HJBD COc1ccc([C@H](OC)[C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 540066410 PJLUBXBATQYEDE-JLTOFOAXSA-N 413.499 4.593 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)Nc1ccc([N+](=O)[O-])cc1F 542713685 HJHGINUJNZXJQV-GDLZYMKVSA-N 419.478 4.959 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 543468566 LVVDSVGPOKRCSB-CABCVRRESA-N 418.465 4.902 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(C)nc2)ccc1OCc1ccc([N+](=O)[O-])cc1 544709351 XOVQSJCFMHFLLF-UHFFFAOYSA-N 421.497 4.911 5 20 HJBD Cc1ccccc1-c1ccc(CN(C(=O)c2cc([N+](=O)[O-])cnc2N)C(C)C)s1 544740016 SJPAPTUWKSAPMK-UHFFFAOYSA-N 410.499 4.660 5 20 HJBD CC[C@@H](C)n1ncc2c(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)cc(C)nc21 545783587 XQQZMDJYGPAXTO-CYBMUJFWSA-N 406.446 4.568 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3cc(Cl)c4c(c3)OCCCO4)no2)cc1 546954495 YSENCEFYPBDPDC-UHFFFAOYSA-N 419.846 4.752 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCc3cc4ccccc4s3)cc2)c(C)c1[N+](=O)[O-] 552515611 SAVVFAYMGXXHRB-UHFFFAOYSA-N 420.494 4.601 5 20 HJBD Cn1c(C2CC2)nc2cc(CNC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)ccc21 555555036 AMQASSWSLFRQNZ-UHFFFAOYSA-N 406.467 4.504 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)c(F)cc1C 560138247 SXFFMITWTOGEKM-UHFFFAOYSA-N 406.435 4.583 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cc(O)nc4ccccc34)no2)cc(C(F)(F)F)c1 581098206 RWQVGTMMGJCEDI-UHFFFAOYSA-N 402.288 4.584 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@](C)(CC)NC(=O)c2ccccc2)no1 581574829 OQIKDWOFCBJZEF-HXOBKFHXSA-N 422.485 4.769 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OC3CCOCC3)cc2)no1 589128249 SJDOIFMSEBIAKC-QGZVFWFLSA-N 423.469 4.939 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(NCc3ccccc3)s2)cc1 603945664 JONVIOHQGWXMDY-UHFFFAOYSA-N 402.501 4.620 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 603986626 DDELRWUHFKBTLB-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccccc2)c(NCc2nc(Cc3ccccc3)no2)c1 604004375 SMXUYCVHFAUXIB-UHFFFAOYSA-N 401.426 4.924 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)c1cccs1 608826151 DKQLWPFFYGGLGZ-UHFFFAOYSA-N 424.482 4.739 5 20 HJBD O=C(Nc1nc2c(s1)COCC2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 609022465 OIYSRBPCDSBOMS-UHFFFAOYSA-N 413.480 4.528 5 20 HJBD CC(C)[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1Cl 609645486 FUQKSXLYGJKIDE-OAQYLSRUSA-N 415.921 4.978 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)ccc1[N+](=O)[O-] 609698435 XXUKABFZTHMJAT-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2C)c([N+](=O)[O-])cc1OC 609755165 AQZYSBLTROSLKI-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 609981709 VYEDJQRQFGMHNI-MBOZVWFJSA-N 410.518 4.695 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccccc1)Cc1ccco1 610036019 JYKJHDNFLKOXOQ-UHFFFAOYSA-N 415.243 4.793 5 20 HJBD C[C@@H](CC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccncc1 610723565 OYFBYJKFRRJGJM-HNNXBMFYSA-N 417.425 4.568 5 20 HJBD C[C@H](C[C@@H]1CCOC1)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613400991 HBZWFQPNSAIODI-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD CN(C)c1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cc1F 685327430 FNMZJCOPLKXZOX-UHFFFAOYSA-N 400.413 4.603 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1OC 730597021 OMJDYJMHDAKLPI-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 735318208 QIMIUAKVXAJYCY-CQSZACIVSA-N 422.397 4.734 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 738742992 HGVDKNJAAMVBFO-OLZOCXBDSA-N 424.375 4.678 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCc2ccc(OC)c(SC(F)(F)F)c2)c1 741849719 CNDOTEREZDHEHT-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD CCc1ccc2c(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc(=O)oc2c1 745567828 UDLXQEINNTVOPB-UHFFFAOYSA-N 421.327 4.639 5 20 HJBD Cc1nn(CN2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c2ncc([N+](=O)[O-])cc12 749939309 PLMLPIJAANCLTG-KRWDZBQOSA-N 406.273 4.749 5 20 HJBD O=C(Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 749965534 IJHWUXKCCLFAIN-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD C[C@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 758116158 NLWYVYFOAFYFEI-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD O=C1CCCCCCN1Cc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857836 SLKRMTULZWCFIF-UHFFFAOYSA-N 422.441 4.730 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NC[C@@H](O)c3ccc(-c4ccccc4)cc3)CC2)cc1 768259442 WJVOQTFWPPSBGG-RUZDIDTESA-N 417.509 4.554 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(CCc1ccccc1)Cc1cccnc1 770007562 HGUQWMYOVLBADB-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccccc3OC[C@H]3CCCCO3)CC2)cc1 777653520 XLZNGZXSQIZUOP-OAQYLSRUSA-N 411.502 4.624 5 20 HJBD CC(C)[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781583218 ZIOVGCCMVYQBSQ-LJQANCHMSA-N 405.838 4.603 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1cccc([N+](=O)[O-])c1)OCc1ccccc1 781687553 TTYQWRPJEKHMIG-UHFFFAOYSA-N 406.394 4.701 5 20 HJBD CSc1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 784257207 KKUXTURQVGOTOX-CYBMUJFWSA-N 402.472 4.624 5 20 HJBD Cc1ccc(-c2csc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)n2)cc1[N+](=O)[O-] 800581032 ZHBNSNSKJBNUAR-UITAMQMPSA-N 410.411 4.587 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1cc(F)cc([N+](=O)[O-])c1Br 804823947 GPVXLLDRHOFCPR-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])c2)o1 813032181 OKNQXWLTCDEGIB-UHFFFAOYSA-N 407.213 4.766 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813090895 RYUGISZKABSLGJ-YVEFUNNKSA-N 409.269 4.799 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cncc(-c2ccsc2)c1 816256427 JRYGYTQSMDCBMO-GFCCVEGCSA-N 418.858 4.882 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)Nc2ccccc2)c1 819265636 RXZVREJOWJIPNP-KAMYIIQDSA-N 402.410 4.891 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Br)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 915277198 HJGLDDUQXACWAD-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 919767988 SBBYFXKOMOMFQH-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1 1318598544 MRLBERUXWLCHMI-UHFFFAOYSA-N 405.389 4.571 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1322153165 GXFWIFJZPWYDPH-ZCFIWIBFSA-N 407.648 4.702 5 20 HJBD O=C(CC1CCN(C(=O)c2c3ccccc3cc3ccccc23)CC1)N1CCCC1 1322236674 REGPOUNDNOICSI-UHFFFAOYSA-N 400.522 4.858 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc(NC(N)=O)cc1 1322806047 JZCHQJYYJIPVRW-GFCCVEGCSA-N 414.849 4.850 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 9484994 LRSYINFEIWGQRZ-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD COc1ccc(OCc2csc(COc3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 11674826 TVKKNTOXELPDPI-UHFFFAOYSA-N 406.847 4.871 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 116160470 DRCQXZWRXYLSBI-SFTDATJTSA-N 407.514 4.993 5 20 HJBD CN(C)c1ccc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc2n1 215734130 RDMWMPFSYHSWTE-UHFFFAOYSA-N 419.466 4.585 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2ncc(Br)cc2[N+](=O)[O-])CC1 301700483 SBNNRZJRNIVBMN-UHFFFAOYSA-N 424.682 4.505 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)Cc1ccc(C(F)(F)F)cc1 303558953 XDFBNHWOICPOCK-OLZOCXBDSA-N 412.433 4.842 5 20 HJBD CC(C)(c1nc(Cc2nc3ccccc3n2C(F)F)no1)c1ccccc1[N+](=O)[O-] 426403261 KNUPZMGLLZAUMN-UHFFFAOYSA-N 413.384 4.639 5 20 HJBD Cc1ccc(C[C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C(=O)O)cc1 426644388 WBEMEMBLNINHRM-KRWDZBQOSA-N 410.495 4.665 5 20 HJBD Cc1ccc(NC(=O)N(C)[C@@H](c2ccc(Cl)cc2)c2cnccn2)cc1[N+](=O)[O-] 429217365 SGGAAVUXNQVBLJ-IBGZPJMESA-N 411.849 4.600 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1ccc(Cl)cc1[N+](=O)[O-] 432593731 QFQPDOLBXSVENU-AWEZNQCLSA-N 414.874 4.820 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(OCC2CCCC2)CC1 434144134 AKTCUQXKIQPQKI-UHFFFAOYSA-N 415.515 4.530 5 20 HJBD CCOc1ccccc1[C@@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436088330 FUHXFISAEXOZIU-CQSZACIVSA-N 404.441 4.710 5 20 HJBD COc1ccc(Br)cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437397494 ZQPNEAHDWCYWJS-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ncc(-c2ccccc2)o1 437969085 KQGBAJHRVVNXQV-OAHLLOKOSA-N 406.442 4.685 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 441697558 FDDMOTPXYYMJQW-GFCCVEGCSA-N 421.906 4.565 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)cc1 444743882 NELIEFLPYDNOOR-ZDUSSCGKSA-N 416.275 4.906 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cnc(-c4ccccc4F)s3)n2)c1 445576340 WIPNKDBDWBLZDD-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD O=C(Nc1ccccc1N1CCc2ccccc21)N1CCc2ccc([N+](=O)[O-])cc2C1 446839875 VSMDUHKINREIMB-UHFFFAOYSA-N 414.465 4.879 5 20 HJBD CCOc1ccc(N(CC)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462267642 OIPCFDRFFQROMX-UHFFFAOYSA-N 419.286 4.516 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc([N+](=O)[O-])s1 464243553 VLKBCLRNFKYUHR-GFCCVEGCSA-N 403.460 4.726 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H]4CCC(=O)c5cccc3c54)cc2[N+](=O)[O-])CC1 464463036 TVEFEAABMDIGDT-KRWDZBQOSA-N 419.481 4.552 5 20 HJBD COc1ccc(C)cc1CSCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 468645964 AGTYLOBWUCIXFW-UHFFFAOYSA-N 414.405 4.803 5 20 HJBD C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 470016164 CPEFXRZIQFXMFW-HNNXBMFYSA-N 402.454 4.722 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cccc1C(F)(F)F 471865519 YMZVRZVRKFZIHZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1cc(NC(=O)N(C)[C@H](c2ccc(Cl)cc2)c2cnccn2)ccc1[N+](=O)[O-] 473779971 GXYANNOKGZVWPM-LJQANCHMSA-N 411.849 4.600 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2cccc(Cl)c2)CC1 477350405 JDBHEFGQMANZDS-UHFFFAOYSA-N 408.788 4.779 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 481717264 VVISQNIKWKGNPX-UHFFFAOYSA-N 418.881 4.515 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(F)ccc1OCC1CC1 482482102 GRRSMZJYLGYBOV-UHFFFAOYSA-N 416.452 4.721 5 20 HJBD Cc1ccccc1COc1cccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)c1 484673257 YPZQWPUDZHRWGR-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCO[C@H](c2ccco2)C1 488156549 AWKFQHCZURASGZ-FQEVSTJZSA-N 410.451 4.553 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)cc1[N+](=O)[O-] 491110132 PHQDXWOAVVDZKD-CQSZACIVSA-N 417.556 4.672 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc21 492934872 BTWLNDHKYJQEIW-UZLBHIALSA-N 421.501 4.881 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1ccc([N+](=O)[O-])cc1Cl 493949378 KOZYDCNQCBIUFK-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD Cc1cc(N2CCCC2)ccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 493984432 SJRIJLPNVNNHIU-AWEZNQCLSA-N 402.882 4.596 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1C1CC1 496613987 FWLPHSFHIAPFDV-ZDUSSCGKSA-N 418.519 4.631 5 20 HJBD CCCN(CC(F)F)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 498472141 JRGOGGDLOCXRHG-UHFFFAOYSA-N 401.460 4.628 5 20 HJBD COC1(c2noc(CNc3ccccc3[N+](=O)[O-])n2)CCC(c2ccccc2)CC1 508601544 WYEGFFUMZZHXGW-UHFFFAOYSA-N 408.458 4.789 5 20 HJBD Cc1ccc(Br)cc1-c1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 508617888 HZMDPKHSLOEUEY-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD O=C(N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 511729055 JWBXDLJXKGIISD-MJHDQNEOSA-N 400.303 4.568 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514431083 JDXPUJDAHGWTIF-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD O=C(CCOc1ccc(Cl)c(Cl)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 514501941 LUBIIAVFFTXBND-UHFFFAOYSA-N 421.183 4.911 5 20 HJBD C[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(Oc2ccccc2)c(F)c1 515994512 HRQCZYGVRJVQTK-HNNXBMFYSA-N 410.401 4.782 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)[C@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 520017339 SMZWBDQXOCFQJL-VQIMIIECSA-N 400.431 4.599 5 20 HJBD Cc1ccc(-c2nc(C(=O)Nc3ccnn3-c3ccc([N+](=O)[O-])cc3)cs2)c(C)c1 520245016 FMGSJABFHXQYRZ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)cc1 525352397 NMYDUSHOPTXQJW-MRXNPFEDSA-N 423.494 4.712 5 20 HJBD Cc1ccc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530405750 SECYLNXQRPDYMA-IBGZPJMESA-N 408.433 4.800 5 20 HJBD C[S@@](=O)Cc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 537729308 GFQQCJZAIRIHLA-HHHXNRCGSA-N 404.875 4.999 5 20 HJBD COc1cc(CN[C@H](CC(F)(F)F)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 538178788 AZUJLHSZTWOABQ-CYBMUJFWSA-N 420.334 4.988 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cccc(NC(=O)[C@H](C)n3cccn3)c2)cc1[N+](=O)[O-] 540739199 OUZXWGGVCJYJJY-CVEARBPZSA-N 423.473 4.563 5 20 HJBD CCc1ccc([C@H](NCc2cccc3c2OCCCO3)c2ccc([N+](=O)[O-])cc2)o1 543422742 LNQOUFOGFLQDCY-JOCHJYFZSA-N 408.454 4.791 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1 543440058 QGTNNYVFIGPGBG-SNVBAGLBSA-N 418.475 4.717 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(Br)c(F)c1)c1ccc([N+](=O)[O-])cc1F 543454103 HRDURSRKHIEPMW-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1 544037121 WEUGXKSKZKHDRJ-UHFFFAOYSA-N 416.384 4.587 5 20 HJBD COc1cc(Cc2noc(CCCC(=O)c3c(C)cc(C)cc3C)n2)ccc1[N+](=O)[O-] 545056142 YQKAGMHZMVWQMS-UHFFFAOYSA-N 423.469 4.708 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)c1 545572253 YKHCVAZMINJQAX-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 553604985 JFKYJVJKNNWWCX-CYBMUJFWSA-N 418.375 4.646 5 20 HJBD CC(C)[C@@H]1N(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)CC12CCC2 557369685 WYQOEYCFKJEQCE-KKSFZXQISA-N 408.502 4.814 5 20 HJBD COCCN(C(=O)c1sccc1Cl)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 561679608 IZTFQLGOQMQUKL-UHFFFAOYSA-N 423.903 4.726 5 20 HJBD COCC(C)(C)CCCNC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 565677433 HSXAEHNPZGSNDP-UHFFFAOYSA-N 423.560 4.694 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cccc(F)c2F)CC1 569522081 TZRSUBSXJDXVMD-MRXNPFEDSA-N 402.441 4.848 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 570484144 ZCLFQQAQUIQGTP-HNNXBMFYSA-N 404.488 4.557 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1cccnc1 570805758 NLMSTFWNBJZIEI-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 580029296 XMDGQHAUBADVJW-AWEZNQCLSA-N 415.877 4.617 5 20 HJBD CCCc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1ccc(F)cc1 583852158 VMUBIXAWVHVTCA-UHFFFAOYSA-N 422.420 4.534 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)CCNc3ccccc3[N+](=O)[O-])cc2)n1 591758030 OCVDXTGAKZTXSQ-UHFFFAOYSA-N 423.498 4.594 5 20 HJBD Cn1c(C(=O)Nc2ccccc2Oc2ncc(Cl)cc2Cl)ccc1[N+](=O)[O-] 603744336 JLPYXSAESRTELZ-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Sc3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 604568893 OEJQVSUUSBTTEX-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 609151761 VZAUTAMULDPLJF-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC(c2nc(-c3ccccc3)no2)CC1 609195598 MOKBGADWFKCEAH-UHFFFAOYSA-N 422.485 4.812 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H](C)c2c(C)noc2C)c2ccccc2)c([N+](=O)[O-])c1 609615590 FVLQZFPNKAWANX-SZNDQCEHSA-N 408.458 4.539 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2ccccc2Cl)cc([N+](=O)[O-])c1OC 610169945 HXYPAFLQTKJRJO-GFCCVEGCSA-N 404.850 4.631 5 20 HJBD COc1cccc([C@@H](Cc2cc(C)cc(C)c2)NC(=O)c2ccccc2[N+](=O)[O-])c1 610186582 XNMQITAMZLPQHE-JOCHJYFZSA-N 404.466 4.934 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 611195314 NOGLGEPQMPIFSE-RKDXNWHRSA-N 423.313 4.715 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@H](C2CCOCC2)C1 611207753 UDUYXHNCGRNBNE-INIZCTEOSA-N 404.532 4.518 5 20 HJBD C[C@H](C(=O)NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 617698385 CSJOBTSPRLTDJL-DLOVCJGASA-N 412.330 4.724 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 725922362 FTQRDIBOLVSIAX-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccccc2OC(F)F)cs1 727716284 YPBAWGCCUHUEOH-YFHOEESVSA-N 417.393 4.972 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(F)cc2-c2nc3ccccc3s2)cc1[N+](=O)[O-] 730235767 MMDTTZPRIWHDDP-UHFFFAOYSA-N 408.414 4.845 5 20 HJBD O=C(O[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccc([N+](=O)[O-])c(F)c1 731519496 SOYKLAUMSPXDNO-JOCHJYFZSA-N 418.380 4.996 5 20 HJBD O=C1[C@@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)CCN1c1ccccc1Cl 731938745 HEDSKKNMRAUBTD-AWEZNQCLSA-N 405.888 4.756 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(OCc3ccc(C)cc3)c2)cc1[N+](=O)[O-] 732012776 OZBLMJRLPLDYPQ-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=S)Nc2ccc([N+](=O)[O-])cc2)cc1F 739450779 MFOGTHPSAJDCJE-UHFFFAOYSA-N 401.419 4.739 5 20 HJBD CCCCOC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 739465952 KILKDQYXNJXMHL-UHFFFAOYSA-N 421.247 4.567 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)c1 743863117 VHTAVMAXTSASGM-INIZCTEOSA-N 408.458 4.558 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H](c1ccc(Br)cc1)C(F)(F)F 750214638 FMZFUAFOYIWMLJ-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD Cc1c(C(=O)O[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cccc1-c1ccccn1 755100160 XARBGKXOEVGJKE-PMACEKPBSA-N 406.442 4.502 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3c(F)cccc3C2)cc1[N+](=O)[O-])c1ccccn1 757622507 AKWALPKOFHUBEI-OAHLLOKOSA-N 420.444 4.501 5 20 HJBD CCOC(=O)N1CCC(N[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 764776690 MDUTVCSHSUGYMB-CQSZACIVSA-N 403.504 4.595 5 20 HJBD COc1cc(CNc2ccc(OC(F)F)cc2OC(F)F)c([N+](=O)[O-])cc1F 766067303 QPZQIMHCFLGTNR-UHFFFAOYSA-N 408.279 4.557 5 20 HJBD C[C@@H](NCC1CN(C(=O)OC(C)(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 773142124 ZAHJDTZQGHVYKB-CQSZACIVSA-N 417.531 4.841 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@@H](CC(F)(F)F)c3ccc(F)cc3)CC2)cc1 773143704 CHYJYRYQFNGBFE-IBGZPJMESA-N 411.399 4.986 5 20 HJBD C[C@H]1[C@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780658965 LITNNRNDHOHKQZ-LEHBWIKQSA-N 412.489 4.672 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)cnc1Cl 787952955 QZINTFSSWIXHFY-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(OCc3cn4ccccc4n3)cc2)c1F 790457369 UHQVTIPLGPUFLK-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(C(F)(F)F)cn1 798668888 OOZPBDBEAAOIFQ-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD COc1cccc(CCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1F 811217474 RRUVKGRHUFNWNK-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(N2CCCNC2=O)cc1 812489648 ZIFBCBALMLFQJP-GFCCVEGCSA-N 408.380 4.706 5 20 HJBD CC(C)N(c1cnc2ccccc2c1)S(=O)(=O)c1cc(Cl)c(F)cc1[N+](=O)[O-] 815041584 INIZPNTXZFMNNS-UHFFFAOYSA-N 423.853 4.539 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4c([N+](=O)[O-])ccc(C)c4C)n3)c2)n1 904522468 BZRJQGLUEMYABY-UHFFFAOYSA-N 403.442 4.790 5 20 HJBD COc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccc1NC(=O)OC(C)(C)C 916429282 PXFJSCLNCRTHND-GHXNOFRVSA-N 413.430 4.602 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1)c1ccccc1 917781355 OZZZDVLGWYWXKK-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD CC(C)NS(=O)(=O)c1cccc(CNCc2c3ccccc3cc3ccccc23)c1 920180748 WCOKLTLGPWCDES-UHFFFAOYSA-N 418.562 4.970 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Oc1c(Cl)cc(Cl)cc1Cl 920314322 SPGRNOFIDSGRMN-UHFFFAOYSA-N 417.053 4.976 5 20 HJBD CC(=O)CCCOC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 926450588 PCVNNFKUEOOJGG-UHFFFAOYSA-N 416.480 4.882 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc(CCCOc3ccc(Cl)cc3Cl)o2)c1 1117176969 RVCLWNKCHMTARJ-UHFFFAOYSA-N 424.240 4.972 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2Br)o1 1117203013 LTIRYFUZKFFRTF-SECBINFHSA-N 408.183 4.686 5 20 HJBD CCCCOc1ccc(-c2nnc(Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1OC 1117220286 SMOFRONQIMQJNP-UHFFFAOYSA-N 422.441 4.835 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])c2ccccc2)cc1 1123377056 RIHOKBNLTRJUSF-NRFANRHFSA-N 407.426 4.523 5 20 HJBD C[C@@H]1Cc2ccccc2N1Cc1occc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326096371 QVFXNXGVPPJMPG-CQSZACIVSA-N 402.410 4.856 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1Br 8567463 LWMUQXQLOLRGFQ-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD CC(C)Oc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(F)c1 52020898 WLRLEFQUXHEDFQ-UHFFFAOYSA-N 404.441 4.720 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CC3)c2)cc1[N+](=O)[O-] 55561352 SRANLLJHFVLPIU-UHFFFAOYSA-N 415.877 4.690 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 64420425 WGDSJHPMJQEJIG-ZDUSSCGKSA-N 422.865 4.842 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccncc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64897087 URGFANGPTZIYPJ-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD Cn1cccc1[C@@H]1CCCCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 65095478 VFORELRFRMWPOB-IBGZPJMESA-N 410.499 4.814 5 20 HJBD COc1cccc(C[C@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 65112004 NXPDXSDBNUPRHA-QGZVFWFLSA-N 423.494 4.574 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)COc1ccccc1[N+](=O)[O-] 107516776 VTSWHJXOQPIIFN-UHFFFAOYSA-N 420.425 4.565 5 20 HJBD CCC[C@H]1CCc2nc(NC(=O)c3cc(OCC)c(OC)cc3[N+](=O)[O-])sc2C1 109767063 RNFSGHRTOURAFJ-LBPRGKRZSA-N 419.503 4.616 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])C(C)C)cc1OCC 146226133 ZVVXGAPQLFFUNF-NRFANRHFSA-N 416.474 4.528 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O 301377537 RZCJOZUDQNNHBP-KRWDZBQOSA-N 424.501 4.538 5 20 HJBD CCc1cnccc1[C@H](C)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427086285 IZLZRWLCAKBUPC-INIZCTEOSA-N 405.454 4.622 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1noc(-c2ccc(Cl)cc2)n1 431073344 JOSLHTJLKHEOLM-SECBINFHSA-N 422.228 4.834 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1N 434494072 WRHRFWILPVFSCJ-UHFFFAOYSA-N 409.467 4.730 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436303287 BKHMGBMLSXPEEV-CLOONOSVSA-N 412.489 4.579 5 20 HJBD CCOc1c(Cl)cc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])cc1OC 436321323 WYICBTXKFIHMIU-UHFFFAOYSA-N 405.760 4.681 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN2CCC[C@H]2c2ncc[nH]2)cc1 437985135 LBLIWMBPBQRPCD-NRFANRHFSA-N 414.469 4.508 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOC[C@@H]1c1ccccc1 438491401 MTXGCLDGPKMFKT-JOCHJYFZSA-N 419.437 4.993 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1 439309962 MTNSAZUGIPCMIA-INIZCTEOSA-N 419.437 4.620 5 20 HJBD CC(C)c1cnc(-c2ccc(NC(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)cc2)o1 444903015 NHBGSCBWGUBXOZ-UHFFFAOYSA-N 420.429 4.571 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2Nc2cccc(-c3ncc4n3CCCC4)c2)n1 447566959 XYZIXKXAGVIATP-UXHICEINSA-N 406.490 4.587 5 20 HJBD CCc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1ccccc1Cl 447888819 MOZYJSLXTIWHFD-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccccc2C(F)(F)F)cs1 460127174 BWQGIRVLJYCDRL-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CC(C)c1ccc(C[C@@H]2CCN(Cc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 460971485 FRMREWZKHOXTCB-SFHVURJKSA-N 406.486 4.833 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNCc2csc(-c3ccc4c(c3)OCO4)n2)cc1 462765347 IHOZTTVSIYJDTQ-UHFFFAOYSA-N 411.483 4.560 5 20 HJBD Cc1ccc(-c2cncc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2)cc1 466232743 FVRZMVAJISOYLU-UHFFFAOYSA-N 417.465 4.649 5 20 HJBD Cn1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2cccc(Cl)c21 466285206 CICFNNJYJSHKDR-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 468188199 JVDMDOLSLOMXGT-MRXNPFEDSA-N 420.469 4.604 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cc(COc2c(Cl)cccc2Cl)on1 468551066 CGZQJBBZBDHAQD-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD C[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2cccc(Cl)c2O1 476122649 RZYRPRVFGJBVJP-OAHLLOKOSA-N 418.880 4.622 5 20 HJBD Cc1cn(-c2cccc(N[C@@H](c3ccccc3)c3cccc([N+](=O)[O-])c3)c2)c(O)n1 479553289 KALGTTKLZSNNIV-QFIPXVFZSA-N 400.438 4.996 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2N1 479966745 XUJNNSVPWQGNSO-QGZVFWFLSA-N 408.483 4.815 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1ccccc1-c1ccc(Cn2cccn2)cc1 479978756 XYZDKTPINPPUDD-UHFFFAOYSA-N 416.485 4.644 5 20 HJBD CC(C)n1ccc(N[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)n1 481741634 VHTNNQSXNMZVOD-LJQANCHMSA-N 413.865 4.818 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2c[nH]c3cc(Br)ccc23)cc1[N+](=O)[O-] 481847631 VSRGFLVZKGYHLZ-GFCCVEGCSA-N 416.275 4.567 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccc1OC 482121195 IEFZNDNKPVIOLS-IAGOWNOFSA-N 411.502 4.752 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 482869693 CSOVDAYPLDNTPQ-WBVHZDCISA-N 400.453 4.887 5 20 HJBD CC(C)(C)[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1 483667976 BZBBBEBZLCYWDJ-NRFANRHFSA-N 413.493 4.854 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485637111 RIXKDTIRMIBUAZ-YTFSRNRJSA-N 416.481 4.579 5 20 HJBD CCc1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 486402749 MZRWPUDHFXCNRR-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccoc2)C2CCCCC2)c(C)c([N+](=O)[O-])c1 486874880 ZMDJJKUYGVUQSI-UHFFFAOYSA-N 415.446 4.650 5 20 HJBD CC(C)(C)[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(F)c1 488759205 BESSYELWTYZIIH-OAQYLSRUSA-N 413.493 4.854 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1SCc1ccc([N+](=O)[O-])cc1F 489416119 YZNLLTWMZACKFJ-UHFFFAOYSA-N 422.869 4.870 5 20 HJBD Cn1c([C@@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc2ccccc21 503148253 KSXJKPICEQVJNE-KRWDZBQOSA-N 406.467 4.674 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(F)(F)F)cc1 504513336 ASQOPYOIUSIJNB-AWEZNQCLSA-N 403.744 4.682 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2o1 509800292 XZOPRCLXVCNCGH-OAHLLOKOSA-N 416.817 4.805 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509940278 BOXXHCISHRUFBG-UHFFFAOYSA-N 424.501 4.582 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)N1CCN(c2ccccc2[N+](=O)[O-])CC1 513965128 UNZVRQYOJWNZRS-UHFFFAOYSA-N 408.483 4.677 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)cc1 515674504 HOKNKVMKGGIKJV-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(Sc2ccccc2)ns1 520310480 YEEWCIPLQBTMKG-NSHDSACASA-N 418.525 4.717 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(Cc1cccc(C(F)(F)F)c1)C(C)C 521771878 HXHQHULCFABKQY-UHFFFAOYSA-N 416.421 4.521 5 20 HJBD CC(C)(C)c1ccc(-c2csc(NC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)n2)cc1 522483371 IWIOBLGNEJGWOE-UHFFFAOYSA-N 413.503 4.586 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 523489013 NGCXAQDPNQKABR-ZDUSSCGKSA-N 411.683 4.762 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2C1 523491578 YMNSTUPIGLPYQK-VIFPVBQESA-N 410.293 4.581 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2cccc([N+](=O)[O-])c2C)C1 524970502 HPENZVNTANWERM-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)Oc1ccc(F)cc1Br 531256652 SQMKVTNMVNOQQA-NSHDSACASA-N 411.227 4.519 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)c2nc(Cc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 531443731 BZCZDWNFPIRUFD-ZIAGYGMSSA-N 400.866 4.942 5 20 HJBD Cc1ccc(-c2cnc(C[S@](=O)Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 534061317 ILDOJURBOPYWQE-SSEXGKCCSA-N 423.450 4.662 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 534794339 VATWTNVNGINXOS-UHFFFAOYSA-N 409.442 4.746 5 20 HJBD C[C@H]1C[C@@H](C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCO1 537338476 JXBWFNBMJFOAIL-JSGCOSHPSA-N 422.840 4.611 5 20 HJBD CC(C)[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(C(F)(F)F)c1 538159006 NXFIYVNGVXWTPE-SFHVURJKSA-N 410.392 4.967 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1CN(C)C(C)C 538236601 UCNPQKZVIHWZHP-UHFFFAOYSA-N 415.559 4.866 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCCO[C@H](C)c1ccccc1 538251913 QWFWYPQNKFQGQH-MRXNPFEDSA-N 402.516 4.993 5 20 HJBD O=C1CCCCN1CC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 539836057 QSUJJLIOWQEUKH-UHFFFAOYSA-N 413.543 4.548 5 20 HJBD CC(C)CN(C(=O)c1cncc(F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540457084 UCWMBWCIWHTNQH-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD CCC[C@@H](Nc1cccc(NC(=O)[C@@H](C)n2cccn2)c1)c1cccc([N+](=O)[O-])c1 540737322 VHARUGRIXRMOOT-IIBYNOLFSA-N 407.474 4.944 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 542568622 IWRHTKXXYFGXIP-VQIMIIECSA-N 418.490 4.838 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)s1 546192557 LMERKJSOOGHANO-CQSZACIVSA-N 407.455 4.722 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC1(c2cccc(C(F)(F)F)c2)CC1 550588448 LYVWDSRAQVDZCF-UHFFFAOYSA-N 407.392 4.611 5 20 HJBD CSC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 554276991 OLCUBYSDRCVFJK-HNNXBMFYSA-N 402.541 4.961 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1c(F)cccc1Cl 556563812 HJDDBUPRDVITFM-LLVKDONJSA-N 421.856 4.501 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(OCc3cccnc3)c2)no1 570626750 ZJZYKETXYGWJOT-HNNXBMFYSA-N 402.410 4.771 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(-c2cncs2)n1 571956173 CXECJBTYJDPZHP-UHFFFAOYSA-N 415.500 4.509 5 20 HJBD CC(C)[C@@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 572153880 RHHAPARJBODZEA-QFIPXVFZSA-N 420.575 4.748 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(F)cc1N1CCCCC1 572805091 GROCVOWJXCDTDK-UHFFFAOYSA-N 404.417 4.527 5 20 HJBD O=C(N[C@@H]1CCc2sc(Cl)cc21)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 579182883 NGCIZEWSZKJTHZ-MRXNPFEDSA-N 421.906 4.550 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@@H]2CCCCN2c2ccccc21 590525363 DBZWBIMQVQVUFG-KRWDZBQOSA-N 420.494 4.738 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1cc(Cl)ccc1F)c1ccccc1[N+](=O)[O-] 603649262 BZYQCZRGVSLLKW-OAHLLOKOSA-N 423.828 4.592 5 20 HJBD O=C(Nc1nc(-c2ccc(F)c(F)c2)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 603728885 WCECYOZUZPKFPG-UHFFFAOYSA-N 401.350 4.995 5 20 HJBD COc1ccc(C[C@@H](Nc2nc3sccn3c2[N+](=O)[O-])c2ccccc2)cc1OC 608974148 UAUFZSJDANGSBU-MRXNPFEDSA-N 424.482 4.717 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)c4cc5cc([N+](=O)[O-])ccc5o4)C3)[nH]c2c1 608986759 NPVPLUATBVZWEK-AWEZNQCLSA-N 404.426 4.546 5 20 HJBD O=C(NCCCc1c[nH]c2ccccc12)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609346914 ZVOQCGPNWKQNLW-UHFFFAOYSA-N 406.467 4.562 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609874835 IXDKBZSANDIWEX-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD COc1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 609916195 DXPGEWYWGAORGH-OCCSQVGLSA-N 410.392 4.722 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)c1cccc([N+](=O)[O-])c1 611090724 GODOBIGZVUNANS-LBPRGKRZSA-N 401.875 4.940 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=S)Nc1c(Cl)ccc(C)c1Cl 728445500 ZZORRIYXWNWIGJ-UHFFFAOYSA-N 400.287 4.705 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)nc1 733251750 SVPGJIARTBUWMF-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1ccc([C@H]2[C@H](C)CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 738282630 ADKJTYMMBHFNFC-HOYKHHGWSA-N 418.497 4.558 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H]1c1ccccc1C(F)(F)F 742399425 RDEKLFCTRXXUGC-BBRMVZONSA-N 407.348 4.538 5 20 HJBD O=C(Oc1ccc(Sc2ccncc2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 749527334 RGVDMNXBXLQNID-UHFFFAOYSA-N 418.434 4.546 5 20 HJBD O=C(OCCCOc1cccc(C(F)(F)F)c1)c1c(F)ccc([N+](=O)[O-])c1F 752561229 FTDYXFWVTNNNRT-UHFFFAOYSA-N 405.275 4.518 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC[C@H](O)c1cccc([N+](=O)[O-])c1 754749641 RUAVJDPWSJWJSN-SGTLLEGYSA-N 401.463 4.550 5 20 HJBD C[C@@H](Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 754887050 MVAYTVWGGZQYFJ-KEYYUXOJSA-N 414.893 4.676 5 20 HJBD O=C(NCCCc1cccc(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754994480 OPIJQSJTRFDEBP-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(CSC3CCOCC3)c2)c1[N+](=O)[O-] 758497732 RNNLHWSEPXERRU-UHFFFAOYSA-N 404.463 4.707 5 20 HJBD Cc1cc(Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)ccc1[N+](=O)[O-] 762297316 GMCLUMBLXABMEB-GFCCVEGCSA-N 400.416 4.639 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccc(C)cc1C 763814047 LRTDDAKWSZYPPE-AWEZNQCLSA-N 402.472 4.508 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764161672 JZSXWZRFGHVJIC-UHFFFAOYSA-N 405.407 4.929 5 20 HJBD O=C(Nc1cc(C(F)(F)F)no1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764403119 CKYXLUXXYXVUGJ-UHFFFAOYSA-N 401.366 4.889 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)c1cc(F)c([N+](=O)[O-])cc1F 774888284 WWIABXVRWSFSIO-UHFFFAOYSA-N 415.377 4.673 5 20 HJBD CN(C(=O)/C=C\SCc1ccco1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495402 JEZNJFBOZHKGCG-NTMALXAHSA-N 401.469 4.721 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217533 JCSVFKGBRRBLJD-QFIPXVFZSA-N 415.405 4.900 5 20 HJBD CSc1cccc(C(=O)Nc2nc3ccc(Br)cc3s2)c1[N+](=O)[O-] 776732048 CISWBMKQGIEUKF-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD CCC1(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)CCN(C(=O)c2ccccc2)CC1 781134503 JNYRYNINHOUCHI-QGZVFWFLSA-N 410.470 4.532 5 20 HJBD C[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(Cl)c1Cl 781645164 AQEHARCZTGQZGB-SNVBAGLBSA-N 412.229 4.620 5 20 HJBD Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cnc1Br 782892329 QVFXUXWFXGLPPQ-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD Cc1ccccc1-n1c(SCc2ccc([N+](=O)[O-])c(F)c2)nnc1-c1ccncc1 789695723 DSCMMKKSEKIUIU-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD COc1cccc(C(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c2cccc(OC)c2)c1 790816468 QRTFHZBRIYDOSZ-UHFFFAOYSA-N 424.428 4.579 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)c1cccc([N+](=O)[O-])c1Br 797576893 JGVKQQBPJVKFBQ-DLBZAZTESA-N 411.255 4.796 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccncc2)nc2ccccc12 798541217 GWTIDFPGEYJBSC-UHFFFAOYSA-N 414.421 4.856 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 809916634 QSKVWTODLFMMKV-LBPRGKRZSA-N 420.922 4.995 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCC2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812893670 AEXABTQIFACUHQ-UHFFFAOYSA-N 422.268 4.570 5 20 HJBD Cc1nc(Br)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813138500 RSLLXMWJFNGKBC-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CCNC(=O)Nc1ccc(C)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813235230 BKJGLFZBDNWUCT-UHFFFAOYSA-N 411.245 4.604 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NCc1c(Br)cccc1[N+](=O)[O-] 864039992 GUAWHSRJKCRVMJ-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 914472545 MXPFDOBXQXQSIF-UHFFFAOYSA-N 400.765 4.525 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2cccs2)c2ccc(F)cc2)cc1[N+](=O)[O-] 917771535 RUJFFSABOWLIER-UHFFFAOYSA-N 415.402 4.859 5 20 HJBD O=C(Nc1ccc(SC(F)(F)F)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 1318017934 VBRAKURTAGXSHD-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2c1 1320854731 OGPJMNRGVFVLJG-UHFFFAOYSA-N 401.216 4.568 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2CCC(NC(=O)C3CCCC3)CC2)n1 1321124018 OAZHUPSTUVEXBF-UHFFFAOYSA-N 412.490 4.594 5 20 HJBD CC(C)c1ccc(C2(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CCC2)cc1 1322200453 MGQWCWAYHRWRTD-UHFFFAOYSA-N 421.453 4.933 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(CSc3ccccc3OC)o2)cc1SC 1322633381 UDNQRQLYXWADRQ-UHFFFAOYSA-N 419.484 4.676 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(Cl)c(F)c1 1341459774 KAIPEOPQOVFTTO-ZETCQYMHSA-N 419.609 4.780 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1ccc(Cl)cc1 15340611 IIAGLDLTKPWGRI-UHFFFAOYSA-N 404.797 4.676 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@H](c2nc3ccccc3s2)C1 15696660 QAIGXZPEGKRJRS-HNNXBMFYSA-N 422.510 4.799 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CCCC1 28300542 ISBNTIMUUTZLCB-SFHVURJKSA-N 402.834 4.661 5 20 HJBD Cc1nc2ccc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)cc2s1 28909359 LENZXGXCVMTMAH-SECBINFHSA-N 424.404 4.971 5 20 HJBD CC[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1 43749244 CEPYAURMOKJEEI-SFHVURJKSA-N 401.894 4.732 5 20 HJBD Cc1c(CN(Cc2ccc(C(=O)NC3CC3)cc2)Cc2ccco2)cccc1[N+](=O)[O-] 52530575 CIQVVSFUEKQRDW-UHFFFAOYSA-N 419.481 4.591 5 20 HJBD CCn1ncc2c(C(=O)Nc3cc([N+](=O)[O-])ccc3C)cc(-c3ccccc3)nc21 105473382 FPDPCZKUQOQYKK-UHFFFAOYSA-N 401.426 4.587 5 20 HJBD O=C(Nc1cc(C2CC2)nn1-c1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 115248211 WORLVFRWIGJRGG-UHFFFAOYSA-N 417.469 4.510 5 20 HJBD CCCC(=O)Nc1ccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 236757813 NVDAKGWZBMGZEZ-UHFFFAOYSA-N 410.499 4.747 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(OC3CCCCC3)cc2)c1 303107284 NATYFJJLFHDIMM-UHFFFAOYSA-N 400.500 4.958 5 20 HJBD CN(c1ccccc1)c1ccc(CNC[C@H](CC(=O)O)c2ccccc2)cc1[N+](=O)[O-] 426645064 BPPJONLHKJRLEO-FQEVSTJZSA-N 419.481 4.711 5 20 HJBD Cc1cc(C)cc(-c2ccc(CN[C@@H](Cc3ccccc3[N+](=O)[O-])C(=O)O)cc2)c1 426810869 QUDDVCHVUPPGNE-QFIPXVFZSA-N 404.466 4.664 5 20 HJBD Cc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(C)c2)c(-c2nc3ccccc3[nH]2)c1 430401984 RAINQHJPILALOA-UHFFFAOYSA-N 416.437 4.772 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 431876852 XTYUZWRFALXXTM-OAHLLOKOSA-N 415.490 4.688 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1c1ccccc1Br 432245207 HAGRLPBJZIEUCI-DZGCQCFKSA-N 404.264 4.652 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cccc(Cl)c1Cl 432322457 YWYXYGAWSDLYMU-LLVKDONJSA-N 420.252 4.706 5 20 HJBD COc1cccc(C(=O)N[C@H](c2cccc3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 436018935 AUHPKIQDBHFKIF-GOSISDBHSA-N 404.344 4.790 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436066070 KDVYGNCASFYOGN-UHFFFAOYSA-N 406.432 4.664 5 20 HJBD COc1cccc(C)c1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 436220174 MRMBMPABRWUTSW-HNNXBMFYSA-N 406.442 4.732 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)ccc1OC1CCCC1 438902889 UKRZQHHKCPVRFQ-MRXNPFEDSA-N 412.486 4.653 5 20 HJBD COc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1OC 440413069 HAIJOJCPVIMCPG-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD CC[C@H](c1ccc(F)cc1F)S(=O)(=O)c1ccc(Br)cc1[N+](=O)[O-] 443205783 UTUZKROLVRFFAZ-CQSZACIVSA-N 420.231 4.561 5 20 HJBD C[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1Cl 444099283 KESBVBIUSBZQER-CYBMUJFWSA-N 424.859 4.671 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1[C@H]1CCCCC1=O 444559822 YJHUIYBUKBSAPG-KFKAGJAMSA-N 404.532 4.606 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CCC(c2ncccc2Cl)CC1 446346477 NMELEULESIZYJY-UHFFFAOYSA-N 417.896 4.750 5 20 HJBD Cc1ccc([C@H](C)N(C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462528813 FHZQCKRVBJWXFH-NSHDSACASA-N 403.287 4.592 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](CO)Cc3c(F)cccc3Cl)o2)cc1 462741483 URZLMPYNLIYRIE-CQSZACIVSA-N 418.852 4.588 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)CCc2cccc(Br)c2)cc1[N+](=O)[O-] 463375652 MMNMSKVFZMAIFU-CQSZACIVSA-N 420.307 4.611 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccc(OCc2ccccn2)cc1 463957576 IYNBTTNBYJZCLU-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1Cl 464338931 RFZXNHWZMDYSFA-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD O=C(Nc1ccc(Sc2nncs2)c(Cl)c1)c1ccc(F)cc1[N+](=O)[O-] 466341169 GLNMILBNQAVUDJ-UHFFFAOYSA-N 410.839 4.642 5 20 HJBD COc1ccc(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)cc1[N+](=O)[O-] 466906394 MFPWJHAOMAFOBH-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H](C)CCc2ccccc2[N+](=O)[O-])CC1)c1ccccc1 468373294 PMASCPQOHHXSCU-XXBNENTESA-N 423.557 4.690 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 470369145 JNWIGRHISXNURS-STQMWFEESA-N 424.906 4.781 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 470962181 VMIJOYCKZWMSDP-OAQYLSRUSA-N 404.470 4.671 5 20 HJBD CSc1cccc(C)c1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 473973692 GQCHLSKFOIIBDH-UHFFFAOYSA-N 401.488 4.700 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 475080058 SVSJFIRMAPMPER-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD CCOc1cc(C(=O)N2CCC(c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])cc1OC 476462957 ROVAHEWIQSOEOI-UHFFFAOYSA-N 418.877 4.675 5 20 HJBD CCOC(=O)[C@@H](CC)S[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 476557487 ANPDKYRNJVYFGL-MOPGFXCFSA-N 416.499 4.658 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)c1 478631553 NTRSGBAZVUFASR-UHFFFAOYSA-N 424.457 4.528 5 20 HJBD CC(C)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 479075983 GBKKNNNWHUTLDX-UHFFFAOYSA-N 418.375 4.855 5 20 HJBD COc1ccc([C@@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)C(F)(F)F)cc1 482336681 PERFLSPYMNUSFU-GOSISDBHSA-N 423.391 4.581 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2s1 483367658 VKYGANWWOYJCEP-UHFFFAOYSA-N 404.879 4.873 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)NCCc3ccc([N+](=O)[O-])cc3)c2C(C)C)cc1 484826012 AHOKWFUTEFMSPJ-UHFFFAOYSA-N 407.474 4.577 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(Cn3ccnc3)c2)nc1-c1ccc(F)cc1 485398756 MFOAQWZQPQPYRD-UHFFFAOYSA-N 403.417 4.653 5 20 HJBD O=[N+]([O-])c1c(NCCCOC2CCN(Cc3ccccc3)CC2)ccc2ncccc12 487073287 IWRGTAAGJLLFQY-UHFFFAOYSA-N 420.513 4.626 5 20 HJBD Cc1nc(COc2cccc(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cs1 488039605 JNIXRSBDWKBNTR-AWEZNQCLSA-N 411.483 4.738 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)C)cc1 488249195 KHQROWHCMUIUDD-UHFFFAOYSA-N 415.515 4.733 5 20 HJBD CC(C)(NC(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccccc1[N+](=O)[O-] 491202546 QAMGMKMOBIQYIY-OAHLLOKOSA-N 409.408 4.550 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@@H]1CCSc2ccccc21 492125829 BDPPDBHCKPHQBH-OAHLLOKOSA-N 411.405 4.650 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCOc3ccc(C(F)(F)F)cc3)cs2)c1 500109466 MTRSDBGUOAYGAM-UHFFFAOYSA-N 423.416 4.906 5 20 HJBD C[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(F)c1F 500157181 ZEVSYKKREGUJEF-VIFPVBQESA-N 420.359 4.861 5 20 HJBD CC1CCN(c2nnc(SCc3ccc(Cl)cc3[N+](=O)[O-])n2C2CC2)CC1 501148295 GOFDWAPQFMHYOA-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD COCC[C@H](C)NC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 505067171 YCJRNRPGHDBLIZ-LBPRGKRZSA-N 417.512 4.511 5 20 HJBD Cc1nn(C)c2ncc(/C=C/c3nc4cc([N+](=O)[O-])ccc4n3-c3ccccc3)cc12 508598295 KHIMJDSGNWZUER-DHZHZOJOSA-N 410.437 4.694 5 20 HJBD C[S@@](=O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 508658374 VAQCTPDJFLNNTO-UZTOHYMASA-N 400.525 4.533 5 20 HJBD CCOc1cc(NC(=O)c2ccc(Br)cc2C)c([N+](=O)[O-])cc1OCC 515602851 HKQZWVSJISQMMJ-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)[nH]c2c1 522040110 XITJDLUWFIJJKW-KRWDZBQOSA-N 406.467 4.972 5 20 HJBD CCOc1cc(C(=O)NC2c3ccccc3Oc3ccccc32)c([N+](=O)[O-])cc1OC 522511803 MCTCKNHJOFCAKU-UHFFFAOYSA-N 420.421 4.627 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1OCC1CCOCC1 524949480 CVFDVINRQLKMHM-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD COc1cc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2n1 525181229 LHSJUKLJIAOGRO-UHFFFAOYSA-N 406.467 4.565 5 20 HJBD C[C@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1)c1cccc([N+](=O)[O-])c1 526011735 HZYVZXCZFTXCBF-HRAATJIYSA-N 404.470 4.842 5 20 HJBD Cc1ccc2cccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c2n1 538198571 KJZFFQCQMHJPAK-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 540413976 LVKPECVQGKXFPH-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 542991077 VFLUQVJPIZIKTF-RBPRZQKGSA-N 408.502 4.814 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)ccc1[N+](=O)[O-] 543101899 LYVTWEAINJZDOA-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD CSc1ccc(-c2nc(-c3cnc(Oc4ccccc4)cn3)no2)cc1[N+](=O)[O-] 545102989 AEKNEZGKYKEVEE-UHFFFAOYSA-N 407.411 4.616 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 545809333 FBTLPWZSDOUQGX-HQWZXOHUSA-N 416.231 4.647 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 547177559 BVYJQMDPFJJGBN-LSDHHAIUSA-N 419.359 4.867 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 554832562 GTTBXXAOKDDZDE-HNNXBMFYSA-N 420.347 4.545 5 20 HJBD CC(C)[C@@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nc(-c2cccc([N+](=O)[O-])c2)no1 570073361 CYLAOQYQXNJCGL-VYBOSXCSSA-N 424.501 4.675 5 20 HJBD O=C(Nc1cccc(Cl)c1OCC(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 583044731 WYSOIOQBSXRLNH-UHFFFAOYSA-N 422.718 4.746 5 20 HJBD CCOc1cc(C(=O)NCC2(c3ccccc3)CCCCC2)c([N+](=O)[O-])cc1OC 603578696 MQNRNHPLYWDJKZ-UHFFFAOYSA-N 412.486 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)c1 603905589 JJMNZKXPEFNPRD-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939503 SFLPVJOKPXIXNT-ZUOKHONESA-N 407.474 4.563 5 20 HJBD CC(C)Oc1ccc(CC[C@@H](C)NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 603986329 UYKOBBIBHJRIBF-MRXNPFEDSA-N 402.516 4.612 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](CC(F)(F)F)c1ccccc1 604447184 SFIWVFQLHSSKHS-BXUZGUMPSA-N 416.783 4.825 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC[C@@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])CC1 604485211 BSTBWUHEORPHEL-HXUWFJFHSA-N 409.486 4.514 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 608858980 YZSRUOVDOIRFDT-VIFPVBQESA-N 420.878 4.614 5 20 HJBD COc1ccc(Cc2nnc(SCc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1OC 609750831 HQOIKLTXAHITBJ-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](C)Sc3ccc(Cl)cc32)cc([N+](=O)[O-])c1OC 610171747 SBUJTJRMTAXHIM-LLVKDONJSA-N 422.890 4.797 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)cc12 611090757 SLUMNSPUVKLVGB-UHFFFAOYSA-N 406.467 4.639 5 20 HJBD O=C(Nc1cccc2c1OCCO2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611204768 OOPYHQFZAMGPBU-UHFFFAOYSA-N 400.456 4.653 5 20 HJBD CN(C(=O)C1(c2ccc(F)cc2)CCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400722 RXNZCYQXJBCPCD-UHFFFAOYSA-N 411.458 4.942 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H](C)c2cnccc2C)c2ccccc2)c([N+](=O)[O-])c1 611940020 QHNGWAQRVBAKQL-VGSWGCGISA-N 404.470 4.637 5 20 HJBD C[C@H](C[C@H]1CCOC1)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613400993 HBZWFQPNSAIODI-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)cc2)cs1 619503565 JDAXGHNHRIBLMZ-ZIAGYGMSSA-N 410.499 4.705 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1csc(-c2c(F)cccc2F)n1 726158014 UJCYHUCMFVVHGL-UHFFFAOYSA-N 423.828 4.922 5 20 HJBD C[C@@H](NC1(CNc2nc3ccc([N+](=O)[O-])cc3s2)CCOCC1)c1ccccc1 733304173 FWRBDJSDXMNVGU-OAHLLOKOSA-N 412.515 4.516 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OS(=O)(=O)c1cccc2cccnc12 734742943 HIAWUFPHJNTHTO-UHFFFAOYSA-N 406.419 4.578 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@H](O)c1ccc(F)cc1 734846157 DZVFEHHQFCYSNV-PEBXRYMYSA-N 414.408 4.877 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1 739620911 PYOHOJZXUIKJFB-CALCHBBNSA-N 411.502 4.752 5 20 HJBD Cc1c(C(=O)N[C@H](Cc2ccccc2)c2ccccc2F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 740799141 KTPKOFQRRAUMNQ-HXUWFJFHSA-N 423.400 4.664 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1cc(F)ccc1[N+](=O)[O-] 743205426 PXNPLAQEFRMYNA-QFIPXVFZSA-N 418.380 4.996 5 20 HJBD C[C@H](OC(=O)c1ccc(NC(=O)OCC(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 744070713 OYDKJVJYFTUKNB-NSHDSACASA-N 412.320 4.624 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 744615895 BKDPUTTUEYXSBU-MRXNPFEDSA-N 423.469 4.552 5 20 HJBD C/C(=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccccc1OC(F)F 746937778 MZKQLLYJPBWSOV-OWBHPGMISA-N 402.397 4.791 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2n1C 747776755 XRXISHVBWJMTGA-GFCCVEGCSA-N 408.439 4.523 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 751915054 RBYKZKAPMOIADL-AWEZNQCLSA-N 403.504 4.748 5 20 HJBD C[C@@H](C(=O)OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc([N+](=O)[O-])cc1F 754272391 UFJZLHTZYFMAOW-SECBINFHSA-N 412.204 4.535 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccsc2)cc1[N+](=O)[O-] 757180768 WHIKUKJUUPZUAK-FQEVSTJZSA-N 411.483 4.732 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 761678350 BEDCUNGHBFFAFW-SJORKVTESA-N 417.465 4.828 5 20 HJBD CSc1cccc(C(=O)N(Cc2ccccn2)c2ccc(N(C)C)cc2)c1[N+](=O)[O-] 776927652 NOAFXFALGGRFSU-UHFFFAOYSA-N 422.510 4.625 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 777299481 CTPVDQCBGYTKHA-INIZCTEOSA-N 404.422 4.694 5 20 HJBD C[C@H](OC(=O)c1ncsc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 782387874 IMVHZNMVTSSECP-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD CC(C)(CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)CC(=O)c1ccccc1 799612798 PFNSGTKRSACNOQ-UHFFFAOYSA-N 422.437 4.982 5 20 HJBD C[C@@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(N2CCCNC2=O)cc1 812741243 MFSGNJDDLIBKHN-QVKFZJNVSA-N 408.502 4.588 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(-n2cccn2)c1 813034428 LNVYSELCYXPKRO-LLVKDONJSA-N 405.241 4.578 5 20 HJBD NC(=O)c1ccc(NC(=O)c2c3ccccc3cc3ccccc23)c(OCC(F)F)c1 813284094 NOXZDRNFVOINHP-UHFFFAOYSA-N 420.415 4.988 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@H](c2cccc(Cl)c2)C1 813519934 HXTRNWGSCYNKDC-HNNXBMFYSA-N 415.660 4.769 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(C(C)(C)NC(=O)c2cccc(Cl)c2)no1 904597342 FWPBGKJUZBXNBG-UHFFFAOYSA-N 414.849 4.580 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNc1nc2ccccn2c1[N+](=O)[O-] 917084789 GVWFOHVPSFSSEQ-UHFFFAOYSA-N 419.441 4.831 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1ncn([C@@H](C)c2ccccc2)c1=S 919950698 PSKNBTMPGPPUDD-KRWDZBQOSA-N 411.531 4.800 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 920738147 BMCSAEMHEARUEA-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD Cc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc(C)c1OCc1cccnc1 1117200822 KUNSPQHLDPMRJS-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD COc1cc(-c2nnc([C@@H](C)Oc3ccc4ccccc4c3)o2)c([N+](=O)[O-])cc1OC 1345740504 PDCKVMHRFYETCV-CYBMUJFWSA-N 421.409 4.955 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048763 ZREDAGXECMPFPM-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCc3cccs3)cc2[N+](=O)[O-])n1 17909391 YCAYUPCKISKDCZ-UHFFFAOYSA-N 405.526 4.545 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 22471201 GZTVFKBRQLORRZ-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD CSc1ccccc1NC(=O)CN(C)C(=O)c1cc2ccccc2c2cccnc12 42248386 OEJZFUSLZNCWRJ-UHFFFAOYSA-N 415.518 4.821 5 20 HJBD COc1ccc([C@H](CNC(=O)c2ccccc2[N+](=O)[O-])c2c[nH]c3ccccc23)cc1 45332833 OWZHEOJLPJLKFN-FQEVSTJZSA-N 415.449 4.647 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](Cc3ccccc3)c3ccccc3)n2)c1 46503174 ZBSVELOYFMSHKW-NRFANRHFSA-N 400.438 4.718 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3nc4ccc([N+](=O)[O-])cc4s3)o2)c1 111193326 FCSIMZUPLDQRKV-UHFFFAOYSA-N 414.468 4.935 5 20 HJBD COc1cc(CNCc2nc(C)c(C)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 237133062 UOBLPTBINYMRCA-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD O=C(c1cc(NCc2ccc(F)cc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 301105150 MAICIFGNUMWVFH-UHFFFAOYSA-N 411.355 4.601 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNc1ccc2cc([N+](=O)[O-])ccc2n1 301454442 BJHZJLNLIWGOSS-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD COc1ccc(Cc2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)c(Cl)c1OC 426423673 ZTWMHHCHEUNZAO-UHFFFAOYSA-N 417.849 4.565 5 20 HJBD CC(C)(NCc1nc(-c2cccc(C(F)(F)F)c2)no1)c1ccccc1[N+](=O)[O-] 429251858 CIAMDJHZVKZENZ-UHFFFAOYSA-N 406.364 4.689 5 20 HJBD CC1(C)C[C@H](N[C@@H](CCO)c2ccccc2Br)c2cc([N+](=O)[O-])ccc21 433244197 UJTFSWPATLEEBB-OALUTQOASA-N 419.319 4.793 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(CO[C@H]3CCC[C@@H](C)C3)c2)c1[N+](=O)[O-] 434191221 YDYRLNTWVAIIHT-PBHICJAKSA-N 400.479 4.789 5 20 HJBD Cc1cccc2sc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)nc12 435945501 VOEMBIKDYBAJMT-UHFFFAOYSA-N 403.438 4.991 5 20 HJBD Cn1cccc1CNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 440619568 XQKDDIFNZMCYIK-UHFFFAOYSA-N 424.507 4.624 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1nncn1C(C)C 462625755 ZHMGWHQRRPOXQO-QGZVFWFLSA-N 407.474 4.642 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463160024 INYWBGKVPUTNTA-KDOFPFPSSA-N 408.376 4.511 5 20 HJBD CCCN(CCOc1cccc([N+](=O)[O-])c1)Cc1nnc(-c2ccccc2Cl)o1 471148431 SJLUPODJAPBEKA-UHFFFAOYSA-N 416.865 4.589 5 20 HJBD COCCCC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 471329361 HOCTXASHYRMHSC-QGZVFWFLSA-N 410.392 4.901 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nnc(-c4ccc5ccccc5c4)o3)[nH]c2c1 473794354 KMDJNMZTZGVSRR-UHFFFAOYSA-N 403.423 4.967 5 20 HJBD Cc1ccccc1-n1c(SCc2ccc([N+](=O)[O-])cc2F)nnc1-c1ccncc1 476518313 ZTIOULAAUPINMB-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD CC[C@@H](C)c1ncc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cn1 478281176 NFHKYFBZJVSXII-LLVKDONJSA-N 408.408 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(Cl)c3Br)n2)cc1 479454550 LYUOQFOWXGLVJW-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD Cc1c(C(=O)Nc2nc3cc(F)c(Br)cc3s2)cccc1[N+](=O)[O-] 481275141 LMTRIFUGEHMXIB-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 481459021 WTOPCWXUQDMMBX-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1oc2ccccc2c1C 482185841 TWXOEDSXZMZFDJ-AWEZNQCLSA-N 414.483 4.879 5 20 HJBD CN(CCC(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 483551760 LBIRZKDYMOVNAD-UHFFFAOYSA-N 415.799 4.885 5 20 HJBD CCC(CC)C(=O)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485389185 JWHJWECDFPBJPW-UHFFFAOYSA-N 414.481 4.635 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])n(C)n1 485747001 FHNIIFIPZIQPLA-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC(c4ccsc4)CC3)cc2)c(C)c1[N+](=O)[O-] 485777581 ZOYJYXMEQUHQGC-UHFFFAOYSA-N 424.526 4.538 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2nc(O)c3c(C)c(-c4ccccc4)sc3n2)c1 491571434 DITRVAIXHLAUKN-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD CCOc1cc(CNc2ccccc2NC(=O)Cc2cccs2)ccc1[N+](=O)[O-] 492354712 GDJJGFCTQUHHID-UHFFFAOYSA-N 411.483 4.848 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](Nc2cc(F)cc(N3CCOCC3)c2)c2ccccc2)c1 495185873 WLYRRQIFYOSNIS-QHCPKHFHSA-N 407.445 4.772 5 20 HJBD C[C@@H](Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 497536246 PZDZISBIGSXVIP-MRVPVSSYSA-N 407.250 4.617 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 498440869 LALMAGMOCVRGGN-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CCC[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 498901401 MPUKRDRVYFHONM-HNNXBMFYSA-N 416.421 4.970 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1n[nH]c(-c2cccc3ccccc23)n1 500659150 VJNDFFUZSXGZTR-CYBMUJFWSA-N 419.466 4.652 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCN3CCC[C@H]3C2)ccc1Oc1ccccc1Cl 509572302 VVWMIOWSHUCOEA-SJORKVTESA-N 401.894 4.757 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN[C@H](C)c2c(F)cncc2F)cc1OC 513254830 CBRRECQEATVECD-QWHCGFSZSA-N 409.433 4.552 5 20 HJBD CC(C)OCc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 514449774 UCGJFTFNLNOWDV-UHFFFAOYSA-N 410.392 4.725 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(F)cc(Br)c1 515286322 QBUWFVXRMGSDPX-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)cc1C 520155570 NDEHZLLTNKVMCP-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 521153496 BVZADIWSMOHRAH-OAHLLOKOSA-N 402.497 4.608 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N(Cc1cccc(F)c1)C1CCCC1 521153711 ILJSSWXHHYORKZ-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCc1cccc([N+](=O)[O-])c1 521338247 IWVRUGOIIMRLCY-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1NCc1cccc(NC(=O)Nc2cccc(C)c2)c1 521664230 FKJAXUDQKLLNIG-UHFFFAOYSA-N 408.462 4.684 5 20 HJBD O=C(NC[C@H]1CCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 521701973 NUIGIASNVCOMGE-WZONZLPQSA-N 418.449 4.895 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1ccccc1[N+](=O)[O-])C2 521878615 DEMHNYYTFOXIDO-UHFFFAOYSA-N 402.454 4.797 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncc(Cc2cccc(F)c2)s1 522741208 FAYNHZQTABLVKQ-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD COc1ccc(NC(=O)c2ccc3c(c2)nc(C(F)(F)F)n3C(C)C)c([N+](=O)[O-])c1 523713543 FWHHWWPUDLXUBR-UHFFFAOYSA-N 422.363 4.805 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1Cl 523719654 APKCDHCHPDCPJQ-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD C[C@H](NC(=O)c1cc(NC(=O)NC(C)(C)C)ccc1Cl)c1cccc([N+](=O)[O-])c1 525577404 HFCHUCFBZMXTSV-LBPRGKRZSA-N 418.881 4.659 5 20 HJBD COc1cc([C@H](C)N[C@H](C)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccncc1 532211887 XCSUTWXSRYWAKT-SJORKVTESA-N 407.470 4.989 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc([C@@H]4CCOC4)c3)n2)cc1[N+](=O)[O-] 535587277 LEWJUBUODDFHHD-QGZVFWFLSA-N 409.467 4.783 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535695639 CFZUJTPHJRIFLF-UHFFFAOYSA-N 400.438 4.800 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1 538600294 WUZHVGJIWWBCDF-NVXWUHKLSA-N 408.376 4.688 5 20 HJBD CN(C(=O)COc1ccc2ccccc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451718 OXKJUHBGLYUFMK-UHFFFAOYSA-N 419.462 4.913 5 20 HJBD COc1ccc(NC(=O)c2sc(C(C)(C)c3ccccc3)nc2C)cc1[N+](=O)[O-] 541069367 AABCWEAYUDIPRT-UHFFFAOYSA-N 411.483 4.947 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 546560886 WTMKZHIVGQDAPP-LBPRGKRZSA-N 412.833 4.590 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(Cc3nc4ccccc4n3C(F)F)no2)c(Cl)c1 547027565 MJMWSQONWYZXBG-UHFFFAOYSA-N 419.775 4.558 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC[C@@H](C)Oc2ccc(C(C)C)cc2)cc1SC 553676844 IFSKZGMGKPUETN-CQSZACIVSA-N 418.515 4.646 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 554122642 DBHQNTVITWXMMG-LBPRGKRZSA-N 405.376 4.798 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)c2cccs2)c1 554399476 DVKGGBKDWSNTIT-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 557240295 VSELXULQOABZGL-FQEVSTJZSA-N 403.438 4.643 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(N2CCCC2)c1 560258859 HINAIVJLXXQCPR-CYBMUJFWSA-N 407.392 4.705 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(Cl)cc1 560974936 WYCQBZLQJMVCMR-INIZCTEOSA-N 415.877 4.670 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(n2c(CC)nc3ccccc32)CC1 583664279 RMUSOAIEEVZQHO-UHFFFAOYSA-N 421.501 4.938 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 589357682 OYMOTEQCNWQGAX-UHFFFAOYSA-N 422.285 4.658 5 20 HJBD COCCOCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 603816299 RWOYXJPLRUEPIC-UHFFFAOYSA-N 422.437 4.802 5 20 HJBD CCCCOc1ccc([C@H](C)Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cc1OC 603866919 NPBGCKSAQVVEFV-AWEZNQCLSA-N 413.474 4.840 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2 609335488 NCDYOJMCZSGTPJ-QHCPKHFHSA-N 417.465 4.509 5 20 HJBD O=C(Nc1ccc(NC2CCCCC2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609549259 XYLIHJWPHCPAEC-UHFFFAOYSA-N 406.442 4.846 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 609700036 VTJZXVOSIZLRJS-AWEZNQCLSA-N 408.907 4.730 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1 609852554 MFLREWFGMCDFHJ-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc12 609972943 WVYWZOJPBRYLPI-UHFFFAOYSA-N 404.451 4.507 5 20 HJBD CCC[C@@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610180476 BIXFMYCZMVSUKA-HNNXBMFYSA-N 405.292 4.889 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])C1(c2ccc(Br)cc2)CCCC1 610237193 BMMFTCDXMFQPRO-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3cccc(Br)c3)C3CC3)nc2c1 610581115 LRBOLKQJHPMWQB-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 730367375 KORMMYWPPDRRLC-UHFFFAOYSA-N 416.842 4.919 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 730643013 KRWMPYRSVXXOLB-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1nc2c(s1)[C@H](OC(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 733066646 HZVVWIYJKDHJOF-OAHLLOKOSA-N 401.469 4.718 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)CC2)cc1 735016241 RFKDPQNGQFMXNI-UHFFFAOYSA-N 423.513 4.577 5 20 HJBD O=C(COC(=O)C1(c2cccs2)CCCCC1)Nc1cc([N+](=O)[O-])ccc1Cl 738705239 YUPIDTICQFQPLA-UHFFFAOYSA-N 422.890 4.694 5 20 HJBD CC(C)C[C@H](C(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1 741114178 KIZFNQOCNMXPGC-FQEVSTJZSA-N 411.502 4.579 5 20 HJBD C[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 743068725 LZKRRMXFZMMBFG-VIFPVBQESA-N 422.228 4.834 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)c2ccccc2[N+](=O)[O-])cc1 744280531 IMQJJDIAWSAXNU-UHFFFAOYSA-N 410.813 4.744 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(-c2ccccc2)no1 744895523 TXMVUEIPWSODJD-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD CCCCCCN(C(=O)CCn1cc([N+](=O)[O-])nc1C)c1nc2ccccc2s1 747120916 UTXCNYCBBIKVRS-UHFFFAOYSA-N 415.519 4.713 5 20 HJBD O=C(OCc1ccccc1OC(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 747957729 ROVWQZZLPXUZNI-UHFFFAOYSA-N 406.366 4.677 5 20 HJBD Cc1ccccc1[C@@H](OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1cccs1 748505708 RPDOXCOPSLQFKR-OAQYLSRUSA-N 407.451 4.647 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)C(=O)Nc2cccc(Cl)c2Cl)c1[N+](=O)[O-] 752558245 MZPZULUCRGCZNC-SECBINFHSA-N 415.204 4.533 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1 755445741 IKFIGQYTQGKXHI-HNNXBMFYSA-N 414.527 4.860 5 20 HJBD C[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 756538750 YUHSDOGLGKIZGG-KRWDZBQOSA-N 418.449 4.765 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cnc3c(c2)c(C)nn3-c2ccccc2)n1 762071924 OQNCOGBTLYTHAW-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 765197141 ZBLODFHADRVPIW-APWZRJJASA-N 418.490 4.574 5 20 HJBD Cc1cc(Cl)ncc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 766801788 JCDIYFFGWADZRS-CYBMUJFWSA-N 411.849 4.772 5 20 HJBD C[C@H](Nc1c([N+](=O)[O-])ccc(N[C@H](C)c2ccccn2)c1[N+](=O)[O-])c1ccccn1 771146728 LQTYTYHNFFRDSQ-KGLIPLIRSA-N 408.418 4.639 5 20 HJBD CC[C@@H]1C[C@H](OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)CCO1 779294760 MWFFRXXNIVKMOW-CRAIPNDOSA-N 401.415 4.510 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@H](O)c1ccc(OCc2ccccc2)cc1 780847998 FYJBTAJJYAOTRT-HSZRJFAPSA-N 420.465 4.853 5 20 HJBD Cc1csc(N(C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c2ccccc2)n1 781562381 SXQQAWWBPLTLBX-UHFFFAOYSA-N 412.427 4.565 5 20 HJBD O=C(Nc1ccc(C2CCC2)cc1)c1cc([N+](=O)[O-])ccc1I 785941931 OGSFZCMFVNMKCP-UHFFFAOYSA-N 422.222 4.719 5 20 HJBD O=C(OCc1nnc(-c2ccco2)o1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799552711 GJGGTPLEDKNZPH-UHFFFAOYSA-N 423.406 4.746 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799712604 HUOYICCRPNANAL-UHFFFAOYSA-N 411.395 4.612 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1cc(Cl)ccc1Br 800143632 CGQBCLBHHAZYNW-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1cc([N+](=O)[O-])ccc1Br 801733229 TYRSAEYAJSUEQL-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 809972315 SOXPLRZSLMJYMD-NSHDSACASA-N 410.257 4.709 5 20 HJBD CC(C)n1ncc2c(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cc(-c3ccccc3)nc21 812815634 SYEURGHLNIZJBL-UHFFFAOYSA-N 420.473 4.717 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2nc3ccccc3s2)o1 812824618 ZBJRBAJUYMGODW-KPKJPENVSA-N 405.435 4.794 5 20 HJBD Cc1cccc2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c(Cl)nc12 813397184 LQGUBKWPEGEBKF-UHFFFAOYSA-N 407.760 4.959 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 813873001 MXTURLIHULAWKU-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD COc1ccc([C@@H](O)C2CCN(Cc3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 815119013 PGNPXUPLKDTFBW-HXUWFJFHSA-N 424.419 4.568 5 20 HJBD CCCCN(C)C(=O)C1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 917088968 PCRSHZNFGJHICL-UHFFFAOYSA-N 421.847 4.742 5 20 HJBD CN(Cc1ccccc1CNC(=S)Nc1ccc([N+](=O)[O-])cc1)C1CCCCC1 917602942 SLYMLWCWMCNMSH-UHFFFAOYSA-N 412.559 4.846 5 20 HJBD Cc1nc(-c2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2)[nH]c1C 920250501 AJJDAVOUDGMHAN-UHFFFAOYSA-N 422.488 4.800 5 20 HJBD COc1ccc(CNC(=O)CC2c3ccccc3Oc3ccccc32)cc1[N+](=O)[O-] 1317979734 AMHKVZXIFICBKT-UHFFFAOYSA-N 404.422 4.548 5 20 HJBD CC(C)c1ocnc1COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 1319742378 IUNSOWFPFOGZQJ-CQSZACIVSA-N 410.430 4.631 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc([C@@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)o1 1322682687 HTWQVVDNRBPDPR-DIFFPNOSSA-N 414.805 4.637 5 20 HJBD CCN(CC)C(=S)S[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 5987903 OACAZFWLRQUVOO-SFHVURJKSA-N 417.556 4.943 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2ccccc2[N+](=O)[O-])oc2c1ccc1ccccc12 7250244 OYILEJSFDYVTAS-UHFFFAOYSA-N 404.378 4.598 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3nc4ccccc4s3)CC2)c1 16224208 GXLLPPBFXSFANL-UHFFFAOYSA-N 413.524 4.946 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1 24059401 HPBMXEIIFOMVMX-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(CC)cc2)C(C)C)cc1OC 28252294 IXOWMOIMIWTAGX-OAQYLSRUSA-N 400.475 4.692 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(Cc2cccc(Cl)c2)n1 33158648 YTPMPQUQEGTDDW-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc3ccc(Cl)cc3s2)cc1[N+](=O)[O-] 45713810 LFZHNEWTKCNSFB-UHFFFAOYSA-N 423.903 4.738 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCc1ccccc1[N+](=O)[O-] 46149446 AWQCKIFUEWPTIA-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 52935097 PMZQIRWPCJDNFZ-KRWDZBQOSA-N 404.470 4.526 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 71988320 AMCIIWVROWRAIN-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD Cc1c(NC(=O)NC2(c3cccc(Br)c3)CCC2)cccc1[N+](=O)[O-] 108801142 AWOICBGQVAABRF-UHFFFAOYSA-N 404.264 4.867 5 20 HJBD O=C(CSc1ccnc2ccccc12)Nc1ccc([N+](=O)[O-])cc1Br 111216625 QENIBNLBENIGOZ-UHFFFAOYSA-N 418.272 4.636 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccc(Cl)c1 195720832 FNWLSWOXCFMGHL-LJQANCHMSA-N 413.886 4.641 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cc1 237246897 WYMGYUHBHBAKEJ-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cc1[N+](=O)[O-] 247990442 MMGRACNURHXCLR-SFHVURJKSA-N 417.465 4.547 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 303568297 YGNOCWFPUVNGKX-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD CC(C)CN(C(=O)[C@@H]1O[C@H](C)C[C@@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 410003290 YDKQPJUUNRBVPB-PMUMKWKESA-N 403.504 4.521 5 20 HJBD CC(C)(c1nc(-c2ccc(Oc3ncccn3)cc2)no1)c1ccccc1[N+](=O)[O-] 426392963 YNRWUQLNWLWMTC-UHFFFAOYSA-N 403.398 4.553 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccnn1Cc1cccc2ccccc12 429747049 YUIDIRRVNGQZTN-UHFFFAOYSA-N 415.453 4.657 5 20 HJBD CCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)[C@@H](C)C(C)C 435482079 VBJUYOYLAURZJB-ZDUSSCGKSA-N 421.544 4.639 5 20 HJBD O=C(Nc1cccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c1)C1CCCCC1 447053393 BRBGRNQZWIEVAW-UHFFFAOYSA-N 422.485 4.704 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](NC1CCN(c3nc4ccccc4s3)CC1)CCC2 447538416 BKCMSTCYZSQILO-LJQANCHMSA-N 408.527 4.841 5 20 HJBD COc1cc(NCc2cc(Br)ccc2OC(F)F)ccc1[N+](=O)[O-] 462796696 VDWXDOBOWUQFDK-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Br 463339750 YJUIRXYPBRAZGB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1ccc(OC(F)(F)F)cc1 468695036 CWRCEQXPPPXFFP-SNVBAGLBSA-N 421.418 4.750 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccncc1OCc1ccccc1 479622552 SDKXCJOILFFUDZ-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@@H](C)c2cccc([N+](=O)[O-])c2C)CC1)c1ccccc1 482147915 RWMMIIOFWZNJKJ-RXVVDRJESA-N 409.530 4.739 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(OC3CCCC3)c2)cc1SC 482408968 PNUVGCHOZIREPG-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD Cc1cccc(C)c1CCNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 482421234 BYCJUIWUCNLLLO-SFHVURJKSA-N 418.497 4.752 5 20 HJBD CC(C)c1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482717828 VYADSRPEUVEJEE-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD O=C(Nc1nccc(-c2ccco2)n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 484471235 HNCQKIMJTYUTGK-UHFFFAOYSA-N 402.366 4.689 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(C)s2)ns1 485919561 LPBCAAXEAUMNKI-UHFFFAOYSA-N 406.514 4.848 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(OC3CCC3)cc2Cl)c(C)c([N+](=O)[O-])c1 485961276 WLYHFBOHXOEHKW-UHFFFAOYSA-N 418.833 4.527 5 20 HJBD CC(=O)c1cc(N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)ccc1[N+](=O)[O-] 488684221 ILEXYIUCDVWIBL-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1cccc([N+](=O)[O-])c1 490334048 DCIBHKDQDVLTMG-ZBFHGGJFSA-N 414.531 4.580 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492889629 KQVHPPBVWIPZDA-RTBURBONSA-N 415.287 4.652 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H]1CCOc2c(-c3ccccc3)cccc21 505084796 DSVVQDJEPUDUEJ-HXUWFJFHSA-N 418.449 4.671 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cccc([N+](=O)[O-])c3C)s2)cc1 505134047 IBMQQWKFPLHIPA-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD COc1cc(CN[C@@H](C)c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC(F)F 505503277 NVNFTPNHUZMBIY-JTQLQIEISA-N 418.799 4.891 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1coc(-c2ccc(Br)cc2)n1 506537965 DYHAPRFMUJRWRP-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 507532388 NNMUHDYLYKWYLT-CYBMUJFWSA-N 413.524 4.996 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1nc2ccccc2[nH]1 509374224 RCJGEWDDFXTBCQ-FQEVSTJZSA-N 409.490 4.788 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1cccc(Br)c1 511233978 SWMSFVXDHLKNIT-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2cccc(Cl)c2Oc2cccnc2)cc1[N+](=O)[O-] 511293925 CFQBDUSTQQQDGL-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)n2)cc1F 517609918 TTWMHWUPCXHRBP-UHFFFAOYSA-N 407.405 4.621 5 20 HJBD CCOc1cc(C(=O)N2Cc3ccccc3-c3ccccc3C2)c([N+](=O)[O-])cc1OC 523201256 NPGWCBPIAHPUPM-UHFFFAOYSA-N 418.449 4.825 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](C)c1ccccc1Oc1ccccc1 523245492 LAFKQDCXEWIRCF-INIZCTEOSA-N 419.437 4.511 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(Cl)cc2cccnc12 523880461 FFPZQDKXCANPLB-UHFFFAOYSA-N 406.225 4.857 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1CCC(F)(F)F 533968578 BXUATPCLMPQYDA-UHFFFAOYSA-N 404.291 4.943 5 20 HJBD Cc1nc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c(C)o1 534059290 YNTRQPJALBZWOI-UHFFFAOYSA-N 415.833 4.618 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 535202035 RRWBTDGSJZPRGA-ZDUSSCGKSA-N 402.520 4.891 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cn1 540691324 CBVIHADNQAAHFF-UHFFFAOYSA-N 410.293 4.897 5 20 HJBD CCc1ccc([C@H](Nc2ccc3c(c2)C(=O)N(C)CC3)c2ccc([N+](=O)[O-])cc2)o1 541567853 SHHLJEPSYNKPRL-JOCHJYFZSA-N 405.454 4.580 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)cc1 541692934 ZYZQHHFIJDDPCB-OAHLLOKOSA-N 410.474 4.845 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 543994555 SQDAFDWQPYNGGB-HAQNSBGRSA-N 415.298 4.663 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(CCCOCCc3ccccc3)no2)cc(C(F)(F)F)c1 544996193 ZSLZDDGKOYDLAY-UHFFFAOYSA-N 421.375 4.856 5 20 HJBD Cc1nc([N+](=O)[O-])cn1Cc1nc(-c2ccc(Nc3ccc(Cl)cc3)cc2)no1 547248485 MLCADKCUPFPSGP-UHFFFAOYSA-N 410.821 4.595 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NC1(c2ccc(Cl)c(Cl)c2)CC1 547406928 JWZVHAZSJDBPSB-UHFFFAOYSA-N 404.253 4.731 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 549413187 IHFVYQZXOKTSSV-GFCCVEGCSA-N 423.391 4.528 5 20 HJBD COc1ccccc1[C@@H]1CC[C@H](C)CN1C(=O)CSc1ccccc1[N+](=O)[O-] 553221115 MVHPHCKNLKTQSZ-RDJZCZTQSA-N 400.500 4.695 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 562391076 MPISAQXJQZSODP-OYHNWAKOSA-N 408.429 4.752 5 20 HJBD CC(C)OCc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1O 571577168 VEUYNZLWPRWKNL-UHFFFAOYSA-N 413.455 4.601 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1Br 583656701 GOZMLUVCOMSZLK-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 586824918 KNRCDOJMIIOZKK-UHFFFAOYSA-N 408.332 4.554 5 20 HJBD O=C(N[C@H](c1ccccc1)C(F)(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603810177 GLXKYFXFHUIXHZ-CQSZACIVSA-N 422.281 4.569 5 20 HJBD Cc1sc(NC(=O)c2ccc(Br)o2)nc1-c1cccc([N+](=O)[O-])c1 603997294 SGNSJCJSPHSFRE-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)cc1[N+](=O)[O-] 609267010 RYOPXKCORKZVMA-UHFFFAOYSA-N 405.389 4.571 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@@H]1c1ccccc1 609819250 CVIOTGBRWWCEAP-KKSFZXQISA-N 411.527 4.514 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609872527 YUZVWMXKZXGBJX-NSHDSACASA-N 410.879 4.530 5 20 HJBD COc1ccc(-c2nc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)sc2C)cc1 610036841 HEGZUKCYRYLLIS-UHFFFAOYSA-N 411.483 4.952 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCO[C@H](c3ccccc3)C2)nc1OCc1ccccc1 613179972 HKGVFKOSFBCDFV-NRFANRHFSA-N 405.454 4.537 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CCCCOc1ccccc1C(F)(F)F 726431006 JCRDLKRSNFHKBV-UHFFFAOYSA-N 422.201 4.740 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccc(F)cc2)C2CCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 729656470 DCGJPJDCEVADGX-GOSISDBHSA-N 401.394 4.530 5 20 HJBD COc1cc(CN2[C@@H](C)[C@H](c3ccccc3)OC[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 731892623 HXOPUJKSTXXTOY-LAPQFRIASA-N 422.428 4.555 5 20 HJBD CC(C)[C@@H](C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 733576507 MYHGFXDHJLEXBT-ACJLOTCBSA-N 404.850 4.763 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 736516553 WSEUYFBKVSCCFA-LLVKDONJSA-N 411.683 4.714 5 20 HJBD CCOC(=O)c1ccnc(Nc2ccc(N3CCc4sccc4C3)cc2)c1[N+](=O)[O-] 737398617 AMNUIPQLLSVMME-UHFFFAOYSA-N 424.482 4.534 5 20 HJBD Cc1c(N[C@@H](C)C(C)C)cccc1C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741748822 PCWMROVZOLXJEP-HNNXBMFYSA-N 424.457 4.767 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1NC(=O)OC(C)(C)C 747753888 WOPXYJOVAKGZNS-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD O=C(Nc1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1)N1CCCCCC1 748156755 IBWGYOZRZSDOGZ-UHFFFAOYSA-N 413.503 4.812 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 752199980 DUNJRWOVUVPWBH-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD Cc1nn(C)c(C)c1[C@H](C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761859124 TVFJSJJRTJQJTC-ZDUSSCGKSA-N 419.441 4.939 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1cc(Cl)ccc1[N+](=O)[O-] 762392885 UDENGHCFZPQZGN-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CN(C)C(=O)Sc1cccc(COC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 762979904 MGUZHVOXNKSORJ-UHFFFAOYSA-N 420.462 4.529 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768239028 UBVSICCVJMPAGA-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(Cc3ccc(Cl)cc3)o2)ccc1Br 777514885 HJHGDZZAIOKZEI-UHFFFAOYSA-N 423.654 4.597 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1F 783563095 KEKPKXKHNQRUEK-UHFFFAOYSA-N 407.423 4.665 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1F 787938508 IZDZIGCQOYRFGS-OAHLLOKOSA-N 422.412 4.893 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1coc(-c3cccs3)n1)CC2 792900406 UWWHKIUUGPPRBC-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 806087643 HYQNQDIRAQRWPD-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809965669 NBWYGWCCZCKDKV-SMDDNHRTSA-N 418.321 4.779 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(N2CCCCC2=O)cc1 811967481 YVCXVZUPEXLKFW-HKUYNNGSSA-N 423.513 4.558 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813065214 ARYMFQSTWHVDLC-UHFFFAOYSA-N 422.293 4.998 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1Cl 813369403 NGWDUVCZMBPSKK-OAHLLOKOSA-N 416.692 4.588 5 20 HJBD CCCC[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1cc(C)on1 822018726 QBTRWUCIMLTIBW-CYBMUJFWSA-N 406.489 4.791 5 20 HJBD O=C(c1ccc2noc(-c3ccc(F)cc3)c2c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116337607 PYGFSXXMIYYAHU-UHFFFAOYSA-N 403.369 4.698 5 20 HJBD Nc1ccc(-c2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)cc1[N+](=O)[O-] 1117181577 RCTWCPVXQJABAE-UHFFFAOYSA-N 410.393 4.504 5 20 HJBD C[C@@H]1CCc2sc(-c3nnc(-c4cn(C)nc4-c4ccc([N+](=O)[O-])cc4)o3)cc2C1 1322281585 QWNMOLMKYRZACI-GFCCVEGCSA-N 421.482 4.899 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1cc([N+](=O)[O-])ccc1Cl 5768595 LMCCEUDJZBXADC-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD COc1cc(Br)c(/C=C/c2nc3cc([N+](=O)[O-])ccc3s2)cc1O 7746293 VIUASISKAROGIA-GORDUTHDSA-N 407.245 4.852 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048766 ZREDAGXECMPFPM-STQMWFEESA-N 422.890 4.608 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)N1CCN(c2ccc([N+](=O)[O-])nc2)CC1 43171088 KYJFBUVYHYAPBG-UHFFFAOYSA-N 411.506 4.591 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2nc(C3CC3)cs2)cc1[N+](=O)[O-])c1ccccn1 53799607 FAWFIHHFQMEBHT-LBPRGKRZSA-N 409.471 4.749 5 20 HJBD Cc1cc(NC(=O)c2cnc(-c3ccccc3F)s2)n(-c2ccc([N+](=O)[O-])cc2)n1 109034384 NSKQCTIBPJJOHE-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCc2cc(-c3ccccc3)no2)c1 110053641 HNFWLWCTUXFBMT-UHFFFAOYSA-N 411.483 4.677 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 195699922 OLEPUSJTLSNERB-OAHLLOKOSA-N 423.494 4.782 5 20 HJBD O=C(CCSCc1coc(-c2cccc(F)c2)n1)Nc1ccccc1[N+](=O)[O-] 409844174 QPAFARFYJUCONY-UHFFFAOYSA-N 401.419 4.651 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 433952909 YBUVIWQXOJYMPX-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD CO[C@H](C)c1cccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436349420 CPGUZINSKBUIKU-GFCCVEGCSA-N 408.404 4.919 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437941608 KEFCBQCPHNHVIR-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(N[C@@H]1C[C@H]2OCCC[C@H]12)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 438921695 RCYMFODKYHYIGD-DJIMGWMZSA-N 418.902 4.697 5 20 HJBD CCCN(C(=O)c1cncc(-c2ccc(N(C)C)cc2)c1)c1cccc([N+](=O)[O-])c1 441442811 YTOFTCGOWHRUBQ-UHFFFAOYSA-N 404.470 4.780 5 20 HJBD CCC(=O)c1cccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444239555 OKYXQWLQSFAESO-UHFFFAOYSA-N 406.388 4.805 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c(C)c1 445238594 KXPMIKBYQBNMGD-ZDUSSCGKSA-N 413.503 4.992 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 445810456 LFEDGEDMVCWLQV-IAGOWNOFSA-N 423.513 4.593 5 20 HJBD Cc1cc(N2CCC(C(=O)NC3CCCCC3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461774322 GJAUDFMTOPFCCP-UHFFFAOYSA-N 413.440 4.587 5 20 HJBD C[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2cc(Cl)ccc2O1 464648993 HWUZQDQAZZJIJH-OAHLLOKOSA-N 418.880 4.622 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 465000460 NFYBTECMCIIEIY-AWEZNQCLSA-N 418.515 4.741 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3cccc(Br)c3n2)c1 465066007 KLPNVRKCOLFDFM-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD CCOC(=O)c1cc(C(=O)N(c2ccc(F)cc2)C2CCCC2)cc([N+](=O)[O-])c1 465475607 FVNUSPMSEIFMSW-UHFFFAOYSA-N 400.406 4.500 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCO1 466256528 OGTALOMANHRJPO-DOMZBBRYSA-N 406.891 4.697 5 20 HJBD O=[N+]([O-])c1ccccc1CCN[C@@H](c1cccc(Br)c1)C1CCOCC1 467424414 IDRPBTPRPNHNLY-HXUWFJFHSA-N 419.319 4.657 5 20 HJBD Cc1ccc(NC(=O)N2CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)cc1 469635575 VHYIJSPQIAWYNM-UHFFFAOYSA-N 424.504 4.686 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 472834934 QKRCGWSEGAOLGT-OAHLLOKOSA-N 405.458 4.536 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccc4ncccc4c3[N+](=O)[O-])c2)n1 477803594 RRSMNZYVSWMCKI-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD CCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)[C@@H](C)C(F)(F)F 477982273 VOJKRTIFUFADGX-JTQLQIEISA-N 419.450 4.924 5 20 HJBD COc1ccc([C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2C)c2ccc(F)cc2)cc1 478801585 ATQQQTJZFJNUQO-JOCHJYFZSA-N 423.444 4.640 5 20 HJBD CC(C)Cn1ncc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1C1CC1 480270706 OBMHLVNYEOKOKZ-UHFFFAOYSA-N 411.384 4.988 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)C2CCCC2)cc1SC 482985776 CCCNIRFOPOQONT-HXUWFJFHSA-N 400.500 4.987 5 20 HJBD C[C@@H](NCc1c([N+](=O)[O-])ncn1C)c1ccc(-c2ccc(C(F)(F)F)cc2)s1 484807156 WLZZGQIYIORRCA-LLVKDONJSA-N 410.421 4.926 5 20 HJBD Cc1c(C2CCCCC2)noc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 485522838 PWHUSVQKNWHDQH-UHFFFAOYSA-N 412.490 4.794 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 486199120 WSVZIIBYDWUQDK-HNNXBMFYSA-N 424.526 4.911 5 20 HJBD Cc1cc([C@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C2CC2)ccc1F 486421422 CSMZHYQEQZPBFU-CQSZACIVSA-N 413.268 4.747 5 20 HJBD CC(C)(C)O[C@H](C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1 486720247 ZEUHFPAEFCAJCE-KRWDZBQOSA-N 410.392 4.786 5 20 HJBD O=[N+]([O-])c1cccc([C@H](NCCc2cc(F)cc3c2OCOC3)c2ccccc2)c1 491048990 DSBMSUIDPIKHNG-JOCHJYFZSA-N 408.429 4.522 5 20 HJBD COCCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 500324609 BXSUZXGBUKBKCG-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](c1c(F)cccc1Cl)N1CCCC1 501038684 YAOUWFDYLQSEDP-GOSISDBHSA-N 420.872 4.654 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccccc2)CCOCC1 504076000 JFAHXRWBNUFEQZ-UHFFFAOYSA-N 414.527 4.575 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 506217349 VUOWLHUMPHBJCG-UHFFFAOYSA-N 413.452 4.664 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1ncc(C(F)(F)F)cc1Cl 513813418 DFMRLRNWCYMTHE-UHFFFAOYSA-N 405.785 4.534 5 20 HJBD COc1cccc2c1CCCCN2C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 513904735 ASRVDZOBRGZPQR-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD Cc1ccc(-n2nc(C)c(CC(=O)N(C)c3ccc([N+](=O)[O-])cc3)c2C)cc1Cl 514433359 NLLCHWOHLFMYLF-UHFFFAOYSA-N 412.877 4.565 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C2CC2)nc2onc(CC(C)(C)C)c12 515171668 IQJYCZYNQCYLQD-UHFFFAOYSA-N 424.457 4.858 5 20 HJBD O=C(NCc1cc(F)ccc1F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 517829429 FVKOTYJYULAHHS-UHFFFAOYSA-N 400.406 4.954 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)cc1F 518286063 BEDSUXPCOLPACN-UHFFFAOYSA-N 412.373 4.801 5 20 HJBD CC(C)C[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@H](C)c1ccccc1 518984344 QNRUTOZRCOTFIM-NVXWUHKLSA-N 403.910 4.744 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(CCc3ccccc3F)C3CCCC3)n2)c1 520169751 HJBYRDGZEKQOPS-UHFFFAOYSA-N 410.449 4.771 5 20 HJBD CC(C)(C)C[C@H](O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 522715631 UMQVGWWGRPXLOK-ZDUSSCGKSA-N 411.296 4.504 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)cc([N+](=O)[O-])c1OC 525020903 KUXDZKRKBWQSJZ-UHFFFAOYSA-N 420.849 4.839 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2Cc3ccccc3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 525080131 XUECFQHBNOWVHS-SJORKVTESA-N 416.481 4.750 5 20 HJBD CCCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@H](OCC)C12CCCC2 525408252 RBWDLLXJMHPSJF-MOPGFXCFSA-N 414.506 4.595 5 20 HJBD COc1cc(CN[C@H]2COc3ccc(C)cc32)ccc1OCc1ccc([N+](=O)[O-])cc1 531915473 JFKUHPOICOAUCV-NRFANRHFSA-N 420.465 4.714 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)cc1Br 532114143 PGMLQSNDQBPQOP-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN(Cc3cccs3)Cc3cccs3)n2)cc1 532897777 FSQGQQQFAKTCFK-UHFFFAOYSA-N 412.496 4.970 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@@H]1c1cccc(Br)c1 534270209 POPHEMIVXNSMGD-ZBFHGGJFSA-N 421.316 4.755 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN(C)[C@@H](C)c1sc(C(C)C)nc1C 534477043 HNOGWMSDHAXRAM-AWEZNQCLSA-N 420.535 4.513 5 20 HJBD COc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1-c1ccccn1 535096423 UBEABZMRYQFLEK-UHFFFAOYSA-N 405.454 4.716 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@@H]3c3ccc(Cl)cc3)cs2)c1 538124070 GDYUEQAXIIVMCZ-RTBURBONSA-N 415.902 4.992 5 20 HJBD CC(C)CN(C(=O)c1ccc2nccn2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538254150 MXBCQOQKWJWPGU-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C)c(C(=O)NC(C)C)c3)o2)c([N+](=O)[O-])c1 539920510 FWOWFYFGWAMZKI-UHFFFAOYSA-N 423.469 4.922 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1c(F)cccc1F)C1CCCC1 541523916 IYDVQBXJJBSYBA-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD CCc1noc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)c(Cl)c2)n1 542476437 NKEFPTVYSYNLCM-UHFFFAOYSA-N 407.213 4.766 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543288159 GYVUIYZPJHDHTH-OAHLLOKOSA-N 406.891 4.713 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)c1Br 543449118 CIJJAEPFXSWYMD-NSHDSACASA-N 419.279 4.582 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCC[C@H]2CCCCO2)cc1 546173727 UADZFXLPTJKLDU-HSZRJFAPSA-N 420.513 4.886 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2c[nH]c3ccc(F)cc23)no1 546452963 BWTZBNSAYJDNJE-SNVBAGLBSA-N 416.796 4.982 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccnc2N2CCCCC2)no1 546989565 FHZPUCARTWIZKZ-AWEZNQCLSA-N 411.487 4.883 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(-n2cccn2)c(F)c1 549888059 VGQAGWCOGSFLNZ-AWEZNQCLSA-N 423.473 4.894 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(OCC(F)(F)F)c1 554436216 CMLLZLBBKJMFEH-UHFFFAOYSA-N 411.380 4.551 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 557371091 ACYNPYABLWPTCR-QGZVFWFLSA-N 424.419 4.763 5 20 HJBD C[C@@H](C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 560142370 PYRSHGPNRKOXQK-LLVKDONJSA-N 419.865 4.931 5 20 HJBD COc1ccc(-c2nc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)cs2)cc1OC 566776607 DKJQOBXVMKFLHK-UHFFFAOYSA-N 416.440 4.514 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 577921574 KIBGWPJWBIBNIG-UHFFFAOYSA-N 413.474 4.727 5 20 HJBD C[C@@H](Sc1nc(-c2ccc(Br)cc2)n[nH]1)c1ccccc1[N+](=O)[O-] 583355705 DWIBUEHGSNMYNX-SNVBAGLBSA-N 405.277 4.996 5 20 HJBD O=C(c1ccccc1Br)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742202 ONWBLHBSZZRHND-UHFFFAOYSA-N 417.181 4.562 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(CSc2ccccn2)c1 609207895 XFJYQNMYVXORBK-UHFFFAOYSA-N 423.498 4.516 5 20 HJBD COc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1Br 609572429 JRIWQTDYVPTXPF-UHFFFAOYSA-N 400.616 4.663 5 20 HJBD O=C(c1csc(-c2cccs2)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610210483 VQOTWZDCVUROPP-QGZVFWFLSA-N 403.510 4.668 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])nc12 610570022 KLUHLEIEPSBDMM-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD C[C@@H](c1nc(Cc2nc3cc(F)ccc3s2)no1)c1ccc([N+](=O)[O-])cc1F 612932097 OZRQIFGORKQDBM-SECBINFHSA-N 402.382 4.608 5 20 HJBD CC(=O)N(CCC(=O)OCc1nc2ccccc2c2ccccc12)Cc1ccccc1 726211230 GFILPGJNKJKCIA-UHFFFAOYSA-N 412.489 4.870 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)O[C@@H](CC(F)(F)F)c1ccc(Br)cc1 731994497 QBOPFMYRTJAOQO-ZDUSSCGKSA-N 421.169 4.546 5 20 HJBD CC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](O)c1c(F)cccc1F 742713369 IQDFUVDOSQZMRH-HKUYNNGSSA-N 419.453 4.598 5 20 HJBD Cc1cc(F)c(S(=O)(=O)N(C)c2ccc(-c3ccccc3)cc2)cc1[N+](=O)[O-] 743264344 OJWMABVLVAGONH-UHFFFAOYSA-N 400.431 4.534 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCCCC2)c(Cl)c1 748987096 BHFYYIWWUSZWFD-UHFFFAOYSA-N 402.882 4.603 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nccn2-c2ccc(C(F)(F)F)cc2)c1 749688969 KBYXJXJLLQNXNL-MRXNPFEDSA-N 409.389 4.625 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)o1 750841547 HXCVCLZCZUSHLW-KCJUWKMLSA-N 413.655 4.657 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 759457110 FRIKRCAUVCKZOT-WMZHIEFXSA-N 421.497 4.514 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c(C)c1 762989547 MPVQTLNKHGOAKI-OAHLLOKOSA-N 416.449 4.507 5 20 HJBD O=C(Nc1ccc(Br)cc1Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 777887221 ZYBZGMJZCPLSSP-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781630478 UGQRAFHPOTXWDN-AWEZNQCLSA-N 417.487 4.814 5 20 HJBD C[C@@H]1C[C@H]1[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781651198 LGLTUOQRNTYGAP-XSXHMXBXSA-N 417.849 4.603 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc3c(c2)C[C@@H](OC)C3)cc1OC 783890845 HZMJYRRPMNWPBU-YWZLYKJASA-N 414.502 4.754 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1NCc1nnc2c(Cl)cc(C(F)(F)F)cn12 804334691 JCYGSJGPRJFNIH-UHFFFAOYSA-N 406.151 4.575 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2N2CCCOC2=O)no1 809492288 BKIYBAHLWSJYFD-INIZCTEOSA-N 422.441 4.728 5 20 HJBD Cn1c(SCc2ccnc(Br)c2)nc2cc3ccccc3cc2c1=O 814730889 IDCAKNJTJPTOMW-UHFFFAOYSA-N 412.312 4.537 5 20 HJBD CCOC(=O)Nc1ccc2c(COc3cccc(Cl)c3[N+](=O)[O-])cc(=O)oc2c1 814773570 BYVSEWXHHWADNW-UHFFFAOYSA-N 418.789 4.502 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511521 MXMLCVWIBWTUSA-GFCCVEGCSA-N 424.375 4.921 5 20 HJBD O=C(c1ccc([N+](=O)[O-])o1)N1CCC[C@@H]1c1nc(-c2c(Cl)cccc2Cl)no1 904306986 PSXLLKJDEZMAGZ-LLVKDONJSA-N 423.212 4.522 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] 920268900 RORDZBCERWBQFN-OAHLLOKOSA-N 413.861 4.898 5 20 HJBD O=C(N[C@@H](c1ccc(Br)cc1)C1CCC1)c1cc([N+](=O)[O-])ccc1F 921163143 FCPJAUFBNZXYGX-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD C[C@@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccccc1C(F)(F)F 1257768897 HLHUWHLOHBIAMT-LBPRGKRZSA-N 407.348 4.922 5 20 HJBD CC(C)Oc1ncccc1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1322600081 HLYHQNCRZYOALC-UHFFFAOYSA-N 401.850 4.980 5 20 HJBD COc1ccc(-c2nnc(-c3cc4cc([N+](=O)[O-])ccc4o3)o2)cc1Br 1327306463 UBDCJFNOIZXSIN-UHFFFAOYSA-N 416.187 4.829 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc2nc(O)[nH]c2c1 1339064487 IIFUUTPYAFJLKC-LLVKDONJSA-N 412.833 4.941 5 20 HJBD O=C(COC(=O)C(c1ccccc1)c1ccccc1)Nc1cc([N+](=O)[O-])ccc1Cl 3402275 PLEKXIVJNNNHSP-UHFFFAOYSA-N 424.840 4.562 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1 9230982 DWIWZPUOHPWRFL-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nn(-c2ccccc2)c(=S)s1 28790466 RCGGKESUZMTWEV-UHFFFAOYSA-N 418.525 4.611 5 20 HJBD COC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 53884339 SSOARHXWLKSPQN-UHFFFAOYSA-N 406.891 4.650 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 55560329 DOFSETYLINCJRT-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)c1cccc([N+](=O)[O-])c1C 55593162 BXDDFIOBXFLAQE-HUUCEWRRSA-N 409.486 4.582 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 56147172 CUNVAKBPEVWYFX-MSOLQXFVSA-N 407.495 4.613 5 20 HJBD O=C(NC[C@H]1CCCN(Cc2cccs2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 140117387 JYELKPFHDWUDCC-CQSZACIVSA-N 415.540 4.513 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC)c(Cl)c3)cs2)c1 145910795 PNZYHQAREXJTFF-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CSc1ccc(C(=O)N[C@H]2CCCc3cc(OC(F)F)ccc32)cc1[N+](=O)[O-] 195739010 CJKBLMPZHZFSJJ-HNNXBMFYSA-N 408.426 4.726 5 20 HJBD O=[N+]([O-])c1cc(CNCCc2cn3ccccc3n2)ccc1Oc1ccc(F)cc1 237880592 FOJNHTNQRZGOAZ-UHFFFAOYSA-N 406.417 4.506 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H](OCCCc2ccccc2)C1 431068269 BTGTZJOMWLKWOG-QGZVFWFLSA-N 403.866 4.504 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2ccc(Cl)cc21 436233134 QVAFFHQTNALCMX-UHFFFAOYSA-N 410.807 4.816 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc(Cl)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 437221014 XLSBKZPVFFITBZ-MGPUTAFESA-N 424.834 4.899 5 20 HJBD O=C(N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 437473937 MXCYODRLQBCXNH-RZDIXWSQSA-N 404.416 4.636 5 20 HJBD CC(C)c1ccc(C(=O)NCCCCc2nc(-c3cccnc3)cs2)cc1[N+](=O)[O-] 439524480 FZQJBKUZHFTIBK-UHFFFAOYSA-N 424.526 4.989 5 20 HJBD C[C@H](CNc1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 443312709 NEIWYJLPWJHEJP-MRXNPFEDSA-N 407.495 4.976 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444040032 VXKFMPUMUAPJMI-HXUWFJFHSA-N 414.358 4.854 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445251505 IJXGZEWJZGPBSL-MRVPVSSYSA-N 403.685 4.905 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H](C)CSc3ccc(F)cc3)n2)c1 445575544 SNDBEAAJHLWESP-LBPRGKRZSA-N 403.435 4.612 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(Cl)c2SC(C)C)c([N+](=O)[O-])c1 462244525 HAJZXXGKYGPCIB-UHFFFAOYSA-N 409.895 4.808 5 20 HJBD CC(C)[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 463042681 HCNPWAOHHVFPSK-AOMKIAJQSA-N 405.454 4.538 5 20 HJBD COc1cc(CSc2nnc(-c3cc4ccccc4o3)o2)c([N+](=O)[O-])cc1F 463433253 ZTEXZGISMZUKMJ-UHFFFAOYSA-N 401.375 4.831 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 464278336 SXJFWPXSZRSDQC-PIGZYNQJSA-N 407.239 4.720 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Cl)ccc1OC(F)F 465295974 DTEVEHUSCPDATO-JTQLQIEISA-N 416.833 4.647 5 20 HJBD Cc1coc(-c2cccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 467993931 MNFQBXUKYQCSSJ-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD COCCN(C(=O)C[C@H]1CC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 468991239 KEVMFGIFSREJGK-GJZGRUSLSA-N 403.504 4.524 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1F 477473499 DZLFZQGGXGPRQM-UHFFFAOYSA-N 400.328 4.723 5 20 HJBD C[C@@H](N[C@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 480602285 AIDDQBBGRSHURE-AUUYWEPGSA-N 421.419 4.628 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@H](c2ccc(F)cc2)C1 480783364 ZJCPDUYIETWRKS-NSHDSACASA-N 405.298 4.777 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 481446244 SGRNLEIEEUJTTL-BFUOFWGJSA-N 401.850 4.769 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2scnc2-c2ccccc2)cc1SC 483493358 FZWQKJRNPLNDHR-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cn2cccn2)cc1 484382045 GFNNDTRVLJFFRS-UHFFFAOYSA-N 410.499 4.840 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(Cl)cc(C(F)(F)F)c2)c(C)c([N+](=O)[O-])c1 485943990 WDBQCOMTSGYMJF-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD CCn1c(SCC(=O)c2ccc(Cl)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 489420774 CDGOPUIFXYKMLB-UHFFFAOYSA-N 402.863 4.502 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 489587600 QEZFLWNHYPHPRR-XMSQKQJNSA-N 418.497 4.951 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCO[C@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 493208329 FHTVEBHATYFYNT-DJJJIMSYSA-N 421.856 4.540 5 20 HJBD C[C@H](NC(=O)N[C@@H]1CSc2ccc(Br)cc21)c1cccc([N+](=O)[O-])c1 494672405 GXHQMJWXEUTJOC-ZUZCIYMTSA-N 422.304 4.565 5 20 HJBD Cc1c(CSC(C)C)cccc1NS(=O)(=O)CCc1ccccc1[N+](=O)[O-] 499297316 XNLSVUACEJOMLJ-UHFFFAOYSA-N 408.545 4.529 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1cccs1 500761214 DHUKGUIPJPGGLJ-LJQANCHMSA-N 402.859 4.594 5 20 HJBD CC(C)[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1 501657897 UCLUVPYMOKOWDH-CYBMUJFWSA-N 401.257 4.685 5 20 HJBD CCCN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)CC(=O)Nc1ccccc1 502876214 HRPGLOHARCDNQE-KRWDZBQOSA-N 422.485 4.879 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])cc1OC 504749287 GJRVUNIXJOYUCJ-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)cn1 510480892 LUMCMUNCMXDJMJ-UHFFFAOYSA-N 419.481 4.928 5 20 HJBD CN(C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 514690049 AMRAHSWLZXGERG-BJHJDKERSA-N 408.804 4.597 5 20 HJBD O=C(Nc1c(F)cc(F)cc1-c1ccccc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 516781174 DWZSREUREISGBH-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD Cc1c([C@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])oc2ccccc12 521494323 SYOKSAIWILUGDU-AWEZNQCLSA-N 404.426 4.787 5 20 HJBD C[C@H](NC(=O)N[C@@H](COc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 521697300 SFYSFRGYYMSUSY-JTSKRJEESA-N 405.454 4.775 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2sccc2C(=O)C(C)(C)C)cc1OC 524666333 VIYBQTXGGRGGSR-UHFFFAOYSA-N 406.460 4.545 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCC3CCCCC3)cc2)cc([N+](=O)[O-])c1OC 525021652 FOHGXPSMXHLOJZ-UHFFFAOYSA-N 414.458 4.823 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3)CC2)cc1 527090123 ZLIIZQIDIVYWPP-UHFFFAOYSA-N 409.486 4.920 5 20 HJBD CNc1ccc(C(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)cc1[N+](=O)[O-] 531362074 BOILURVLHUUQAD-IBGZPJMESA-N 407.474 4.544 5 20 HJBD CCC(CC)[C@@H](C)C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 534175363 NYOFDNLSQSAPFC-CQSZACIVSA-N 405.520 4.770 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc2c(c1)CCC2 534793875 QTMIHMLFWAOFTH-UHFFFAOYSA-N 409.467 4.610 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)ccc2Br)cc1SC 535610706 GXVFBQJBJGGISB-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](NCCc1cccc([N+](=O)[O-])c1)c1ccccc1 536210570 SAQKCNYUPYVCAU-HSZRJFAPSA-N 419.481 4.506 5 20 HJBD CCC[C@H](NCc1cccc(C(=O)NCc2ccco2)c1)c1cccc([N+](=O)[O-])c1 537990930 MBXZNAQHJHUMHL-QFIPXVFZSA-N 407.470 4.749 5 20 HJBD O=C(Nc1ccc(Oc2nncc3ccccc23)cc1)c1ccc(F)cc1[N+](=O)[O-] 541242191 BQJAQTWEPQTWHU-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 542214617 QSMXYEYGSQMDGY-SNVBAGLBSA-N 413.952 4.972 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 542590684 NHCJTMKVBJFLTN-AEFFLSMTSA-N 414.458 4.941 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(Cc4ccc(C(F)(F)F)cn4)no3)cc2c1 545593665 VKUZTWSFLYSQBQ-UHFFFAOYSA-N 406.345 4.864 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(NC(=O)c3cccs3)c2)n1 545686723 JHDXHOZMRKHKIC-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(Cc2cccc(Cl)c2F)no1 546416357 ZJAMQHZAJHEDHJ-UHFFFAOYSA-N 412.204 4.636 5 20 HJBD CCOc1ccc([C@H](NCCC(=O)Nc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 548826056 DDLGROLWWADDPX-XMMPIXPASA-N 419.481 4.701 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2c[nH]c3ncccc23)CC1 549553233 YATSRGDAPXNVOV-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(C(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 552257548 LDLSQLKXXDXGSB-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1csc(-c2ccccc2Cl)n1 552607201 QFFTYHYXZCWHBO-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@@H]1c1cccc(Br)c1)c1ccccc1[N+](=O)[O-] 554059317 WFSNWCQORQKYNI-HUUCEWRRSA-N 403.276 4.512 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 555462624 ULOFSHFBKFJOAA-UHFFFAOYSA-N 410.367 4.848 5 20 HJBD CCC(C)(C)C1CCC2(CC1)C[C@H]2C(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 557036290 YGAMUHCEWZNSSZ-OJCRERRGSA-N 402.539 4.588 5 20 HJBD COCc1cnc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 557424853 KCDPZTBDOOSYMD-UHFFFAOYSA-N 419.846 4.896 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccncc2)ccc1OCc1ccc([N+](=O)[O-])cc1 568736709 XTWPDUMWHKQNOS-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)C(F)(F)F 575784868 VNHOHVQOFLFGIA-CYBMUJFWSA-N 423.391 4.777 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(OC(F)(F)F)c1 577302080 DROPDOOSTDETFI-UHFFFAOYSA-N 408.254 4.789 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2F)nc1 578455230 ZGJXTUMTMQRKAE-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)[C@@H]2c2ccccc2)cc1SC 609819449 LKUCLGOMBHJHGI-BFUOFWGJSA-N 418.540 4.644 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cc(Cl)cc([N+](=O)[O-])c1 609855812 GSTUYWVNCCKROU-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccc(Br)cc3)o2)c(Cl)c1 609890835 ZDKKXSVWOHUFJN-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3ccc([N+](=O)[O-])c(O)c3)s2)cc1 610091218 VCBADQVORSHEJE-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD Cn1cc(CN2CCC(c3ccc(F)c(F)c3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 611268861 FPRVWCUQWNKFPH-UHFFFAOYSA-N 412.440 4.653 5 20 HJBD CCc1ccc([C@H](Nc2ccc3cnn(CCOC)c3c2)c2ccc([N+](=O)[O-])cc2)o1 612415803 HOHODJFDADNABN-HSZRJFAPSA-N 420.469 4.948 5 20 HJBD O=C(O)[C@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1ccccc1 617338830 QEKLRXRHXSDDBE-SFHVURJKSA-N 424.428 4.559 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 727914921 HVYVTKHQEXHCIU-GFCCVEGCSA-N 416.459 4.526 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735367842 CMVJFQSYGIQESM-OAHLLOKOSA-N 407.426 4.762 5 20 HJBD COc1ccccc1CC(C)(C)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444046 VYKRWNDYQFLBGO-UHFFFAOYSA-N 410.426 4.571 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Oc1cccc(-c2nc(C(C)(C)C)cs2)c1 740793706 GDGZQYDSKYSVLP-UHFFFAOYSA-N 414.487 4.516 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)s1 744152758 WJRQPERYBNYDTD-QMMMGPOBSA-N 404.669 4.919 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(Cl)cc2)no1 744485696 CTKOOBTVDKVRKN-TUOYRWMMSA-N 413.817 4.540 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H](C)c2ccco2)c2ccccc2)c([N+](=O)[O-])c1 745130751 ALJLPZTWKOXHDW-QRWLVFNGSA-N 408.410 4.523 5 20 HJBD CC(C)(C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc2ccccc2c1 745415290 HVEBIWYGMBCTCF-UHFFFAOYSA-N 407.422 4.636 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)O[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746436013 BUUCYJRROVHLBZ-OAHLLOKOSA-N 423.265 4.839 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3n[nH]c(-c4ccccc4)n3)cc2)c1[N+](=O)[O-] 747191402 SDGGFMHWILDAAQ-UHFFFAOYSA-N 417.400 4.747 5 20 HJBD CSc1cccc(N(C(=O)c2cccc(Cl)c2[N+](=O)[O-])C2CCN(C)CC2)c1 750189445 BZSJFAIQPNDNEL-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD C[C@@H](C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 754258327 ZKQHEPFKLBGGLK-CYBMUJFWSA-N 401.394 4.721 5 20 HJBD Cc1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1NC(=O)C(C)(C)C 757231838 PQDCOSMCKQPIQN-UHFFFAOYSA-N 418.881 4.863 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 757675692 AVUYSXLJJXCXJE-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1C 758158127 FETZWGKASQSFCX-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NC(=S)NC[C@H]2CCCCO2)c1 758249930 AJKWAUNUAJNQJR-CYBMUJFWSA-N 409.558 4.663 5 20 HJBD Cc1nc([C@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)no1 759537804 FJZSWEZNOGTTDU-JTQLQIEISA-N 403.778 4.650 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cnc(-c2ccccc2)s1 760498403 QZVAMMMSIUTIGS-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(O)(c2ccccc2C(F)(F)F)CC1 769838722 PFJZBCVEWFXQHN-OAHLLOKOSA-N 408.420 4.967 5 20 HJBD CC(C)([C@H](OC(=O)c1cccc(F)c1F)c1ccc(Br)cc1)[N+](=O)[O-] 774374032 DPEGFWUQVPCRFQ-OAHLLOKOSA-N 414.202 4.681 5 20 HJBD CC(C)OCc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137096 NAKDJZXZWUBBNB-QFIPXVFZSA-N 406.438 4.861 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CN3CCC[C@@H]3c3nc4ccccc4s3)c2)c1 777951761 TWLJVSMDKDOQFJ-LJQANCHMSA-N 405.483 4.863 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cc1ccc(OCc2c(C)noc2C)cc1 778740196 SJFXWFVHMDPMII-MRXNPFEDSA-N 424.453 4.934 5 20 HJBD Cc1sc2nc([C@H](C)OC(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)nc(O)c2c1C 784256848 HYIOSMMQUSBEAD-NSHDSACASA-N 415.471 4.963 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790349542 SEEHQMBIVJAWEZ-HNNXBMFYSA-N 413.449 4.618 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)c([N+](=O)[O-])c1 792877603 SYAMEFBOKOQIHJ-FQEVSTJZSA-N 413.380 4.855 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 804466369 VWRRCDAMZOTKPL-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD Cc1c(C(=O)Nc2ncc(-c3ccc(Cl)cc3)s2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 812308589 QWYJRWWFHIEIAB-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD Cc1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1N(C)C 914770220 HFENTJKMVQHDKB-UHFFFAOYSA-N 413.421 4.719 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@@H](OCC(F)(F)F)C1 917091882 MGZJUDRDYKKITM-MRVPVSSYSA-N 406.710 4.815 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1Cl 917585425 CHYYNRZKDAQJSO-UHFFFAOYSA-N 420.922 4.976 5 20 HJBD Cc1cc(C(=O)OCC(=O)N2c3ccccc3CCc3ccccc32)cc([N+](=O)[O-])c1 920899927 ISEUMLAUBLOFRI-UHFFFAOYSA-N 416.433 4.524 5 20 HJBD CCOc1ccc(-c2nc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 921132497 ASRRQYZRVQTVIU-UHFFFAOYSA-N 404.831 4.990 5 20 HJBD COc1ccc(Br)c(-c2nnc(/C=C\c3ccc([N+](=O)[O-])cc3)o2)c1 1345948336 CRZYAAFHMIXIJO-WTKPLQERSA-N 402.204 4.586 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1nc2ccccc2s1 14825937 UDBDNFAMQZFNMB-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 18456626 XXVQPCLAJBGMHW-LBPRGKRZSA-N 404.850 4.730 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N2CCCCCC2)cc1OC 29847915 VOMWWOKUNCARQI-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD COc1ccc(CSc2nnc3c(Cl)cc(C(F)(F)F)cn23)cc1[N+](=O)[O-] 42152031 MRBPYIGCSIGJRX-UHFFFAOYSA-N 418.784 4.611 5 20 HJBD Cc1cccc(OCCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 59253399 WFKQQBMJPKEOGA-UHFFFAOYSA-N 410.392 4.653 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(Br)cc1Cl 65715508 KUBRMEKWUQKDNE-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CCCCC1)c1n[nH]c2ccc([N+](=O)[O-])cc12 110019009 MCQANCMWUYOUBO-UHFFFAOYSA-N 404.430 4.578 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)c(Cl)c1 110024817 XGKXSKYTEWCNSG-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CC[C@@H](Nc2ccccc2)C1 110548491 OULAORPSAMCZAS-GOSISDBHSA-N 403.438 4.714 5 20 HJBD CN(C)CC1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c4cnccc34)cc2)CC1 301357174 LBKPWYIBEJXVOI-UHFFFAOYSA-N 405.502 4.665 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccs3)n2Cc2ccco2)c(F)c1 301655585 APVIHZNKEMRKFF-UHFFFAOYSA-N 402.432 4.846 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)c1 303362099 ZXGXYQYMWIMUIH-AWEZNQCLSA-N 411.483 4.864 5 20 HJBD Cc1cccnc1[C@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C 303643357 RPCWUJOEKOCYBM-GOSISDBHSA-N 424.423 4.633 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 410191172 LWZCTDSIZVGBGG-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429797445 WAQHLFLCKGXSCR-OAHLLOKOSA-N 407.264 4.637 5 20 HJBD COc1ccc(N(C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])C(C)C)cc1F 439259965 NYGWKRYGNJMGDH-UHFFFAOYSA-N 409.417 4.860 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1ncc(Br)cn1 439285517 OONHRCKDVXSVKB-SSDOTTSWSA-N 419.098 4.763 5 20 HJBD CN(C(=O)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1)c1ccc([N+](=O)[O-])cc1 443835282 NVAUWXXLIJLVCR-UHFFFAOYSA-N 418.384 4.728 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C2CCCCC2)no1 444118972 PICLTJXDIATOPA-CQSZACIVSA-N 416.481 4.603 5 20 HJBD CC(C)(c1noc(-c2cc(O)nc3ccc([N+](=O)[O-])cc23)n1)c1ccccc1Cl 445694238 BXPBNNFCIRYYTR-UHFFFAOYSA-N 410.817 4.878 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 447539688 AWAQVHJIRPOMQZ-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD CC[C@H](Cc1ccccc1)N(C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 460299164 RJHUBDSDBKHLCF-JOCHJYFZSA-N 420.513 4.550 5 20 HJBD COc1cccc(-n2c(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)nc3ccccc32)c1 460389437 SOVSIFRCKWJDTH-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)c([N+](=O)[O-])cc1OC 460548974 IDQIQCSDHAHHQO-ASNKJTAVSA-N 418.877 4.783 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2nnc(-c3cc4c(s3)CCCC4)o2)C2CC2)c1 461389366 OSNCTHZDVPVTJI-UHFFFAOYSA-N 410.499 4.750 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1c2ccccc2CC12CCOCC2 462529897 RRUQBUAMRIXGDM-QFIPXVFZSA-N 423.513 4.609 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464224465 BFXFXQHBVXHUSH-MRXNPFEDSA-N 411.458 4.594 5 20 HJBD Cc1nn(-c2ccc(F)cc2F)c(C)c1CNCc1ccc([N+](=O)[O-])cc1Cl 466467708 ZGKFDNDKIPJDJF-UHFFFAOYSA-N 406.820 4.619 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(C)c1 470339418 YLBLYHWHOIWXTL-HNNXBMFYSA-N 410.449 4.923 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 479779023 QVWGQQJVGSKNJU-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD CC[C@H](CN(CC)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccccc1 482252073 IHMXGCWQTFYTIR-OAHLLOKOSA-N 402.516 4.981 5 20 HJBD CCN(CC)C[C@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487943149 HLVUGBUOXWQATM-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccco1 489575072 UBYXZTDPWCASFA-SFHVURJKSA-N 401.806 4.761 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492964977 XZXWWUBBBCPAJP-RTBURBONSA-N 404.388 4.908 5 20 HJBD Cc1c(NC(=O)N(C)Cc2cc(Br)ccc2Cl)cccc1[N+](=O)[O-] 494180008 QQPKSPVALWMFLM-UHFFFAOYSA-N 412.671 4.983 5 20 HJBD COc1cc(C(=O)N[C@H]2CCc3ccc(-c4ccccc4)cc32)c([N+](=O)[O-])cc1OC 494514128 VVOQPVVGQRGBMR-FQEVSTJZSA-N 418.449 4.696 5 20 HJBD CCn1c(S[C@H](C(=O)OC)c2ccccc2)nc2cc3ccccc3cc2c1=O 495279612 KOMGRAQXOKFLPE-FQEVSTJZSA-N 404.491 4.576 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc([N+](=O)[O-])c2cccnc12 497907458 ICPDNMIBHCJGLI-UHFFFAOYSA-N 419.466 4.605 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3c(N)cccc3[N+](=O)[O-])cc2Cl)n1 499954812 FLQMZXSIRVACSE-UHFFFAOYSA-N 420.903 4.999 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 505091186 RPIPDCIIRWOWLU-UHFFFAOYSA-N 417.509 4.666 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 517700163 TWKKBCMFNRCTHO-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 520680183 ZCGZUERXZDQTQH-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1cc(S(=O)(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)ccc1[N+](=O)[O-] 522360101 WVKSSJNQJZNIEE-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 524130771 YMGTZKHTQGVMRL-KBPBESRZSA-N 405.401 4.915 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 534667701 YWVLYXHCGRSVHY-UHFFFAOYSA-N 414.465 4.729 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc([S@@](C)=O)cc1 535191076 OGAPSJSNZXWBFK-LOKFHWFJSA-N 400.525 4.912 5 20 HJBD Cc1ccccc1-c1nnc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1C 536300613 OMECXALJYXXSQX-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](c2ccccn2)c2ccccc2OC)cc1[N+](=O)[O-] 539052699 OYEAVMOHSKDRMP-MWTRTKDXSA-N 407.470 4.837 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccccn2)s1 539629193 VPPZWCWTMCMSSG-UHFFFAOYSA-N 415.500 4.653 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 542179197 KCMMHYCKUHMVJM-INIZCTEOSA-N 420.309 4.918 5 20 HJBD COc1ccc(-c2csc(CNC(=O)CSc3ccccc3[N+](=O)[O-])c2)cc1 542194060 ROPUUEAJPKXRCU-UHFFFAOYSA-N 414.508 4.740 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)Nc1ccc([N+](=O)[O-])cc1F 542709150 DFUDWHGAQQWUSA-UHFFFAOYSA-N 409.417 4.772 5 20 HJBD C[C@H](C(=O)N1CCC(c2nc(C(C)(C)C)cs2)CC1)c1ccc([N+](=O)[O-])cc1F 543584989 BTKHRAWQOWADHZ-ZDUSSCGKSA-N 419.522 4.998 5 20 HJBD CCC1(CO)CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 544556482 QXSQAOPXWBETMV-UHFFFAOYSA-N 406.548 4.502 5 20 HJBD Cc1cc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c2c(C(C)C)noc2n1 545073355 BHHHJARXFPMWMF-UHFFFAOYSA-N 418.413 4.685 5 20 HJBD CC[C@@H](C)n1ncc2c(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)cc(C)nc21 545145408 HVLPVSSYAKSRFK-CQSZACIVSA-N 406.446 4.568 5 20 HJBD O=C1CCCC(=O)N1Cc1ccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1 546264845 HMZFQGJSRPEUBQ-UHFFFAOYSA-N 419.437 4.506 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(CC)Cc2cccc(-c3ccncc3)c2)c1 549301434 YZOSHUDIGCPNOF-UHFFFAOYSA-N 405.454 4.718 5 20 HJBD O=C(Cc1ccc(Br)cc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 554141976 NXKRWTHTHLJZEO-UHFFFAOYSA-N 403.276 4.876 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1OC 554703661 LQPDJMILNCKBJQ-INIZCTEOSA-N 400.475 4.972 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cncc(-c3ccc4c(c3)CCO4)c2)n1 564693155 SKINWIAMGMJRAX-UHFFFAOYSA-N 400.394 4.617 5 20 HJBD CC(=O)c1cc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)ccc1[N+](=O)[O-] 568861509 LPHXOOVZCDUAGM-UHFFFAOYSA-N 413.437 4.657 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)cn1 571227890 GYDFVONLPPLDER-HNNXBMFYSA-N 406.442 4.857 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1C[C@@H]2CCCCN2c2ccccc21 573279875 ODTCTPKTNVGQBQ-SFHVURJKSA-N 409.489 4.701 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1OC 590592948 RBFDKPKSQATDIG-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(C(N)=O)c(Cl)c2)cc1[N+](=O)[O-] 603569866 LLEDVCYHMOKYRF-UHFFFAOYSA-N 421.906 4.738 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603660011 OVQAPVOBKWCAQM-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD CC[C@H](C)[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 603964627 YKPNSAYFRWVPAS-KSMMKXTCSA-N 412.515 4.855 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(Cc1ccccn1)c1ccc(Br)cc1 603993900 FQLHHLSZXXKLSS-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)cc1 604376541 MPCQALYLCFLYQL-SFHVURJKSA-N 422.915 4.519 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 604472841 HJIXWYIVSGYOGT-LBPRGKRZSA-N 418.375 4.751 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1N1CCCCC1 608874328 LGPXMDSAIPVKPL-ZDUSSCGKSA-N 421.856 4.784 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nnc(CCC4CCCCC4)o3)c1)OCOC2 608929873 YDRJRPHHIDZSGU-UHFFFAOYSA-N 405.476 4.650 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(CC3CC3)CC3CC3)cc2[N+](=O)[O-])n1 609652855 ULXQEILTPXTSKA-UHFFFAOYSA-N 403.529 4.773 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609855946 ASYLOVDYJMBXLR-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N3CCc4c3cccc4[N+](=O)[O-])s2)cc1 609972416 JOCRNULLDMAMHU-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 609980341 GMCULTJMOHNVAU-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD CCc1cc(N2CCO[C@H](c3ccccc3C)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 610279786 AQCZUFOCTASSNB-NRFANRHFSA-N 404.470 4.501 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)Nc1cc([N+](=O)[O-])ccc1O 611294658 DYQAQZYWMYDSNP-UHFFFAOYSA-N 423.372 4.624 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC(c4nc5ccccc5s4)CC3)c2)cc1 728895627 BBLABSRKLKZARQ-UHFFFAOYSA-N 419.510 4.905 5 20 HJBD CCOC(=O)c1oc2ccccc2c1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730645052 JGYDKTLDIAFXOL-UHFFFAOYSA-N 403.774 4.528 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)CCc3ccccc3F)cc2[N+](=O)[O-])C1 731592909 WDTMFEPTDZHFMO-IRXDYDNUSA-N 413.493 4.531 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2)nc1 733250757 JNQFBZRWACEMJE-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1c(C(=O)Nc2cc(F)ccc2SCC(F)F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 737613026 XZOIONMYHHYSGK-UHFFFAOYSA-N 415.349 4.560 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 741327975 ROIFOJDHFKEGJY-LLVKDONJSA-N 417.215 4.930 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3cccc(F)c3)o2)c(Cc2ccccc2)c1 741851052 HSCYJEFMZQXODZ-UHFFFAOYSA-N 405.385 4.954 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742001307 SZVIXSZPKOUTST-XIWSCHCOSA-N 403.822 4.592 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@H](O)c2cccc(C(F)(F)F)c2)c(Br)c1 742405409 HXFGMCNEDZKRHK-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](O)c1c(F)cccc1Cl 744446571 BHWCIHSXXMXLLP-BBATYDOGSA-N 421.881 4.723 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nc(Cc2ccc(Cl)cc2)no1 744481547 VVAGAQWQVHHAPY-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cccc(Cl)n3)cc2[N+](=O)[O-])n1 744499239 SRXKSZHOAKHNIG-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD Cc1ccc2c(CCC(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c2c1 745761642 WHDBVYOYNBYXIO-AWEZNQCLSA-N 420.425 4.672 5 20 HJBD Cc1c(C(=O)N2CCCSc3ccc(Cl)cc32)cc([N+](=O)[O-])cc1[N+](=O)[O-] 750928161 VEGIKZRPPYJYND-UHFFFAOYSA-N 407.835 4.607 5 20 HJBD Cc1nn(-c2ccccc2)c(Cl)c1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 753633948 IEDDXHZFOSCWRR-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1c(C(=O)Nc2cccc(CCC(F)(F)F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 753696590 HOLQHHNZVWNJQH-UHFFFAOYSA-N 411.336 4.867 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](c3ccccc3)c3ccccc32)cc1[N+](=O)[O-] 767143848 ZVXWOTQVFMRJTO-GOSISDBHSA-N 403.394 4.604 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NC(=S)NCCc2cccnc2)c1 771836226 BFBCDNYWHDAOAD-UHFFFAOYSA-N 416.553 4.732 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 772927228 DOMPCHJUOIHAEN-KSSFIOAISA-N 407.829 4.507 5 20 HJBD COc1ccc([C@@H](C)CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776132038 HKNRTOBIIJFDKM-QMHKHESXSA-N 406.438 4.825 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cccc(SC)c1[N+](=O)[O-])C(C)C 776875453 ZGAXSRCJNNYFNL-UHFFFAOYSA-N 415.515 4.830 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3ccc(SC(F)F)cc3)[n+]2[O-])c1 781548253 YGDYVCFMUIBSNU-DAXSKMNVSA-N 401.394 4.775 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)cnc1Cl 787956434 LLDOJFYRYVKRRV-LLVKDONJSA-N 412.671 4.613 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Cl)c(Br)c1)c1ccc([N+](=O)[O-])cn1 794948201 FBMXRCRVOBETSR-GHXNOFRVSA-N 411.639 4.509 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CSCc1coc(-c2ccccc2)n1 800146292 PUAWCGLMLVZNCY-UHFFFAOYSA-N 401.419 4.569 5 20 HJBD COc1ccc2cc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])ccc2c1 803454364 AHWRLURFXCJDIR-UHFFFAOYSA-N 420.343 4.950 5 20 HJBD O=C(/C=C/c1ccc(Cl)nc1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220984 XAQRMBCIYHVMLR-FNORWQNLSA-N 401.831 4.523 5 20 HJBD CC(C)(CCc1noc(-c2cc(Br)cc(C(F)(F)F)c2)n1)[N+](=O)[O-] 812813111 BUZGZUFQBOGVHJ-UHFFFAOYSA-N 408.174 4.506 5 20 HJBD O=C(CCc1cc(Br)ccc1F)Nc1cccc([N+](=O)[O-])c1Cl 813243872 COXARIBYZBMZEV-UHFFFAOYSA-N 401.619 4.721 5 20 HJBD CCOc1cc2c(cc1NCc1c(Br)cccc1[N+](=O)[O-])O[C@@H](C)C2 864026770 BZUVXURFEKITKJ-NSHDSACASA-N 407.264 4.692 5 20 HJBD O=[N+]([O-])c1ccc(-c2cc(-c3nc(-c4cc(Br)cs4)no3)n[nH]2)cc1 904394335 AZKXBKXPUUDVRG-UHFFFAOYSA-N 418.232 4.526 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H](C)c2ccc(C)cc2)c([N+](=O)[O-])c1Br 917091923 OKTVBYCZMYSLMN-JTQLQIEISA-N 410.224 4.756 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccccc2)oc1C1CC1 1115891313 WEXYKZJCHQXLKD-UHFFFAOYSA-N 415.352 4.981 5 20 HJBD Cc1nc([C@@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])no1 1116636876 BVLAVDZBQRODHV-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1nc(-c2ccccc2)oc1C1CC1 1317965284 RVAKWPSUMBCCBE-UHFFFAOYSA-N 421.409 4.541 5 20 HJBD O=C(COc1ccc(F)c(Cl)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 7126346 QMBOEMJYNWEZLU-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 22689406 IAYBKAIUHFVNDP-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 22742424 FKMZIZIUPYKDAU-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD CCOCc1ccc(CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 30124775 UDINFCQDXFCWON-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cccnc2)c1 46536640 UIIMMPSBGBSXIA-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD COc1ccccc1-c1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cs1 58684325 MVSNOAPRHBGNKH-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc([N+](=O)[O-])cc3F)cc2)cc1 58789602 DTSKTXOHFLGVDL-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 159054992 HJBRWOYAEZKDPM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=c1c(Cl)c(N[C@H]2CCc3c(Cl)cccc32)cnn1-c1ccc([N+](=O)[O-])cc1 301154499 FLEZPTZWHBEJPR-INIZCTEOSA-N 417.252 4.547 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)Cc2cccs2)cc1[N+](=O)[O-] 409980119 NOTBKOUUUXFJKN-UHFFFAOYSA-N 424.482 4.522 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2C)C(C)(C)C)cc1OC 430574210 SAAUBQJGKNJOJG-FQEVSTJZSA-N 400.475 4.828 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cccc(OC)c2[N+](=O)[O-])cc1 435872132 NFNTYPUBJXNGDW-QFIPXVFZSA-N 419.481 4.813 5 20 HJBD Cc1ccc([N+](=O)[O-])c(S(=O)(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)c1C 435896331 DGWPTEMEFBXISW-UHFFFAOYSA-N 422.812 4.709 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1cc(Oc2ccc(F)cc2)ccn1 436405369 GULYOUXDGOEUOX-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD COc1ccc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1F 440617783 VFCJRIRHPFGXSH-UHFFFAOYSA-N 408.429 4.909 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(Br)cc2cccnc12 463392403 QWDRWDSSIADWMW-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 463924730 IOTNUCWJHFPQEF-UHFFFAOYSA-N 405.376 4.632 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 470252843 WORSTTVEUGDQKT-HXUWFJFHSA-N 423.469 4.765 5 20 HJBD C[C@H](C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 473250195 PUIUGENACJUYGU-VIFPVBQESA-N 403.307 4.589 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1c(F)cccc1Cl 477239705 MQAPZNRVIJGGKA-CQSZACIVSA-N 408.860 4.825 5 20 HJBD COCCN(C(=O)[C@H]1CC12CCCCC2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 479065332 GYTYUUAHNSADTC-QGZVFWFLSA-N 415.515 4.668 5 20 HJBD COc1cc(CNCc2cccc([N+](=O)[O-])c2C)cc(OC)c1OCc1ccccc1 480500235 POPGWEMDAVEZCC-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD Cn1cnc(-c2cccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)c2)n1 482531069 JJEFPWNZKSHOSS-UHFFFAOYSA-N 419.416 4.934 5 20 HJBD CCc1c(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482733739 MCYPLCHMMDVADO-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](c3ccccc3F)C2)cc1SC 482892539 BFGQSGNXBGSPHD-CHWSQXEVSA-N 404.463 4.531 5 20 HJBD COCCN(C(=O)[C@H]1CCCC1(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 483948353 RJCWVTJHXBDXGX-MRXNPFEDSA-N 403.504 4.524 5 20 HJBD Cc1ccccc1NC(=O)CCSc1nc2cc3ccccc3cc2c(=O)n1C 488765308 LQUQYVXQBWBEJG-UHFFFAOYSA-N 403.507 4.516 5 20 HJBD Cc1ccc(N[C@@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc1N1C(=O)CCC1=O 495200813 KYGGKQBYVOSPPA-DEOSSOPVSA-N 415.449 4.758 5 20 HJBD COc1ccc([C@H]2C[C@H](NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1F 500516836 VAVUQJGRXWJMHN-WKILWMFISA-N 413.474 4.902 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc2c(Cl)cc(C(F)(F)F)cn12 504549863 YEERUBFSKJJNIF-UHFFFAOYSA-N 406.748 4.741 5 20 HJBD COCCN(Cc1c(F)cccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 505781142 DTCBBAVBJUCDFO-ZDUSSCGKSA-N 400.372 4.962 5 20 HJBD Cc1cc(NC(=O)c2ccc(Cl)c3ncccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 509117229 JSSNYYGVUPIBIU-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)Cc2ccc(Cl)s2)cc1[N+](=O)[O-] 509580251 VQEVZIFDFVMHEM-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N3CCCc4ccc([N+](=O)[O-])cc43)n2)cc1 509961613 YOHPEOVRTGQIPB-UHFFFAOYSA-N 420.469 4.680 5 20 HJBD CCN(CC)C(=O)c1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1 512610317 ZDKYFOVIRJKUKI-UHFFFAOYSA-N 423.469 4.958 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 512709229 WPRWYGUCNREHBT-ZDUSSCGKSA-N 412.877 4.601 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)Nc1cc([N+](=O)[O-])ccc1OC(F)F 514501617 GGIDJLZLOJUCPC-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])ccc3C)c2C(F)(F)F)c1 514653263 LUIDJKIDQUMLBV-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 516715648 ZXFYYCGDHZTZTP-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@H]3c3cccc(Br)c3)c1)OCOC2 518459422 HDRVSIILXNDAIJ-SFHVURJKSA-N 419.275 4.561 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC(N2CCCCC2)CC1 522708497 XEWOHFJYFOSUDD-UHFFFAOYSA-N 424.501 4.869 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2CC(C)C)c([N+](=O)[O-])cc1OC(F)F 524864216 FOYOKUBHYLWPAL-CYBMUJFWSA-N 400.422 4.636 5 20 HJBD COc1cccc(COc2cccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)c2C)c1 534480240 UFWSRPVBPVZFTQ-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD COc1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1-c1ccccn1 535876964 PVOFXULXQMSKQV-QFIPXVFZSA-N 421.478 4.553 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(Sc2nncs2)cc1 536684741 BADGAYRZVXEUKL-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CN[C@@H](Cc1ccc(Cl)cc1Cl)c1ccc(F)cc1 538218535 ZTIPIOUNUNINAX-KRWDZBQOSA-N 423.275 4.848 5 20 HJBD COc1ccc(CC[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 539293401 RZROAAQQWSUAKO-OAHLLOKOSA-N 402.516 4.855 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 539339932 QFVOFZAPBISHKK-CQSZACIVSA-N 410.861 4.600 5 20 HJBD COc1cc([C@H](C)NCc2cccc([N+](=O)[O-])c2C)ccc1OCc1ccncc1 540354264 LUIRXNSBQLFMQM-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1F 542635357 YVBTXKRDIHLDSX-KBPBESRZSA-N 413.474 4.853 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 543465044 VPZYXJJEQSAPHE-KGLIPLIRSA-N 404.438 4.511 5 20 HJBD C[C@H](Nc1ccc(-c2nc(Cc3ccccc3F)no2)cc1[N+](=O)[O-])c1ccccn1 544929682 OOJLCASEJOPAAW-AWEZNQCLSA-N 419.416 4.943 5 20 HJBD Cc1cc(Cc2noc(CSc3ccc(C(F)(F)F)cn3)n2)ccc1[N+](=O)[O-] 545787186 ZHHXEOQHZOOHPK-UHFFFAOYSA-N 410.377 4.583 5 20 HJBD O=C(c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)N1CCC[C@@H]1C(F)F 553286990 UOZANNLRDIIBOQ-MRXNPFEDSA-N 409.820 4.730 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@@H]3c3cc(F)cc(Br)c3)ncnc2s1 557639195 NTBQUMYHPBRWMI-CYBMUJFWSA-N 423.267 4.843 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cccc(Cn5ccnc5)c4)no3)cc12 577023257 NPKOFEWSLSLUDK-UHFFFAOYSA-N 419.444 4.643 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc([C@H](C)c2cccc([N+](=O)[O-])c2)n1 578800219 ZWMFHRRSCRANTF-BDJLRTHQSA-N 418.247 4.628 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)ccc1[N+](=O)[O-] 583100701 TYYOVONPNIKMLQ-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2ccc([N+](=O)[O-])cc12 589870424 VOMHQTLKMDKQLJ-KPZWWZAWSA-N 418.375 4.523 5 20 HJBD CCOc1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C(C)C)cc1 603529058 FSRFYQTVELCIFE-UHFFFAOYSA-N 411.502 4.645 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc12 603569181 YKSMUFYMIBCJHC-UHFFFAOYSA-N 420.494 4.635 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 604258187 VCSAIXAKFIYEEK-AOOOYVTPSA-N 416.343 4.520 5 20 HJBD O=[N+]([O-])c1cccc(CSCc2noc(Cc3ccc(Br)cc3)n2)c1 609544140 OFQULRUOCBQBCV-UHFFFAOYSA-N 420.288 4.765 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(Cl)c2Cl)cc1OC 609796106 MZXRSXPCJFKYOA-JTQLQIEISA-N 413.257 4.800 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)ccc1[N+](=O)[O-] 609836132 MOMDNYXJWFZHDL-IBGZPJMESA-N 410.861 4.924 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)o1 610040517 YQEYKEPOKPIYMJ-BZNIZROVSA-N 419.275 4.879 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccc(Br)c1 610046701 YIVVTQYRRMBOFK-OALUTQOASA-N 417.303 4.600 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 610222267 OJQAYXGTWFMIBS-KGLIPLIRSA-N 423.252 4.805 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3C)c2C(F)(F)F)cc1 619717978 GUBVEFAJPXDZLQ-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1 729957341 KQRKHWGHMQNKEV-UHFFFAOYSA-N 419.416 4.596 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1csc2ccccc12 729986628 OFMRMDNPLFMKMF-ZDUSSCGKSA-N 406.467 4.947 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1ccc2ccccc2c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 731268618 NWFLJJDCZHJALP-AGRHKRQWSA-N 422.412 4.561 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1c1ccc(F)c(F)c1 739729555 XSLQQVDZNWAZQD-INIZCTEOSA-N 411.202 4.613 5 20 HJBD O=C(Nc1nc(COc2ccccc2)cs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744353716 BUSGFVSYVWCFHN-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])s1 749983481 PHIUOPSXSBNIKG-GFCCVEGCSA-N 402.863 4.894 5 20 HJBD C[C@@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750546117 AIFJNRPBFNETMB-DTWKUNHWSA-N 417.270 4.637 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1cccc([N+](=O)[O-])c1Br 753826737 ZGMQRDACNWXUOW-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(Cc3cccc4ccccc34)no2)C1 761566343 CSDCMAGPTQCEPW-FQEVSTJZSA-N 400.394 4.588 5 20 HJBD O=C(N[C@H]1CCCc2sccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 768589375 AOBCBEAPBKACJD-NSHDSACASA-N 415.696 4.880 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] 769288633 WMATUJRIVRFKGK-BDJLRTHQSA-N 414.330 4.958 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 769756151 JTPJBGAUICBONF-UHFFFAOYSA-N 424.888 4.606 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(N2CCC(n3cc(Br)cn3)CC2)c(Cl)c1 772055384 WLGXBIWWJZRGHA-UHFFFAOYSA-N 420.094 4.702 5 20 HJBD O=C(OCC1(c2ccccc2)CCOCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 774374567 MFXLIXDCDSGIOI-UHFFFAOYSA-N 413.473 4.587 5 20 HJBD COc1ccc(C[C@@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137021 LZQKJLBEFGXFQN-ZHRRBRCNSA-N 406.438 4.510 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 777830694 UTCJBMIAKWXQJE-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)c1cc([N+](=O)[O-])ccc1Br 780304795 ZRCVMQAVXNAIMY-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CCOc1ccc(C(C)=O)cc1COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260505 URLBRHADXFGNPW-UHFFFAOYSA-N 415.445 4.640 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 786929456 XGLXXHSYMFUHKB-UHFFFAOYSA-N 417.428 4.539 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796443913 SNXMOWPVWBTUNJ-HZPDHXFCSA-N 412.486 4.956 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 801569412 QSHIRPPNOJBDNG-ACDBMABISA-N 405.498 4.746 5 20 HJBD Cc1nnc(-c2ccc(C(=O)OCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)o1 811083997 SWEYZPRFCWWCHR-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=C(Nc1nc(CC(F)(F)F)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 819300276 AAZOYHQMIPQLGW-UHFFFAOYSA-N 400.165 4.715 5 20 HJBD Cc1cccn2cc(COc3ccccc3C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)nc12 841589339 GSRJAKAWROXNNP-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1ccc(Br)c([N+](=O)[O-])c1 915163406 HKHWDTJYAKPMHV-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116908421 MXGFCFQKMROIHM-CZIWCDLHSA-N 405.376 4.585 5 20 HJBD Cc1cc(OCCCc2nnc(COc3ccccc3[N+](=O)[O-])o2)ccc1Cl 1118418180 PFOPOYFOVVELFY-UHFFFAOYSA-N 403.822 4.530 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1319457563 GGJNJSYEGHRTKJ-GFCCVEGCSA-N 418.375 4.510 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2cc3ccccc3[nH]2)CC1 1319478603 OODPWYAQYUPGBK-UHFFFAOYSA-N 406.486 4.585 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@H]4OCCC[C@H]4C3)o2)c(Br)c1 1319712618 PGUKMXPYFFFZQY-SCLBCKFNSA-N 421.291 4.618 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(c4ncnc5c(Cl)cc([N+](=O)[O-])cc45)C3)[nH]c2c1 1327267941 KLQVUZQCQPMHIG-CYBMUJFWSA-N 422.876 4.760 5 20 HJBD Cc1ccccc1-n1c(SCc2cccc([N+](=O)[O-])c2)nnc1-c1cccnc1 3465124 GNXSLJYTKQIFBJ-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(-c2ccccc2)cc1 5611034 JDARJCBXGUOIFC-UHFFFAOYSA-N 401.378 4.669 5 20 HJBD COc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cc(OC)c1OCc1ccccc1 16961074 KUXZVQKNPOGBDD-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(F)ccc2F)cc1 33147336 CDFIAUCEBCSCKV-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2ccccc2[N+](=O)[O-])cc1 46405220 KTMZBZBTOUDAPS-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD Cc1ccc(NC(=O)CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(Br)c1 64350523 FKIAAAHBZZPFLW-UHFFFAOYSA-N 420.307 4.515 5 20 HJBD CCCOc1ccc(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)cc1OCC 105526124 RMMIEHKKYAYAPV-UHFFFAOYSA-N 424.457 4.529 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c(OC(F)F)c3)cc2F)CC1 301947386 DDAABKGTMDGLMM-UHFFFAOYSA-N 424.423 4.609 5 20 HJBD COc1ccc([C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1OC 303621611 NBROSZNLPSAVFE-IBGZPJMESA-N 423.469 4.647 5 20 HJBD CCc1ccc(OCC(=O)N[C@H](c2ccc(C)cc2)c2cccs2)c([N+](=O)[O-])c1 303667778 XZLGXOILVHEPMB-JOCHJYFZSA-N 410.495 4.812 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2noc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 430474653 FFYRWXGKBVMTNH-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD COc1ccc(CN(CCc2ccccn2)C(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1 432413404 MDBPBGYDWSQKEE-UHFFFAOYSA-N 420.469 4.584 5 20 HJBD COc1cccc(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1[N+](=O)[O-] 435865213 OYHYULPYFVIBRR-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](C)c3ncn(C)n3)cc2[N+](=O)[O-])c1 436398374 FJWMUCZPHDLHIB-MRXNPFEDSA-N 409.490 4.798 5 20 HJBD O=C(CCc1ccccc1OC(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437094996 IWZTYHRXMGYASD-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD Cc1nc(NC(=O)c2c(-c3ccc(Cl)c(Cl)c3)noc2C)ccc1[N+](=O)[O-] 439081222 VIQRUXFRLCWRQM-UHFFFAOYSA-N 407.213 4.821 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 440618537 BAFOTKVXONNXFU-LLVKDONJSA-N 410.483 4.696 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)N[C@H](c1ccccc1)c1cccs1 441232068 LBXOFYVZSXBRMC-HSZRJFAPSA-N 421.522 4.527 5 20 HJBD O=C(c1ccc(-c2ccccc2OC(F)(F)F)o1)N1CCc2cc([N+](=O)[O-])ccc21 445561208 LEJOSYYTHPCLFC-UHFFFAOYSA-N 418.327 4.956 5 20 HJBD C[C@@H](c1ccccc1)N1CC(=O)N(c2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1=O 445975745 WSYWUYVREQZOPZ-INIZCTEOSA-N 417.421 4.917 5 20 HJBD O=[N+]([O-])c1ccccc1CN(c1cc(C(F)(F)F)nc(-c2cccnc2)n1)C1CC1 446978422 CJSIOHFPPUKKNW-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD Cc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccc1-n1cncn1 461041113 GCVPVNWGNDWDDD-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 462495087 NEBNRLNWLSAHSQ-GOSISDBHSA-N 423.444 4.806 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(c2nc3cc(Cl)ccc3o2)CC1 466995407 WTSZKNLEJSLYSO-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 467843150 GXLLDRXYDCGGDS-HNNXBMFYSA-N 409.442 4.650 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc([N+](=O)[O-])cc1Cl 470631969 KJADRVFREAMLOA-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CCOc1cc(C(=O)N2CCC[C@H](c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC 484335791 FIDJXTGUJKMSEB-HNNXBMFYSA-N 416.449 4.551 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 487077485 VNTVYBAKCXYDJG-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])sc1Cc1ccc(F)c(F)c1F 487606051 LQERBGWVTBJABP-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1cc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)ccc1Oc1ccncc1 487708350 SXMBLYQLWBUWFD-UHFFFAOYSA-N 402.410 4.753 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1C 487917852 PWZYBYQKQOGWTL-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD O=C(Nc1ccc(Oc2nncc3ccccc23)cc1)c1ccc([N+](=O)[O-])cc1F 498570682 OAOHLQBZOWGVEJ-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cs2)cc1 501663145 ABNTYHCMWKGOBY-UHFFFAOYSA-N 409.467 4.708 5 20 HJBD Cc1oc(-c2ccco2)nc1CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 503304882 RMNLGPTVZMNHCQ-UHFFFAOYSA-N 424.438 4.764 5 20 HJBD CCn1c(SCc2c(F)cccc2[N+](=O)[O-])nc2cc3ccccc3cc2c1=O 504508773 YKORIOCRRPVPTG-UHFFFAOYSA-N 409.442 4.909 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1Br 507635691 KLLYUCZMKUZJTQ-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD COc1cc(C(=O)Nc2ncc(Cc3ccc(C)c(Cl)c3)s2)ccc1[N+](=O)[O-] 511394722 AIHRKMQDOXUCJR-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2cccc3ccccc23)cc1[N+](=O)[O-] 514901969 QCVSXQSUWQYKGT-HNNXBMFYSA-N 410.495 4.867 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 516838026 CVVPSQLNXHLPRF-GFCCVEGCSA-N 411.380 4.760 5 20 HJBD COc1ccccc1Oc1ccc(N[C@@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)cc1 520971519 KWNOQDMOWJMDOP-HXUWFJFHSA-N 419.437 4.613 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 521840175 DUXNVSRCFCIOQM-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD CN(C(=O)c1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 523507255 MHFHSGZXKIVTJF-UHFFFAOYSA-N 417.465 4.788 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@H](C)C(C)C)cc1[N+](=O)[O-] 524421504 XJEKWFDRKTWWEW-CQSZACIVSA-N 400.500 4.664 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1OCC1CC1 525359671 NJXKMZWTTMWKBD-UHFFFAOYSA-N 408.813 4.584 5 20 HJBD CC[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cc(F)ccc1F 525365509 ZUQQQUCFAJNRLZ-FQEVSTJZSA-N 406.432 4.700 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccn(Cc2ccccc2)c1=O 538256948 SCJAFMQNJLQQIR-UHFFFAOYSA-N 423.494 4.558 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 539277972 YNOSTNUSSQPLIM-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCOc3cc(Cl)ccc32)cc1SC 539479701 JNOJKJPJSMBULC-CQSZACIVSA-N 422.890 4.622 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CN(C)C(C)C)CC1 540821370 YEOYVBSHZIOEQK-UHFFFAOYSA-N 407.580 4.679 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3c(C)noc3C3CC3)cc2[N+](=O)[O-])n1 541581102 IVKHSAOHCOANBY-UHFFFAOYSA-N 416.484 4.937 5 20 HJBD CC[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 542511657 RDKYZOTXHILOCP-UFBFGSQYSA-N 405.373 4.580 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H](C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543547955 ROSVDAZNUBWKON-DNVCBOLYSA-N 410.499 4.699 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1ccc([N+](=O)[O-])c(O)c1 543749484 ZGHPOBGCLNICSW-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD Cc1ccc(OCc2cccc(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)c2)cn1 546242974 ZGCAUWYUVXYEBC-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cc(Cl)cc(C(=O)N(C)C)c2OC)cc1[N+](=O)[O-] 551812086 RCRVBSIYXBSVKK-GFCCVEGCSA-N 421.881 4.530 5 20 HJBD Cn1ccnc1[C@H](NCc1cccc(COC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 552047899 ABFPFNKCICTTBE-OAQYLSRUSA-N 408.502 4.523 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccn(Cc2ccccc2)n1 552433193 BTRPAROFWJKJIS-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD CN1CCC(Oc2ccc(CNc3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)cc2)CC1 553253812 BLRIZNBGAQQCQV-UHFFFAOYSA-N 413.518 4.857 5 20 HJBD Cc1cccc(C(=O)Nc2nnc(-c3cccc(OC(F)(F)F)c3)s2)c1[N+](=O)[O-] 553307412 OQVLJQJSTOIHFO-UHFFFAOYSA-N 424.360 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)c1 557865401 BSRXSFFGQKGSAC-OAHLLOKOSA-N 414.355 4.621 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(-c3ccccc3)ns2)cc1[N+](=O)[O-] 569133009 ODCPFIVMCOYCST-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CCN[C@H](c1cccnc1)c1ccc(F)cc1F 576668918 ULNIMGDFUFMUPV-HXUWFJFHSA-N 403.816 4.843 5 20 HJBD C[C@H](Cc1ccc(Br)cc1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 603759746 JDRLEPCNXMTNCL-CHWSQXEVSA-N 423.332 4.585 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 603784434 IUTNHMPVAKCBNO-JTQLQIEISA-N 401.772 4.556 5 20 HJBD C[C@@H](NC(=O)N1CCC(c2nc3ccccc3s2)CC1)c1cccc([N+](=O)[O-])c1 604126996 XRXOQHSAUCOPFP-CQSZACIVSA-N 410.499 4.855 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(C)C)cc1[N+](=O)[O-] 609011682 NDFVRUQYZGGZML-IBGZPJMESA-N 410.392 4.678 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609063760 UGSWSNYKHALLKK-HNNXBMFYSA-N 410.499 4.805 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 609129887 ONSODNGVHUEUQP-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD CCc1sc(NC(=O)c2cc([N+](=O)[O-])c(C)s2)nc1-c1ccc(OC)cc1 609176008 VVDRBLMWOFUDJQ-UHFFFAOYSA-N 403.485 4.912 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](CO)[C@H](C)c3ccccc3)c([N+](=O)[O-])c2)cc1 609496656 MWZNTUUALCPSQE-VGOFRKELSA-N 419.481 4.732 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)c1ccc([N+](=O)[O-])cc1Br 610044828 XCCDMELENAWDFP-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610048141 LMYODIKNDRAYKK-UHFFFAOYSA-N 407.264 4.672 5 20 HJBD C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nccs1 612230226 AAYLIFIULAUPHX-CYBMUJFWSA-N 405.545 4.962 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 725979229 VZIADIXWTQFSBP-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(SC(F)(F)F)cc1 729245128 UDHHDVFTMTUWQB-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD COc1ccc(CC(=O)O[C@H](c2cccc(Cl)c2)C(F)(F)F)cc1[N+](=O)[O-] 730422867 XUUSTFYHASETIF-MRXNPFEDSA-N 403.740 4.646 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](O)c1c(F)cccc1Cl 744446569 BHWCIHSXXMXLLP-ADLMAVQZSA-N 421.881 4.723 5 20 HJBD C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccccc1NC(=O)c1ccccc1 748015063 MEWHGQQZMXILRM-MRXNPFEDSA-N 420.421 4.530 5 20 HJBD Cc1c(C(=O)N2CCCc3cc(C(F)(F)F)ccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748619244 JREJINSPBPKUOT-UHFFFAOYSA-N 423.347 4.732 5 20 HJBD O=C(Nc1cccc(OC(F)F)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749568509 KSOOTCXECLCDIE-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@H](c1cccc(F)c1)c1ccccn1 750631477 SRQIFGSOKNITSK-JOCHJYFZSA-N 409.417 4.654 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 755951053 FOBHZDBGRLMXMY-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 757997583 RVLVHYWHJJYTIG-HNAYVOBHSA-N 423.282 4.849 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3ccc([N+](=O)[O-])c(Cl)c3)CC2)c(C)c1 764278981 GZEKTDAFPSNOCF-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C(Cl)=C/C=C/c3ccccc3[N+](=O)[O-])nc2c1 764439978 XSELCZOUGMOWHG-VAKPMEJFSA-N 418.858 4.823 5 20 HJBD C[C@@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 765848594 IACWHSRBPKVLPL-WAIKUNEKSA-N 410.445 4.697 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1csc([N+](=O)[O-])c1 768836579 WRFJDZISRRHXDT-CYBMUJFWSA-N 408.526 4.670 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)/C(=C/c1ccc(F)cc1)c1cccs1 769621354 GSLDMWFKPBLKMB-MHWRWJLKSA-N 422.441 4.529 5 20 HJBD Cc1sc(CCNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)nc1-c1ccccc1 773034627 FSNHOOKVEPHECL-UHFFFAOYSA-N 422.554 4.828 5 20 HJBD CC(C)O[C@@H](COC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccc1 795311595 PTUSBUDKZNLWAR-DEOSSOPVSA-N 415.489 4.864 5 20 HJBD CCCN(C(=O)c1cccc(CNC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 799410988 FSNJHLFVBNTXCR-UHFFFAOYSA-N 413.474 4.676 5 20 HJBD CC(C)N(C)c1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 808004855 CJAOGBIYXKLOIC-UHFFFAOYSA-N 410.243 4.593 5 20 HJBD CN1CCC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)[C@@H]1c1cccs1 809920566 WAMHJKTZPQAUGI-YVEFUNNKSA-N 408.911 4.514 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 809963449 ZXUKWXKGKJEIPP-UHFFFAOYSA-N 422.312 4.638 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812743962 YEPGAUVKIOFRFO-KPLVRAHFSA-N 422.529 4.577 5 20 HJBD CC(C)(CCc1noc(Cc2cccc(OCc3ccccc3Cl)c2)n1)[N+](=O)[O-] 812815175 HHFUNGVTHXHAOB-UHFFFAOYSA-N 415.877 4.881 5 20 HJBD O=C(Nc1cc(Br)ccc1O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813501260 UUBLZQPTKLPLEC-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CC(=O)N[C@@H](CC(=O)OCc1nc2ccccc2c2ccccc12)c1cccs1 829391199 XYLWAWXNVFFYFQ-FQEVSTJZSA-N 404.491 4.760 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CC=C(c2c[nH]c3ccccc23)CC1 913039089 CEPGOQGOJDUWDD-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD O=C(/C=C\c1ccc(Cl)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915865254 QYZZJUYXNSAEPJ-VURMDHGXSA-N 404.797 4.914 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccc(-n3cncn3)c(F)c2)o1 1319841685 RDFTVYWOHAEXJC-UHFFFAOYSA-N 413.796 4.840 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1322111962 SLLPEMPICLXNEF-UHFFFAOYSA-N 401.781 4.507 5 20 HJBD Cc1csc(Sc2ccc(-c3nnc(Cc4cccnc4)o3)cc2[N+](=O)[O-])n1 1325779923 ONGPKUUTADTBNR-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2cccnc2)Cc2ccco2)c(Br)c1 1338317760 DNJLTJDXQBYFIQ-UHFFFAOYSA-N 402.248 4.548 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)c2ccc(Br)cc2)cc1[N+](=O)[O-] 9621442 GXNIDHVLNIXDHT-JTQLQIEISA-N 424.272 4.507 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc3c(C(C)C)cc(=O)oc3c2)cccc1[N+](=O)[O-] 21587409 DPRIAUAZHBFBQA-CQSZACIVSA-N 410.426 4.539 5 20 HJBD Cc1c(NC(=O)COc2cc(C(F)(F)F)cc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 23515694 FMKKKTYOKBMUKW-UHFFFAOYSA-N 422.281 4.958 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)CSc2ccccc2[N+](=O)[O-])c(Cl)c1 24124515 QOIHQIRFEUYRMU-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cn(-c2ccccc2)nc1-c1ccccc1 57564411 SNXIWSFNFRFKAO-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD Cc1nc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)sc1Cc1cccc(F)c1 58547686 RTEVDIPEYUHERH-UHFFFAOYSA-N 410.430 4.823 5 20 HJBD Cc1cc(NC(=O)Cc2ccc(Cl)c(Cl)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 105529256 FWNLFDYPACUUCX-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 117213688 JVUJWVXYIKBUNV-XMMPIXPASA-N 424.460 4.568 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CCCc4cc(OC(F)F)ccc43)cc2N1 195737350 VISGCGUHUPTVJS-OAHLLOKOSA-N 403.385 4.570 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3ccc(Br)cc3)CC2)ccc1[N+](=O)[O-] 301128654 PUOZNFDZCGCZQK-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CCn1c(Sc2ccc(Cl)cc2[N+](=O)[O-])nnc1-c1ccc([N+](=O)[O-])cc1 302786090 VUDFLCQOSOUAJX-UHFFFAOYSA-N 405.823 4.586 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(F)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 410398798 RRYUKYSMCZPKAJ-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD CCN(C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H](C)Cc1ccsc1 426253684 AZIOJSGDTHXKDU-LBPRGKRZSA-N 415.437 4.838 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 429931553 TZGABYNHXPCIFD-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431458013 PCVODHWXKYFWMN-BXUZGUMPSA-N 407.239 4.515 5 20 HJBD CCOCCOCc1cccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1C 433002950 ZWNXMLMPMOWHPP-UHFFFAOYSA-N 415.490 4.513 5 20 HJBD COc1cccc(C(=O)N[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)c1[N+](=O)[O-] 435756861 VSWHMDXQUNVEKW-IBGZPJMESA-N 412.392 4.595 5 20 HJBD COc1ccc(CCCN(C)C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436147944 DVZJZWBSDBBXMT-UHFFFAOYSA-N 418.468 4.534 5 20 HJBD CSc1cccc(N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 436365694 JKLHATIDVGXREY-UHFFFAOYSA-N 410.445 4.958 5 20 HJBD CN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 440237852 BYLDWHXJYUHFFY-LJQANCHMSA-N 415.877 4.577 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Cc1cccs1 444082841 BCONGHXUHUGAKE-LBPRGKRZSA-N 412.461 4.630 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](Cc3cccc(F)c3)c3cccc(F)c3)[nH]c2c1 444687532 GRSXITCMTRUOTI-FQEVSTJZSA-N 408.408 4.823 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 447389779 ATSJYEROXSHMKE-AWEZNQCLSA-N 414.512 4.850 5 20 HJBD Cn1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(-c2ccoc2)n1 447515708 LNMSWAMMYUPJTI-UHFFFAOYSA-N 404.382 4.633 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1)CCC2 462603183 HTMVLKBEQGPEOR-QAPCUYQASA-N 401.532 4.718 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 464048563 HZIMFAAZPDMPDV-UHFFFAOYSA-N 424.284 4.506 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1cc([N+](=O)[O-])ccc1OC 464715477 XXGRTTHLUWMQLG-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 468188197 JVDMDOLSLOMXGT-INIZCTEOSA-N 420.469 4.604 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1O[C@H](C)COC 470382468 JZXXFFXRKMSTSI-GDBMZVCRSA-N 420.893 4.869 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccn2)cc1 471380587 HJEIDVHXGSBJFO-LJQANCHMSA-N 415.371 4.836 5 20 HJBD O=C(NCc1ccc2ncccc2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 471520545 QUZLNIRCMURZMG-UHFFFAOYSA-N 413.433 4.652 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(OC(F)F)cc3)C2)cc1[N+](=O)[O-] 474153942 WQYKFHCHVNKWEZ-LJQANCHMSA-N 420.412 4.533 5 20 HJBD CC[C@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1nc(C(F)(F)F)cs1 475563224 VLPJFJCMUVAKLG-JTQLQIEISA-N 402.398 4.960 5 20 HJBD Cc1ccccc1-n1c(SCc2ccc([N+](=O)[O-])cc2F)nnc1-c1cccnc1 476476524 LWPRGKQJVOJEIA-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD COCC[C@@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc(C)o1 478353234 NKFGHCDCFJWBCB-GOSISDBHSA-N 400.431 4.632 5 20 HJBD Cc1c(CNC(=O)N(Cc2cccc(Br)c2)C2CC2)cccc1[N+](=O)[O-] 480056969 PVOUXMAQVVGMND-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2ccc(OC)cc2[N+](=O)[O-])cc1OCC 481100493 FDYVKSFZHORCCM-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD COC1CCN(C(C)(C)CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 482627740 HVKOJEIYEHUFGZ-MRXNPFEDSA-N 417.575 4.863 5 20 HJBD CCOc1ccc(F)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1F 488904322 YRTULAAYIPEFNO-UHFFFAOYSA-N 419.306 4.612 5 20 HJBD CS(=O)(=O)c1cccc(N2CCC(c3cccc4ccccc34)CC2)c1[N+](=O)[O-] 497541570 YNOHIMLWUJRKNJ-UHFFFAOYSA-N 410.495 4.536 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 502280643 MMEAKTFKGJMKNI-PXNSSMCTSA-N 423.494 4.616 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2CCCc2ccccc2)cc([N+](=O)[O-])c1OC 502357368 XGWGQURRRLWSCI-LJQANCHMSA-N 412.486 4.630 5 20 HJBD COc1ccc([C@@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C(F)(F)F)cc1 505991507 INDUXTDIJRUIAW-LJQANCHMSA-N 421.375 4.694 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc(Br)c(C)c([N+](=O)[O-])c3)c2)n1 510040916 NJDCSZCDVDBJHS-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cn1ccnc1[C@H](NCC(c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 513667354 QVCSFTGLWGHWPC-XMMPIXPASA-N 412.493 4.839 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 522387387 LPEZACRBYHFPJF-UHFFFAOYSA-N 416.481 4.820 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1NC(=O)C[C@H]1C=CCC1 522723455 IQKBICIWLAGCRL-AWEZNQCLSA-N 413.861 4.724 5 20 HJBD COc1ccc2cc(CN(C)C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)ccc2c1 524741575 ZGLUOPYDRBTDMI-UHFFFAOYSA-N 421.497 4.921 5 20 HJBD CCCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 524834802 CLSWINCEIBNETG-LJQANCHMSA-N 417.437 4.971 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1cccnc1)c1ccc(Cl)cc1 534737654 YPGQQBBDGVGGLR-UHFFFAOYSA-N 420.856 4.900 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 536941596 XRGGZSTXBVUVFI-FUHWJXTLSA-N 424.881 4.759 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2cccc(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 542539466 VTAZEHMCJXZCRS-NSHDSACASA-N 403.410 4.663 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 542712085 PPPDUDQOSWQJMS-CYBMUJFWSA-N 405.426 4.660 5 20 HJBD CCn1c([C@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)nc2ccccc21 543949897 BKMZMFYYWIWIMH-NSHDSACASA-N 404.268 4.603 5 20 HJBD C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccn(-c3c(F)cccc3F)n2)no1 545118290 OTPYZCNHCAFVOK-QBFSEMIESA-N 423.379 4.593 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2cccc(Br)c2)CC1 545163075 ZXWXAXORSJRICX-UHFFFAOYSA-N 416.275 4.987 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)cc1 545786524 QTIXIOKDDJZPQQ-RBJHHQRMSA-N 422.416 4.579 5 20 HJBD COc1cccc2[nH]cc(Cc3noc([C@@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)c12 547166230 CTRWHTXSRSIJMO-GFCCVEGCSA-N 410.455 4.912 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 551417642 DOCRAFLUOIWTLX-HXUWFJFHSA-N 424.419 4.880 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1cccc([N+](=O)[O-])c1 555727524 WQSMXLQZQJMMRG-CYBMUJFWSA-N 405.907 4.544 5 20 HJBD CC(=O)c1cc(N[C@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)ccc1[N+](=O)[O-] 571786638 GCSLKHVPNZQIKS-KRWDZBQOSA-N 407.392 4.503 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 573097694 QGHZXEFRGHWIKM-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD O=C(CCCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Br)cc1 575835083 PPESLLHOSJXZJY-UHFFFAOYSA-N 416.231 4.613 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)cc1C(F)(F)F 590252884 MNYHPMORTFBWBV-UHFFFAOYSA-N 414.358 4.951 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(Cl)c3ncccc23)cc1[N+](=O)[O-] 603566783 BJSRGLFPEWKGPQ-UHFFFAOYSA-N 417.874 4.677 5 20 HJBD CCc1nc2ccccc2c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C 603638795 VGEBXPGQGFZONZ-UHFFFAOYSA-N 401.369 4.868 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCC 603651370 JOYMWRJTBPHPFS-GFCCVEGCSA-N 423.416 4.895 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)CCOc2ccccc2[N+](=O)[O-])cc1 603950255 VFMDTSXDBJKXMN-UHFFFAOYSA-N 406.438 4.606 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccccc1CN1CCc2ccccc21 603976083 YLBZNIYYRFBNFT-UHFFFAOYSA-N 417.465 4.565 5 20 HJBD CC(C)c1ccc(-c2noc(CNc3cc([N+](=O)[O-])ccc3OC(F)F)n2)cc1 604006151 KCNXUXJJAJLDSD-UHFFFAOYSA-N 404.373 4.982 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 604493767 KQYUWHIBZWQUDR-CYBMUJFWSA-N 416.421 4.527 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2c2cccc3c2OCCO3)cc1[N+](=O)[O-] 608970136 SERFGNVRORBFMJ-GOSISDBHSA-N 410.470 4.641 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCS[C@H](c2ccccc2)C1 609789877 IJXUOLIPCWVMSS-SFHVURJKSA-N 411.508 4.649 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 609855809 GKWLJISOPHMQPV-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD CCOc1cc(NC(=O)N2C[C@@H](C(F)(F)F)CC[C@@H]2C)c([N+](=O)[O-])cc1OCC 611555813 DYTLFVVXBASGSS-RYUDHWBXSA-N 419.400 4.587 5 20 HJBD C[C@@H](C[C@H]1CCOC1)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613400992 HBZWFQPNSAIODI-UONOGXRCSA-N 420.918 4.944 5 20 HJBD CSc1cccc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)c1[N+](=O)[O-] 614395292 MPVBMURKUWMURR-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD O=C(Nc1ccc(CCN2CCOCC2)cc1)c1cc2ccccc2c2cccnc12 615202164 YWPAWZKCISMYLE-UHFFFAOYSA-N 411.505 4.515 5 20 HJBD C[C@H](c1ccc(-n2cncn2)cc1)N(C)C(=O)c1cc2ccccc2c2cccnc12 642518135 RPDZXPJUKZFUDW-QGZVFWFLSA-N 407.477 4.802 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2ccc(N(C)C)cc2)cc1[N+](=O)[O-])c1ccccn1 728840878 XETFCGKTSCJUIA-INIZCTEOSA-N 419.485 4.506 5 20 HJBD COc1ccc(/C=C2/C(=O)N(c3cccc(C)c3)C(=O)c3ccccc32)cc1[N+](=O)[O-] 730152036 YMMVGTGVXBJODF-DEDYPNTBSA-N 414.417 4.639 5 20 HJBD Cc1cccc(COc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)c2)c1 732032260 XRWYIJPOTNJARH-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)s2)cc1 733772430 NXALZNLYGYOAFL-BLXFFLACSA-N 423.494 4.926 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@@H](O)c1ccc(F)cc1 734846158 DZVFEHHQFCYSNV-RCDICMHDSA-N 414.408 4.877 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 735438452 KDHODWHPIOPVGO-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(Br)cc2[N+](=O)[O-])c(Br)c1 737414315 VGVQRQMBPWFXNC-UHFFFAOYSA-N 417.997 4.820 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(S[C@H](C)c2cccs2)c([N+](=O)[O-])c1 737425167 PVXWJIHYDHIBNG-GFCCVEGCSA-N 400.547 4.540 5 20 HJBD Cc1nc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)sc1C 744253075 GPMXKYHNOGKUOH-ZDUSSCGKSA-N 412.471 4.593 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@]12CCCc1ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745720653 QMNZKNOZQRSXBO-LEDSTXDGSA-N 419.437 4.543 5 20 HJBD Cc1ccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cc1NC(=O)c1ccco1 746060635 WBZMHBPYIYZYPS-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD Cc1ccc(CCCC(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)s1 750973951 LRWLIEMFQMRCJB-LBPRGKRZSA-N 410.879 4.511 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751711688 UYJUOCDROPESEO-ORMMXULVSA-N 424.375 4.928 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cnn(-c2ccccc2)c1C(F)(F)F 752981116 MOVAYFUWUDRKRS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(NC[C@H]1CSCCS1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 753427487 GFBSJQLLAMLNTA-HNNXBMFYSA-N 424.931 4.619 5 20 HJBD Cc1sc(CCNC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc1-c1ccccc1 754309097 QYHQLSWLOXZNQS-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 766245864 ZKHHMTFZFHYDAY-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD O=C(N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 767378936 NWPDOERMBXANLQ-ZDUSSCGKSA-N 412.673 4.699 5 20 HJBD CN(C)CCCOc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 770565039 ZNBPDWFNFCPRQI-UHFFFAOYSA-N 412.515 4.661 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCc1ncc(-c3ccc(Cl)cc3)o1)CC2 773986030 WDOLUCVPURMIJN-UHFFFAOYSA-N 411.845 4.734 5 20 HJBD C[C@@H](CC(=O)c1cccc(F)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133476 LPRIWNZHFVQCQY-NYHFZMIOSA-N 422.412 4.671 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2[C@H](O)c2ccccn2)cc1[N+](=O)[O-] 807982127 SOSTWGKGYUQRSY-NRFANRHFSA-N 405.454 4.621 5 20 HJBD CCCN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H](C)CC(=O)Nc1ccccc1 809915345 WIRSYKYBFXINEY-CQSZACIVSA-N 418.881 4.909 5 20 HJBD O=C(NC[C@H](c1cccs1)N1CCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813380272 XSCPKOBLHOIYML-CQSZACIVSA-N 414.314 4.530 5 20 HJBD O=[N+]([O-])c1ccc([C@@H]2C[C@H]2c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)cc1 904487898 DQBSZMUZEYLWRG-ZWKOTPCHSA-N 424.420 4.650 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 915828885 RXGXWGCWJDLYIE-UHFFFAOYSA-N 412.496 4.511 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Cc2ccccc2)cc([N+](=O)[O-])c1 920906287 VDHYYCONBZBGFZ-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD COc1cc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])ccc1OCc1cscn1 921136887 TUBGXPTXOPIOFE-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1ccc2c(c1)S[C@@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)C2 1317895927 QIRHPEZITLXFRD-QGZVFWFLSA-N 411.508 4.991 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@@H](NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1331105316 HJTFGQFZQCKWKA-LPSGBRACSA-N 410.923 4.678 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)N(Cc2ccccc2)C(=O)c2cccc([N+](=O)[O-])c2)c1 11088908 JEBAQPJMAQIMIP-QGZVFWFLSA-N 417.465 4.957 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc1-c1ccccc1 14657726 ZPXCDTPEMUFYCB-UHFFFAOYSA-N 422.466 4.748 5 20 HJBD Cc1ccc(C(=O)N(Cc2nnc(-c3ccccc3Cl)o2)C(C)C)cc1[N+](=O)[O-] 16011219 LPZPWTVFLNHKEA-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc(-c3cccs3)cs2)cc1[N+](=O)[O-] 45713780 BGAJQFMALKFJNI-UHFFFAOYSA-N 421.525 4.660 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)cn1 47322935 AUGXOMUCQLERDN-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD Cc1cc(C(=O)Nc2ccc3nc(Cc4ccccc4F)[nH]c3c2)ccc1[N+](=O)[O-] 59335075 LZHNNLDSHBRAMY-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD O=C(CSc1ccnc2ccccc12)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 111216772 BQJOOWNGACHSDW-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD COCc1cccc(NCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])c1 237272448 YWUCWWRVTWGIOZ-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccccc2CN2CCC(O)CC2)s1 238161225 BZXHORXAMZDZGR-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H]2c2nc(-c3ccccc3Br)no2)cc1 302677671 QNONTJGLVUVXMW-MRXNPFEDSA-N 415.247 4.749 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl 302999951 HQPPIOIRHRYHKK-UHFFFAOYSA-N 412.671 4.760 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(C(F)(F)F)ccc1Br 325432973 SBIJTALXKBJGLG-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1cc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1Br 428890245 SZGMFGHCNWQDNP-LLVKDONJSA-N 408.252 4.557 5 20 HJBD CC(C)(NC(=O)c1[nH]c2ccccc2c1Br)c1ccc([N+](=O)[O-])cc1 431431266 NVJZFTBPDQQSSJ-UHFFFAOYSA-N 402.248 4.504 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC(c4nc5cc(Cl)ccc5o4)CC3)nc2c1 434832427 DZRILBJQQXFBJX-UHFFFAOYSA-N 412.833 4.910 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2cccc3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 436018936 AUHPKIQDBHFKIF-SFHVURJKSA-N 404.344 4.790 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@]3(O)CCC[C@H](C(F)(F)F)C3)s2)cc1 436419521 ZHVHCUJWKKMGBJ-KSSFIOAISA-N 414.449 4.897 5 20 HJBD CCOCc1ccc(NC(=O)Nc2cc(OCC)c(OCC)cc2[N+](=O)[O-])cc1 437622136 CFJDCGMTRUYOGT-UHFFFAOYSA-N 403.435 4.573 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 438971937 VQTILJJRRJTHDD-UHFFFAOYSA-N 409.486 4.951 5 20 HJBD Cc1ccc([C@@H](OC[C@H](O)CSc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1 448046682 BDNLBDAWIMNNID-UNMCSNQZSA-N 410.495 4.557 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1cccc(N2CCCC2=O)c1 462387252 ISARLBJCUHGCFR-LBPRGKRZSA-N 404.264 4.657 5 20 HJBD COc1cc(CN2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c([N+](=O)[O-])cc1F 464684559 GTVYLAOVNXAXKR-SNVBAGLBSA-N 419.400 4.597 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 464695261 ZCAWJZGTUYCNSU-CYBMUJFWSA-N 400.784 4.708 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@@H]1CCCOC1 466549948 GTDHMNKPMUAFLH-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 472019134 XVSWMEVOHOKDPV-LBPRGKRZSA-N 401.806 4.618 5 20 HJBD O=[N+]([O-])c1ccc(COc2ccc(Oc3ncc(Br)cn3)cc2)c(F)c1 473667020 ZHFSPRAWYULIBA-UHFFFAOYSA-N 420.194 4.658 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1c(F)cccc1Cl 477239703 MQAPZNRVIJGGKA-AWEZNQCLSA-N 408.860 4.825 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCc2cc(OC(F)F)ccc21 477843008 CPNVLYOXCGGMDJ-IBGZPJMESA-N 419.428 4.582 5 20 HJBD CC[C@H]1CCc2ccccc2N1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 482816730 CPKFWCLDSCTPDX-NRFANRHFSA-N 418.497 4.828 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)C2(c3ccccc3)CCCC2)c([N+](=O)[O-])cc1OC 484376444 PKXTVROSYDPHBZ-MRXNPFEDSA-N 412.486 4.632 5 20 HJBD CC(C)(C)OCc1cc(CNC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)no1 485528288 FLWVEHGJVPHNKV-UHFFFAOYSA-N 424.457 4.572 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCC(=O)Nc2c(C)cccc2[N+](=O)[O-])o1 488818200 GAXITAZKKXUBLY-OAHLLOKOSA-N 412.471 4.559 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC(C)C 489868653 ATNAKVDAHUTWFA-HZPDHXFCSA-N 415.490 4.820 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493053387 MZOKPZILFFRSIQ-UHFFFAOYSA-N 409.417 4.513 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2OC2CCCC2)cc([N+](=O)[O-])c1OC 494244030 FYGYGVVRQBMJHM-UHFFFAOYSA-N 420.849 4.839 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)Oc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC 495882962 JUTMZKWAJYDDPI-UHFFFAOYSA-N 422.865 4.842 5 20 HJBD CCN(C(=O)CNc1ccccc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1cccnc1 496593837 QOKWKBRMAGRHRZ-JOCHJYFZSA-N 424.888 4.693 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 498358978 IJRUZWPLQPZVLS-UHFFFAOYSA-N 401.894 4.899 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N2CCCC2)cc1 502632021 DJYRCXJDIWJZIA-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 503084270 PUWQHKYQRUPLLG-UHFFFAOYSA-N 417.462 4.615 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](COc1ccccc1F)c1ccccc1 506065371 YCHDNUVBINVIGE-HXUWFJFHSA-N 423.444 4.696 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(F)cc1)c1cccs1 509086824 ZXZOJOVGGARCPT-LJQANCHMSA-N 402.472 4.793 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 511227616 CUDCYOAHJBSVIC-KRWDZBQOSA-N 424.501 4.658 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3ccc([N+](=O)[O-])cc3Cl)cn2)c1 515128419 GPFMGZTWCROHDI-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)c2ccc(F)cc2)c1 515773004 RHZJYFSZTGHTSZ-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(Br)s3)c1)OCOC2 520060281 BCONHCMTLOAACQ-UHFFFAOYSA-N 402.291 4.719 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C(C)=O)cc2)c([N+](=O)[O-])cc1OCCC 521086965 GELKPBMLDDEAIP-UHFFFAOYSA-N 400.431 4.627 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccc(-c2nc3ccccc3[nH]2)cc1 522761792 PCZNUUXCKQWJCG-UHFFFAOYSA-N 420.856 4.650 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cn2)cc1 525098117 NAZHJUFVEKZLKR-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD CC(=O)N1CC[C@@H](NCc2ccc(Oc3cc(C)ccc3C(C)C)c([N+](=O)[O-])c2)C1 532374696 QZVUBRLSIXQJON-LJQANCHMSA-N 411.502 4.529 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC)c1C 536247934 GPRIMXLQUUJCSU-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3cccc(F)c3)C[C@H]3CCCO3)co2)cc1 536355780 VKKPYKQDLGCBKX-OAQYLSRUSA-N 411.433 4.570 5 20 HJBD CCOC(=O)c1sc2cccc(F)c2c1CN[C@H](C)c1cccc([N+](=O)[O-])c1 538176735 MRYCRSXKKQOKCP-GFCCVEGCSA-N 402.447 4.976 5 20 HJBD C[C@H](N[C@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 539339158 JOMARHRCEABIMQ-CABCVRRESA-N 424.888 4.988 5 20 HJBD CO[C@@H](c1ccccc1F)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 540626090 RJJWMEGKTNWTNP-ORAYPTAESA-N 406.479 4.740 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 542589439 BGCRKFMGBSGPHM-HUUCEWRRSA-N 410.499 4.705 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2ccc(Oc3ncccn3)cc2)no1 544053435 ATKRZQZIKFGOBX-UITAMQMPSA-N 405.345 4.537 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1F 547243113 NYCVTORXBYXWGV-UHFFFAOYSA-N 409.417 4.624 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Br)c1Cl 549083471 HEOSTGXWTPLUCQ-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)CC(=O)Nc1c(Cl)cc(Cl)cc1Cl 549171011 GQGSZWJSONRYIK-UHFFFAOYSA-N 416.692 4.668 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ncc2n1CCCC2 552536197 TXJLGRXTNQBJLZ-OAHLLOKOSA-N 422.510 4.914 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H](COC(F)F)C3)cc2[N+](=O)[O-])cc1 565125663 REESFNUZJSVKDK-CQSZACIVSA-N 422.453 4.756 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)sc2cc(F)ccc12 566553101 HUTOOSUXLLZVCD-UHFFFAOYSA-N 414.458 4.941 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc([C@H]3CCCc4ccccc43)s2)c1 583334428 QFHOKYTVVVEVGS-INIZCTEOSA-N 424.482 4.566 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCc2sc(Cl)cc21 584084800 FFUTXDXSXLSHGR-GZMMTYOYSA-N 401.271 4.534 5 20 HJBD COc1ccc(CN[C@H]2c3ccccc3O[C@@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 588933997 DXUAIVOPQMDYRN-VOIUYBSRSA-N 420.465 4.794 5 20 HJBD CCN(C(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 603529667 NEDBXPIDJRQJSC-GFCCVEGCSA-N 424.375 4.624 5 20 HJBD CNc1ccc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 604387390 JLSFQXMDKXQJIA-GOSISDBHSA-N 401.875 4.871 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cs2)cc1 608870377 CNWIKHNYOASQQD-UHFFFAOYSA-N 401.419 4.899 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc([C@H]3CCCN(c4nc5ccccc5s4)C3)n2)c1 609604259 XARUTKDAPHOBKS-AWEZNQCLSA-N 407.455 4.639 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N[C@@H](Cc1ccccc1)c1cccs1 609740438 IZXJHMSIMIWCSX-HNNXBMFYSA-N 422.915 4.572 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(CC(F)(F)F)c1ccccc1 609786151 FIBUCIOPAXPNFM-UHFFFAOYSA-N 407.373 4.927 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)Nc1ccsc1 609855067 JKHPCNBHXZPTQT-UHFFFAOYSA-N 404.451 4.690 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCN(c2ccccc2Cl)C1 610178522 FOWQPFYRFXJLAJ-GJZGRUSLSA-N 419.934 4.514 5 20 HJBD Cn1cc(-c2nc(CSc3ccc(Br)cc3[N+](=O)[O-])cs2)cn1 610675339 JGAQWBLPTFDVFB-UHFFFAOYSA-N 411.306 4.507 5 20 HJBD Cc1nn(C)c2ncc(NC(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)cc12 610858759 XGDYBNGAJLXPPK-UHFFFAOYSA-N 419.466 4.588 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 610892885 YCDHACGNZFSPPV-CXTCDGGRSA-N 414.399 4.794 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)sc1Cc1ccccc1 611224773 JGHPODNEZJONLJ-UHFFFAOYSA-N 404.451 4.751 5 20 HJBD CC[C@@H](CC(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccccc1 728801733 CTTPXGULZMAUHR-KRWDZBQOSA-N 422.485 4.966 5 20 HJBD COc1cc(Br)c(C=O)cc1OCc1cc([N+](=O)[O-])ccc1OC(C)C 729149755 FWVXJLAPGOWCHJ-UHFFFAOYSA-N 424.247 4.545 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@@H](OC1CCCC1)c1ccccc1 729529259 OZOGLDQJCJZLQZ-NRFANRHFSA-N 406.442 4.624 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H]2C[C@@H]2c2cccc3ccccc23)cccc1[N+](=O)[O-] 730881405 ZXGARGBPAVEZQS-CWFSZBLJSA-N 418.449 4.730 5 20 HJBD COC(=O)C(C)(C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 731895632 UQSJIKYUELKBJC-UHFFFAOYSA-N 401.462 4.615 5 20 HJBD C[C@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 742278705 IQIKASQMNBNWQF-LLVKDONJSA-N 415.298 4.816 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746800398 HTOYLNJDBQTNLS-UHFFFAOYSA-N 400.382 4.765 5 20 HJBD CCOc1cc(CN(C)C(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1OC(F)F 749908885 RFYCCCUXFJFCOS-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3ccc(Br)cc3[N+](=O)[O-])CC2)cc1 750819491 RVVLBSNQFDSHJR-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(Cc1cc(F)cc2c1OCOC2)OCc1nc2ccccc2c2ccccc12 753874915 OMRJJFAWJJRNLH-UHFFFAOYSA-N 403.409 4.680 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 759699712 DRGIRQZCNFDYGE-MRXNPFEDSA-N 405.886 4.778 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1ccc([N+](=O)[O-])c(Cl)c1 761525972 FVHQKPSTWDSLKL-DFNIBXOVSA-N 413.905 4.516 5 20 HJBD CSc1ccc(-c2noc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)c(Cl)c1 762281040 GSNOGGDQKPTJTD-MRXNPFEDSA-N 416.846 4.886 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](C)CN(C)C(=O)OC(C)(C)C)no1 764914688 HPJOIMVALHVNRO-HOCLYGCPSA-N 418.494 4.685 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CC2(CCC2)c2cc(Br)ccc21 765097920 LFNNHNRUQPDJPU-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1C[C@H]1c1cc(F)c(F)c(F)c1 766766433 DHVUDBRBOKILHV-GJZGRUSLSA-N 418.327 4.514 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3ccccc3F)n2Cc2ccco2)o1 768408088 ZWAZGKJTYLNRPJ-PKNBQFBNSA-N 412.402 4.990 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@@H]3C[C@H](O)c3ccco3)o2)c([N+](=O)[O-])c1 770327370 SPQMGKYNNRENPZ-QRWLVFNGSA-N 412.442 4.545 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(N2CCCCC2)c1 778261052 JNFFPZZRZWOCLO-UHFFFAOYSA-N 402.882 4.603 5 20 HJBD O=C(O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)c1ccc(C2SCCS2)cc1 778785643 DYHYZRLOHRPBJG-SFHVURJKSA-N 416.524 4.509 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781618119 FQISTVKLRWYXTR-WOJBJXKFSA-N 411.458 4.620 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1 800051800 SFEYTKFUXSUHMA-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CC(F)(F)Br 807864839 QNDKJQIBCZINDS-UHFFFAOYSA-N 411.268 4.547 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 814633114 FWZMQLLSWVRKTK-UHFFFAOYSA-N 414.462 4.999 5 20 HJBD COC(=O)Cc1ccc(NCc2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 864002157 MXQVPCXRPSVLJP-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1 897527566 QMMPNVQNXKJIJT-UHFFFAOYSA-N 412.352 4.769 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)co2)cc1 899263317 FWMVAZGVUXFUDZ-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD O=C(Nc1cccc(-c2cn3c(n2)CCCC3)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 917501334 PBVRZYOWZHUKNV-UHFFFAOYSA-N 417.469 4.621 5 20 HJBD Cn1ccnc1C[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 1320306905 KSNHREPBCFCNLC-OAHLLOKOSA-N 414.893 4.696 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 5768602 JGCLTYVRQQMTQT-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NCc2ccccc2F)cc1[N+](=O)[O-] 14789723 DBZLDJMJZKEVKJ-UHFFFAOYSA-N 424.453 4.617 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NCCc1ccc(Cl)cc1 16324989 AJHGHSSGAWYDCH-UHFFFAOYSA-N 416.886 4.714 5 20 HJBD Cc1cc(C)c(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)c1 20991424 ZTAUNVZCXDOKIP-CYBMUJFWSA-N 424.375 4.723 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@@H]1Cc1ccc(F)cc1 50589849 HIRFIMJLNVVSIK-QGZVFWFLSA-N 411.458 4.705 5 20 HJBD O=C(Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1ccc(Cl)c([N+](=O)[O-])c1 65065453 BZZXNFSNCPXBGE-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 106028548 JFRBTVRZSOZJCR-SFHVURJKSA-N 416.481 4.595 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccccc1OC1CCCC1 108010037 KIPPBZRFRUEIGY-OAHLLOKOSA-N 400.500 4.713 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 108870275 UFNSSBNHVAXXPY-QGZVFWFLSA-N 414.527 4.762 5 20 HJBD COc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1NC(=O)c1cccc(F)c1 195692147 MZCMADAEHBGPHV-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2cccs2)n1Cc1ccco1 301742032 LOFHSUMQOHNJPJ-UHFFFAOYSA-N 419.875 4.756 5 20 HJBD CC(C)(Oc1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 303458281 FIBQOVZWSCOJTP-UHFFFAOYSA-N 400.765 4.646 5 20 HJBD CN(CCCOc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436083813 COOJPVQFTUYPLR-UHFFFAOYSA-N 422.431 4.501 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 436095273 AUZBAIYFZCLALU-MRXNPFEDSA-N 400.478 4.960 5 20 HJBD COc1cccc(C)c1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 436220161 VRGUHZMKCUVCLM-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)C1 437111991 RXUXUAABHVUICN-ZAWLATJESA-N 419.591 4.823 5 20 HJBD COc1ccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(OCc2cccnc2)c1 447797477 KHEOBVPREWNHMI-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460354656 ALWMCURTBBUZQM-QGZVFWFLSA-N 413.282 4.555 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](c1cc(F)ccc1F)c1ccccc1Cl 460607020 NPANQSGNYAEIOG-OAQYLSRUSA-N 416.811 4.975 5 20 HJBD C[C@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(Br)c1 462279890 OVUVDOWUNAYQHA-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD CC[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 467022335 LRGJSEWOUVGWKJ-NSHDSACASA-N 414.409 4.526 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1nc(-c2ccc(F)cc2)cs1 475627442 RNMCEWVJOQMSLM-UHFFFAOYSA-N 413.474 4.837 5 20 HJBD COCC[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc(C)o1 479757369 IWEBOMJROQHKAO-FQEVSTJZSA-N 423.469 4.616 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)s1 482142835 QEZOIWRDNDFOHM-ZDUSSCGKSA-N 412.515 4.626 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)nnc1-c1ccncc1 485399484 PSOKKRJXWMCRID-UHFFFAOYSA-N 422.445 4.621 5 20 HJBD C[C@@](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])(C1CC1)C(F)(F)F 485913572 KDBKQMABOPNAIT-LJQANCHMSA-N 408.376 4.635 5 20 HJBD O=C(CCOc1ccc2ccccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 486594164 GJCAIGIFWSBQLD-UHFFFAOYSA-N 420.465 4.587 5 20 HJBD C[C@@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 488017137 ZZRCTSQQSNIGOI-CQSZACIVSA-N 409.417 4.585 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 490980524 KSAAXGRTMCRGFI-UHFFFAOYSA-N 404.897 4.509 5 20 HJBD COC(=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 492572784 LRGSDJZYBFIXNE-UHFFFAOYSA-N 422.462 4.714 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494156238 ZQOPYBJQKYVFEC-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN(CCCOc1ccccc1)C1CCOCC1 494697376 COSUOXYDZZHEBB-UHFFFAOYSA-N 404.894 4.698 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC(CCN(C)C)CC2)cc1[N+](=O)[O-] 498639453 SDKKKGRVAWFXEY-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD C[C@H]1CCC[C@@H](OCCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)C1 499132005 BGXRMSMLRXUVGZ-DLBZAZTESA-N 420.506 4.850 5 20 HJBD CSc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)ccc1F 499633109 SLQICGHPUKHABY-UHFFFAOYSA-N 402.369 4.661 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 500905762 ICXFZSUFBPTHPU-UHFFFAOYSA-N 403.482 4.912 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 500991255 VLFXPZVLKXDRCL-IBGZPJMESA-N 402.882 4.515 5 20 HJBD CC(C)CCSc1ccc(C(=O)NC2CCN(CC(C)C)CC2)cc1[N+](=O)[O-] 502628744 WUKJKBSDKKUTEF-UHFFFAOYSA-N 407.580 4.583 5 20 HJBD O=C(Nc1ccccn1)c1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 505819930 UHXVFAPCPRKGJF-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(c3ccccc3)CCC2)cc1[N+](=O)[O-])c1ccccn1 509956920 PBQVXWYENMYSTB-KRWDZBQOSA-N 416.481 4.972 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 510585623 VMKKCFRDLASHFQ-INIZCTEOSA-N 420.263 4.735 5 20 HJBD C[C@@]1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCCOC1 510998858 OGWWZNGMUBCUSW-HXUWFJFHSA-N 422.840 4.613 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 511386510 FATCYLVVYITLJJ-HXUWFJFHSA-N 413.522 4.584 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(COC(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 512145648 DRNXGSDPWHLJBW-UHFFFAOYSA-N 422.485 4.634 5 20 HJBD CN(c1ccccc1)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 512370280 OEOLLGYRFICDBC-UHFFFAOYSA-N 408.527 4.819 5 20 HJBD O=C(Nc1ccc(F)c(F)c1F)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 515331262 ZGVJOOYZFPOJFT-UHFFFAOYSA-N 401.344 4.877 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(F)c(NC(=O)Cc2ccccc2)c1 515663731 KAZYSNBTZJHSCD-UHFFFAOYSA-N 409.417 4.536 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OCCCS(=O)(=O)c1ccc(F)cc1 516832120 VIBCKWFFCKAEKH-UHFFFAOYSA-N 415.442 4.644 5 20 HJBD Cc1nc(-c2ccc(Br)cc2Cl)nn1Cc1ccc([N+](=O)[O-])cc1 518384681 KVDOBGOHQSKKMR-UHFFFAOYSA-N 407.655 4.626 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2Cc3ccccc3-c3ccccc3C2)cc1OC 522435293 KVQUBGPHDTWWGT-UHFFFAOYSA-N 418.449 4.825 5 20 HJBD Cc1sc(NC(=O)Cc2ccccc2OC(F)F)nc1-c1cccc([N+](=O)[O-])c1 522823060 IQDXFBFNXHXYDS-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD CCOc1cc(NC(=O)N2CCc3cccc(F)c3[C@H]2C)c([N+](=O)[O-])cc1OCC 523443402 PMLSPIOANQKKIC-CYBMUJFWSA-N 417.437 4.683 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(NC(=O)c2ccc(F)cc2)cc1 531563214 LVQGPMVKHCNCAF-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1)N1CCCC1 537145974 IYBRPMZMAGSYFY-FQEVSTJZSA-N 413.543 4.662 5 20 HJBD CCOCCC1(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCCC1 538251188 FNGCZBHAFRWOSI-UHFFFAOYSA-N 403.504 4.668 5 20 HJBD Cc1ccc([C@H](C)NCc2cc3c(cc2Br)OCCCO3)cc1[N+](=O)[O-] 538628345 SHSJQLFKTOSLEO-ZDUSSCGKSA-N 421.291 4.678 5 20 HJBD CCOc1cccc([C@H]2C[C@@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539430700 OFWCWMZFWNGGMP-MJGOQNOKSA-N 400.500 4.780 5 20 HJBD CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 540136551 QPHSWAMDHSIKEJ-UZLBHIALSA-N 418.877 4.974 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2nc(C)cs2)cc1OC 541057904 HKQAGLCOLVVLPL-CYBMUJFWSA-N 407.536 4.818 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(C(=O)N(C)CC(C)(C)C)c2)n1 546402699 SCYHZNCNKIBJMX-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD CSc1ccc(-c2nc(-c3ccc(Oc4ncccn4)cc3)no2)cc1[N+](=O)[O-] 547041803 WXUMSMWSNAFBAK-UHFFFAOYSA-N 407.411 4.616 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)n1 555285451 MZCFHGKGBNRQPU-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(-c2nc(Cn3c(C(C)C)nc4ccccc43)no2)c1 578281411 URPKDKFMHSOIAN-UHFFFAOYSA-N 407.430 4.565 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 581404043 BZGHMLSFTFNLSG-SNVBAGLBSA-N 402.756 4.932 5 20 HJBD O=C1NCc2c(NCc3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)cccc21 583225729 JNAYOGJKNQIYNP-UHFFFAOYSA-N 409.829 4.896 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cccc(NC(=O)Nc2ccccc2)c1 604476271 YHQQZBQKJPBSHX-UHFFFAOYSA-N 405.414 4.561 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(Cc3ccc(OCc4ccc(F)cc4)cc3)n2)c1 609054199 ZDEHVAUJUQNTRV-UHFFFAOYSA-N 404.401 4.620 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1NC(=O)C(C)(C)C 609786598 XTBNBOLJWNXDFK-UHFFFAOYSA-N 403.866 4.722 5 20 HJBD O=C(Nc1ccc(NC[C@@H]2CCCO2)c(F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 610089755 NTHFDZHDCIIWFW-ZDUSSCGKSA-N 408.817 4.622 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 611201632 GUJPOPQHVOGBTR-MRXNPFEDSA-N 405.458 4.597 5 20 HJBD COC[C@@H](NCc1cc([N+](=O)[O-])ccc1OC(C)C)c1cccc(C(F)(F)F)c1 611396691 GAGWMYBBDWHEQE-GOSISDBHSA-N 412.408 4.878 5 20 HJBD O=[N+]([O-])c1ccsc1Nc1cccc(CS(=O)(=O)c2ccc(F)cc2F)c1 611412052 PWSMRVDHCUZGMR-UHFFFAOYSA-N 410.423 4.652 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC([C@H]2CCOC2)CC1 612566577 FKCUTROXJBKUKJ-SFHVURJKSA-N 418.559 4.766 5 20 HJBD O=C(Nc1cnc(-c2cccc(C(F)(F)F)c2)cn1)c1c(Cl)cccc1[N+](=O)[O-] 618908765 YCIWUCIBWMIGFC-UHFFFAOYSA-N 422.750 4.976 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)N(Cc1cccc(F)c1)C1CCCC1 725828176 AAXKCCAPIKTOHP-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc(NC(=O)c2ccsc2)cc1 728886916 COWXPFXNHSDGSZ-UHFFFAOYSA-N 414.464 4.606 5 20 HJBD CC(C)[C@@H](CC(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1 729195302 IFFVDLKEVHSQKP-HXUWFJFHSA-N 411.502 4.579 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCCCN1c2ccccc2Sc2ccccc21 742044924 UALGTDAIQVXOJE-UHFFFAOYSA-N 419.506 4.947 5 20 HJBD CS[C@@H]1CC[C@H](N[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 743484945 ULGQOFSOLCWYNA-GMBSWORKSA-N 419.934 4.802 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 744030764 GMMAZKGKCMJKMW-UHFFFAOYSA-N 424.375 4.842 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746187292 AIZVLRKJNRQVBT-NSHDSACASA-N 420.384 4.525 5 20 HJBD C/C(=C/C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 748171919 FRCFKELMZYVOBH-XFXZXTDPSA-N 422.359 4.817 5 20 HJBD O=C(N[C@H](c1ccc(Br)cc1)C1CCC1)c1cc(F)ccc1[N+](=O)[O-] 751098315 PQNRCQWKXJTJKJ-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 758000066 ORMMMUHNIUISPX-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1cccc([N+](=O)[O-])c1Br 758675961 XDLLHWBLHMJCMQ-AWEZNQCLSA-N 417.259 4.534 5 20 HJBD COc1cc(Cl)ccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 773964695 ROFBDCLKGIJTAK-VIFPVBQESA-N 417.771 4.715 5 20 HJBD CC(C)([C@@H](OC(=O)c1ccnc(C2CC2)c1)c1ccc(Br)cc1)[N+](=O)[O-] 774366439 KCEXHVUTLMAJGU-KRWDZBQOSA-N 419.275 4.675 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=S)Nc1ccc(Br)cc1 783721018 OHQZGONJJIXHTL-UHFFFAOYSA-N 414.712 4.840 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)ccc1Cl 793368880 BCUQWCIKTQKDQM-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 797248123 LKUFAPXNRNYPIH-UHFFFAOYSA-N 414.458 4.503 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=S)Nc1ccc(OC(F)F)c(Cl)c1 800829099 WWWXXZAJSCNKRH-UHFFFAOYSA-N 415.849 4.721 5 20 HJBD C[C@@]12CCN(c3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)C[C@@H]1C2(Cl)Cl 804706601 STVCOKHVOBKYGZ-MAUKXSAKSA-N 408.285 4.589 5 20 HJBD COC1(CNC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])CCC(c2ccccc2)CC1 805226990 RVDFCPHQVZJHOG-UHFFFAOYSA-N 400.450 4.515 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 805663696 DRDYVWSJXBFQBV-UHFFFAOYSA-N 401.802 4.791 5 20 HJBD CC1CCN(c2ccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 856193477 GAKBNWBIBYSDCU-OAHLLOKOSA-N 417.893 4.891 5 20 HJBD O=C(Nc1ccc(S(=O)(=O)C(F)F)cc1)c1cc2ccccc2c2cccnc12 915822005 ABKDYEDXRWJNOA-UHFFFAOYSA-N 412.417 4.637 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 1116612403 NJWVUFAFJBISGP-UHFFFAOYSA-N 419.191 4.599 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Oc2ccccc2)CC1 1120858447 LSYAEDJGTZADRZ-UHFFFAOYSA-N 400.500 4.779 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1-c1ncc(-c2ccc(F)cc2)o1 1317941807 DQUARHXYDTUUAM-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cc(Cl)ccc1OCC(F)(F)F 26227501 JKCRKBHZPVZOAR-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD Cc1cccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1C 26580824 MZJSVDQWLOQMPB-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(CN2CCCCCC2)cs1 29636523 WXYCCRPSYRYMQF-AWEZNQCLSA-N 420.560 4.547 5 20 HJBD CC(C)N(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)C(C)C 32245062 VDHIDGFXCNCIMX-UHFFFAOYSA-N 401.532 4.595 5 20 HJBD Cc1ccc(NC(=O)Cc2c(C)[nH]c3ccc(Br)cc23)cc1[N+](=O)[O-] 32644167 NUAPTBTUMDFKLN-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@H](C)CCc2ccco2)cc1 106129621 PGRSJLUFALELNX-OAHLLOKOSA-N 410.426 4.740 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 110839198 IUTOWECKNMZBOF-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(Br)cc(Br)c1 222996550 RINUXXSQFYKJRX-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1c(CC(=O)Nc2ccc(OCC(F)(F)F)c(Cl)c2)cccc1[N+](=O)[O-] 410427405 VVKSRNNNUJNHNW-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@@H](C)Cc1ccsc1 426313452 UOKBFBZVYCVPBN-KRWDZBQOSA-N 404.535 4.696 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1c1nc2ccccc2n1C(C)C 427630231 RBQCAYWXQUICGA-LJQANCHMSA-N 408.458 4.511 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1cc(Br)cc([N+](=O)[O-])c1 431481282 BUZRUPDXHQKNPB-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)c1cc(Br)cc([N+](=O)[O-])c1 432676058 DFAKGXNCJXLGEH-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 435770415 DNYYDSKBCISCBS-INIZCTEOSA-N 403.442 4.629 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435938282 RMXUMKJVPGKECE-UHFFFAOYSA-N 424.859 4.755 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C2CCCCC2)no1 437021872 SUHRISLGFYLZDN-JTQLQIEISA-N 412.368 4.535 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)co2)cc1 438049000 NUBPJGICEXXDIW-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@@H]1c1nc2ccccc2o1 439880768 ALKRFNUPTUIHKD-QGZVFWFLSA-N 410.430 4.894 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1ccsc1)c1cccnc1 440724919 LFLGRAUUQCCCJH-UHFFFAOYSA-N 424.301 4.722 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](Oc2ccccc2Cl)C1 444248470 UKFONSMUAPUMHF-INIZCTEOSA-N 418.880 4.628 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N(CC(F)(F)F)[C@@H]1CCCOC1 446197764 PNYDRNMIKALATF-MRXNPFEDSA-N 423.391 4.522 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(C)nc3C)cc2[N+](=O)[O-])n1 446434780 ORWMZZACGBTMPO-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2C(F)(F)F)nc1)c1ccc([N+](=O)[O-])s1 460465386 LPVOOTQSBJZZCY-UHFFFAOYSA-N 423.372 4.792 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)c1 462433980 ZFKJZDSBMGYNFS-AWEZNQCLSA-N 403.866 4.804 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 462630740 KULDSSMBFKBWGB-GFCCVEGCSA-N 415.437 4.690 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)oc2c(Cl)cccc12 464628166 NGAUDCFYSKGIBE-UHFFFAOYSA-N 414.845 4.986 5 20 HJBD O=C(Nc1ncc(Cl)cc1C(=O)Cc1cccc(F)c1)c1ccc([N+](=O)[O-])s1 464717704 LZARXSCCJCPUHK-UHFFFAOYSA-N 419.821 4.522 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(F)(c2cccc(Cl)c2)CC1 466889444 XXYCVLZHONRGBK-UHFFFAOYSA-N 413.836 4.897 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 469064353 VNHHDUCJGTXGFW-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@@H](c1cccc(Cl)c1)c1ccccn1 470125358 LYBJKZIIDVRAIQ-SFHVURJKSA-N 400.797 4.693 5 20 HJBD Cc1nc2cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)ccc2n1Cc1ccsc1 472792954 VGVSLLHBKZLUAA-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 474191835 AEGQMCHYYUILLC-IBGZPJMESA-N 406.841 4.724 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 478265539 GQAOMOCDRSEXDB-VQIMIIECSA-N 400.453 4.887 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H](CC)c2cccc([N+](=O)[O-])c2)CC1)c1ccccc1 482111402 RDBKWGBCSKTTIN-XZOQPEGZSA-N 409.530 4.820 5 20 HJBD CCOc1cc(C(=O)Nc2nc([C@H]3C[C@H]4CC[C@H]3C4)cs2)c([N+](=O)[O-])cc1OC 482407365 OYEINSHBHGCTBC-AVGNSLFASA-N 417.487 4.615 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 484257059 QZJDOKRJENVQEJ-JOCHJYFZSA-N 418.497 4.742 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3cc(Oc4ccc(F)cc4)ccn3)n2)cc1 486935936 DUUSMSAEUCAHCO-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD Cc1nn(C)c(NC[C@H](OCc2ccccc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 488679978 YKOWBIHQHTZOQM-SFHVURJKSA-N 400.866 4.660 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)nc(C(F)(F)F)n1 489667206 OESDRKIGRLQMMZ-UHFFFAOYSA-N 416.359 4.559 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](NCCc2nccn2Cc2ccccc2)c2ccccc2)c1 490156744 JRTBSODPXDYRAU-VWLOTQADSA-N 412.493 4.761 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@H]1Cc1ccccc1 491107963 FODJCIISBLLIAF-FQEVSTJZSA-N 401.466 4.664 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1cccc(F)c1Cl)c1ccccc1[N+](=O)[O-] 495010322 SMOGTULOTUZEMQ-HNNXBMFYSA-N 423.828 4.592 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 496576586 ZXFBBJDDYGBYER-JOCHJYFZSA-N 407.445 4.943 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 498311520 PQIUANLCIZXDCT-INIZCTEOSA-N 405.454 4.707 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)N3CC=C(c4ccccc4F)C3)cc2[N+](=O)[O-])C1 501585542 ADKZGXHIOGRADU-INIZCTEOSA-N 409.461 4.510 5 20 HJBD CC(C)n1ncc2cc(CSc3nc4cc5ccccc5cc4c(=O)n3C)cnc21 504263356 GDLXZCJXBIHQMF-UHFFFAOYSA-N 415.522 4.705 5 20 HJBD C[C@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 504401001 IQTFXJWFROSRMA-YCRPNKLZSA-N 424.888 4.544 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H]1CCSc2ccc(Br)cc21 504612712 IFGOBNXKLRPOCA-MRXNPFEDSA-N 421.316 4.975 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](c2ccc3c(c2)OCCO3)C(C)C)cc1[N+](=O)[O-] 504895449 UMOYFYKFGFTYNE-IVZQSRNASA-N 400.475 4.813 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3)s2)cc1 506314294 KJGJMOLDUMTQNK-CYBMUJFWSA-N 412.471 4.918 5 20 HJBD CC(C)(CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccc(Br)c1 507040277 STYVIUKGNTVGQI-UHFFFAOYSA-N 416.275 4.546 5 20 HJBD CCOCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 508332996 UQYIAOCCVWILCO-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 509996317 SOLDLWFZRVETBO-KXBFYZLASA-N 423.494 4.616 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCc3cc(OC(F)(F)F)ccc32)c1 512382138 BZJCRFFBZGZOHZ-UHFFFAOYSA-N 412.389 4.808 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(Nc3ccc(OC(F)(F)F)cc3)s2)nc1 512742571 ABGIQKSUPSSRGH-UHFFFAOYSA-N 415.378 4.635 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 517665277 AQVVXXZBERBMLH-UHFFFAOYSA-N 407.357 4.560 5 20 HJBD COc1cccc([C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)N(C)C)c1 518249519 MZDZQAJLWONOPL-NRFANRHFSA-N 411.527 4.724 5 20 HJBD Cc1nc(CCC(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)oc1-c1ccccc1 521649734 OUWSBTPFUQHNGT-UHFFFAOYSA-N 417.425 4.520 5 20 HJBD CCOC(=O)Cn1ccc2cc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)ccc21 523325163 WQAOBDSVXMLGAL-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)c(C)c1[N+](=O)[O-] 523948006 ZLPDCDHLPHGVGJ-INIZCTEOSA-N 412.515 4.650 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(Cn4cncn4)c3)o2)c(Cl)c1 535835386 WUYXLWHYKCZGRW-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 537625497 JMLNVRQQDFAYAC-HNNXBMFYSA-N 414.462 4.603 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539447999 LOMOQTBMUUYYCZ-UHFFFAOYSA-N 403.438 4.836 5 20 HJBD CN(C(=O)C[C@H]1CCc2ccccc2C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540449968 KGRPWWAXRWIFSN-HNNXBMFYSA-N 407.495 4.876 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccccc1)Cc1ccccc1 542455588 CGDFDHPRMKURKY-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD O=C(Nc1cccc(CNCc2ccc([N+](=O)[O-])cc2Cl)c1)c1ccc(F)cc1 542469625 WUFCTHJHHKJDLR-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD CC(C)[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1F 542904634 BOLVPLHOMUCJKU-LJQANCHMSA-N 417.824 4.768 5 20 HJBD Cc1cc(Cc2noc(Cc3coc(-c4ccc(Cl)cc4)n3)n2)ccc1[N+](=O)[O-] 545144454 RTCKMTDZNPYGJI-UHFFFAOYSA-N 410.817 4.776 5 20 HJBD CCc1cccc2c(Cc3noc(Cn4ccc5cc([N+](=O)[O-])ccc54)n3)c[nH]c12 545689954 YHRWNZNONAWZNW-UHFFFAOYSA-N 401.426 4.615 5 20 HJBD C[C@H](OC[C@H]1CCCO1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545777505 LDSXFVXWFYDFDI-IFXJQAMLSA-N 411.414 4.694 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4cc(F)cc(F)c4)no3)cs2)cc1 546292066 AKIFWXHVJUZDGT-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD Cn1cc(C(F)(F)F)nc1[C@@H]1CCCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 553687734 HENONYBFXWOFKH-SNVBAGLBSA-N 422.329 4.750 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2ncco2)c1C 557359077 FVBYUTVIIYLDOP-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@@](=O)C4CCCCC4)c3)ncnc2s1 557601196 YBYXPUHRMLAKDQ-MUUNZHRXSA-N 416.528 4.925 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@](=O)C4CCCCC4)c3)ncnc2s1 557601197 YBYXPUHRMLAKDQ-NDEPHWFRSA-N 416.528 4.925 5 20 HJBD Cc1ccc(C(=O)C2CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c(C)c1 559965407 DWOHNUNKWVVORK-UHFFFAOYSA-N 419.481 4.962 5 20 HJBD C[C@@H](C(=O)NCC(C)(C)c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 577035855 MAMOORLSAWQROR-CYBMUJFWSA-N 405.292 4.555 5 20 HJBD CC(C)CN(CCc1ccc(F)cc1)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 603524531 CLURFGSDQLHSEI-UHFFFAOYSA-N 413.493 4.675 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 603742651 FLTQTCFSKIHNMJ-UHFFFAOYSA-N 416.433 4.988 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Cl)c(NC(=O)c2csc([N+](=O)[O-])c2)c1 603757070 IRIZVAWZVPQFKS-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2F)n1 603989855 KUVMVNMXDCJHMX-AWEZNQCLSA-N 414.462 4.656 5 20 HJBD Cc1ccccc1N(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C(C)C 609228020 SIVJLIRKQKMFCK-UHFFFAOYSA-N 406.486 4.820 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N(C)Cc1ccccc1 609769692 WVQATFHCIKHVCJ-KRWDZBQOSA-N 405.454 4.846 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3cc(OC)c(OC)c([N+](=O)[O-])c3)cc2)c1 610172336 SBKNYHOWHIMXOX-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD C[C@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1ccccc1[N+](=O)[O-] 610342037 JIJAXVJSNNWJIF-AWEZNQCLSA-N 415.291 4.970 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)c(F)cc(F)c1F 611543455 ASTZEQWCMDFQGI-UHFFFAOYSA-N 402.369 4.583 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1sc2cccc(Cl)c2c1Cl 618265123 ZIOCZJCZIJZVOV-UHFFFAOYSA-N 421.265 4.923 5 20 HJBD Cc1nn(CCC(=O)O[C@H](c2ccccc2)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 726734018 IQIAOAPEBHBKHA-OAQYLSRUSA-N 413.861 4.784 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(Cc1ccc2c(c1)CCO2)C1CCCC1 728006960 UZQLDVWPOBCRIA-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD CCC[C@@H]1CCc2c(sc(=O)n2CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)C1 728044641 MGVZEJYUDXEVTQ-MRXNPFEDSA-N 403.548 4.591 5 20 HJBD CCCCC(=O)N1CSC[C@@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730330297 RFMWHIXBWOZZHS-OAQYLSRUSA-N 408.523 4.523 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735643481 NMZDBFBNFJNZCT-NSHDSACASA-N 408.863 4.601 5 20 HJBD CC(C)(C)OC(=O)N1CCCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)c2ccccc21 741954854 WSDIIWHLLKTHFW-UHFFFAOYSA-N 415.421 4.526 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 742278751 IQIKASQMNBNWQF-NSHDSACASA-N 415.298 4.816 5 20 HJBD CCn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nnc1-c1ccccc1OC 746389741 SXIOUKCDRRBLKT-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3cc(Cl)ccc3[N+](=O)[O-])CC2)c(OC)c1 746656197 UWRGVNXRQHWDED-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccncc1)c1ccc(F)cc1 748572302 MURBNRCDSLYXHO-VLIAUNLRSA-N 412.442 4.942 5 20 HJBD CCCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1cccnc1 750120360 GVMHQZSVKQSYJQ-MRXNPFEDSA-N 405.458 4.615 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cccc(Cl)c1[N+](=O)[O-] 750153329 FPEHFUSIOOPPIG-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cnc(-c2ccccc2Cl)s1 751655211 CABLGRUONLQQFM-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD C[C@H](C[C@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 752284166 KXOVELOKXASMJJ-KDOFPFPSSA-N 412.467 4.691 5 20 HJBD Cc1c(CNC(=O)N[C@H]2CCOc3c2ccc(Cl)c3Cl)cccc1[N+](=O)[O-] 753200928 DUFTXYUBWAYKDL-AWEZNQCLSA-N 410.257 4.533 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1cccc(F)n1 753622459 AFRAXWANIPRSPD-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD C[C@H]1c2ccc(F)cc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755029717 VWULKXPNQMAFQK-JTQLQIEISA-N 408.227 4.648 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl)c1cccc([N+](=O)[O-])c1 763400981 ZHESYRLXLURKEV-WDEREUQCSA-N 411.241 4.576 5 20 HJBD COc1cc(CN2CCCCC[C@H]2C[C@H](O)c2cccs2)c([N+](=O)[O-])cc1OC 770295247 SRJSHSIMACSNHO-WMZOPIPTSA-N 420.531 4.542 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@H]3C[C@H](O)c3ccco3)o2)c([N+](=O)[O-])c1 770327374 SPQMGKYNNRENPZ-YWZLYKJASA-N 412.442 4.545 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 773143765 ARPRVQCAYKKVSA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc(C(=O)NCC(F)(F)F)cc1N[C@H]1CCCc2ccc([N+](=O)[O-])cc21 774324080 QCRKCNZANIRWFD-KRWDZBQOSA-N 407.392 4.685 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CNC(=O)OC)cc1 776933202 XTELQAPEKWBOTG-UHFFFAOYSA-N 417.487 4.595 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NOCCC(F)(F)F)cc2[N+](=O)[O-])cc1 777973528 KBXDQBXIIGWWPH-UHFFFAOYSA-N 400.378 4.668 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 779098587 BWBSEADVHKRHBJ-BSDKJSHOSA-N 416.302 4.629 5 20 HJBD CC[C@@H]1C[C@@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CCO1 779304703 SIMFULNIORQJEW-KGLIPLIRSA-N 408.501 4.625 5 20 HJBD Cc1cccc([C@@H]2CCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1C 781686996 IHYHVPYUQSAQAZ-FQEVSTJZSA-N 411.458 4.806 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(OCc3ccccn3)c(Cl)c2)c1F 790372012 YHCQYUHSAALPNH-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])O[C@@H](c1ccccc1)c1nccs1 790974684 XWEDIJXWGHBURI-KRWDZBQOSA-N 406.822 4.719 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])nn1 795249085 NWXNLYZIKKZSMQ-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 795710735 GJHJJKIFNIWSGZ-CQSZACIVSA-N 411.414 4.578 5 20 HJBD CCC1(c2ccc(Cl)cc2)CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 801971748 QDHXHYZAQOWLCI-UHFFFAOYSA-N 405.857 4.554 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 803863388 NCCJMKLDQIVIHS-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H]2CCC[C@H](OC)C2)cc1 915128278 COWMHVGQBSKFTO-ROUUACIJSA-N 401.415 4.510 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2cc(F)ccc2[N+](=O)[O-])c2cccs2)cc1 917170684 XQZBEBQOJWAGJE-OAQYLSRUSA-N 414.458 4.642 5 20 HJBD CCc1ccc([C@@H](NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)c2cccc(F)c2)cc1 1325968769 KOMDMQNKGORLKW-XMMPIXPASA-N 422.456 4.753 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC(F)(F)F)cc1 10979642 ZZHKPARWDLBNBD-LLVKDONJSA-N 400.378 4.655 5 20 HJBD Cc1cccc(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 16008548 FYGBHKMMPAIOOG-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD CC[C@H]1CCCN(C(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 27153944 NHGJFPCMKULGCJ-HNNXBMFYSA-N 412.511 4.810 5 20 HJBD Cc1c(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)cccc1[N+](=O)[O-] 46534325 KKGFOJKAFUOVPV-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 56097875 RNHMTRWFFGARSQ-KRWDZBQOSA-N 419.485 4.609 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)cn2)cc1 109274661 SRTZPPLQRZEEOS-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1Br 159109477 ZNQMMSRVKJPNHX-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCOc1cc(NC(=O)Cc2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OCC 302898489 RKZSONPOYUYAMN-UHFFFAOYSA-N 413.257 4.880 5 20 HJBD O=C(CCSCc1nc(-c2ccc(Cl)cc2)no1)Nc1ccccc1[N+](=O)[O-] 409851742 WEGGUMHBDJFMSS-UHFFFAOYSA-N 418.862 4.560 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccco1 426982791 UOVICLQQKRHNOL-JLTOFOAXSA-N 412.467 4.583 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 431115742 CEXOCNLNMMAQPL-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD COc1ccc2[nH]cc(C3CCN(c4cc(C(F)(F)F)ncc4[N+](=O)[O-])CC3)c2c1 436315733 UYKCUTFNBNAVKA-UHFFFAOYSA-N 420.391 4.883 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437130326 YLCVBIORFCEJJV-MSOLQXFVSA-N 415.287 4.652 5 20 HJBD CC1CCN(c2ccc(C(=O)N(Cc3ccccc3)CC(F)F)cc2[N+](=O)[O-])CC1 437165869 HOBRWQPZORLMHF-UHFFFAOYSA-N 417.456 4.739 5 20 HJBD COc1ccc(OCCSCc2csc(-c3ccc(C)o3)n2)c([N+](=O)[O-])c1 437272678 DFZMEPIFIMUSGV-UHFFFAOYSA-N 406.485 4.941 5 20 HJBD CC(C)c1ccc(C(=O)Nc2c(-c3ccncc3)nc3ccccn23)cc1[N+](=O)[O-] 439156893 XFYZNIRZBJQQFD-UHFFFAOYSA-N 401.426 4.680 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443941862 BYDDKKOKXUDNIK-UHFFFAOYSA-N 407.854 4.924 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C2CCCCC2)no1 444118971 PICLTJXDIATOPA-AWEZNQCLSA-N 416.481 4.603 5 20 HJBD C[C@@]1(c2ccccc2)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 444230679 ZVAISSVQJVNEHS-RUZDIDTESA-N 400.453 4.624 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)CCc1cccc([N+](=O)[O-])c1 445866674 NWRULEKOKDXEIT-ZDUSSCGKSA-N 413.474 4.976 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCc2ccc(COCc3ccccc3)cc2)n1 447549957 LYBDIPYIGMOGRM-PKTZIBPZSA-N 420.513 4.782 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@@H](CO)[C@H](c2ccc(Cl)cc2)C1 461274547 YJJHMZPRHPWJAZ-MBSDFSHPSA-N 416.908 4.890 5 20 HJBD CCOc1cc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)ccc1OC(F)F 462782625 FAFLSGFDVPCHSV-UHFFFAOYSA-N 404.316 4.808 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(N4CCCCC4)cc3)no2)c1 467251732 RZKPKHHWTGJFDB-UHFFFAOYSA-N 403.442 4.638 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCC[C@H]3C)cc2C)c([N+](=O)[O-])cc1OC 468162625 ATXPXNFISLASLL-OAHLLOKOSA-N 413.474 4.552 5 20 HJBD COc1cc(COc2ccc(C(C)=O)cc2Cl)c([N+](=O)[O-])cc1OC(F)F 469051368 ULOGVEDWTHSYJI-UHFFFAOYSA-N 401.749 4.640 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 470023993 HLXOABHVJLLQQE-VXKWHMMOSA-N 411.502 4.744 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@H](CO)c2ccccc2OC(F)(F)F)o1 475578100 LZOKMPPJBUXKHE-MRXNPFEDSA-N 422.359 4.577 5 20 HJBD CN(Cc1ccc(F)cc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 479574313 MWTWMFYOZZSCPG-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)C2(c3ccccc3)CCCC2)c([N+](=O)[O-])cc1OC 484376443 PKXTVROSYDPHBZ-INIZCTEOSA-N 412.486 4.632 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C(=O)N(C)C(C)C)ccc2Cl)c1[N+](=O)[O-] 486247577 ATORMKWMUCPLTQ-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)N1CCc2ccc([N+](=O)[O-])cc2C1 490596451 IHRNPSWSSGFIFI-UHFFFAOYSA-N 404.398 4.732 5 20 HJBD CCOc1cc(CNc2cc(Cl)ccc2OC[C@H]2CCOC2)ccc1[N+](=O)[O-] 492358061 QABKGEPNGPUSPX-HNNXBMFYSA-N 406.866 4.674 5 20 HJBD O=C(Nc1nc2c(s1)CCCC2)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 492872865 LIIYGLLSTRVJRM-UHFFFAOYSA-N 409.467 4.761 5 20 HJBD COc1cccc(C2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 498674889 KJEZHAKZVPWQPK-HNNXBMFYSA-N 408.458 4.594 5 20 HJBD CCN(c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 498951178 LCWZDUGADFFCAH-GFCCVEGCSA-N 416.421 4.605 5 20 HJBD Cc1ccc([C@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 503260958 SALZRIXJALQEBQ-KKSFZXQISA-N 407.495 4.685 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)s2)cc1OC 504990231 DYTOODBRAGUCBR-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD O=C(Nc1ccc(CCC(=O)N2CCCC2)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 510142313 FSYMLWYXLXZJQO-UHFFFAOYSA-N 423.494 4.617 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 512568498 KEQONVAOUOFOSP-OAHLLOKOSA-N 421.457 4.597 5 20 HJBD Cc1ccc(C(=O)CCC(=O)CCC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)s1 512629629 JNDVJUAKRQQEDO-UHFFFAOYSA-N 402.472 4.532 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 512953905 DXOJSNAZSDGXAO-MUJYYYPQSA-N 402.397 4.747 5 20 HJBD CCN(CC(C)C)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 515204144 KUZLZCIBJIPCDQ-UHFFFAOYSA-N 400.500 4.666 5 20 HJBD CCCc1c(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 519187116 NGMHDBUXIVKIBE-UHFFFAOYSA-N 412.421 4.523 5 20 HJBD Cc1cc(CN(C(=O)Cc2ccccc2[N+](=O)[O-])C2CCCC2)c2ccccc2n1 521358139 QQHCYQNQPNFWRQ-UHFFFAOYSA-N 403.482 4.965 5 20 HJBD O=C(Nc1ccnn1[C@H]1CCCc2ccccc21)c1ccc(NC2CC2)c([N+](=O)[O-])c1 522247954 JFQDVTDAGGNJLF-FQEVSTJZSA-N 417.469 4.544 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](Cc1ccccc1)c1ccccc1F 525442974 JKAJZFJHPLCIJT-OAQYLSRUSA-N 407.445 4.859 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c1 525660028 ANBQKYPBQQHWFD-UHFFFAOYSA-N 417.893 4.595 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(C(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 533927955 NJLGANGLRFHPKN-INIZCTEOSA-N 403.438 4.647 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 535180457 GKGLIJJMWXJRLG-CQSZACIVSA-N 413.503 4.502 5 20 HJBD C[C@H](CC(=O)Nc1ccc(OC(F)(F)F)cc1)N[C@H](C)c1cccc([N+](=O)[O-])c1 536807395 VBDHLWYZQPVLCO-CHWSQXEVSA-N 411.380 4.561 5 20 HJBD Cc1ccc([C@H](C)NCc2nc(-c3cccc(C(F)(F)F)c3)no2)cc1[N+](=O)[O-] 537175311 CKCNLDGIWCJGGZ-LBPRGKRZSA-N 406.364 4.823 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@H]1C1CCOCC1 538617545 RSIMWHINPUZDHG-NRFANRHFSA-N 411.458 4.810 5 20 HJBD COc1ccc2c(c1)C[C@@H](C)N(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)[C@H]2C 541021464 YDDVHBTZLKWORC-CVEARBPZSA-N 407.470 4.809 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)Nc1ccc([N+](=O)[O-])cc1F 541783835 PRBVCGGXXWZFRX-UHFFFAOYSA-N 401.423 4.588 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC(F)(F)F)cc1 542540567 XXFVSKLGUWQTTO-NEPJUHHUSA-N 414.355 4.656 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cccs1 543124284 WKGVGVXKWXPFCJ-LJQANCHMSA-N 402.472 4.793 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc([N+](=O)[O-])cc1F 544432191 FJRQVQSKDQMOEP-JTQLQIEISA-N 421.400 4.802 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 544558351 JOWQUDHAGYPLTD-CVEARBPZSA-N 410.449 4.517 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(CCCc4c[nH]c5ccccc45)n3)c2c1 545146646 OWJQOAPZPOZJAJ-UHFFFAOYSA-N 401.426 4.707 5 20 HJBD CC(C)(C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])cc1F 545831258 WIVNHVSMFRSZOC-UHFFFAOYSA-N 420.400 4.853 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc2c(c1)N(CC(F)F)C(=O)CS2 551269362 NIYHMEZZYMQOJR-QPEQYQDCSA-N 419.453 4.814 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)C3CCC(F)(F)CC3)cc2[N+](=O)[O-])C1 573037837 HEKGCYSWRFGRRF-HUUCEWRRSA-N 409.477 4.727 5 20 HJBD O=C(NCC1(c2cccc(C(F)(F)F)c2)CC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 608968708 UYPWVDAATPIHIS-UHFFFAOYSA-N 403.360 4.557 5 20 HJBD CCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 609010584 KQQNQKBICMGVFV-UHFFFAOYSA-N 404.466 4.784 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H](Sc1ccccc1F)c1ccccc1 609262228 WLWGVLBQSAAQQK-OAQYLSRUSA-N 410.470 4.926 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1cc(F)cc([N+](=O)[O-])c1 609441722 KCOASKWLSZCSEP-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCC 610036633 ZWJAPRJZWNBWMA-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 610225872 DZNSAQLDRLWEAE-NRFANRHFSA-N 408.429 4.765 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1SCC(F)(F)F 611143635 LHBANPTWQQQKAN-UHFFFAOYSA-N 409.389 4.912 5 20 HJBD O=C(NC1CCN(C(=O)Nc2ccccc2)CC1)c1cc2ccccc2c2cccnc12 616140933 JIXLIKQITPEXLJ-UHFFFAOYSA-N 424.504 4.814 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 725789477 FPCCJQWXQICRPE-CQSZACIVSA-N 422.235 4.616 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 726930954 GYUXCNHARDNTCH-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CC(C)COc1ccc(C2(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)CCC2)cc1 731573508 PCTLBUJAJUSMAU-UHFFFAOYSA-N 404.413 4.968 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 747023330 GXPLCFMMWJLRGZ-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(/Cl)c2nc(O)c3c4c(sc3n2)CCCC4)cc1O 748148973 RYHNCHXOPZVXIL-YRNVUSSQSA-N 403.847 4.626 5 20 HJBD Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(Cc3ccccc3)CC2)o1 754616381 SKSVDAKJAQTSPD-UHFFFAOYSA-N 420.469 4.603 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C\c3cn(-c4ccc(F)cc4Cl)nn3)nc2c1 755598837 LCHFUNSUQSXRGT-AWNIVKPZSA-N 420.187 4.846 5 20 HJBD CC[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc(C(F)(F)F)cs1 756963548 DEKAOFOKPWNSCP-LLVKDONJSA-N 422.816 4.674 5 20 HJBD C[C@@H](CSCc1ccccc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760536619 IIPWWEWVWXECKN-HNNXBMFYSA-N 412.467 4.863 5 20 HJBD CCn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nnc1-c1ccc([N+](=O)[O-])cc1 768413494 YGMNRTRGGOOANZ-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 769877274 PRDSKNCLNKGWSO-OAQYLSRUSA-N 416.905 4.759 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1nc(-c3ccccc3)n3c1CCCCC3)CC2 773990745 FTLIFEVUCCKQLF-UHFFFAOYSA-N 416.481 4.696 5 20 HJBD O=C(CCCc1ccc2ccccc2c1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775918119 MBSMMRVIMUWRHM-UHFFFAOYSA-N 417.421 4.864 5 20 HJBD C[C@H](Oc1ccccc1)c1nc2ccccc2n1C[C@H](O)c1ccc([N+](=O)[O-])cc1 776277208 PTWSBBRZRHFRIV-AOMKIAJQSA-N 403.438 4.818 5 20 HJBD CC(C)OCCOCc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 777655119 DTXIBLCUOMOKTE-UHFFFAOYSA-N 413.518 4.617 5 20 HJBD CCc1nc(C)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 779309809 NIIDCPCGXAHWGE-UHFFFAOYSA-N 404.673 4.590 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1 791698878 VZKGTWMNXHEEBW-UHFFFAOYSA-N 405.401 4.514 5 20 HJBD COc1ccc(OC(=O)c2cccc(C)c2NC(=O)c2ccccc2F)cc1[N+](=O)[O-] 792120095 ZNCYEPLBKYYTGM-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD CC(C)(C(=O)Oc1cccc(NC(=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 796763892 SDBMLVNOSPXSBR-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc2sc(N3CCCC3)nc2s1 800147513 UFLKUXBFJCCGIY-UHFFFAOYSA-N 406.464 4.566 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3Cl)n2)ccc1F 809919514 BAKXOHOGSRDZNP-JTQLQIEISA-N 419.800 4.628 5 20 HJBD COC[C@H](C)[C@@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 812834161 MIBKGOODVSIKHV-UONOGXRCSA-N 406.866 4.684 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])s1 817038402 LGPYSWOBCCREEK-UHFFFAOYSA-N 419.503 4.693 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1Cl 903984197 ZYAMTYSSDMVTLL-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 904509937 YLIPSJJSZQJNSC-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD CSc1ccc(C(=O)Oc2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 916421592 JFBISAUJYARZHJ-UHFFFAOYSA-N 408.435 4.788 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 917366681 YZKBNLRASOIBET-INIZCTEOSA-N 411.483 4.621 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2cccs2)CC1 1254358694 LDNLEQFZPMABNE-UHFFFAOYSA-N 418.540 4.894 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3coc(-c4ccccc4)n3)cs2)c1 1318471245 KZXAXHWMKPNCHQ-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD CN(C)[C@H]1CCCC[C@@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 1318670637 LEXPLLWGTOXMSU-ROUUACIJSA-N 417.893 4.643 5 20 HJBD O=C1N[C@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])Nc2ccc(Br)cc21 1325940628 OJRUUQLPSOJDBU-CYBMUJFWSA-N 417.046 4.518 5 20 HJBD COc1cc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])ccc1OCc1ccccc1 7187424 KHMTZUHBUWEEMV-UHFFFAOYSA-N 406.438 4.997 5 20 HJBD Cc1sc2ncnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2c1C 20789961 TZKHTZUXDGVBPM-SNVBAGLBSA-N 422.919 4.989 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(Cl)cc1Cl 26226520 HRGCGHSBHFDCGY-NSHDSACASA-N 412.273 4.590 5 20 HJBD CCOc1cc(C)ccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 55153997 AGGXWBRLLPGIEN-LBPRGKRZSA-N 411.380 4.760 5 20 HJBD O=C(Nc1ccc(CN2C(=O)Cc3ccc(Cl)cc32)cc1)c1ccccc1[N+](=O)[O-] 62916180 PGOAOXPBWUHKJY-UHFFFAOYSA-N 421.840 4.590 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCCc2cc(C(F)(F)F)ccc21 65724184 CKKARBWTSVZIOM-UHFFFAOYSA-N 403.360 4.548 5 20 HJBD Cn1c(COc2ccc(Cl)cc2)nnc1Sc1ccc([N+](=O)[O-])cc1Cl 301109128 VPILNORHEBHOHA-UHFFFAOYSA-N 411.270 4.760 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(c2ccc(Br)cc2[N+](=O)[O-])CC1 302861932 QOLWVFZIRIWAAL-UHFFFAOYSA-N 407.239 4.596 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H]2CCN(c3cc(Cl)ccc3C)C2)c1 303102161 CRPHJTFVIYTECV-AWEZNQCLSA-N 419.934 4.535 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426263392 LUGABAOIKFWXLX-UHFFFAOYSA-N 415.799 4.651 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc(C(C)(C)C)cs1 426390503 PGQFKNGZHDAOQG-ZDUSSCGKSA-N 402.520 4.784 5 20 HJBD Cc1ccccc1C[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)C(=O)O 426647735 QENKXGQHMKEZTA-GOSISDBHSA-N 410.495 4.665 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@@H]1CCC(F)(F)C1)c1ccccc1 429783520 LIBWOWWYKHLNNM-FZKQIMNGSA-N 423.847 4.953 5 20 HJBD CCCCn1c(SCc2nc3cc([N+](=O)[O-])ccc3o2)nc2ccccc2c1=O 434806743 BSPIIXBREOSYCQ-UHFFFAOYSA-N 410.455 4.538 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437124628 IWRAEQODBCVHOG-UHFFFAOYSA-N 423.494 4.674 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(O)cc1)Cc1ccco1 439918342 UDPGIUPSAAPLQF-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C 446483860 FKEABHPUDKFCCC-SJCJKPOMSA-N 422.279 4.563 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N[C@@H](c1ccccc1)C1CC1 447031024 WVKJXSUQUHPVAM-NRFANRHFSA-N 423.494 4.751 5 20 HJBD Cc1nc(-c2ccsc2)ccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638423 LVGFHIKDIGCYTA-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD COc1ccc2cc(CN(C)C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)ccc2c1 467219681 SSCVXDINXLOPQT-UHFFFAOYSA-N 413.861 4.752 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCCC[C@@H]3c3ncc(-c4ccccc4)[nH]3)c1)OCOC2 472640166 QMCMUINOFVODIH-OAQYLSRUSA-N 420.469 4.579 5 20 HJBD Cc1cc(Cc2noc(CSCC(=O)c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 472774862 PPZRVUMKOYKNNB-UHFFFAOYSA-N 417.874 4.647 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1 473691476 RQGRYTSCHSYMRF-IBGZPJMESA-N 412.833 4.563 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1cccc([N+](=O)[O-])c1 475593758 XDIOSYYJAWFZIE-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N2CCc3c(cccc3NC(=O)Nc3ccccc3)C2)n1 481968596 ARPOYLNDHFWNHV-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 482869690 CSOVDAYPLDNTPQ-DOTOQJQBSA-N 400.453 4.887 5 20 HJBD CCc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 485316673 BQFQDAORVGPCKP-CYBMUJFWSA-N 413.865 4.879 5 20 HJBD O=c1cc(/C=C\c2ccc(-c3cccc([N+](=O)[O-])c3)s2)[nH]c(-c2ccncc2)n1 486073156 CHLFRHACNCAAJI-PLNGDYQASA-N 402.435 4.639 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc([N+](=O)[O-])cc1Cl 487584719 AUMBGSWEYLTVSE-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2c2ccc3c(c2)OCCO3)cc1[N+](=O)[O-] 487847362 WKXJYCNOCDRYKG-SFHVURJKSA-N 410.470 4.641 5 20 HJBD COc1c(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)sc(C)c1Br 487982004 PRGDPPWZNGLTNT-SECBINFHSA-N 413.293 4.535 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 488067873 KNZJGWUWEHRPTC-QGZVFWFLSA-N 417.465 4.671 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492964974 XZXWWUBBBCPAJP-MOPGFXCFSA-N 404.388 4.908 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 494687830 DITOMLLDGAQMTK-JOCHJYFZSA-N 416.443 4.878 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1OCC(F)F 497641936 HPTIHZFYKUIYND-UHFFFAOYSA-N 413.808 4.599 5 20 HJBD CCN(CCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(C)C 498576721 LSWDECCUYGIYSI-UHFFFAOYSA-N 405.882 4.501 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)c1ccc(Br)cc1[N+](=O)[O-] 505444662 FYMKJEKKNCYOFG-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C[C@H]1c1ccccc1C(F)(F)F 505577720 AHKSPBKRDAFERF-KCTSRDHCSA-N 410.417 4.767 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@H]1CCCCN1Cc1ccccc1[N+](=O)[O-] 507409008 QDYXMWCWTXTCKH-GOSISDBHSA-N 408.285 4.895 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 513005589 XLJGMTVSKMLQHU-UHFFFAOYSA-N 423.372 4.953 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccccc1Cc1ccccc1 513267219 LMELKASCRMQBHE-INIZCTEOSA-N 406.438 4.600 5 20 HJBD CC(C)(C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc([N+](=O)[O-])cc1 523509660 OHVXAJYPHQMISV-UHFFFAOYSA-N 417.437 4.837 5 20 HJBD CC1CCC(N(Cc2ccc3c(c2)OCO3)C(=O)c2ccc([N+](=O)[O-])s2)CC1 524460649 QHLOQABOQITJHA-UHFFFAOYSA-N 402.472 4.606 5 20 HJBD CCN1CC[C@H](N(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C[C@@H]1C 524888731 GRBJZTIYTPLHBU-WMZOPIPTSA-N 407.580 4.678 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc3c2CN(c2ccccn2)C3)cc1[N+](=O)[O-] 534599126 RESUEIUTYBMKQU-INIZCTEOSA-N 417.469 4.701 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 538203950 UQYZDALHYMCIDP-MRXNPFEDSA-N 418.453 4.754 5 20 HJBD CCn1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc2ccc(Br)cc21 538908817 WXZYEAGPEGXTKG-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4cc(Cl)cc(Cl)c4)no3)c2c1 545586962 YEIHBVVTBGLSPK-UHFFFAOYSA-N 403.181 4.872 5 20 HJBD Cc1cc(Cc2noc([C@@H](C)Oc3ccc(Br)cc3)n2)ccc1[N+](=O)[O-] 545851597 SBYWDTUAAPSEPL-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3cccc([N+](=O)[O-])c3)n2)c1)c1ccccc1F 546275221 QBULWUGQHUCNCG-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1ncc(-c2cccc(Br)c2)o1 558738991 AJHALSQRDIDUIQ-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 568798329 ZLHGPZKPDUUVJF-MRXNPFEDSA-N 404.488 4.557 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@H]3c3nc4ccc(Cl)cc4[nH]3)o2)cc1 570364995 AUPITTVRZXJIDJ-KRWDZBQOSA-N 424.848 4.512 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc2NC1=O 584542761 HAPFPWSGGOZBCF-APPDUMDISA-N 423.391 4.574 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)ccc1[N+](=O)[O-] 586866560 VBLSEBFGWSRKBG-UHFFFAOYSA-N 408.376 4.675 5 20 HJBD CC[C@H](C)c1ccc([C@@H](Nc2ccc(S(N)(=O)=O)c([N+](=O)[O-])c2)C(C)C)cc1 600847480 DNGMBKFSWIHDEH-XOBRGWDASA-N 405.520 4.565 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 603674301 RYYDXXDHFRKEDD-UHFFFAOYSA-N 412.446 4.782 5 20 HJBD COc1cc(C)ccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 604006514 JEXSYPFPJQMDRX-UHFFFAOYSA-N 400.378 4.661 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3noc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 608874529 FTCOGBMANHULJF-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608934490 CBTQWZGUJMDJBN-SNVBAGLBSA-N 411.241 4.506 5 20 HJBD CCNC(=O)c1cccc(Oc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1 608973291 FUZSYASFWVWYNR-UHFFFAOYSA-N 419.437 4.698 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609010034 OZPXUAVQKFTXKR-UHFFFAOYSA-N 410.470 4.893 5 20 HJBD Cn1ccc(NC(=O)C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)n1 609345724 MUBDOUIAKPVCHP-NTCAYCPXSA-N 419.466 4.507 5 20 HJBD C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609880310 FENRFOMFNXKQDM-QFSBIZTOSA-N 423.300 4.881 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)N[C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O 609918560 AAFNLOYLYUCQKZ-LEWJYISDSA-N 421.522 4.644 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1c1cccc(Cl)c1 610061024 MLHVGEJOGUZUCJ-INIZCTEOSA-N 409.667 4.988 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(c2cccc(Cl)c2)CC1 610102028 RMJQCKVZYDKASZ-UHFFFAOYSA-N 401.894 4.900 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCc3ccccc3C)c2)cc([N+](=O)[O-])c1OC 610175209 KOQGCDOPFSXBBZ-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1cccc([C@H](NC(=O)c2csc([N+](=O)[O-])c2)c2ccccc2Cl)c1 610181019 PZONKLOJZRKZGO-SFHVURJKSA-N 402.859 4.838 5 20 HJBD COc1cccc([C@H](C)c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c1 612917174 GCKNHZPBERCPNH-LBPRGKRZSA-N 408.439 4.929 5 20 HJBD Cn1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2ccc(F)cc21 613380415 NCAPPYVSQUSPBY-UHFFFAOYSA-N 414.462 4.908 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 725791321 LNSIFHALVRJSRY-JTQLQIEISA-N 423.819 4.618 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 726192682 DUUBHARNOJFCBG-UHFFFAOYSA-N 414.421 4.669 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)CCNc3ccccc3[N+](=O)[O-])cc2)cc1 726959049 FJQDTTFHISZCRT-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD O=C(Cn1cc(NCc2c3ccccc3c(Cl)c3ccccc23)cn1)NC1CC1 727470579 FQEGHYOIYUXWIG-UHFFFAOYSA-N 404.901 4.734 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 728899948 ZBUIFCOVVUXCKN-NZQKXSOJSA-N 406.486 4.516 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1 730452209 IMAHSYZILXTRGA-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC([C@@H](O)c2ccc(F)cc2)CC1 731056220 NHCHONILVBRKBQ-FQEVSTJZSA-N 414.458 4.534 5 20 HJBD O=C(CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Nc1cccc2ccccc12 732231142 IBQXEFZVHBPGRG-UHFFFAOYSA-N 401.422 4.736 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cccc([N+](=O)[O-])c1 733640235 NNEDHJAUYHMYFT-GFCCVEGCSA-N 413.821 4.713 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNC(=S)NCc2cccc(C(F)(F)F)c2)cc1 739438124 XWJHJTJWCJAWMF-UHFFFAOYSA-N 411.449 4.601 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CNc1ccc(CCn2cc(Br)cn2)cc1 742262606 XEJYXHWSMZDFHE-UHFFFAOYSA-N 419.254 4.548 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C(F)(F)F)no2)cc1 748357589 WZJAQIMLTWWFNH-UHFFFAOYSA-N 423.303 4.655 5 20 HJBD O=C(COC(=O)c1cccc(Cl)c1[N+](=O)[O-])Nc1ccccc1Cc1ccccc1 750541531 QAWHMILMEHXVLQ-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CCO2)cc1 757535537 ZSZMETOEVYIVQD-ZWKOTPCHSA-N 409.360 4.999 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(CC1CCCCC1)c1ccccn1 758856792 WTSCOKOABNMMIN-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1Cc1nc2ccc([N+](=O)[O-])cc2[nH]1 769735243 WAEYUUAHKUOBPX-KRWDZBQOSA-N 416.913 4.623 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nnc(-c3cccs3)n2-c2ccccc2)cc1 776272424 STDFVQPIGDOBBM-QGZVFWFLSA-N 424.507 4.730 5 20 HJBD COc1ccc(NCc2ccc([N+](=O)[O-])cc2F)cc1OCc1cn2ccccc2n1 778102808 LOVCAOZEFKTVLZ-UHFFFAOYSA-N 422.416 4.581 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2cc(C)on2)cc1OC 782032556 LZPLSBIBJSBERN-RDTXWAMCSA-N 403.479 4.662 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 782075142 UHGMMDZBIDMXDW-NRFANRHFSA-N 421.380 4.545 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)OCc1cccc([N+](=O)[O-])c1 782252132 QIEUKVVGCRGYCK-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD C[C@@H](OC(=O)C[C@H](O)c1ccc(OCc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 784631706 YDFJIYAVZYXHNJ-HXOBKFHXSA-N 421.449 4.902 5 20 HJBD C[C@H](C(=O)OCc1ccc(Cl)nc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 787794513 PSGCDWPTCWZRST-QMMMGPOBSA-N 406.719 4.648 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])ccc1OC(C)C 790529915 IRHUSTIUJCOOGY-GFCCVEGCSA-N 424.856 4.603 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Oc1ccccc1Oc1ccccn1 790891014 NKHZLWVNACWQAR-UHFFFAOYSA-N 402.765 4.723 5 20 HJBD CC(=O)c1cc(N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)ccc1[N+](=O)[O-] 804668641 RLTKNUKBROMAKD-UHFFFAOYSA-N 416.477 4.952 5 20 HJBD COCc1c(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)oc2ccccc12 811220206 YDJWCNZLULTJTR-UHFFFAOYSA-N 424.434 4.968 5 20 HJBD Cc1cccc(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1C 811373736 LSMRQRLAPWFMLI-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 813305972 JORACGLVFUZJLU-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD O=C(Nc1ccc2oc(CN3CCCC3)nc2c1)c1cc2cc([N+](=O)[O-])ccc2s1 816766372 UGIZJLFVKBWIID-UHFFFAOYSA-N 422.466 4.799 5 20 HJBD C[C@@H](OC(=O)c1[nH]c2ccccc2c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 915430853 JRGNVMLBDYYTNL-SECBINFHSA-N 422.224 4.567 5 20 HJBD C[C@H](OC(=O)c1[nH]c2ccccc2c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 915430854 JRGNVMLBDYYTNL-VIFPVBQESA-N 422.224 4.567 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)c1ccc(Br)cc1 919215048 WHYKZYYRMVGACK-SNVBAGLBSA-N 418.199 4.532 5 20 HJBD O=C(Cc1csc(-c2c(Cl)cccc2Cl)n1)NCc1ccc([N+](=O)[O-])cc1 1251131398 LVLNMIYPHJAVKW-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD CC(C)Cc1nnc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 1326514967 YGVKURPZHYAEII-UHFFFAOYSA-N 403.442 4.620 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2cccs2)cc1[N+](=O)[O-] 1342631543 RHFXMQXKGCFNTH-UHFFFAOYSA-N 413.476 4.966 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 9788727 IZBKMZQNJKATJK-SNVBAGLBSA-N 420.491 4.783 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc(C)c3[N+](=O)[O-])n2)cc1Cl 20017589 ZWFNUIVTJRMRPR-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD COc1c(C)ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 27171656 YGTWACJPXQGAJG-LBPRGKRZSA-N 411.380 4.678 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 58733854 NDQUAOQTARBGMX-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4ccc(Cl)cc4)o3)n2)cc1 59017326 SHSXXMXSBKJWOL-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(c3ccc(Cl)cc3[N+](=O)[O-])C2)cc1 79096943 BQSXGFZDZCJIKS-HNNXBMFYSA-N 402.882 4.595 5 20 HJBD CCCC(=O)Nc1ccc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1 236757049 JPVMARGFLFARAK-UHFFFAOYSA-N 423.469 4.892 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cccc(OCC(F)(F)F)c3)cs2)c1 237701046 NQKNQWOOJDQKPQ-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3cc(C)c([N+](=O)[O-])cn3)CC2)n1 302766479 ZROBAVHKEZFWFG-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Sc2ccccc2)c(Cl)c1 303166056 VZBUVCWBPFPMLK-UHFFFAOYSA-N 402.863 4.625 5 20 HJBD Cc1c(CNC(=O)C(C)(C)c2csc(-c3cccs3)n2)cccc1[N+](=O)[O-] 409935851 FPYATTFXSAVQHU-UHFFFAOYSA-N 401.513 4.682 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1O 427235227 UGHPVMMNXKDFRO-UHFFFAOYSA-N 418.515 4.719 5 20 HJBD Cc1ccccc1C(=O)NC1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 429199777 CCVYJZUYALYZPH-UHFFFAOYSA-N 408.285 4.609 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1ccc(Br)cc1[N+](=O)[O-] 429943018 MCWGCZYHNPUDTH-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD O=C(CSc1nc(-c2ccccc2)co1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 436249794 DNIJJIAXYOOEAO-UHFFFAOYSA-N 423.372 4.999 5 20 HJBD O=C(Nc1cc(F)c(F)cc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436322204 MOIYIXFMPPTPRS-UHFFFAOYSA-N 404.294 4.629 5 20 HJBD O=C(c1ccc(O)c([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 436941749 SEDPXFHGNCUTBI-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1Cl 438967954 ZXTFYYUMJBUHNN-UHFFFAOYSA-N 403.866 4.764 5 20 HJBD CC(C)c1ccccc1OCCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444012966 TXYLIAIMJIKDAT-UHFFFAOYSA-N 400.478 4.614 5 20 HJBD O=C(N[C@H]1CCCOc2c(F)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444292364 VBCNHZLNSLRXPR-IBGZPJMESA-N 420.415 4.603 5 20 HJBD O=C(Nc1nccc2sccc12)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444302079 PLVZTTFPHQEQQN-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD COc1ccc(C(C)(C)c2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1OC 445687745 YVXFCSYDTTXAKD-UHFFFAOYSA-N 403.822 4.641 5 20 HJBD CC(C)N(C(=O)c1ccc([N+](=O)[O-])cc1Br)c1cnc2ccccc2c1 448457985 DFLYZKWDVFNGMY-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1OC[C@@H]1CCCCO1 462226442 QWLHSPRIKSZOGW-IBGZPJMESA-N 400.475 4.942 5 20 HJBD CC[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465264318 FYEWYTRUVVENOL-LLVKDONJSA-N 414.409 4.526 5 20 HJBD Cc1nc(CN2CCN(c3cccc([N+](=O)[O-])c3)CC2)oc1-c1ccc(Cl)cc1 468278967 ALYXAKCFKSCANL-UHFFFAOYSA-N 412.877 4.534 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C(=O)CC)ccc2Cl)c([N+](=O)[O-])cc1OC 471428792 QUQPTXZSMLKVIO-UHFFFAOYSA-N 406.822 4.501 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)nc(C)n2Cc1ccsc1 472815000 XWJHFMICARRMHZ-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD O=C(c1ccccc1)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 475194919 GFPGDGRDGAPFEF-UHFFFAOYSA-N 421.375 4.740 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@@H](CO)c3ccccc3OC(F)(F)F)o2)c1 475550298 HQJLFANJZWKSPN-KRWDZBQOSA-N 422.359 4.577 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2C(C)(C)C)cc1SC 483442232 UKHXUAAYKSNGMH-UHFFFAOYSA-N 420.535 4.827 5 20 HJBD CCc1cc(=NC(=O)c2c(-c3ccccc3C)noc2C)c2cc([N+](=O)[O-])ccc2[nH]1 484012509 SPWBHVXWIQSVGM-UHFFFAOYSA-N 416.437 4.651 5 20 HJBD CCC[C@H](NCC1(N[C@@H](C)c2ccccc2)CCOCC1)c1cccc([N+](=O)[O-])c1 484183519 ZNFAKFWSJWMMFX-CVDCTZTESA-N 411.546 4.926 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccc(F)c2)C(C)(C)C)cc1SC 484292210 HYXOPOMJOCZHNY-UHFFFAOYSA-N 406.479 4.905 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCCC(=O)N2 485011757 BPQJPARBYHHNLC-UHFFFAOYSA-N 413.499 4.870 5 20 HJBD CSc1cccc(C(=O)NCc2ccccc2CN2CCCC[C@@H]2C)c1[N+](=O)[O-] 485753065 OGJFXKFEJDHPLD-INIZCTEOSA-N 413.543 4.621 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)cc1[N+](=O)[O-] 488938169 FWVCLHUDDZPBJC-UHFFFAOYSA-N 419.466 4.951 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 489404375 DZEDDQPDAYOXLK-UHFFFAOYSA-N 424.501 4.579 5 20 HJBD O=C(Nc1ccn(-c2ccccc2)n1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 491975271 CUTPZDWGBZCBHZ-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCOc1cc(CNc2ccc(CC(=O)N(C)c3ccccc3)cc2)ccc1[N+](=O)[O-] 492584145 ULGZPBHFEKPTRT-UHFFFAOYSA-N 419.481 4.811 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 495156487 IDCKHOPDRSXPBT-GFCCVEGCSA-N 413.886 4.866 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCCO[C@@H]2CCCc3ccccc32)c1 505555074 HUNHPIPDXSZACG-HXUWFJFHSA-N 400.500 4.531 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)ccc1[N+](=O)[O-] 513438858 JXDBUESOUCZVGR-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)c1 517875144 SFEKDFRDZQAZDI-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 519198149 QVODXIKKUSPTER-SNVBAGLBSA-N 412.671 4.571 5 20 HJBD CCCN(CC)Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 523707057 DFNMXFFGWDHJSG-UHFFFAOYSA-N 407.417 4.680 5 20 HJBD Cc1c(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)cccc1[N+](=O)[O-] 523838762 IORCTIACBIIFCD-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H](c2nc3ccccc3s2)C1 536164379 AFEPGDZADRBLKH-AWEZNQCLSA-N 420.494 4.635 5 20 HJBD C[C@@H](NCC(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1)c1cccc([N+](=O)[O-])c1 538174754 GBBYYOAOTFDUFC-BFUOFWGJSA-N 422.312 4.916 5 20 HJBD CCN(Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1)C(C)=O 538193793 GHWIAYGGMTXCDC-UHFFFAOYSA-N 415.515 4.716 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNc1cccc(CS(=O)(=O)Cc2ccccc2)c1 538832460 OTYLBCKVHAIOGG-KPKJPENVSA-N 422.506 4.835 5 20 HJBD C[C@@H](NCc1ccccc1[N+](=O)[O-])c1cccc(OCc2cn3ccccc3n2)c1 540188725 KYOZUWBQTGGQKX-QGZVFWFLSA-N 402.454 4.672 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543466191 CAYDXFMKJBYOIN-CYBMUJFWSA-N 412.421 4.958 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1F 544066659 DQQJAJMVNPLINJ-UHFFFAOYSA-N 422.431 4.859 5 20 HJBD C[C@@H](OC[C@H]1CCCO1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545777504 LDSXFVXWFYDFDI-AUUYWEPGSA-N 411.414 4.694 5 20 HJBD CO[C@@H](c1noc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1)c1ccccc1Cl 547224585 KOGOFXUKIHQSBR-GOSISDBHSA-N 414.849 4.634 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3cccc(F)c3F)nc2)c1 549268193 RNIRVMXQHAVVMC-UHFFFAOYSA-N 415.352 4.711 5 20 HJBD C[C@@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)c1ccc(C(F)(F)F)cc1 556889243 AYIOQXQZOGCCNE-LIRRHRJNSA-N 418.419 4.830 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nccn1-c1ccccc1 576260106 SKNXUGPDGKELGY-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD COc1ccc(Cc2noc(-c3cccc(SC)c3[N+](=O)[O-])n2)c(Cl)c1OC 581276681 ZJHNMXBMUJHIOI-UHFFFAOYSA-N 421.862 4.628 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(C(F)(F)F)c1 603733753 DMSDVUNHHGQBBI-UHFFFAOYSA-N 407.304 4.571 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1)c1cc(F)c(Cl)cc1Cl 603743242 FZXWEWOGFUDKKJ-VHSXEESVSA-N 400.237 4.719 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)c3ccc(F)cc3)cc2[N+](=O)[O-])n1 603992419 MTDMIIYDNKTFLT-UHFFFAOYSA-N 403.460 4.927 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(Cc1ccccn1)c1ccc(Br)cc1 603994285 PBQBDWNDCVNMJP-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)c2cccc(F)c2)c1 604079925 CKJDWCVCRLSNDT-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](c3nc4ccc(C)cc4[nH]3)C2)cc1[N+](=O)[O-] 608986751 NDBQUTAYXNTMMV-OAHLLOKOSA-N 410.499 4.521 5 20 HJBD Cc1cccc(OCCN(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 609604361 XJYPVZVPVDLHHW-UHFFFAOYSA-N 419.481 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCCCc1ccc(Br)cc1F 609711239 XELBVDMIRKJYRY-UHFFFAOYSA-N 410.243 4.559 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1cc(Cl)cc([N+](=O)[O-])c1 609854673 SSZYCNXVQNGETE-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H](C(F)(F)F)CC[C@H]3C)cc2[N+](=O)[O-])CC1 611549490 UNUDUXWIIXARRF-GDBMZVCRSA-N 413.440 4.634 5 20 HJBD COc1ccc(OC)c(CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(C)(C)C)c1 619796484 ALBMSASESCHOCS-UHFFFAOYSA-N 412.442 4.799 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(N2CCOC2=O)cc1 726607496 XUPIZJFSWXPVJY-OAHLLOKOSA-N 407.426 4.662 5 20 HJBD O=C(N[C@H]1CCSc2ccc(Cl)cc21)c1cc([N+](=O)[O-])ccc1N1CCCC1 726933236 GYCUXYXVDLDXJR-KRWDZBQOSA-N 417.918 4.815 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])cc1)c1csc(-c2ccccc2Cl)n1 727560895 CCDQDGXBOOXUIH-UHFFFAOYSA-N 404.831 4.608 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2ccccc2)cc1 729824353 COLMZRKXTDVXEV-FQEVSTJZSA-N 421.428 4.542 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)OCc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 729874608 YOXFUFHVLSGBIV-NRFANRHFSA-N 418.449 4.508 5 20 HJBD O=C(OCC[C@H]1CCCCN1C(=O)c1coc2ccccc12)c1cccc([N+](=O)[O-])c1 733254859 XHIOGWIXVKFIPM-QGZVFWFLSA-N 422.437 4.583 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(C(=O)NCC(C)(C)C)cc1 741697523 YIEXHKNIXYITGO-UHFFFAOYSA-N 403.866 4.585 5 20 HJBD Cc1ccnc(-n2c(S[C@H](C)c3ccccc3[N+](=O)[O-])nc3ccccc3c2=O)c1 742448690 HFQKCSXJJIWLMU-OAHLLOKOSA-N 418.478 4.851 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)cc1 743641514 JWPFEEJQAXJSSE-OAHLLOKOSA-N 405.454 4.652 5 20 HJBD C[C@@H](OC(=O)c1cc(-c2ccccc2)ns1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 747103762 RTHAUZXIBYAVON-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1C 758925974 FEIRTFNYBZTUIG-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)O[C@@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)o2)cc1 759940061 NPIHNOUUBQJWMU-IEBWSBKVSA-N 423.425 4.595 5 20 HJBD O=C(NCCc1csc(-c2ccc(Cl)cc2)n1)c1cccc(Cl)c1[N+](=O)[O-] 760003889 OVNKLRSDZINMEW-UHFFFAOYSA-N 422.293 4.998 5 20 HJBD Cc1nn(C)c(C)c1[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(F)(F)F 760766461 STZNPZZTVNFRDN-HNNXBMFYSA-N 413.377 4.620 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](CC(C)C)NC(=O)OCc2ccccc2)n1 762072447 TWSHTXASCVCGIA-IBGZPJMESA-N 424.457 4.967 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 763078524 ZFSZFYOUCHPSQW-UHFFFAOYSA-N 403.797 4.589 5 20 HJBD COCc1ccc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)cc1 767737321 FXVOKBDUUJRFHA-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 770382504 UCBQBWCUZYSURJ-NVXWUHKLSA-N 415.490 4.732 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1sc(Br)cc1[N+](=O)[O-] 770618131 IVIYWZWAJCRIJT-NSHDSACASA-N 423.288 4.595 5 20 HJBD O=C(OCC1(c2ccccc2)CCOCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 774376769 NURNIFBDPSYJHL-UHFFFAOYSA-N 424.478 4.624 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2ccc(N(C)C(C)=O)cc2)cc1OC 781615252 UFZQJIYEWDQMAQ-OAHLLOKOSA-N 416.474 4.590 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781706029 RQUVUGGPVJGXLC-ZIAGYGMSSA-N 419.865 4.740 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCC[C@@H]1c1cc2ccccc2[nH]1 781967606 KWGPVVSRXQCZGY-HXUWFJFHSA-N 422.441 4.671 5 20 HJBD Cc1cc(C)c(OC(=O)CCc2c(C)nc3c4ccccc4nn3c2C)c([N+](=O)[O-])c1 809793289 BSRYFORZRYABSS-UHFFFAOYSA-N 418.453 4.563 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc2c(c1)CCCC2=O 816091205 OCZAMQVWORCOKL-UHFFFAOYSA-N 408.435 4.594 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2ccccc2SC(C)C)cccc1[N+](=O)[O-] 856437928 KWSXQJTUGNFCJN-CQSZACIVSA-N 402.472 4.588 5 20 HJBD C[C@@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)CC(C)(C)C 866532897 CPLZYNUTUASVJQ-NSHDSACASA-N 404.385 4.558 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1 914525975 IPFUBGWMTOAVPK-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)CC1 918369537 ROSUMQIZRAQKOP-UHFFFAOYSA-N 422.441 4.621 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nnc(C2CCCCC2)s1 920804786 AMYZGGZSBIVXDA-JTQLQIEISA-N 410.883 4.553 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H](C)c2cc(C)oc2C)c([N+](=O)[O-])c1Br 1318123717 TVJXPJNHKBIYQW-QMMMGPOBSA-N 414.212 4.657 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1 1318207277 DTIMUEZFALFQFW-UHFFFAOYSA-N 420.538 4.810 5 20 HJBD Cc1ccc(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc1[N+](=O)[O-] 1322831791 NWYQKOFOAFRRNQ-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD CC(C)=CC(=O)NCc1ccc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1323916536 YKZDYNLVFHJHLS-UHFFFAOYSA-N 406.442 4.511 5 20 HJBD COCCCn1c(SCc2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1Cl 11313714 HURBPYBTYJIWPX-UHFFFAOYSA-N 418.906 4.836 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1NC(=O)c1ccccc1 25873175 ORCGTHJAVBYWKR-HNNXBMFYSA-N 421.478 4.966 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 46651926 OJRLEPUXYDRBHP-UHFFFAOYSA-N 415.515 4.588 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4ccc([N+](=O)[O-])cc4Cl)CC3)c2c1 55680339 KEWLIHIQLKVFEQ-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD COc1cc(C(=O)Nc2c(C)n[nH]c2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 58366988 YUJGJXHDXVIVAP-UHFFFAOYSA-N 416.821 4.641 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2ccccc2Oc2ccccc2)cc1OC 62886920 GNKADWDOCOFEOZ-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 97542899 RRUVEPNFRBVSPZ-MRXNPFEDSA-N 408.458 4.614 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)CCNc1ccccc1[N+](=O)[O-] 110841753 IQYLPDRRKHWUNA-UHFFFAOYSA-N 418.425 4.522 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Cl)c([N+](=O)[O-])c1)c1nccn1Cc1ccccc1 208373444 ZWSPBJAOEPRLBI-ATVHPVEESA-N 411.845 4.597 5 20 HJBD COc1ccc(CNCc2ccc(F)c(C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 237226988 QHCMJMUXPPFHQD-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](CCO)c3ccccc3)o2)c(Cl)c1 238193884 PGJNDYQCBNRIJA-INIZCTEOSA-N 400.862 4.764 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccccc3Cl)o2)c(Br)c1 301567097 VWWONXXAVHIRCW-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1ccc(-c2ccccc2)s1 426733199 LQMNPSWAZOVYFY-FQEVSTJZSA-N 421.478 4.590 5 20 HJBD COc1cccc(C(=O)Nc2cc(-c3ccccc3)nn2-c2ccccc2)c1[N+](=O)[O-] 435643410 DNOLIAOACCJRAK-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=C(NC[C@H]1CCC[C@H](C(F)(F)F)C1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 438627626 RDHUCMSULKHJTF-UWVGGRQHSA-N 411.327 4.686 5 20 HJBD CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cc1F 439180938 CYMOCEZSPSVYDY-UHFFFAOYSA-N 406.719 4.605 5 20 HJBD COc1ccc(CN[C@@H](C)c2cccc(O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 444015377 LGVOYVQSLZHSNL-INIZCTEOSA-N 408.454 4.739 5 20 HJBD CC1(C)CN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)Cc2ccccc21 444299046 RDXMBMOQMDNHKF-UHFFFAOYSA-N 418.443 4.893 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](C)Sc1ccc(Br)cc1 446039591 OTWRSYOLHPZFSC-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Br)c(F)c1 460400484 AQVVVZOSABUPPZ-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCOc4ccc(Cl)cc43)cc2[N+](=O)[O-])CC1 460615750 VALZURSISJCXSF-UHFFFAOYSA-N 415.877 4.524 5 20 HJBD COC(C)(C)C[C@H](C)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 462754265 LOBVQJDJQDMHSO-LBPRGKRZSA-N 411.296 4.911 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1[C@H]1Nc2ccc(Cl)cc2C(=O)N1c1ccncc1 463014413 VOBNLKSHIRGNSP-FQEVSTJZSA-N 424.844 4.813 5 20 HJBD CCCCN1C(=O)C2(CCCCC2)N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 463022921 KORIWAVAVLUUTK-GOSISDBHSA-N 414.531 4.652 5 20 HJBD COc1cc(CN(C)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c(SC)cc1OC 463945218 AKVWANOFMMQZRV-UHFFFAOYSA-N 419.503 4.615 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(OCC2CC2)c(F)c1 469034495 RAWNWJCTKJSFIC-ZDUSSCGKSA-N 413.449 4.588 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N[C@H](c1cccc(Cl)c1)c1ccccn1 470125357 LYBJKZIIDVRAIQ-GOSISDBHSA-N 400.797 4.693 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(OCc2ccccc2)cc1 470802673 LDSZATUGXYIHFL-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 471905327 BDNCKDWVANLVOU-TZIWHRDSSA-N 421.497 4.571 5 20 HJBD CCOC(=O)[C@H](CC)S[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 476557488 ANPDKYRNJVYFGL-OALUTQOASA-N 416.499 4.658 5 20 HJBD COc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(OC2CCCC2)c1 477534661 ZIBCWCREACMUSJ-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc([N+](=O)[O-])cc1Br 478223435 FVEVOYCZODAAMW-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD Cc1c(CNC(=O)N(C)[C@H](C)c2ccccc2Br)cccc1[N+](=O)[O-] 480058060 JGCWRYLHSWHVEM-CYBMUJFWSA-N 406.280 4.568 5 20 HJBD COc1ccc(Nc2nnc(Sc3ccc4ncccc4c3[N+](=O)[O-])s2)cc1 480437676 YEOHKNIHPYJZFG-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD Cc1ccc([C@@H](C)NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)cc1[N+](=O)[O-] 482019517 USUZOEXCFKESCN-GOSISDBHSA-N 420.513 4.873 5 20 HJBD CC(C)(C)[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1 483667977 BZBBBEBZLCYWDJ-OAQYLSRUSA-N 413.493 4.854 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486079528 XMHMKHOKJPSBFW-MOPGFXCFSA-N 415.287 4.652 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(OC(F)(F)F)c1 491345241 FKFKFFISTNTHDP-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1Cl 494493204 IEFZMIWJDITCRH-NSHDSACASA-N 411.241 4.569 5 20 HJBD O=C1Nc2ccccc2C12CCC(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)CC2 494519060 ASBAWDQBCQXQRY-UHFFFAOYSA-N 419.403 4.536 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1C 494639490 VPQQDFMURCUORU-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)[C@@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 496245456 MRRSMOJZBBUXOX-JOCHJYFZSA-N 420.513 4.926 5 20 HJBD Cc1nn(Cc2ccnc(-c3ccc(Br)cc3)n2)c2ccc([N+](=O)[O-])cc12 502882432 BJLRBHMMPZFVIL-UHFFFAOYSA-N 424.258 4.521 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C(F)(F)F)cc1 505402784 LTGPUUZPNHHOBJ-CQSZACIVSA-N 422.281 4.656 5 20 HJBD COc1c(Cl)cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1Cl 506321382 LENUQROOXZGDJE-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C1CCN(Cc2cccc([N+](=O)[O-])c2)CC1 507943002 WNNSITIZBJVAFU-UHFFFAOYSA-N 407.436 4.710 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 508494604 FUPFIGCLSDWVLO-UHFFFAOYSA-N 412.496 4.575 5 20 HJBD COc1ccc(Cl)cc1CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 512007679 NZQQRSNANFRLES-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c(NC(C)=O)c2)cc1OC 513616329 ZJWGOGQDZNEFBX-ZDUSSCGKSA-N 419.453 4.738 5 20 HJBD COc1cc(CNCc2ccc(F)c(F)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 521830231 IJFSURVBINCGBN-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD CCOc1ccc2c(c1)[C@H](C)CC(C)(C)N2C(=O)CCn1nc(C)c([N+](=O)[O-])c1C 523228622 OQXRCJGQOSUITN-CQSZACIVSA-N 414.506 4.516 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C)cs1 523511340 BIJJMRDXVBKXBO-UHFFFAOYSA-N 405.423 4.524 5 20 HJBD COc1ccc(NC(=O)[C@@H](N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 530727269 GRYOOEYYCIOIEJ-HXOBKFHXSA-N 419.481 4.942 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC[C@@H](c3nc4ccc(F)cc4o3)C2)c1 535810260 AFTISHOXPFKVSH-GFCCVEGCSA-N 421.375 4.856 5 20 HJBD CCO[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n1 537620052 NJDLSVKNDAZQAY-GFCCVEGCSA-N 420.853 4.621 5 20 HJBD COc1ccc(CN[C@@H]2CCSc3ccc(Br)cc32)cc1[N+](=O)[O-] 537972646 USKJDPODAAPNIZ-CQSZACIVSA-N 409.305 4.693 5 20 HJBD CN(C(=O)[C@@H]1SCCc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353441 KIQLAURXASCNEO-GOSISDBHSA-N 411.508 4.712 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)c1C 539882021 YFRSHOGBCDQQIQ-UHFFFAOYSA-N 400.475 4.634 5 20 HJBD C[C@H](C(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1F 542527736 MFFDQOCZTGYBGQ-RNODOKPDSA-N 413.836 4.792 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC=C(c2cccc(Br)c2)CC1 545150650 NODHRBMFBUPKOD-UHFFFAOYSA-N 420.238 4.818 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3ccc(F)c(Br)c3)no2)cc1 546383618 LZYDUDRTBFBSML-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc2c(c1)nc(C(C)(C)C)n2C)c1cccc([N+](=O)[O-])c1 560552308 QBCFAEVVOXAOAN-FQEVSTJZSA-N 418.501 4.714 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(N[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 566369680 DZDAMCUQDKOLAZ-WZONZLPQSA-N 419.529 4.904 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1 570568546 DVNGPLICHQZJBX-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Sc1ccc2c(c1)OCCO2 571393611 DNZWPDQEDWWTDI-UHFFFAOYSA-N 408.304 4.566 5 20 HJBD O=C1CCCN1c1cccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c1 580360794 LJBUOPBZMNEWCA-UHFFFAOYSA-N 422.444 4.525 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 585462987 GWPPNUBFGXUTKE-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@H]2c2ccc([N+](=O)[O-])cc2F)c(OC)c1 602761546 SSDCRGKKZYMCMJ-OAQYLSRUSA-N 423.400 4.522 5 20 HJBD COCCN(C(=O)c1cccc(C)c1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 603461166 BTRJYFXSYDHZJD-UHFFFAOYSA-N 411.483 4.628 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 603986876 QVFICLAAGRSXMW-KRWDZBQOSA-N 420.465 4.602 5 20 HJBD COc1ccc(CNC(=O)c2sc(-c3ccc(Cl)cc3)nc2C)cc1[N+](=O)[O-] 604016782 RCHQOIQGVYUCEH-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD COc1ccc(NC(=O)[C@@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604044607 XVJDUOVGPDKZCA-AOMKIAJQSA-N 405.454 4.634 5 20 HJBD COCCOc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1Cl 604199104 KAZMKSZNGQMFNY-UHFFFAOYSA-N 406.847 4.740 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N(C)Cc3nc4ccccc4[nH]3)c([N+](=O)[O-])c2)cc1 608972502 QNWWKTIPDDIQNL-UHFFFAOYSA-N 415.453 4.668 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc([N+](=O)[O-])s1 609186707 KOSRTULVYSMMGM-GFCCVEGCSA-N 403.460 4.726 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 609709676 LEMSYKBJLNUXRQ-LJAQVGFWSA-N 409.467 4.688 5 20 HJBD COc1c(Cl)cc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 609724466 RBUKPKGEOGLGTQ-UHFFFAOYSA-N 419.648 4.971 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCCc1c[nH]c2cc(Cl)ccc12 609730731 NGUWWDVFKAPLEQ-UHFFFAOYSA-N 422.268 4.511 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609855989 FFAWIMCAWRDOIA-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 609913071 VDKYVAHIKNAESZ-HNNXBMFYSA-N 422.460 4.967 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC1(c2cccc(F)c2)CC1 610052790 VNYQASDQFVHHCM-UHFFFAOYSA-N 414.380 4.650 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 612201769 QCEJTBIALCJAGT-UHFFFAOYSA-N 415.409 4.631 5 20 HJBD CCOc1cc(NC(=O)c2oc3ccccc3c2COC)c([N+](=O)[O-])cc1OCC 619212845 YPCORRZLHRFINZ-UHFFFAOYSA-N 414.414 4.537 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CC[C@H](c4ccco4)C3)n2Cc2cccs2)c1 619854160 VPIZXCDNBLEKMI-INIZCTEOSA-N 421.482 4.550 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@H]1c1ccccc1C(F)(F)F 728060608 MYRQRLXJYSETMN-INIZCTEOSA-N 407.392 4.698 5 20 HJBD Cc1nc(-c2cnn(C)c2)sc1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 734708602 RGZMPRYHQKIXFH-UHFFFAOYSA-N 420.450 4.646 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1sc(Cc2ccccc2)nc1C 739588669 CDYUBNANQFXTAQ-AWEZNQCLSA-N 412.467 4.877 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 741629670 QNHWUVXKDSNIPB-IOASZLSFSA-N 403.276 4.764 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C(C)C)cc1OCC 745375516 OTEKAYDFFZUWIU-HXUWFJFHSA-N 404.438 4.658 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(O)c(C)c2)cc1[N+](=O)[O-] 748234310 CDJMHAMZKKTMLE-UHFFFAOYSA-N 422.462 4.814 5 20 HJBD C[C@H](Cc1ccccc1F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750854756 SZLBGVULNASHAG-SECBINFHSA-N 415.646 4.511 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])n2)cc1F 753077435 UKWLOCGKZQOVBS-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 754484425 TZBSUKWQTVDAAF-UHFFFAOYSA-N 409.467 4.887 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 755058840 FJCYNDGDOOYFME-NWDGAFQWSA-N 417.368 4.639 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 760527892 QJOGXHZMOQDXKL-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(C2OCCO2)c1 764284397 XRQMCFVMMXIMLE-UHFFFAOYSA-N 406.394 4.685 5 20 HJBD CCOc1c(Cl)cc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1Cl 767634532 OMAWIAUARRGGAJ-UHFFFAOYSA-N 409.229 4.962 5 20 HJBD O=C(CCCOc1cccc(F)c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135374 RCEIQVSCNTVUSI-JOCHJYFZSA-N 410.401 4.621 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777975687 KKBJIYMILGCOSY-UHFFFAOYSA-N 416.409 4.575 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1F 783561547 SFWUFVCJGUSBAK-UHFFFAOYSA-N 424.388 4.908 5 20 HJBD O=C(Nc1ccc(Oc2nncc3ccccc23)cc1)c1cc(F)ccc1[N+](=O)[O-] 783602282 CEQMQQFCASBSHW-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2F)sc1Cc1ccc(F)cc1F 785465330 GZUYEQQSHORLJB-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1 790539482 RMBXFVQWCIEVNC-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccc(NCc2ccccc2)c(Cl)c1 791349666 XUXCWIZEOWZLJH-UHFFFAOYSA-N 414.824 4.834 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1ccc(Cl)c([N+](=O)[O-])c1 791868701 DXPVHKVNLILRNU-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC3CCN(c4ccc([N+](=O)[O-])cc4)CC3)n2)cc1 792181152 PDVPJHPFEMLFCB-INIZCTEOSA-N 421.501 4.527 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809913592 OSYTWWYWSNQKDI-DNVCBOLYSA-N 403.866 4.846 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCCc1nc(-c2ccccn2)cs1 811486518 JZIDWCJUZXYAKQ-UHFFFAOYSA-N 409.298 4.752 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c([C@H](C)O)c2)cc1OC 814236554 CKWNTBSJUAOEGT-KBPBESRZSA-N 406.454 4.833 5 20 HJBD COC(=O)c1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])c(OCC(F)(F)F)c1 864010434 ABCMCEVBEZYTMF-UHFFFAOYSA-N 418.755 4.588 5 20 HJBD COc1cc(CCNC(=O)Nc2ccc(-c3ccccc3)cc2)c([N+](=O)[O-])cc1OC 915306112 FJWSBRAWMMKCBG-UHFFFAOYSA-N 421.453 4.643 5 20 HJBD CC(F)(F)CCC(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 915813014 WXTKGXZZEBFFFN-UHFFFAOYSA-N 403.337 4.709 5 20 HJBD COc1ccc(OC(=O)[C@H]2CC=CC[C@@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 916986343 DUXXCCQETLMZFU-GJZGRUSLSA-N 410.451 4.869 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2CCOC(=O)c2cccc([N+](=O)[O-])c2)c(C)s1 918638294 QVFMWNBELVJZIA-KRWDZBQOSA-N 416.499 4.515 5 20 HJBD CC/C=C(\C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 920507821 RCOSSCWWTWHSKQ-JQTSXJCQSA-N 402.834 4.828 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)C2)cc1 1116612680 SGIBLBXEKZIWIG-SFHVURJKSA-N 420.469 4.590 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(C)c1 1341371428 YDHYNMXFHFEYNV-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD CC1=CC[C@H](C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CC1 1789110195 BMARCCNTTMSLMU-INIZCTEOSA-N 423.469 4.682 5 20 HJBD CCn1c(SCc2cc(C(=O)OC)c(C)o2)nc2cc3ccccc3cc2c1=O 7577833 QTCHCXQQKYJRFG-UHFFFAOYSA-N 408.479 4.550 5 20 HJBD Cc1ccc(SCC(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(C)c1 9213844 UQXUDZLQJMZPLJ-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C3SCCS3)c2)cc1OC 15820192 APFIZAAVQNAFDC-UHFFFAOYSA-N 420.512 4.733 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)cc1[N+](=O)[O-] 30301118 GHCHFSFBOCRQOL-UHFFFAOYSA-N 408.376 4.817 5 20 HJBD Cc1c(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)cccc1[N+](=O)[O-] 55689172 RRBBBHOXGAXKLH-CYBMUJFWSA-N 415.877 4.824 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 55729016 OIXBYADIZWLCTK-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD Cc1ccc(NC(=O)c2oc3ccccc3c2CSc2ncccn2)cc1[N+](=O)[O-] 63453826 CSCAJGXSAUOVBX-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2)cc1[N+](=O)[O-] 195629500 JOYCFOZRMAFOIC-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc([N+](=O)[O-])c2cccnc12 301971905 GUYUXVGJQAJRNF-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD O=C(c1cc(N2CCC(c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 302336375 AXCLCTLLYPSRDC-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 409972376 VTMDLHSLBDNNOP-DYVFJYSZSA-N 408.376 4.602 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(-c2ccccc2OC(F)F)cs1 427446560 NVKIHGPVRMTGSA-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@H](c1cccnc1)c1ccc(F)c(C)c1 427447681 ZPNLWTCJOAJKDO-QFIPXVFZSA-N 423.444 4.698 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)Nc1cnns1 428935379 XUPDPADQJXLLRE-LCYFTJDESA-N 423.479 4.625 5 20 HJBD COc1cc(OC)c(OC)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 430341386 VJUDYXYRUPLCJO-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD COc1cccc(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)c1[N+](=O)[O-] 435823225 ZSXAGWFFBSDNED-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435940577 XNLLMZDFAJMKIP-GOSISDBHSA-N 422.456 4.926 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@]3(O)CCC[C@@H](C(F)(F)F)C3)s2)cc1 436419522 ZHVHCUJWKKMGBJ-RDTXWAMCSA-N 414.449 4.897 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444327408 QKYYZSYTDGNYHR-GOSISDBHSA-N 412.489 4.540 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)c(C)c1 462487966 GNGLFORVELHURB-QGZVFWFLSA-N 421.453 4.956 5 20 HJBD COc1cc(-c2nnc(S[C@H](C)c3ccccc3[N+](=O)[O-])o2)cc(OC)c1OC 463032686 IYGOYUKXTAIVJY-LLVKDONJSA-N 417.443 4.524 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463607790 SQPJPFWEBSUKOZ-UHFFFAOYSA-N 410.392 4.859 5 20 HJBD CCc1cccc2c(CCNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c[nH]c12 463845897 UJELHPWPRZJTAT-UHFFFAOYSA-N 405.376 4.630 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C(=O)c2cccc(OC)c2)cc1 464722114 AXZGSPJTJDNPBQ-UHFFFAOYSA-N 404.422 4.649 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(CCc1cccc(F)c1)C1CCCC1 465095707 QWPFBMKDVFCXHQ-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCC[C@@H]3C)cc2C)c([N+](=O)[O-])cc1OC 468162623 ATXPXNFISLASLL-HNNXBMFYSA-N 413.474 4.552 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 472833422 KUUYJZHDAIKIQH-CVEARBPZSA-N 410.499 4.828 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474737803 OJSGBRGESQGYMX-CHWSQXEVSA-N 406.866 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1 475567855 SYGCTSBOHJNVCU-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2)o1 475677145 DVYHHYGLWVEBEJ-UHFFFAOYSA-N 409.446 4.650 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 475941611 UUZIZMBIPQGNJV-MRXNPFEDSA-N 401.532 4.811 5 20 HJBD Cc1cccc(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 480289862 NELFPTMBISSQGT-INIZCTEOSA-N 403.438 4.647 5 20 HJBD CSc1ccc(C(=O)NCc2cccc(COCc3ccccc3)c2)cc1[N+](=O)[O-] 480296551 YLNZSKABQYTDJQ-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD Cc1c(CNC(=O)Cc2csc(-c3ccc(Cl)s3)n2)cccc1[N+](=O)[O-] 481492715 NZAUXSKDMSSPSA-UHFFFAOYSA-N 407.904 4.601 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)NC(=O)CS2 481973296 JOUQMZRPNRUERD-UHFFFAOYSA-N 417.512 4.639 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@@H]1CCCC[C@H]1C(F)(F)F 482223956 YFXWQOKLSUDQQL-GMXVVIOVSA-N 408.804 4.500 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(COCC3CC3)c2)cc1SC 483437180 KSIIIEQWCOQGHT-UHFFFAOYSA-N 402.472 4.504 5 20 HJBD CC[C@H](N[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1)c1cccc([N+](=O)[O-])c1 483459027 VAKVMAYAJMYASO-GOTSBHOMSA-N 424.522 4.829 5 20 HJBD CCCOc1cc(NC(=O)c2c(F)ccc(F)c2F)c([N+](=O)[O-])cc1OCCC 484099446 BMYVSFHMRSUFSW-UHFFFAOYSA-N 412.364 4.842 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2-c2csc(C)n2)cc1OC 484607373 QAJXTDJTJGCUKG-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccc(F)cc2O1 484858309 DLYAPVQEOWXPDQ-CQSZACIVSA-N 412.339 4.785 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)NC(C)C)ccc1Cl 488164455 VSROBMMALRXEJG-UHFFFAOYSA-N 421.906 4.751 5 20 HJBD CCCN(C(=O)CCn1cc([N+](=O)[O-])nc1C)[C@@H](C)c1ccc(Cl)c(Cl)c1 488592082 GRNOQFGGDHXQIN-LBPRGKRZSA-N 413.305 4.796 5 20 HJBD CN(Cc1ccccc1CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CCCCC1 492613990 QCTAWFKZVPNPSF-UHFFFAOYSA-N 420.513 4.771 5 20 HJBD O=C(c1csc(-c2ccc(F)c(F)c2)n1)N1CCCc2ccc([N+](=O)[O-])cc21 503058677 LPDJFJYSPLOGEI-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1C(=O)N(C)C(C)C 508563372 JPVBOIOWVCTFCL-UHFFFAOYSA-N 423.391 4.655 5 20 HJBD COc1cc(CN[C@@H](c2cccc(OC(F)(F)F)c2)C2CC2)c([N+](=O)[O-])cc1F 511604492 GJHGQZCVJAVCTA-GOSISDBHSA-N 414.355 4.882 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cccc(-c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 513708360 RAQWDZYLLDZSLL-DEOSSOPVSA-N 412.493 4.917 5 20 HJBD Cc1cc(C)c2nc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)sc2c1 514620547 XQJNDVPMVUCJJG-UHFFFAOYSA-N 410.499 4.676 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NC[C@@H]1CC2c3ccccc3C1c1ccccc12 514706146 HPSHCOGVMIADJF-ZLRLJRRKSA-N 424.460 4.953 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(Nc2ccc(F)cc2[N+](=O)[O-])c1 515555184 STYHNTBFJBIJTH-UHFFFAOYSA-N 411.389 4.504 5 20 HJBD COc1c(F)cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 515994253 HQDUFAJTBXOGEE-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1C 519882805 BEQBYKNQUBCCGI-UHFFFAOYSA-N 420.874 4.854 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 523499051 VLYGBYVCBYVDJU-UHFFFAOYSA-N 411.483 4.952 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 524043261 CHJLDNDUHLCLKS-LBPRGKRZSA-N 405.838 4.696 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cc(F)ccc1SCC(F)F 533948868 ZXIQRRMBSQGVLX-UHFFFAOYSA-N 409.389 4.754 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H](COc2ccccc2Cl)C1 534995735 BEHSAOBMNITWDE-CQSZACIVSA-N 413.861 4.661 5 20 HJBD COc1ccc(C2(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)CCCC2)cc1OC 538559891 NUHIXKFIUFMQCI-MRXNPFEDSA-N 412.486 4.610 5 20 HJBD O=C(Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1)c1ccc(F)cc1[N+](=O)[O-] 539890193 IXCHJDBJEBCPFY-UHFFFAOYSA-N 418.253 4.517 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CCN(C)C)cc1 540712507 GOZMOIRULUJNEW-UHFFFAOYSA-N 401.532 4.843 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccc1 543524230 KELCDRVNYLYEGF-OYHNWAKOSA-N 408.429 4.752 5 20 HJBD COc1ccc(COCCCc2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 547251543 KQVBWLSELGIVTJ-UHFFFAOYSA-N 409.398 4.549 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)C(C)C)c1 550597476 SUMNEYXGLSCHOD-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD COc1ccc(C(=O)CC(C)(C)CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 557974876 MSROBLCJUHZUFV-UHFFFAOYSA-N 412.486 4.644 5 20 HJBD Cc1sc(NC(=O)c2ccc3c(C)cc(O)nc3c2)nc1-c1cccc([N+](=O)[O-])c1 564074135 YQLGVCMZELOVPN-UHFFFAOYSA-N 420.450 4.841 5 20 HJBD COc1cnc(NC(=O)c2cc3ccccc3c3cccnc23)c(Br)c1 566373370 HHQUVCDXOFVVDB-UHFFFAOYSA-N 408.255 4.806 5 20 HJBD CCSc1cccc(Br)c1NC(=O)NCc1cccc([N+](=O)[O-])c1 578374215 IHACIWHEPUUFEY-UHFFFAOYSA-N 410.293 4.791 5 20 HJBD COc1cc2ccccc2cc1C(=O)Nc1cc(C)nn1-c1ccc([N+](=O)[O-])cc1 590771301 NPZPZXDSCZDNKF-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3c[nH]nc3c2)cc1 609023646 QWOIQHKZVRAGNZ-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccco2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 609126036 BKGGMALQGLKLGR-UHFFFAOYSA-N 400.818 4.626 5 20 HJBD COC(=O)c1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)sc(C)c1C 609397106 QPWUKGAFACEOMW-UHFFFAOYSA-N 405.476 4.502 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 609501607 AOJASZUYELOETK-JTQLQIEISA-N 402.756 4.680 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccc(Cl)c1Cl 609505144 YIFFKIZAXAQRQO-GJZGRUSLSA-N 417.252 4.830 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc21 609764491 ZEGBTUHCRKMYLA-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)cc2)nn1 612997887 HXVKBXPCGSBDJU-UHFFFAOYSA-N 410.405 4.541 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSCc3ccc(Br)cc3)o2)cc1 619608400 PFQGGIDOHNJQAV-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)NCc1ccccc1-c1ccc(Cl)cc1 726764315 TVVKJROJLVTEHJ-UHFFFAOYSA-N 420.856 4.581 5 20 HJBD COc1ccc(/C=C2\C(=O)N(c3cccc(C)c3)C(=O)c3ccccc32)cc1[N+](=O)[O-] 730152037 YMMVGTGVXBJODF-MOSHPQCFSA-N 414.417 4.639 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 736516554 WSEUYFBKVSCCFA-NSHDSACASA-N 411.683 4.714 5 20 HJBD CC(C)(C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 740386687 DFFIWNQJZPEGSS-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCC(F)(F)C(F)F)n1 744501049 ZJVBUAQBRFCIFM-UHFFFAOYSA-N 410.370 4.568 5 20 HJBD CCC[C@H](OC(=O)c1ccc(Sc2nncn2C)c([N+](=O)[O-])c1)c1ccccc1 747567056 MSLSUYGEDMEJPZ-KRWDZBQOSA-N 412.471 4.573 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC(OC2CCCCC2)CC1 747670794 PFHSQEXYDUBZFX-UHFFFAOYSA-N 415.534 4.539 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCCc2ccc(N3CCCCC3)cc2)c1 748820347 WLDGKNHUAXYEPM-UHFFFAOYSA-N 405.445 4.519 5 20 HJBD C[C@@H](OC(=O)CC[C@@H](C)c1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750095936 MMIROPTWRWMEQV-ZIAGYGMSSA-N 404.850 4.702 5 20 HJBD CC(C)=C(C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 750945309 XUUOJTNXFZKZMJ-GOSISDBHSA-N 402.834 4.828 5 20 HJBD C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755020770 DMINQHOYRJCULV-SMDDNHRTSA-N 407.239 4.515 5 20 HJBD C[C@@H](CSc1ccc(F)cc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 755324585 CQAXAZBBKMBGTJ-ZDUSSCGKSA-N 416.430 4.861 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 755980021 AUHUIFSBJYEAIN-LLVKDONJSA-N 417.940 4.518 5 20 HJBD Cc1nc(COc2ccccc2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cs1 756988122 AKUHLQVZNRIMFD-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2O1 760867876 FTMRPKZQNHWSRN-KRWDZBQOSA-N 407.220 4.507 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 761484201 RKXPKSKGKLFCBH-MRXNPFEDSA-N 403.438 4.898 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNc2cc(Cl)c(C(=O)OC)cc2[N+](=O)[O-])c1 765470756 YBSOTXITPIZBIU-LBPRGKRZSA-N 419.865 4.632 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 765580726 SPSXFDFBWAVDJG-RBBKRZOGSA-N 422.481 4.519 5 20 HJBD O=C(Oc1ccccc1OC(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 767124244 BCCNENZGDBAJDN-UHFFFAOYSA-N 410.329 4.836 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 768993910 DETKKASGBZHOPM-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1ccc([N+](=O)[O-])cc1Br 770355263 UIMHXVKTIGCTHG-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 775932494 RNRGJOVJESIRPN-IINYFYTJSA-N 423.252 4.502 5 20 HJBD COc1ccc(S[C@@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776140268 UZFLYVICCQPNQP-YCRPNKLZSA-N 424.478 4.812 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778036582 LESKNVXOLNNICI-GOSISDBHSA-N 417.799 4.641 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H]2c2cccs2)cc1[N+](=O)[O-] 784258069 SNQJCUBJEXVMRX-YOEHRIQHSA-N 416.499 4.689 5 20 HJBD CCc1nnc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)o1 784697212 VSSYTIPETDYDNG-GFCCVEGCSA-N 405.476 4.741 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(Cl)nc1C(F)(F)F 787580908 NTJWADZRQLYGCX-VIFPVBQESA-N 420.796 4.886 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(COc3ccc(Cl)nc3)CC2)cc1OC(F)F 788561908 VEBFOHKRSQNYTA-UHFFFAOYSA-N 413.808 4.540 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCc2cc(OC(F)F)ccc21 790871887 GGDGRMMYSOPZPF-UHFFFAOYSA-N 414.767 4.511 5 20 HJBD Cc1cccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1Br 790997954 YDERTPNELDOUGF-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1ncc(-c3cccs3)o1)CC2 792914600 QGPUMFHDTKORKC-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(C(F)(F)F)cc1 798783433 HRRFMQMCTQSQBV-NSHDSACASA-N 421.331 4.511 5 20 HJBD CCS(=O)(=O)c1ccc([C@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 810011948 RAGMEDRQAWFQJV-NSHDSACASA-N 417.314 4.546 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@H](O)c1ccc(C(F)(F)F)cc1 811507514 FKCCHIAZAXWRDB-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl)O[C@H](C)C2 813246350 IZZQSXWYYTXJDM-OSAQELSMSA-N 416.861 4.713 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 813291646 XMEGXXHMJOLVNG-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cc([N+](=O)[O-])ccc1Cl 875021752 PZNDGGYKIPWTKK-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1ccc(/C=C(\c2nc3ccccc3[nH]2)S(=O)(=O)c2ccccc2)cc1[N+](=O)[O-] 915851280 AKJRPZLZFWWSSR-KGENOOAVSA-N 419.462 4.752 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 916399014 MMNGREDGNNGQHU-UHFFFAOYSA-N 421.409 4.781 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 916654276 WZVACRVFSQORHJ-ZDUSSCGKSA-N 400.696 4.850 5 20 HJBD CCCCCOc1ccc(C(=O)CCC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1318728378 BHTUNMMHKKBILQ-UHFFFAOYSA-N 410.470 4.669 5 20 HJBD C[C@@H](Cc1cccc(C(F)(F)F)c1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1322777094 FAPGVBHTRCEPEB-LBPRGKRZSA-N 407.348 4.922 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc3cc(Br)ccc3o2)o1 1341399823 XNVAWJSZTUKLRI-UHFFFAOYSA-N 416.187 4.733 5 20 HJBD O=C(CSc1ccc2ccccc2n1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5627548 LFIUPLCJTGUGTJ-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c(C)c1 8176359 CTRWMCLMBTXLNL-INIZCTEOSA-N 417.534 4.823 5 20 HJBD CSc1ccc(C(=O)NC[C@H](c2cccs2)N2CCC(C)CC2)cc1[N+](=O)[O-] 14515067 GQTIVAFUOCKSLH-QGZVFWFLSA-N 419.572 4.581 5 20 HJBD CCc1nc(COC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cs1 23968894 UKOZVYUTJAUAME-UHFFFAOYSA-N 421.525 4.887 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n1 47169491 MKWVBIOOYSEXBY-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD CS(=O)(=O)c1ccc(N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 52454164 JHEZBHKZVOQOHW-HNNXBMFYSA-N 415.298 4.647 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C 64867502 DHWBYMLTWNYMOH-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD O=[N+]([O-])c1ccc(NCCCNc2ccc([N+](=O)[O-])c3cnccc23)c2ccncc12 71873464 WXABPPHHDFWIOI-UHFFFAOYSA-N 418.413 4.514 5 20 HJBD C[C@@H]1CCCC[C@H]1NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 97632531 VVYMJVGHXWUFRV-FZKQIMNGSA-N 415.877 4.809 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2)C(CC)CC)c([N+](=O)[O-])cc1OC 107803136 VPHQROYYJXUQJF-OAQYLSRUSA-N 400.475 4.909 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1Sc1nnc2sc3ccccc3n12 110455904 SAOOVAVAZUJTJG-UHFFFAOYSA-N 407.274 4.766 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1cc([N+](=O)[O-])ccc1Br 115869928 MVFKVKBNNVLDGA-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 301746530 PUZUFAYVHFGKRI-GFCCVEGCSA-N 420.256 4.731 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cs1 429253290 HUBIQQNDGUVMLQ-UHFFFAOYSA-N 410.499 4.761 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC1CCOCC1 431197642 LANZIJZBVQMTFN-UHFFFAOYSA-N 413.474 4.518 5 20 HJBD O=C(NCCc1cccc(Cl)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435819867 YFHBXBWQZUMAOF-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 439648676 OLTALFLYCGHJMX-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)n2)cc1 440476905 XFBFPNVGKBKSOW-UHFFFAOYSA-N 418.525 4.809 5 20 HJBD Cc1sc(NC(=O)c2cnn(CCC(C)C)c2C)nc1-c1cccc([N+](=O)[O-])c1 440780482 GOELBPGZNZAIDN-UHFFFAOYSA-N 413.503 4.830 5 20 HJBD Cc1ccnc(Oc2cc(NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)ccc2C)c1 462172586 ZTBMJKRHWGDSIO-UHFFFAOYSA-N 406.442 4.758 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cc(F)ccc1OC[C@@H]1CCCO1 462240885 KGNIATUWOKEPCJ-SFHVURJKSA-N 404.438 4.691 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463661254 AHZIZMGIKMQTRI-UHFFFAOYSA-N 424.375 4.671 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 464385636 MROVQPJTUBTINX-UHFFFAOYSA-N 407.470 4.877 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1cc(O)nc2ccc([N+](=O)[O-])cc12 465146012 PZAHDRMDRGFHOG-UHFFFAOYSA-N 405.332 4.596 5 20 HJBD C[C@H](NC(=O)Nc1cc(Br)c(F)cc1F)c1cccc([N+](=O)[O-])c1 466660650 YAVGQRCQCGZUJH-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@H](C)c2cccc([N+](=O)[O-])c2)CCOCC1 467833843 CPCZOOVUDVXWCG-OAHLLOKOSA-N 404.894 4.656 5 20 HJBD COc1cc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 468368312 SQNKEALKDWNSFV-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(=Cc2ccc(F)c(F)c2)CC1 469147321 YGHSSSVVXVPRBY-UHFFFAOYSA-N 411.408 4.603 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2C)cc1SC 470236403 FTKAXKPTTBRPBO-UHFFFAOYSA-N 400.378 4.905 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc([N+](=O)[O-])cc1Br 478223427 FVEVOYCZODAAMW-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD Cc1cc(C)c(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1I 483191027 ABGUWYXFGXFLSC-UHFFFAOYSA-N 424.238 4.685 5 20 HJBD CSc1cccc(C(=O)NCc2cc(Cl)ccc2OC(F)F)c1[N+](=O)[O-] 486432033 LBAISMIXGZWHII-UHFFFAOYSA-N 402.806 4.502 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cccc(SC)c4[N+](=O)[O-])CC3)c2c1 486477625 HSTCSORVCJMBHR-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 490247556 TWBQCIPCCBVJTH-UHFFFAOYSA-N 400.834 4.768 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 493181902 PAZDMFUCMMOICK-UHFFFAOYSA-N 401.466 4.781 5 20 HJBD CC(C)(NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1)c1ccc([N+](=O)[O-])cc1 494599623 SXKCWSLGYULMPG-UHFFFAOYSA-N 418.419 4.883 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cccc(Br)c1Cl 503419507 OJTPCAAGQFVRRW-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccc(Cl)s3)n2)c([N+](=O)[O-])c1 512971242 BPNLZHXTUNAGAN-UHFFFAOYSA-N 409.876 4.623 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc(F)c(Cl)c1)c1ccccc1[N+](=O)[O-] 515108553 VPRNKGJYBAYAKX-MRXNPFEDSA-N 423.828 4.592 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)COc3ccc([N+](=O)[O-])cc3)cc2)cc1 518123445 KRXMKSXFVUHOCS-UHFFFAOYSA-N 422.437 4.594 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)nc2ccccc21 522858232 CYDNKIVAYWNLPK-MRXNPFEDSA-N 421.501 4.617 5 20 HJBD Cc1ccc(-c2nc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)cs2)o1 525106194 LEIPHDOTSPZHOJ-GOSISDBHSA-N 401.469 4.508 5 20 HJBD COc1cc([C@H](C)N[C@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 525761135 VEAWGLDZSNDHME-DLBZAZTESA-N 407.470 4.989 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3ccncc23)cc1[N+](=O)[O-])c1ccccn1 531034982 LSIULVNCINFIFY-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1cccc2c1CN(c1ccccn1)C2 533855930 ZHKYHQDQWQJYAP-UHFFFAOYSA-N 403.442 4.771 5 20 HJBD Cc1cnc(-c2noc(-c3ccc(NCc4ccccc4Cl)c([N+](=O)[O-])c3)n2)nc1 545011617 VLFOHANEBGMLKI-UHFFFAOYSA-N 422.832 4.676 5 20 HJBD COc1cc(Cc2noc(-c3sc(-c4cccs4)nc3C)n2)ccc1[N+](=O)[O-] 545071029 XZEGMSAECZJPOE-UHFFFAOYSA-N 414.468 4.738 5 20 HJBD CCOc1ccc(Br)cc1-c1noc(CCc2cccc([N+](=O)[O-])c2)n1 545634292 YXMGTUMELIHSEH-UHFFFAOYSA-N 418.247 4.591 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 545743250 RVTVXSSDPULYIH-SNVBAGLBSA-N 422.210 4.610 5 20 HJBD CCCCCn1nc(C)cc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 550679600 MUXIYMPTIXDVMR-UHFFFAOYSA-N 409.284 4.613 5 20 HJBD CC(C)n1c(CCNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)nc2ccccc21 551300691 WVICUKNJGYTDMK-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD O=[N+]([O-])c1ccc(OCCN[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1 551425928 QHRALBOQMXGVJD-HXUWFJFHSA-N 424.419 4.750 5 20 HJBD CCCc1nc(C)c(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])o1 553817335 WOUHQJXODIKQDN-UHFFFAOYSA-N 411.483 4.925 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1ccc(F)c2ccccc12 558029437 PVOGZKXLYBPLCM-OAHLLOKOSA-N 423.444 4.533 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2cc(Cl)ccc2O[C@H]2CCOC2)no1 562236128 BKJVXDNROVAKAE-KKTNHOPESA-N 413.817 4.636 5 20 HJBD COc1ccc2oc([C@@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c(C)c2c1 569585356 GSEZZBCWAFHVRM-GFCCVEGCSA-N 417.849 4.601 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc([C@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 574079275 HQUUBGJDNRTWLJ-YLJYHZDGSA-N 422.485 4.985 5 20 HJBD CCOc1cc(CN[C@@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 576804748 VYOGQVYKYZHLOI-KRWDZBQOSA-N 407.470 4.818 5 20 HJBD O=C(Nc1ccc(N2CCCC2)nc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 590932245 APZWKHMIMCGPEW-UHFFFAOYSA-N 403.442 4.586 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603921667 DACJPSDGKOKADC-UHFFFAOYSA-N 422.416 4.575 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)c(Cl)c1 609475411 BFTOUSDPPROPMT-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 610046904 NINGATNCFJOFPZ-QZTJIDSGSA-N 406.404 4.856 5 20 HJBD CC(C)(C)c1cnc(COc2c([N+](=O)[O-])cnc3ccc(Br)cc23)o1 612661239 VDCKFPZFWUQVSL-UHFFFAOYSA-N 406.236 4.770 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 728990774 RTNKUQHKYUGXKH-OAQYLSRUSA-N 421.380 4.545 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c(Cl)c1 736877728 ZFDAEPQZWULZNF-LLVKDONJSA-N 411.241 4.702 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 742841984 WUWLKYNCXXWULH-KRWDZBQOSA-N 418.449 4.673 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1 742938082 JHPUQMPJGLVKBN-JTQLQIEISA-N 418.862 4.511 5 20 HJBD COc1ccc(OCCN2CCC(c3nc(C(C)(C)C)cs3)CC2)c([N+](=O)[O-])c1 743844483 NWJABXWAVWAWLV-UHFFFAOYSA-N 419.547 4.616 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)c2ccc([N+](=O)[O-])s2)cc1 744372028 ORSTWVRMVFAXHV-UHFFFAOYSA-N 416.842 4.806 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744956529 NEVMHQZYAVRIEW-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1Cc2ccccc2[C@@H](c2ccccc2)C1 747209104 GCZXEQXVWPCFPI-OAQYLSRUSA-N 415.449 4.704 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749923294 UDXSISHZJFDSSK-CQSZACIVSA-N 415.877 4.824 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ccnc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 750629220 BPMIZWXNVHZVKW-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD CC(C)(C)O[C@@H](C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1 754349675 OVCIVIBXVVWABK-LJQANCHMSA-N 410.426 4.849 5 20 HJBD O=C(NCC[C@H](O)c1ccccc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755422209 XPNZKXDDOJLYSO-FQEVSTJZSA-N 414.527 4.731 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])OCc1coc(-c2ccc(C(F)(F)F)cc2)n1 756147967 WMDLGTGXVUFUCV-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(Cn3ccc4cc([N+](=O)[O-])ccc43)n2)cn1 762342526 OANVGPSFMYLGBS-UHFFFAOYSA-N 409.471 4.933 5 20 HJBD Cc1c(NC(=O)C[C@@H](C)[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)cccc1[N+](=O)[O-] 763099884 GNZZPAWJVIOOMN-GDBMZVCRSA-N 405.495 4.515 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764241399 BCVSJMNMUUIDOD-LJQANCHMSA-N 412.515 4.535 5 20 HJBD CCOC(=O)N1CCC(N[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 764776689 MDUTVCSHSUGYMB-AWEZNQCLSA-N 403.504 4.595 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2cccc(C)c2)o1 765524692 BTMPVFGXSFYMQB-DOTOQJQBSA-N 409.442 4.826 5 20 HJBD O=C(OCCC1OCCCO1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767740762 ITZYRAXEVPUWEW-UHFFFAOYSA-N 423.874 4.709 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](c1ccccc1)C1CCOCC1 771768716 RMBBHOMUJBIQBJ-GOSISDBHSA-N 423.391 4.903 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 773150544 BDCPRIHBWHGCJF-UHFFFAOYSA-N 408.357 4.577 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 777923772 SKUWTATZQXYUCV-UHFFFAOYSA-N 406.332 4.980 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@H]2NC(=O)c1ccc([N+](=O)[O-])s1 778021596 JBZYUJHGMDDUIE-OAHLLOKOSA-N 417.487 4.811 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 781967310 WSWDZIOSAWGJKA-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD C[C@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])C(=O)c1cccc(Cl)c1 785031310 IRMURJSKZDMXGN-ZETCQYMHSA-N 418.652 4.500 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccnc3)n2Cc2ccccc2)cc1F 787487976 MTPCHJNVFORDIN-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD Cc1cnc(COC(=O)c2cc(NC(=O)CC(C)C)ccc2Cl)c(C)c1[N+](=O)[O-] 789446281 MBKJDVSMBSKYOW-UHFFFAOYSA-N 419.865 4.602 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1 790102305 QUMCDINRZLOFLG-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1ccccc1Cl 792526159 YIFNVGIBIFDHSH-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD CCC(=O)c1ccc(N2CCC3(CCN(Cc4ccccc4)C3)CC2)c([N+](=O)[O-])c1 795122202 YJRCDPLAJQFPME-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD C[S@@](=O)C1(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)CC1 799775439 RCSLPFINVWCBFE-SSEXGKCCSA-N 417.530 4.574 5 20 HJBD CO[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1 801304487 FNXXEOHFCXMBHJ-AWEZNQCLSA-N 414.639 4.555 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 806428091 DNXUCOVLAXJICR-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)c1ccc(C(F)(F)F)nc1Cl 809960669 UTZJTFWIRIUXRT-UHFFFAOYSA-N 419.146 4.548 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Br)cn1 809999196 CQVRLDUFKUTMCT-QMMMGPOBSA-N 405.079 4.910 5 20 HJBD CCOc1cc(NC(=O)c2ccc(C)c(-n3cccc3)c2)c([N+](=O)[O-])cc1OCC 823938330 XISOOLQUVBFCIP-UHFFFAOYSA-N 409.442 4.744 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)Oc4cccc([N+](=O)[O-])c4)cc3)o2)c1 879256524 CULWTLNEALSLDF-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccccc2CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 916086080 QNPYHIRUQRKJLA-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD C[C@@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2ccccc2c1 916523095 CLPVPKPIZAGSER-HUUCEWRRSA-N 424.478 4.799 5 20 HJBD CC(C)CO[C@@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 917609585 BRMPJWIRYCZHBE-AWEZNQCLSA-N 406.866 4.827 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)OCc1cc(F)ccc1[N+](=O)[O-] 919108582 VSMGQLCLRSHPMR-UHFFFAOYSA-N 421.222 4.572 5 20 HJBD O=C(CCc1nc2ccccc2s1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1254197356 YIROCUWHFHHPLC-JOCHJYFZSA-N 419.462 4.865 5 20 HJBD CC[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cc(F)cc(Br)c1 1324201269 TUUSWSPINHRWHF-QGZVFWFLSA-N 422.254 4.673 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)Nc1ccn(C2CCCCC2)n1 1343834068 BWLDHRQDHKSUKK-UHFFFAOYSA-N 408.256 4.703 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(F)cc1Cl 15425642 UVZPQSHQVJFWHD-UHFFFAOYSA-N 405.735 4.847 5 20 HJBD COc1ccc(-c2nnc(COc3ccc([N+](=O)[O-])cc3Cc3ccccc3)o2)cc1 18386485 OCLDOEXKHDGSSL-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C/c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 20752074 LQDRZLYADJOYJV-ACUNGTFPSA-N 422.462 4.901 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)Nc1ccccc1-c1ccccc1 26116388 UCMVSSDWSDJRDL-INIZCTEOSA-N 404.422 4.754 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1NC(=O)c1cccc(F)c1 46892791 UVZYZQUJEMABJS-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] 55593185 OXOVRGZDYDMPEY-GASCZTMLSA-N 409.486 4.582 5 20 HJBD Nc1cc(CCCNC(=O)c2cc3ccccc3c3cccnc23)nn1-c1ccccc1 58096207 RWMAWNRSVJOVQX-UHFFFAOYSA-N 421.504 4.519 5 20 HJBD Cc1cccc(N(C)C(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 72088584 PQYHFTGULRVCHY-UHFFFAOYSA-N 421.419 4.801 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 115754086 XRHNMNRWRCQSGD-OAHLLOKOSA-N 420.444 4.895 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc(Cl)ccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 138944894 XITDURMJSCUPBE-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD Cc1ccc(Br)c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1 161514671 GJLUFKMHEFRRHK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COCc1nc2cc(NCc3ccc(-c4ccc(OC)cc4[N+](=O)[O-])o3)ccc2o1 237291761 HWFDEUUAYYNGRN-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2ncc([N+](=O)[O-])cc2C)cc1 301968300 XXEKIQYONGUDLX-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD CCOCc1cccc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 303124190 JBQSFTSDDAMQMT-UHFFFAOYSA-N 411.380 4.591 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 427777413 HUPUVYBUMTWCSW-UHFFFAOYSA-N 410.336 4.794 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C(=O)c3ccc(Cl)s3)n2)cc1[N+](=O)[O-] 428273968 GNWHEROMIJSSSB-UHFFFAOYSA-N 407.860 4.563 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)n1 430440521 QWVRIILIANGSPL-UHFFFAOYSA-N 420.347 4.787 5 20 HJBD C[C@H](NC(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 437640688 YMICEYRZQIHDCO-NUTKFTJISA-N 407.392 4.920 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)c2ccc([N+](=O)[O-])cc2)n1 439644810 IIQZQHZQNPZSJL-UHFFFAOYSA-N 400.822 4.749 5 20 HJBD O=C([C@H]1C[C@@]12CCCc1ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 440634594 IVTIVURVABFRDV-WIYYLYMNSA-N 418.415 4.780 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CNc1ccc(Oc2cccc(F)c2)c(Br)c1 442126315 UHWXSJNENVIUOC-UHFFFAOYSA-N 421.226 4.634 5 20 HJBD CC1(C)CCc2ccccc2[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCCO)C1 442965747 MQDGHZBTDIPBHU-LJQANCHMSA-N 414.527 4.513 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)on1 444085537 VBGNXLIEWULPCE-UHFFFAOYSA-N 407.445 4.642 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 445662505 SZYGSIXVKQGZGZ-NTMALXAHSA-N 407.405 4.809 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1cccc(N2CCCC2)c1 447232624 STKIJHHYWVNNSI-GOSISDBHSA-N 410.518 4.876 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1Cl 447720083 QMAOAAWNGVYHNI-UHFFFAOYSA-N 414.795 4.874 5 20 HJBD CCCN(Cc1ccc(F)cc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462518168 SYYFYMNOLXXJFS-UHFFFAOYSA-N 421.277 4.642 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 462611231 GDURXSRALBXKSP-CKUXNOBLSA-N 423.557 4.675 5 20 HJBD O=C(CCc1ccc2ccccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464668569 MMXXRNSHSNVOEH-UHFFFAOYSA-N 404.466 4.751 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 466226915 NHTBONNPZGTTGR-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc2nccnc2cc1F 471162282 UYCKEJPGYJZJRT-UHFFFAOYSA-N 418.384 4.508 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2ccc(F)cc2)C1 478241718 QTXUCNAXEOBYEE-OAHLLOKOSA-N 404.416 4.589 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nc(-c2ccc(Br)cc2)cs1 478487719 IPAIETJQDOAYET-VIFPVBQESA-N 422.260 4.565 5 20 HJBD CCN(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)[C@H](C)c1cc2ccccc2o1 480746891 ZFMDFMZCDDJJRD-OAHLLOKOSA-N 404.426 4.750 5 20 HJBD CCc1c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cnn1-c1ccc(Cl)cc1 482743037 JJKBPONGJLQYDK-UHFFFAOYSA-N 423.860 4.590 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(SCc3cccs3)s2)c1[N+](=O)[O-] 486498063 UOQMNECQKRSTHV-UHFFFAOYSA-N 424.554 4.774 5 20 HJBD O=C(Nc1nc2c(s1)COCC2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487600551 FJPJODLWKPRXGX-UHFFFAOYSA-N 413.480 4.528 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CNc1ccccc1CS(=O)(=O)c1ccccc1 488196492 FOUMSLMOOVSMCJ-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(-c3nccs3)cc2)cc1[N+](=O)[O-] 488497758 UYPLHBAUPARWIA-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488735649 OJQUHXIEDGUHJQ-UHFFFAOYSA-N 420.347 4.899 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1n[nH]c([C@@H](C)CC)n1 489263834 WSURBKDLFSTGPI-QWHCGFSZSA-N 405.524 4.610 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 490767438 IEOADVHWLUCPOV-GOSISDBHSA-N 420.391 4.564 5 20 HJBD C[C@@H](NC(=O)NCc1ccccc1CN1CCCCCC1)c1cccc([N+](=O)[O-])c1 494653455 ZWMFILZJRCVFBE-GOSISDBHSA-N 410.518 4.531 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497880747 NQDCIJVJFLKRQV-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD CCN(CC)[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 500266013 OWUTXUZMIOQDCE-OAQYLSRUSA-N 410.543 4.891 5 20 HJBD COCCN(C(=O)C[C@H]1CCC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 504375360 UZIRZSVFHGBLOQ-HOTGVXAUSA-N 417.531 4.914 5 20 HJBD CCn1c(SCc2ccc(O)c3nc(C)ccc23)nnc1-c1ccc([N+](=O)[O-])cc1 509073399 AZRPGUZFZSMAGM-UHFFFAOYSA-N 421.482 4.728 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(OCCc4ccccc4)CC3)cs2)c1 510365719 UGQJZNSAZCURAP-UHFFFAOYSA-N 423.538 4.942 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc3c(c2)CCC(=O)N3)cc1[N+](=O)[O-] 512999241 QKWSFFHPLYWNDX-UHFFFAOYSA-N 402.454 4.860 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2cccc(F)c2F)CC1 514834872 VRTXFNGYUSRAMK-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1Cl 515528966 YHXLGMFMCVGLQK-HXUWFJFHSA-N 414.893 4.542 5 20 HJBD C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 516761709 JOTQCYAYAHPFLD-GFCCVEGCSA-N 423.391 4.601 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2c(C)noc2C)cc1OC 517976983 GNNPDKDAGJGESJ-ZDUSSCGKSA-N 405.495 4.658 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccc2nc(C3CC3)sc2c1 520911973 UJUNNEAXBAVIEC-UHFFFAOYSA-N 409.876 4.536 5 20 HJBD CCC(CC)[C@@H](O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 521377942 ZCJGCNRIMRJXRO-KRWDZBQOSA-N 411.296 4.504 5 20 HJBD CCOCCCN1CC[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)[C@H](C)C1 522368731 ZNMCPWSNXNACQR-XLIONFOSSA-N 417.575 4.550 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 522536364 MTEWTIZJSUSEIJ-IRXDYDNUSA-N 401.677 4.645 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@@H]2CCO[C@H]21 524948659 QYDXXOHSZQITMG-PWIZWCRZSA-N 402.878 4.944 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 537649616 QPFHWXTYVXFWPZ-UHFFFAOYSA-N 415.921 4.568 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)c4c(C)cc(C)c([N+](=O)[O-])c4C)C3)[nH]c2c1 538771772 NPKPAKHUXKBEIF-QGZVFWFLSA-N 406.486 4.725 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCS[C@H]3COCC[C@@H]32)cc1 538809266 BEDFUMYQVSBFRQ-PXNSSMCTSA-N 420.918 4.747 5 20 HJBD NC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 543497711 RSHYBWHXNHXXMN-UHFFFAOYSA-N 414.487 4.620 5 20 HJBD CCC[C@@H](N[C@@H](CCO)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 543777755 YZBPRFMAYMOELY-MOPGFXCFSA-N 407.308 4.912 5 20 HJBD COc1cc(-c2noc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)n2)ccc1OC(C)C 544910562 NHBAJDWTVGKWLO-UHFFFAOYSA-N 408.414 4.513 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(/C=C\c2ccc(OCc3ccccn3)cc2)n1 545532854 MPKJALRMUXVCBS-RAXLEYEMSA-N 414.421 4.713 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3ccccc3F)cc2)c1 549299598 JTQRTQUSDIXILF-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC=C(c2ccco2)C1 553063629 GYWFHLSWPCJZPX-UHFFFAOYSA-N 403.438 4.729 5 20 HJBD CC[C@H](C)N[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 554632452 MCLKEWSFODLIDO-YOEHRIQHSA-N 405.520 4.548 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 556509217 WLOCINBUMSDPBL-YUNKPMOVSA-N 418.375 4.555 5 20 HJBD C[C@H](N[C@H](C)CCc1ccccc1[N+](=O)[O-])c1noc(-c2ccc(Cl)cc2)n1 561960813 STMUFXXMQSDVJY-KGLIPLIRSA-N 400.866 4.970 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cnc(-c5ccccc5)nc4)no3)cc12 563479656 SLDRPUQVLIIOME-UHFFFAOYSA-N 417.428 4.855 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2)n1 567573932 OTIZIHKMIBGRSG-UHFFFAOYSA-N 407.430 4.595 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C\c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc21 576006912 YDUHUNFUMLEVTI-HGPCXVNDSA-N 409.467 4.648 5 20 HJBD COc1cc(CN2CCC(F)(F)[C@H](C)C2)c([N+](=O)[O-])cc1OCc1ccccc1 578487979 RZPRQVDENKQLEU-OAHLLOKOSA-N 406.429 4.660 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 580801721 VKDSEZJLWNVUIY-OAHLLOKOSA-N 407.474 4.681 5 20 HJBD C[C@H](C(=O)N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 582583540 ALSIVQQXFKMOFP-ZUZCIYMTSA-N 418.318 4.720 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(Cl)cc1 603963921 FRKGEYYXEGQMPV-UHFFFAOYSA-N 410.861 4.679 5 20 HJBD C[C@H](C1CC1)N(C(=O)COc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 603964443 NFGAFQKUVAMGLU-CYBMUJFWSA-N 408.376 4.824 5 20 HJBD Cc1nc(CN(C(=O)CCOc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cs1 603965037 VQTAPFXWWKJMIO-UHFFFAOYSA-N 415.446 4.501 5 20 HJBD C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)CN1CCCCC1 604441440 BRQRYGNZESVIRM-QGZVFWFLSA-N 413.543 4.598 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2c[nH]c3ccc([N+](=O)[O-])cc23)c1)c1ccccc1 604547077 YTVHVXCWVQTEBC-UHFFFAOYSA-N 414.421 4.605 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccc2OC(C)C)cc1SC 609126365 QBNVGASDVPITKP-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 609645910 XJRYGTCFZXWYJH-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N1N=C(c2cccs2)C[C@@H]1c1ccco1 610498897 IWGBGORHAKAGME-GOSISDBHSA-N 424.482 4.656 5 20 HJBD CC(C)(CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1ccncc1 611259537 NRHSZGVNFUUBCI-UHFFFAOYSA-N 407.495 4.849 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1cc(C2CC2)nn1-c1ccccc1 613526074 RSYRKJAORNJCLV-UHFFFAOYSA-N 412.446 4.528 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(C(F)(F)F)cc1OC 616711451 XALSEGOSSUNGEP-UHFFFAOYSA-N 415.393 4.772 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 727056088 HDYCHJOTUPSRFA-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CC(C)CN(C[C@H](O)c1ccc(F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 735832091 DDXPPISGMCGTQO-SFHVURJKSA-N 409.845 4.611 5 20 HJBD C[C@@H](C(=O)OCc1ccccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 755064474 KFRBCLKVXJERGD-LLVKDONJSA-N 401.312 4.522 5 20 HJBD CCOc1cc(COC(=O)c2csc(-c3ccccc3F)n2)ccc1[N+](=O)[O-] 755529296 LOOIEBOUJWYAAM-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@H]1CCc2c[nH]nc2C1 758820439 JUURVKIAOVBTNL-FQEVSTJZSA-N 421.500 4.944 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccc(N2CCc3sccc3C2)cc1 759924004 BBMRVDGYPARXFY-UHFFFAOYSA-N 422.510 4.583 5 20 HJBD COc1cccc(C(=O)Nc2ccc(N3CCCCCCC3)c(F)c2)c1[N+](=O)[O-] 766869228 MOOBTZZNJHBZAQ-UHFFFAOYSA-N 401.438 4.765 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 776391270 SCWPPIPXPDUMJC-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD CNC(=O)COc1ccc(N[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 777679518 FOECEOQNGHXPBM-AWEZNQCLSA-N 411.483 4.621 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(Br)ccc1Cl 790900498 CWMLUXMFTSQHAK-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD CN(Cc1c(F)cccc1F)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 818551460 CWUQEBWTYPKMQB-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1ccc(Br)c([N+](=O)[O-])c1 881010194 HIPIHGPTQYRWCI-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD COc1cc(COC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 892112934 CXICLJXPGLIUMZ-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)ccc1OCc1cscn1 914437989 MHBLUNWRLDNOOY-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=[N+]([O-])c1ccc2cnn(CN3CCC[C@@H]3c3ccc(Br)cc3)c2c1 917487938 WSYLFJLPGGRSAL-QGZVFWFLSA-N 401.264 4.502 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2sc3ccc(F)cc3c2C)cccc1[N+](=O)[O-] 920552924 WXRFPEMHGOUDHZ-LBPRGKRZSA-N 416.430 4.749 5 20 HJBD CCO[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n1 1319715748 PNRRUVSAEQZFKP-LBPRGKRZSA-N 420.853 4.621 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1320003429 GIGVDVMRMQNKRZ-UHFFFAOYSA-N 417.343 4.733 5 20 HJBD CC(=O)N(c1nc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cs1)c1cccc(C)c1C 1324449574 BETHBTGBEHGTIU-UHFFFAOYSA-N 422.510 4.869 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1339576154 UPIIPNTZENLCLZ-UHFFFAOYSA-N 419.485 4.934 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c(OC)c1 1341774657 CRYBUBXJXQXKCX-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H]2OCCC[C@@H]2C1 1342374774 MXUATTGEFHPLME-VQIMIIECSA-N 404.532 4.518 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2c(F)cccc2Cl)C1 1342639414 ZUKWZIJYVRFMMR-MRXNPFEDSA-N 404.869 4.657 5 20 HJBD Cc1ccc(Nc2nnc(SCC(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1C 5624114 FSMKVNHAFYDNJF-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c(Cl)c1 22468105 RPDLGMFNMPXZQY-LLVKDONJSA-N 411.241 4.702 5 20 HJBD COc1ccccc1Oc1ccc(N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 28626149 WQFSIJLXWQCXHU-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD CCOc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1OC(F)F 33080734 RGSSLZJUYPGNPM-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 46758179 VQURZBCOMPCVCY-INIZCTEOSA-N 403.438 4.647 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 53723632 XGRWWBATZGUIPS-UHFFFAOYSA-N 415.902 4.807 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@H]3C3CCCCC3)cc2)c(C)c1[N+](=O)[O-] 55599765 SGHAWJHJLIWJCI-NRFANRHFSA-N 410.518 4.641 5 20 HJBD CCCN(CCC)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 58592012 BFTCCLOSLFFOJZ-UHFFFAOYSA-N 400.500 4.810 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccccc2CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 59286545 TTZJOQXLVBQBDC-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2cnccc12 61429353 DKEAVEUTMSAUSE-UHFFFAOYSA-N 404.348 4.603 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 71939857 DQAYQGLACLYXMY-UHFFFAOYSA-N 414.245 4.631 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC[C@H](c2nc3ccccc3o2)C1 110450313 HMYZCGXFNVFERV-LBPRGKRZSA-N 402.248 4.883 5 20 HJBD O=C(COc1ccc(Oc2ccccc2)cc1)Nc1cc([N+](=O)[O-])c(F)cc1F 115521799 HDZQYFDQALGJCN-UHFFFAOYSA-N 400.337 4.683 5 20 HJBD CC(C)(NCc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1 236703107 WVNOBDUVALPLFN-UHFFFAOYSA-N 404.392 4.824 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 248122970 NRAWAIYRTJNKOC-OAHLLOKOSA-N 406.432 4.653 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])c(C)c2)n1 434184019 PYYOYMXCIKSQAX-UHFFFAOYSA-N 417.516 4.918 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccc[nH]2)c([N+](=O)[O-])cc1OCc1ccccc1 435769433 VSHCHFLALCLFQL-HXUWFJFHSA-N 407.470 4.848 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436328502 ALDLBLUGVBNGGD-UHFFFAOYSA-N 422.416 4.663 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(CCC(F)(F)F)cc2)c(Cl)c1 440270808 MZRXOCOFXYERBN-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD O=C(N[C@H]1CCOC2(CCCC2)C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441047595 DHCZTJSSOFWJFE-INIZCTEOSA-N 412.511 4.968 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 443973782 QBOVIAJNGGZGDX-LBPRGKRZSA-N 403.389 4.839 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444901172 KSXPFHRORPZDKV-UHFFFAOYSA-N 405.867 4.558 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N[C@H](c1ccccc1)C1CC1 447031025 WVKJXSUQUHPVAM-OAQYLSRUSA-N 423.494 4.751 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ccnc(OC2CCC2)c1 447672451 UIBCUAPCVWIVDW-UHFFFAOYSA-N 418.322 4.582 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n(C)n1 462094352 QWBZTIOKYWFDRO-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD O=[N+]([O-])c1ccc(NCCOc2ncc(C(F)(F)F)cc2Cl)c2ccncc12 462885200 BZSLKHQZGAOHLJ-UHFFFAOYSA-N 412.755 4.701 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCC[C@@H](C)C3)cc2C)c([N+](=O)[O-])cc1F 464088506 RHDQCQPFDSLIHO-CQSZACIVSA-N 415.465 4.535 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1CC1 465924023 SWWXOHVROICJEK-QFIPXVFZSA-N 409.486 4.607 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](CO)c3ccccc3OC(F)(F)F)o2)cc1 475552397 MBQODJAAKVCGCS-QGZVFWFLSA-N 422.359 4.577 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1cc3cc([N+](=O)[O-])ccc3s1)CCC2 478288490 WSGZTIOOFBDJHT-AWEZNQCLSA-N 401.513 4.978 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)NCc2cccc([N+](=O)[O-])c2C)cc1 480052141 BXAKMUDFAFUUKU-UHFFFAOYSA-N 419.481 4.824 5 20 HJBD O=C(CCSc1ncccc1[N+](=O)[O-])Nc1ccc(OCc2ccccc2)cc1 480366508 SCXJZLPDIDGNHQ-UHFFFAOYSA-N 409.467 4.690 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 480373573 XHIJWNPYQUXVFS-RBUKOAKNSA-N 420.387 4.788 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccco2)n1Cc1ccco1 481342932 JFWJBXHXBHFFNH-UHFFFAOYSA-N 400.391 4.519 5 20 HJBD C[C@H](NCc1cccc(-n2cncn2)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483168770 NWOHZKDKVLKBSU-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 485880484 AURDHKQTZJOZDN-LBPRGKRZSA-N 415.284 4.555 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2F)c1C 489173423 UTHNDJYLSLQLMO-UHFFFAOYSA-N 400.435 4.592 5 20 HJBD COc1ccc(F)cc1[C@@H](C)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 494415006 XRLWXFDRZMOIHD-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 495535265 QEIYJIKQJMJTCL-LLVKDONJSA-N 403.410 4.830 5 20 HJBD CCOC(=O)c1sc(/C=C\c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc1C 497528733 IHYNLQYEJVOXRD-FPLPWBNLSA-N 401.469 4.830 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 502724280 OUHKLZUOWZJJGT-UHFFFAOYSA-N 400.475 4.698 5 20 HJBD COc1cc(OCC(=O)N(c2ccccc2)[C@H](C)c2ccccc2)ccc1[N+](=O)[O-] 502778529 FWWHIRNNCCQEAX-QGZVFWFLSA-N 406.438 4.777 5 20 HJBD CC(C)c1cccnc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 504851840 KQZBDRBMNQIHCV-HNNXBMFYSA-N 405.458 4.934 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1c[nH]c2cc(F)ccc12 506213463 CKFZROQBPXDZMI-UHFFFAOYSA-N 401.463 4.690 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](CCO)c3ccc(Cl)cc3)cs2)c1 514813415 YPESXRFLDCNSBP-GOSISDBHSA-N 403.891 4.585 5 20 HJBD COCCOc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 517105073 CKHJTYSYLGOHPO-UHFFFAOYSA-N 408.410 4.665 5 20 HJBD COc1ccc(-c2nc(Cc3noc(/C=C/c4ccc(F)cc4[N+](=O)[O-])n3)co2)cc1 521892303 BSIAYFNIJJIHJU-WEVVVXLNSA-N 422.372 4.542 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C1CC1 522667209 XCHRLJOVKJDXED-LBPRGKRZSA-N 411.845 4.868 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(CC(F)(F)F)c1ccc(Br)cc1 522865517 FAUZEUCMSKXTQD-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD C[C@H](C[C@H]1CCCO1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 523260391 ANVZVIRKKDHWGQ-CXAGYDPISA-N 404.850 4.728 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Sc3nnc(C)s3)cc2C)cc1[N+](=O)[O-] 523750418 DZELADPZZYCTMJ-UHFFFAOYSA-N 415.500 4.508 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 524348933 QIJQEVGBOHJXLI-NRFANRHFSA-N 412.489 4.527 5 20 HJBD COc1cccc(NC(=O)[C@H](N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)c1 531443626 FQIAMFUYOAITBL-UZUQRXQVSA-N 419.481 4.942 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H](COc2ccccc2Cl)C1 534995734 BEHSAOBMNITWDE-AWEZNQCLSA-N 413.861 4.661 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccn1 536302181 LCMYKNKBNJLLQW-IBGZPJMESA-N 412.833 4.563 5 20 HJBD Cc1ccc2c(CC(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)coc2c1 537150195 ICSJVHRVBVQGTR-UHFFFAOYSA-N 407.451 4.978 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CC=C(c3c[nH]c4cc(C)ccc34)CC2)c1 537299600 ISKQOTRCUIOJHF-UHFFFAOYSA-N 419.481 4.778 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2[C@H](CCCN2C2CC2)C1 538588381 GQCBPBYGCMMFQZ-OXQOHEQNSA-N 417.575 4.576 5 20 HJBD C[C@H](NCC1(c2cccc(Br)c2)CCOCC1)c1ccc([N+](=O)[O-])cc1 539090450 JNBVVQVRBDUDOM-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n1 539357129 GLLBIZYOCSBOPM-LBPRGKRZSA-N 424.548 4.565 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCN(c4ccc(Cl)cc4)C3)cs2)c1 540686632 UQGLHBALZAOEGH-MRXNPFEDSA-N 414.918 4.740 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@@H](C)c1ccccc1C(F)(F)F 541638549 TTZKSMWQUOLCRE-OLZOCXBDSA-N 420.391 4.945 5 20 HJBD CCN(CC)C(=O)CCC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 542184364 OCCPEIKGVKVFIJ-UHFFFAOYSA-N 413.518 4.715 5 20 HJBD C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C[C@H](c2ccsc2)O1 542514142 XDCFYJFFFNHODW-ZUZCIYMTSA-N 415.393 4.669 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 543370164 YZJXZKYQUQIYKR-RDTXWAMCSA-N 418.877 4.635 5 20 HJBD Cc1nc(CN(C(=O)CSc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cs1 544086197 ZODLKQNCKQZEHP-UHFFFAOYSA-N 417.487 4.824 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2ccccc2OC(F)F)no1 544974411 MWAIEMUXORSJLA-UHFFFAOYSA-N 411.748 4.521 5 20 HJBD COc1cc(/C=C\c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc(Cl)c1OC 546491058 WLBWGPHVVHMQQE-ALCCZGGFSA-N 415.833 4.718 5 20 HJBD Cc1cc(Cc2noc(-c3cccc(CSc4nccn4C)c3)n2)ccc1[N+](=O)[O-] 546560758 LNMMEXCGSGFQGH-UHFFFAOYSA-N 421.482 4.570 5 20 HJBD CCOc1ccc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1OCC 547198842 JUWUYVKSKVPPFD-UHFFFAOYSA-N 408.414 4.514 5 20 HJBD C[C@@H](C(=O)Nc1cccc2cc(Br)cnc12)c1ccc([N+](=O)[O-])cc1F 557416735 WBCFRCZXTOILHP-SNVBAGLBSA-N 418.222 4.787 5 20 HJBD C[C@H](C(=O)N(C)CC1(c2ccc(Br)cc2)CC1)c1cccc([N+](=O)[O-])c1 570886024 LEHSUMMJOXDWFU-AWEZNQCLSA-N 417.303 4.651 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(C)ccc(C)c3s2)cc1SC 609125566 NDLCZTKPJQIUTI-UHFFFAOYSA-N 403.485 4.804 5 20 HJBD COc1ccc(S(=O)(=O)Nc2ccc(Oc3ccccc3)cc2C)c([N+](=O)[O-])c1 609447362 MYODEANROZPUTK-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 609507549 XVJJEVTVNBAGDH-UHFFFAOYSA-N 421.384 4.950 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 609786507 MHZYYTWAEBUUSA-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)c1Br 612442200 UMWMZAIVGQYQLX-CRAIPNDOSA-N 420.307 4.665 5 20 HJBD CO[C@@H](C)c1nc(COc2c([N+](=O)[O-])cnc3ccc(Br)cc23)cs1 612661304 XQGCUBFJGUYBAB-VIFPVBQESA-N 424.276 4.648 5 20 HJBD C/C(=C\C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 727579844 KOIGKBIYIDSBRH-ZKQHCESOSA-N 423.252 4.875 5 20 HJBD O=C(Nc1ccc(CN2CCc3ccccc32)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727713209 ZGWBVFKIBOCDLL-UHFFFAOYSA-N 409.392 4.688 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)cc2)nc1 733235550 XWOHQBJELNTNBB-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618995 MRVVFCJDMPCMAV-ZBEGNZNMSA-N 413.430 4.641 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2n1C 750199653 CCVIZTVCAUAVRA-LBPRGKRZSA-N 408.439 4.523 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])cc2)cc1 751043269 LJGODUBRIIJVOV-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc(C)c(-c2ccc(Cl)cc2)o1 757028971 VDRUCTISBIDALY-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)s1 760518790 IDCROWMICZOGJJ-UHFFFAOYSA-N 410.354 4.578 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccc(-c5cc[nH]n5)cc4)no3)cs2)c1 761611802 PUTNUFPDJVRGLE-UHFFFAOYSA-N 416.422 4.825 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1[N+](=O)[O-] 761639617 ZOJKTWXEQNGXLU-SNVBAGLBSA-N 404.766 4.655 5 20 HJBD O=C(CN1CCCC[C@H]1c1nc2ccccc2o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 761985803 CUTZTEJCQVFFBH-INIZCTEOSA-N 414.849 4.555 5 20 HJBD Cc1noc(CCCOC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 763861458 BSPWLWGTUIVVJU-UHFFFAOYSA-N 417.805 4.522 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F 770075659 UCOBBOGMCIMXFH-GOSISDBHSA-N 416.355 4.562 5 20 HJBD O=C(C[C@H]1CCCN1Cc1c(Br)cccc1[N+](=O)[O-])c1cccs1 770280542 LTKWIAOBYHVEQE-GFCCVEGCSA-N 409.305 4.656 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)cc1 774082116 BAAMSJVCNSYXFG-UHFFFAOYSA-N 409.511 4.895 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccc2c(c1)CC(=O)N2 775248832 PVLCDQUQXGTRDA-LBPRGKRZSA-N 400.456 4.508 5 20 HJBD O=C(Oc1ccccc1)N1CCC[C@H](NCCCCc2ccc([N+](=O)[O-])cc2)CC1 788668692 XXKQUEUVHSDAHW-FQEVSTJZSA-N 411.502 4.561 5 20 HJBD COc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)c(OCCOC(C)C)c1 789643875 POSFOOWSMGAIDN-UHFFFAOYSA-N 422.865 4.621 5 20 HJBD C[C@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1F 791171228 CGSNXIQRECQWLE-GFCCVEGCSA-N 421.266 4.575 5 20 HJBD Cc1nn(CCC(=O)OCc2cc(Cl)c(Cl)c(Cl)c2)c(C)c1[N+](=O)[O-] 791972645 OFWZCIALHVXHSU-UHFFFAOYSA-N 406.653 4.502 5 20 HJBD Cc1nn(C)c(Br)c1C(=O)OCc1nc2ccccc2c2ccccc12 801503489 RZUFSKNNAMOAMZ-UHFFFAOYSA-N 410.271 4.549 5 20 HJBD O=C(Nc1cccc(OC[C@H]2CCCO2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812876663 ODVOCMMVRYCPJU-CQSZACIVSA-N 411.241 4.712 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCC1)c1cc(Cl)ccc1[N+](=O)[O-] 917800421 IIHYQQIXQANIFB-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD Cc1noc([C@@H]2CCCCN2Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n1 1117592171 QHJSMTAWEQPCQB-INIZCTEOSA-N 402.838 4.927 5 20 HJBD COc1cc(CNCc2cccc(C(F)F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 1320629164 SSWVPLFWCZKKPN-UHFFFAOYSA-N 420.334 4.772 5 20 HJBD O=C(NCCSCc1cccc2ccccc12)N1Cc2ccc([N+](=O)[O-])cc2C1 1329992915 ZZLIJRUTVRXBCZ-UHFFFAOYSA-N 407.495 4.707 5 20 HJBD CCOc1ccc(-c2nc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)cs2)cc1 1345741549 XYJOPTFQHMVBBT-UHFFFAOYSA-N 424.438 4.746 5 20 HJBD CCC(=O)NC1(c2ccc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3C)cc2)CCC1 1790774748 XSGOSKQKLVXIGB-UHFFFAOYSA-N 415.877 4.714 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)c1sc2cccc(Cl)c2c1Cl 33180829 MOLPNWUWJVJPON-UHFFFAOYSA-N 410.282 4.958 5 20 HJBD CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](C)c1ccccc1Br 59120307 YTKLTEXJSOUNCX-AWEZNQCLSA-N 405.292 4.900 5 20 HJBD CCOC1CCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 59889459 DZJLUEMMMZXTCI-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCCOC3CCCC3)cc2[N+](=O)[O-])n1 61259084 VNAVCIJNMNKRIS-UHFFFAOYSA-N 421.544 4.590 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 71935514 RFYDEGHYCKLGFU-LBPRGKRZSA-N 421.906 4.506 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1 106169864 UCVVSSYDWZOEBB-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)[C@@H](C)C2CC2)c([N+](=O)[O-])cc1OC(F)F 115623633 VGTMNHMAZQZCBJ-ZDUSSCGKSA-N 420.412 4.646 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1OCC 195692955 ZOKMAPQQFGQIGJ-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 429962010 GZAVUWLOVZBHSS-SECBINFHSA-N 417.181 4.867 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 430523473 HTGCAGJAZVEIAV-UHFFFAOYSA-N 406.423 4.989 5 20 HJBD CCn1cccc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)c1=O 433198808 IFUIEDYJKSTAAO-UHFFFAOYSA-N 413.861 4.512 5 20 HJBD Cc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 436313235 PBQMBUOVVAMXBT-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2O)cc1 436983052 ZPOSYTCADBIZEM-JOCHJYFZSA-N 405.454 4.510 5 20 HJBD CC(C)CN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)C 437433804 OOJROSLBRHWWFG-UHFFFAOYSA-N 421.544 4.639 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 437479359 UAUSQNKLCAZYMX-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@@H](c1ccccc1)C2 438959821 MZSBTOGJRPBRSB-XMMPIXPASA-N 416.477 4.781 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(C)[C@H](C)c2ccc(-c3ccccc3)cc2)cc1[N+](=O)[O-] 442507707 KXPRDZSYBBTRBT-QGZVFWFLSA-N 417.465 4.728 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(N2CCCC2=O)cc(C(F)(F)F)c1 444724913 FQFVPNVNEICOFW-UHFFFAOYSA-N 421.375 4.610 5 20 HJBD Cc1cc(C)c2nc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])sc2c1 444829810 PQZFSOPHEUGKJZ-UHFFFAOYSA-N 412.290 4.898 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(C(=O)Nc2cccc(F)c2)c1 446010688 IXQZHNDUOULRBN-UHFFFAOYSA-N 407.401 4.558 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3ccc([N+](=O)[O-])cc3C)s2)cs1 446497760 ZMVBMZCZGNJLFS-UHFFFAOYSA-N 402.501 4.761 5 20 HJBD CC(C)CO[C@H](C)c1noc(CSc2ccc(Br)cc2[N+](=O)[O-])n1 447357222 VAHZKXHXPDNJTI-SNVBAGLBSA-N 416.297 4.766 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCSC(C)(C)CC2)cc1[N+](=O)[O-])c1ccccn1 447640482 KGCFSWMZCFVTRP-OAHLLOKOSA-N 414.531 4.521 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCC1(c2ccc(Br)cc2)CCCC1 460110711 QXPADBBFKZNTGK-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD Cc1ccc([C@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C[C@@H]2CCCO2)cc1 461057310 MTQMAZAAHXTNCK-UWJYYQICSA-N 421.501 4.538 5 20 HJBD COc1cc(CN2CCC(c3nc4ccccc4s3)CC2)c([N+](=O)[O-])cc1F 463482887 LXCFRTSEBHRAMJ-UHFFFAOYSA-N 401.463 4.732 5 20 HJBD O=C1NCCN1c1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1Cl 466403701 HIBBIKKAHBJPSS-UHFFFAOYSA-N 412.833 4.650 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(-c2ccccc2)nc1C(C)(C)C 466509593 HJUAQXSGPNGFOD-UHFFFAOYSA-N 408.458 4.729 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2C[C@H](C(C)C)c3ccccc32)cc1SC 468743419 LYONEIFVJFFEHI-NVXWUHKLSA-N 400.500 4.940 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)c(Br)c1)c1csc([N+](=O)[O-])c1 470695150 VHHHTWGLDNGXPJ-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD CCOC1CC(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 474908416 FMDDOQZGDUSFTK-UHFFFAOYSA-N 418.877 4.976 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3cccc(Cl)c3)c3ccccn3)n2)c1 475333736 SRQFZIFSKJSLIU-FQEVSTJZSA-N 421.844 4.572 5 20 HJBD COC(=O)c1cnc(Sc2nc3cc(C(F)(F)F)ccc3s2)c([N+](=O)[O-])c1 478278705 JYXZLFLTRCDUBW-UHFFFAOYSA-N 415.374 4.556 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 479745310 MICZBCWBOQDASZ-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD C[C@@H](NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1)c1cccc([N+](=O)[O-])c1 480698270 MFQAHARSYCPCKY-CQSZACIVSA-N 421.419 4.569 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 481929222 FBSIRGRCRAMPOY-UHFFFAOYSA-N 414.936 4.711 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ccccc2)cn1 484251731 ICNMABNKPROADF-UHFFFAOYSA-N 409.467 4.648 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1c[nH]c2ccc(Cl)cc12 485540192 XBJLDROHDSMTAP-UHFFFAOYSA-N 403.891 4.814 5 20 HJBD O=c1c([N+](=O)[O-])cn(Cc2csc(Cc3ccc(Cl)cc3)n2)c2ccccc12 487867916 IUKAKZSJKQHBAV-UHFFFAOYSA-N 411.870 4.659 5 20 HJBD O=C(Cc1csc(-c2cccs2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 499513698 WMBLTYPYSNAZNB-UHFFFAOYSA-N 413.402 4.980 5 20 HJBD COc1cc(C(=O)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 500756319 BUIDBPQSNJJQHJ-INIZCTEOSA-N 404.438 4.658 5 20 HJBD Cc1ccc([C@@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 503260955 SALZRIXJALQEBQ-HRAATJIYSA-N 407.495 4.685 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 504900784 AAZQAQFRLXUWQS-LLVKDONJSA-N 400.784 4.557 5 20 HJBD CCN(CC(=O)Nc1c(F)cccc1F)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 505667403 YWKWKCHMHIYLRK-UHFFFAOYSA-N 415.396 4.594 5 20 HJBD Cn1cnc2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3Sc3cccs3)ccc21 511278591 RSHPTJTUAGQPHM-UHFFFAOYSA-N 410.480 4.947 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 512986111 TUAWZMVSNIRNNQ-UHFFFAOYSA-N 419.359 4.583 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1Cl 515528965 YHXLGMFMCVGLQK-FQEVSTJZSA-N 414.893 4.542 5 20 HJBD O=C(Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1cc(Cl)cc([N+](=O)[O-])c1 524049796 ZQOKDCVRNKBDTQ-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1scnc1-c1ccccc1 524376467 ZEJDSTRWDUVRKU-NSHDSACASA-N 403.847 4.778 5 20 HJBD COc1ccc(CNc2ccnn2CC(C)C)cc1OCc1ccc([N+](=O)[O-])cc1 532428517 KSDBSVMMKXTXJW-UHFFFAOYSA-N 410.474 4.647 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cnn(-c3ccccn3)c2)cc1[N+](=O)[O-] 534336107 UHLQHFXCEOLMQL-UHFFFAOYSA-N 400.442 4.556 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(CCCO)[C@@H]3CCCc4ccccc43)co2)cc1 536356702 XFYJTABFOGTHBX-JOCHJYFZSA-N 407.470 4.512 5 20 HJBD Cc1sc(NC(=O)c2ncccc2C(F)(F)F)nc1-c1cccc([N+](=O)[O-])c1 537743142 MPVSUUWYNUBCGX-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CCc1ccc(Br)cc1 539412771 CQUBFMNGZOIIOB-CYBMUJFWSA-N 421.291 4.507 5 20 HJBD O=C(CCc1cc(F)ccc1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 541295633 GYGRRUYFXKLVRH-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD COc1ccc([C@H](NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])C2CC2)cc1 542195158 YNVYNAZYAZBXTK-LJQANCHMSA-N 406.891 4.616 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC2CC2)c(F)c1 542526032 CXRRVYNSVGYILM-STQMWFEESA-N 404.413 4.643 5 20 HJBD CC[C@H](N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1c(C)noc1C 542564978 GYFJZXZCPHMBJD-PGRDOPGGSA-N 422.485 4.929 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(Cl)c1C 543970835 LNYCCABSUQDONC-QGZVFWFLSA-N 418.877 4.678 5 20 HJBD COc1cc(Br)ccc1-c1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 546491638 JRKLIHUNICLRQC-UHFFFAOYSA-N 415.203 4.564 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 546520504 SKGPYVVQUIAQDN-CQSZACIVSA-N 424.638 4.797 5 20 HJBD COc1cc(Cc2noc(-c3ccc(-c4ccc(C(C)=O)cc4)o3)n2)ccc1[N+](=O)[O-] 547177553 BTBGMSVLYHLZHA-UHFFFAOYSA-N 419.393 4.707 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)c2ccc(Cl)cc2Cl)c(C(F)(F)F)c1 549095050 CDBQTIGPGHHGMX-UHFFFAOYSA-N 414.188 4.894 5 20 HJBD CC(C)COc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 555937075 ASNYXFWXKGVBHU-UHFFFAOYSA-N 410.392 4.621 5 20 HJBD Cc1nc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)sc1C(F)(F)F 562456200 ATPBVCFWTUPFDT-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3cccc(Cl)c3)s2)c1 563899760 GCQDYYHUPMHEIT-UHFFFAOYSA-N 417.874 4.947 5 20 HJBD COCc1ccc(-c2noc(-c3cc([N+](=O)[O-])cc(Br)c3C)n2)cc1 564225172 BHPMHRDDOBHDMD-UHFFFAOYSA-N 404.220 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 573386367 JLUXMPHJHANTRQ-PIGZYNQJSA-N 402.800 4.960 5 20 HJBD CC[C@H](C)N(Cc1ccccc1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 585704701 VXQVIMRDBFYRJT-ZDUSSCGKSA-N 408.401 4.646 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc4c(c3)CCCC(=O)N4)n2)cc1[N+](=O)[O-] 603616852 KZAXCNWFEZDOSE-UHFFFAOYSA-N 422.466 4.554 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 604492973 RANHHHHULXHFMH-NSHDSACASA-N 412.273 4.542 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 608962707 INAWOPXUQZXGNC-IBGZPJMESA-N 420.868 4.899 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccccc2NC23CC4CC(CC(C4)C2)C3)c1[N+](=O)[O-] 609029451 WOXGVCVCRYZAGD-UHFFFAOYSA-N 409.490 4.513 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 609125376 MGZINJDKLAVJSA-UHFFFAOYSA-N 404.488 4.884 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@](=O)C2CCCCC2)c1 609726973 NIBPXRCHYXQVQT-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD CN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccc(F)cc1 609856224 QHANULCDKPNTPO-UHFFFAOYSA-N 409.204 4.503 5 20 HJBD CCOc1cc(NC(=O)c2nc(-c3ccccc3)oc2C)c([N+](=O)[O-])cc1OCC 619213140 UJMDUDJBEQGJKD-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3F)ccc21 729414082 UNULITUCELODEE-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD C[C@H](N[C@@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729474125 FHIFUUQDYGOWAA-HXPMCKFVSA-N 405.857 4.845 5 20 HJBD CC(C)(C)OC(=O)N1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 729680494 REZPNJFIMHPNQX-UHFFFAOYSA-N 417.531 4.812 5 20 HJBD CC(C)Cc1noc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 731795824 FYRHUZJHJKOMLK-UHFFFAOYSA-N 403.442 4.620 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ncc(C(C)C)o3)cc2[N+](=O)[O-])n1 733394567 HLVBEFQHKIZIGH-UHFFFAOYSA-N 419.484 4.979 5 20 HJBD CC(C)n1ccc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 735077202 OEOOFYKHRAWVKW-UHFFFAOYSA-N 405.410 4.725 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccccc1C(F)(F)F 735820909 MWCVUTSZEOYSCC-SNVBAGLBSA-N 402.756 4.981 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccccc1Cl 741603101 JWKXSNPNTHUEAN-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD C[C@H](OC(=O)[C@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1cccc([N+](=O)[O-])c1 744068439 DRBAILRAADVKJK-HNAYVOBHSA-N 414.458 4.508 5 20 HJBD CCc1noc([C@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 744502047 MGGYISYRGRWEFU-NSHDSACASA-N 417.805 4.904 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)c1 747863084 JPQFZTOHUXUMJC-UHFFFAOYSA-N 400.366 4.798 5 20 HJBD O=C(NCC1(c2ccccc2)CCCC1)c1cc([N+](=O)[O-])ccc1Br 751076149 RNIOBJJVXHVEAO-UHFFFAOYSA-N 403.276 4.599 5 20 HJBD O=C(CCC(=O)c1ccc2ccccc2c1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751631196 AMFFGJQKPCNDAD-UHFFFAOYSA-N 404.378 4.596 5 20 HJBD Cc1cc(F)cc(C(=O)Oc2ccc(NC(=O)c3cccs3)cc2)c1[N+](=O)[O-] 753443966 QHXNDKOIIGTMBP-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD C[C@H](C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 754435586 ZGZXUBLHTWDYPR-LBPRGKRZSA-N 407.392 4.745 5 20 HJBD CC[C@@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OC)cc1 760541547 FHIXLBLCGGMIML-HNNXBMFYSA-N 410.426 4.886 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2cccc([N+](=O)[O-])c2)c1 767841352 QRMSTEPFOGBMJA-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 768952946 SJTGLMZDMPTHBP-QHCPKHFHSA-N 419.481 4.979 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc(-c2ccco2)s1 776133509 MBDHSCXBAYWHCW-SFHVURJKSA-N 407.407 4.653 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1SCCc2sccc21 776217917 MZMCYTHCXJCSQM-OALUTQOASA-N 412.492 4.715 5 20 HJBD O=C(NCc1nc(-c2ccccc2F)cs1)NCc1cc([N+](=O)[O-])ccc1Cl 787282751 JXEWZKYNNPQBDU-UHFFFAOYSA-N 420.853 4.510 5 20 HJBD CC1(C)[C@H](C(F)(F)F)CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 787421809 WLBOOKYWPAIMRA-LLVKDONJSA-N 410.190 4.552 5 20 HJBD CN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2cccc(Cl)c2s1 791011797 ZNEVLEZMNAQVMW-UHFFFAOYSA-N 414.245 4.856 5 20 HJBD Cc1ccc(F)c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1Br 797364799 UHSREQHURVSEKR-UHFFFAOYSA-N 419.609 4.779 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(Br)cc(OC(C)C)c1 800146172 YNNWLRFCDJRVQI-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 803366701 XFLUCOTXHZWARI-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)cn1 807929503 KIFZUORPHHKSKM-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1csc(-c2ccoc2)n1 811217097 QWTAXJWWEABZCW-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD CCc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811372926 KZNOAGCIAFEQDA-SNVBAGLBSA-N 411.241 4.648 5 20 HJBD C[C@H](Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812487118 GCTDZNUVXJRGNJ-LBPRGKRZSA-N 408.380 4.706 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 812930069 HUGUJJZHJPIVIY-UHFFFAOYSA-N 402.621 4.766 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])CCC2 812992470 HMSXTSRSMGLUHV-ZDUSSCGKSA-N 400.287 4.816 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813470111 WUOUUTIQFXSLPO-OAHLLOKOSA-N 416.692 4.588 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)co2)cc1 815510877 NNBQUCRTOLVPNJ-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD COc1ccc(CSCC(=O)OCc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 914799433 BKGKEMBWEXSSEV-UHFFFAOYSA-N 415.389 4.599 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCCOc1cccc([N+](=O)[O-])c1 915372294 GZOXIWXSEQBALA-UHFFFAOYSA-N 418.858 4.536 5 20 HJBD Cn1cc(CCCOC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 920501022 BNHQOJYMQMXZRA-UHFFFAOYSA-N 415.833 4.564 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)c([N+](=O)[O-])cc1OCCC 920842354 CKTLBEIQHSTRKV-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ccc(Br)o1 1256035852 UJMPOMMKILMUCG-UHFFFAOYSA-N 416.100 4.612 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 1318167574 WUOWUXVZJGSGQP-SFHVURJKSA-N 405.376 4.566 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2nc3ccccc3o2)CC1 1318879445 PJPFGCYJZYXRFC-UHFFFAOYSA-N 419.359 4.775 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(-c2cccs2)s1 1320017599 PKRGYIYOGLHQCI-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2ccccc2)cc1 28614325 RSXDJKYGYXAZLB-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CC(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2I)cc1 31665521 DJZIYMYFWSVHJJ-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(COCc3ccco3)c2)c1 41420788 KTJQUHZDIUIIFA-UHFFFAOYSA-N 412.467 4.557 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)c1ccsc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 108945025 YQBUWFXYBXLNIH-QGZVFWFLSA-N 412.858 4.705 5 20 HJBD CC(C)c1cc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)on1 236642457 ZPSXEMJODMWPAG-UHFFFAOYSA-N 417.469 4.849 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)c1ccc(NC(=O)c2ccncc2)cc1 301291294 QIKRDSZENPHYOA-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD Cc1cc(C)c(NC(=O)CNc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)c(C)c1 302162587 HZACYYUHBZWEFF-UHFFFAOYSA-N 420.469 4.545 5 20 HJBD Cc1cc(N[C@H](Cc2ccccn2)c2ccc(Br)cc2)ncc1[N+](=O)[O-] 302699540 ULHWAECFRPFYJC-QGZVFWFLSA-N 413.275 4.852 5 20 HJBD Cc1cccc(-c2ccc(CNC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2)c1 303763317 LIRAUXAQDUFDFU-UHFFFAOYSA-N 413.433 4.754 5 20 HJBD CC1(C)CC(=O)c2sc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)nc2C1 409550590 ANJSRHOBQOFOJO-UHFFFAOYSA-N 401.488 4.756 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1occc1-c1ccc(F)cc1 427600490 UDPPHEMVNAQNQI-UHFFFAOYSA-N 408.307 4.943 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(-n2ncc3ccccc32)cc1Cl 435523134 SINCVSMEOUUZJS-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD C[C@H](Oc1cccc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 435564474 CNHVWPYQFPWQSM-LBPRGKRZSA-N 402.353 4.755 5 20 HJBD O=C(NCCCSc1ccccc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435830532 GXCPHVIKYXZTFP-UHFFFAOYSA-N 424.472 4.872 5 20 HJBD CN(Cc1ccccc1Cl)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435832270 AFWXJTJZRIWIDC-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD COc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 439023003 VPJQPYXSMVYKJE-UHFFFAOYSA-N 422.437 4.590 5 20 HJBD O=C(NCCc1ccc2[nH]ccc2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 441803856 RVZCCTIKADMMHY-UHFFFAOYSA-N 415.449 4.628 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C(\C)c3ccccc3OC(F)F)n2)c1 445579087 ICAGKRCDUXJLEX-FMIVXFBMSA-N 417.368 4.739 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@@H]3c3cccc(Cl)c3)n2)c([N+](=O)[O-])c(OC)c1 445815240 IHSOKRIEFUPMLA-CVEARBPZSA-N 415.833 4.510 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccc1-c1nc(C2CC2)no1 446112611 HSPTVFRNKYLFPG-UHFFFAOYSA-N 412.833 4.747 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2F)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446925593 QDYQDBXNBBJCNV-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1cccc(Cl)c1)c1cnc(O)c([N+](=O)[O-])c1 447627531 YMFAYHBHBGUWDE-UHFFFAOYSA-N 404.209 4.922 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 462423405 UIZZZGQXKCGAPF-MRXNPFEDSA-N 407.426 4.648 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1cnn(-c2ccc(F)cc2)c1C 462425107 AFQBZCMJSHFICK-MAUKXSAKSA-N 424.476 4.674 5 20 HJBD CCO[C@H](CC(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1)C1=CCCC1 467103695 RAMJPMSQWFYGMZ-GOSISDBHSA-N 401.488 4.871 5 20 HJBD Cc1nc(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 473669605 DKXHTMHLYNHGKS-GFCCVEGCSA-N 414.849 4.772 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477702434 LAKINZQUHSDTBV-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CSc1ccc(C(=O)Nc2cc(NC(=O)C(C)(C)C)ccc2C)cc1[N+](=O)[O-] 480051600 QWUAVGNCHSHYQF-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(-c2ccccc2F)n1Cc1ccco1 481403314 PCWCREHIMFFEBK-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1 482841310 NGWQBXJYIIAJKY-UHFFFAOYSA-N 423.878 4.580 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc2c(cc1Br)CCC2 483520804 NFLGGYUCZQKQSW-UHFFFAOYSA-N 418.291 4.552 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486706647 VKFHYYNITWECNL-OAHLLOKOSA-N 420.309 4.966 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487392643 ZVVFBRYLVRFKRE-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)c1 488360015 MOZXZMRLTBAUFF-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1OC(C)C 488439302 NXTUIMVGBBPXTD-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1cc(Cl)cc(C(F)(F)F)c1 488442237 FFKVGXJYYRPMGW-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD O=C(Nc1cccc(-n2ccnn2)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491883446 WIODQTJUYNZSPL-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1 496612373 OMSNOFTWYJSPKH-QHCPKHFHSA-N 419.481 4.813 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2COc2ccccc2)c([N+](=O)[O-])cc1OC 497465094 VVNVFRFJVWBQEE-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1O 503346958 USRBCUOGAFICCN-OAHLLOKOSA-N 406.442 4.738 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 509949879 TYAGKUJXHJCRIA-GOSISDBHSA-N 422.510 4.719 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2ccc(C)s2)cc1[N+](=O)[O-] 513341218 SBIMPZOROUZAPO-OAHLLOKOSA-N 406.529 4.570 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@@H]3CCN(C(C)C)C3)cc2[N+](=O)[O-])cc1 522167355 CBHNCIFIZIBDAA-KRWDZBQOSA-N 413.543 4.515 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2nc(C3CCC3)[nH]c2c1 523345025 SQQGIFJPOJUJRV-LLVKDONJSA-N 414.849 4.798 5 20 HJBD CCOc1cc(NC(=O)Cc2c[nH]c3cc(Cl)ccc23)c([N+](=O)[O-])cc1OCC 524100479 OCIPPXDYUWRRES-UHFFFAOYSA-N 417.849 4.708 5 20 HJBD CN(C)c1cccc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 532858435 FSRHMJAXXWZMQT-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccccn2)c([N+](=O)[O-])cc1OCc1ccccc1 534331851 AAMNRBGXMQNDNC-NRFANRHFSA-N 419.481 4.915 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(C(=O)N4CCCC4)c3)o2)c([N+](=O)[O-])c1 537733111 IHMQHTAFKVJRCE-UHFFFAOYSA-N 421.453 4.712 5 20 HJBD COc1ccc(OC)c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 538317937 RECIDLYFHPQONO-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(OC(F)F)c1 539219045 ASGLTWXFQXNETK-CYBMUJFWSA-N 403.385 4.745 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(OC)c(OC)c1 540734789 WMMXCCJUXFSRPS-UHFFFAOYSA-N 404.488 4.781 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccccc3OC(F)(F)F)no2)cc1 545049401 ALCXLCQXPNWASK-UHFFFAOYSA-N 401.247 4.684 5 20 HJBD Cc1nc([C@H](N[C@@H](C)CCc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)no1 545241706 XLPCQZQLOVWNBY-ORAYPTAESA-N 400.866 4.640 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccnc(OCC(F)F)c2)no1 545842636 DTSAALWOHNIGJZ-JTQLQIEISA-N 408.386 4.537 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 545958148 KDDSNYZOBSAEAF-UHFFFAOYSA-N 415.833 4.873 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccnc4ccccc34)n2)c(Br)c1 546450418 PCMJFLRUVSYQLP-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OCc3cccnc3)cc2)c([N+](=O)[O-])cc1F 547335467 KEYOKHXFBWDXSE-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 549865464 LXUPVPLRCSJZEQ-JWNZJDHWSA-N 400.422 4.544 5 20 HJBD Cn1ccnc1[C@H](NC1CCC(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 552068119 JOEVYRIKVSIKKY-INXVGGANSA-N 408.477 4.873 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555577009 IGYKNGFZBPFUGH-MRXNPFEDSA-N 420.347 4.594 5 20 HJBD O=C(Nc1ccccc1)c1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 556937703 ZOMLYUWMPSNNDF-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD COCc1ncc(C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)s1 561678038 HXYARZAGUZGATK-UHFFFAOYSA-N 402.479 4.804 5 20 HJBD CSc1cccc(-c2nc(Cc3ccc(-n4nc(C)cc4C)cc3)no2)c1[N+](=O)[O-] 563478191 MHNGZXOUIBLUGX-UHFFFAOYSA-N 421.482 4.760 5 20 HJBD COCCc1ccnc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 567377202 AOFXHLIGYAPVOX-UHFFFAOYSA-N 409.467 4.582 5 20 HJBD C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 578811664 FQXMFARVEXCFGF-OAHLLOKOSA-N 409.467 4.752 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H](COC(F)F)C1 579267065 ZUNHRGFSYXFDHC-AWEZNQCLSA-N 414.474 4.578 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC[C@H](c2nc3ccccc3o2)C1 590153479 BTEVCWUYEFLYBY-LBPRGKRZSA-N 419.359 4.775 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](Cc2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 600666398 RWCKBNFGKCCVHK-XMSQKQJNSA-N 403.482 4.804 5 20 HJBD Cc1ccc(-n2c(SCCc3ccc([N+](=O)[O-])cc3)nnc2-c2ccncc2)cc1 603724415 UDODKEFSMXAKHL-UHFFFAOYSA-N 417.494 4.881 5 20 HJBD CCc1nc([C@@H]2CCCCN2c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])no1 603872256 MTTDHYUVCBYHMW-SFHVURJKSA-N 406.442 4.503 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(CO[C@@H]3CCC[C@H](C)C3)c2)cc1[N+](=O)[O-] 609012334 VOJPEFGEMFJFKY-OXJNMPFZSA-N 412.486 4.880 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H](n4ccnc4)C3)cc2[N+](=O)[O-])cc1 609131167 JIKRGKHGINWVGC-SFHVURJKSA-N 422.510 4.728 5 20 HJBD Cc1c(NC(=O)CC2(CC(=O)Nc3nccs3)CCCCC2)cccc1[N+](=O)[O-] 609513136 ADXMFUYOGCNMTP-UHFFFAOYSA-N 416.503 4.668 5 20 HJBD CC(C)(C(=O)Nc1cccc(CSC2CCCCC2)c1)n1cc([N+](=O)[O-])cn1 609726272 UPZZNJWCQVIQAH-UHFFFAOYSA-N 402.520 4.731 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cnn(-c3ccccn3)c2)cc1[N+](=O)[O-] 610054254 ABMINMFOULQKAY-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD NC(=O)c1cccc(-c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)c1 612900876 WRIWOZLEHIHVSY-LUAWRHEFSA-N 418.434 4.643 5 20 HJBD COc1ccc2cc(CN(C)Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)ccc2c1 728895873 JEVYUOGFRQFZPF-UHFFFAOYSA-N 402.454 4.710 5 20 HJBD CSc1ccc(C(=O)OCc2ccc(OCc3ccncc3)cc2)cc1[N+](=O)[O-] 733378268 MFGAMSZXOYPMLO-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 736510132 YYBZCUBLUSKHOP-OPAMFIHVSA-N 419.485 4.685 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(S[C@@H](C)c2cccs2)c([N+](=O)[O-])c1 737425169 PVXWJIHYDHIBNG-LBPRGKRZSA-N 400.547 4.540 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 739304100 NOBDWSBJOMUOCB-ZDUSSCGKSA-N 416.430 4.849 5 20 HJBD O=C(c1ccc2c(c1)-c1ccccc1C2)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742873235 OASBYUXEFRONNR-UHFFFAOYSA-N 414.461 4.850 5 20 HJBD CC/C(=C/C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1cccc(Cl)c1 745755026 SFVPRJJKWUXAOS-KAMYIIQDSA-N 402.834 4.532 5 20 HJBD CCCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1cccnc1 750119779 DVDPUYPCLROVGS-UHFFFAOYSA-N 420.256 4.539 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cccc([N+](=O)[O-])c1Br 753836872 OOMRAVVWMLPJNL-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD O=C(NCc1ccc(C2CCC2)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 757650686 ZKYIDIIIHUGAOQ-UHFFFAOYSA-N 407.239 4.694 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1ccccc1C 757922300 TXYCVPTXVMCKCX-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc([N+](=O)[O-])c(Cl)c1 761511221 MPPQHGHODZBQLK-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD COC(=O)c1ccc(OCc2ccccc2)c(NC(=O)c2cccc([N+](=O)[O-])c2C)c1 763778009 UXUMPXVVPNWIAI-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD CNc1c(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 765584448 YKSUTSHHBHBISR-OAQYLSRUSA-N 405.454 4.555 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])C[C@H]1CCCO[C@H]1c1ccccc1 769214297 YTXOVSHVPAHGKL-UZLBHIALSA-N 419.319 4.957 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)OCC)cc1 779850924 SZXGDMKSPSRFKW-UHFFFAOYSA-N 403.460 4.918 5 20 HJBD COc1ccc(Cl)cc1NC(=S)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 782385775 ANHYDKZEVVALBX-UHFFFAOYSA-N 419.812 4.762 5 20 HJBD C[C@@H](CCOC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)OCc1ccccc1 789102107 PUGFMPIOGBJVRV-KRWDZBQOSA-N 401.462 4.834 5 20 HJBD CN(C(=O)c1cc(C2CC2)cnc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 796901183 PWQDHYNZYAFBQL-UHFFFAOYSA-N 414.874 4.921 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cnn(-c2ccc(Cl)cc2Cl)c1 800144331 WXKGHQHMRXIELL-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1 800152759 ZCZZCPDVLMYQEX-UHFFFAOYSA-N 407.401 4.571 5 20 HJBD CO[C@H](COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 801313332 BSEZSGIEOVURON-OAQYLSRUSA-N 407.853 4.879 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 809304187 YVFUQOYROYOSRV-MRXNPFEDSA-N 407.264 4.589 5 20 HJBD CC(C)Cc1ccc([C@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 811712967 MYTJPYZMKCOWFV-SFHVURJKSA-N 410.499 4.550 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(OC(F)F)c1 812912843 DFKYHODPYDTLLW-MRVPVSSYSA-N 405.184 4.994 5 20 HJBD O=C(NCC1(c2ccc(F)cc2F)CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813108774 LQYVMTGQUKVWSX-UHFFFAOYSA-N 401.196 4.641 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccccc1Oc1cccc(F)c1 918666653 XPFGOMKDUSQDIV-UHFFFAOYSA-N 406.373 4.515 5 20 HJBD CSc1ncc(Cl)c(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])n1 920329243 DDWIULWIJHFJSE-UHFFFAOYSA-N 401.831 4.646 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318887546 UXCKCDHCPZZIAK-UHFFFAOYSA-N 413.499 4.555 5 20 HJBD Cc1cccc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)c1[N+](=O)[O-] 1322025676 DSGFSFUXXDQCKI-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD COc1ccc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 7294674 YSIWSPDXNFLHGD-SNVBAGLBSA-N 400.378 4.742 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccc(C)cc1 8025733 DRBOHNFYAVNCFG-OAQYLSRUSA-N 406.486 4.646 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2c(C)c(C)sc2n1 9788642 WBKPMCUJFSRZOF-UHFFFAOYSA-N 422.919 4.909 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)COC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 16195951 RNMIZKCKPNHCQK-CYBMUJFWSA-N 402.472 4.626 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc2c(cc1Cl)OCCCO2 26984263 CPNBMRUWZMRCCV-LLVKDONJSA-N 408.863 4.529 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 28630974 XPCWQOBBIZMQFY-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1c(C(=O)O[C@@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)cccc1[N+](=O)[O-] 29466430 UVQPEZZWPOFXGI-NSHDSACASA-N 421.331 4.890 5 20 HJBD CCN(Cc1ccccc1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 52934375 UQSOTJJZZVQNAN-KRWDZBQOSA-N 404.470 4.825 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3ccccc3n2)cc1[N+](=O)[O-])c1ccccn1 52984806 HSUJAGKUJLUDEY-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD CC(=O)N(c1nc(COc2cccc([N+](=O)[O-])c2C)cs1)c1ccccc1F 53837577 GKIAEPXVBAJHAV-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD CCCCOc1ccccc1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 56028740 WQOWDOBSXHBTOB-UHFFFAOYSA-N 410.373 4.636 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OC 107907001 WAWYVSPLLKHUCJ-LSDHHAIUSA-N 418.515 4.750 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(NC(=O)Nc2ccccc2)s1 195536959 IJVNPXOVRFXSGB-UHFFFAOYSA-N 410.455 4.573 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 218139023 JYXRMRFJANYDNV-UHFFFAOYSA-N 421.837 4.984 5 20 HJBD Cn1cc(CNCc2ccccc2OC2CCCC2)c(-c2ccc([N+](=O)[O-])cc2)n1 237772507 MIOUPGSZHDKCBL-UHFFFAOYSA-N 406.486 4.607 5 20 HJBD COc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1Br 429934940 YCRMCQOQOLIICJ-GFCCVEGCSA-N 421.291 4.521 5 20 HJBD NC(=O)C[C@@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1cccc(Cl)c1 431845493 GPNBHAIOCKEXRE-LJQANCHMSA-N 423.856 4.508 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCc2ccc(Br)cc21 437266962 HMWHNJPTGJRGGS-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CN(Cc1ccccc1Br)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437953130 ZWYRKEMBIFTUMV-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)n[nH]1 438212017 WNAMUHRZAFNHFI-UHFFFAOYSA-N 406.320 4.690 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc(CC(F)(F)F)cc2)c([N+](=O)[O-])c1 438371107 JIKWZMIJMQWYSM-UHFFFAOYSA-N 410.396 4.632 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@H](c1ccccc1)C2 438959816 MZSBTOGJRPBRSB-DEOSSOPVSA-N 416.477 4.781 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@H](C2CCOCC2)C1 441065956 PHHYWJPXUCAHQE-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD Cc1cccc([C@H]2CCCCN2C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c1C 446899422 INQKUDHPWDLMBJ-HXUWFJFHSA-N 407.474 4.525 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 462491169 QOKKLVJTAMCLPA-UTKZUKDTSA-N 406.486 4.712 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(F)c1)c1ccccn1 462615048 NLNFRPHSOBFOBR-VGOFRKELSA-N 407.445 4.603 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1 462646861 IIUXGLOGEHZQEC-CZIWCDLHSA-N 417.303 4.697 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Br)cc1OCCCO)c1ccc([N+](=O)[O-])cc1 462956887 JZUIOKIUZSFSEX-UONOGXRCSA-N 423.307 4.530 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](C)c2ccc(Cl)c3ccccc23)cccc1[N+](=O)[O-] 462960602 ADNVIFFMHCUDOI-ZDUSSCGKSA-N 411.845 4.526 5 20 HJBD C[C@H](Sc1nnc(CN2CCCC2)n1-c1ccccc1)c1ccccc1[N+](=O)[O-] 463042339 JJOXJMKRDSHBOA-INIZCTEOSA-N 409.515 4.625 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCc2ccc(Br)cc21 463840531 IBVPWNCTLMYDIX-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 464037772 GYTNGQMJPLBFTN-UZLBHIALSA-N 406.429 4.762 5 20 HJBD C[C@H]1COCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 466540417 OICSOFCKULMFEY-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccncc2)cc1 467229702 KZGQJZLOAPUEAF-AWEZNQCLSA-N 410.861 4.871 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)CC[C@@H]1c1ccccc1 470200177 KLOPEVICHHVMJJ-QAPCUYQASA-N 405.454 4.505 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)o1 477912581 XVJQKTNFZYSNAQ-UHFFFAOYSA-N 400.774 4.798 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1 478001752 NDPDNNZQPPPTDI-NXEZZACHSA-N 415.696 4.667 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)Cc2ccco2)cc1SC 482042988 VJWAKTRMKDWYAT-UHFFFAOYSA-N 412.467 4.761 5 20 HJBD Cc1noc(C)c1COc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 486044520 LOWAJLCJBLTOGA-UHFFFAOYSA-N 421.453 4.583 5 20 HJBD CSc1cccc(C(=O)NC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)c1[N+](=O)[O-] 486401608 DPSGRFSHLOHXQF-OXQOHEQNSA-N 400.500 4.523 5 20 HJBD COc1cc(CNc2cccc(-c3cnco3)c2)c([N+](=O)[O-])cc1OCC(F)F 491836449 CGZNNBBBKBSLOZ-UHFFFAOYSA-N 405.357 4.514 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])[C@H](C)c1ccccc1OC 500009794 QXUYYFKMFYHXJO-OAHLLOKOSA-N 416.474 4.624 5 20 HJBD CN1C(=O)c2ccc(Cl)cc2N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500287154 JHFIBIWRZZNSPI-INIZCTEOSA-N 400.847 4.568 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nc(O)c3c4c(sc3n2)CCCC4)c(F)c1 505432131 FLMMXBLKNFLQCR-UHFFFAOYSA-N 409.439 4.754 5 20 HJBD Cc1ccc(Nc2nnc(Sc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)s2)cc1 506810625 CVCNCXYYZDOCRH-UHFFFAOYSA-N 413.484 4.534 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCSc2ccc(F)cc21 509372115 LFULQNGVEYGIPD-SFHVURJKSA-N 403.479 4.891 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(F)cc1)[C@H]1CCCO1 509448794 LRXRSZABVKUFGO-NHCUHLMSSA-N 415.465 4.574 5 20 HJBD COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 510228479 LTMNNRMGGJHQBG-DNVCBOLYSA-N 408.458 4.627 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 513014530 JHGOZHAQMMNZHA-UHFFFAOYSA-N 413.861 4.537 5 20 HJBD CCCCN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C1CC(C)(C)NC(C)(C)C1 520352219 NLBUCPWKJYOKQH-UHFFFAOYSA-N 400.523 4.628 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(Br)cc1)c1csc([N+](=O)[O-])c1 520483345 KKIHDNCZLIKZIN-QGZVFWFLSA-N 417.284 4.938 5 20 HJBD CC(C)NC(=O)N(C)c1ccc(OCc2cc([N+](=O)[O-])ccc2OC(C)C)cc1 520626341 IKINHIJGBUQDSC-UHFFFAOYSA-N 401.463 4.515 5 20 HJBD C[C@@H](NC(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc(Cl)cc1Cl 521437281 WRUFNVYQBKVEOO-GFCCVEGCSA-N 419.268 4.643 5 20 HJBD COc1cc(CNCc2ccccc2N(C)C)ccc1OCc1ccc([N+](=O)[O-])cc1 524970028 DXUDYYMDPIRWPX-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](Cc2ccccc2)C1 525031584 OZVVCQLQZSSKNN-HNNXBMFYSA-N 424.444 4.797 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2cccc(Cl)c2)C1 536170718 SYVFZMGXLLTGHY-FZKQIMNGSA-N 414.849 4.513 5 20 HJBD CCOc1cc(C(=O)N2CC=C(c3cc(C)cc(C)c3)CC2)c([N+](=O)[O-])cc1OC 537702514 XIKQODDHOBRTGC-UHFFFAOYSA-N 410.470 4.548 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)N2CCCC[C@@H]2C)cc1 538742147 SKWJNDSKTYSVAV-INIZCTEOSA-N 409.486 4.787 5 20 HJBD C[C@@]1(CCCO)CCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 538836344 MJKFVDAALHMXMH-NRFANRHFSA-N 418.877 4.808 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1)C[C@@H]1CCCCO1 539318667 HYDBYFPAYHXAES-IBGZPJMESA-N 417.531 4.688 5 20 HJBD COc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccc1Cl 539356608 IKFHOXWQQSIHJJ-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](CC(F)(F)F)c3ccc(F)cc3)n2)cc1 540378533 CXTXJIXVCOJCND-OAHLLOKOSA-N 410.327 4.567 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F 543470653 YJWVFNHNCZJYMI-DOMZBBRYSA-N 422.840 4.687 5 20 HJBD C[C@H](c1nc(-c2ccc(OCc3cccnc3)cc2)no1)c1ccc([N+](=O)[O-])cc1F 544617483 XYFFUAGISIHLQE-AWEZNQCLSA-N 420.400 4.910 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(CN3CCCC3=O)cc2)no1 544977582 MWWAKJTYSMLASI-AWEZNQCLSA-N 424.482 4.621 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(CCc3ccc(OC(F)F)cc3)no2)cc1 545746714 HLLFRBFZNJFVKI-UHFFFAOYSA-N 407.398 4.657 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(F)c(C)c3)cs2)c1 554905329 GKTUCYARTMHTRC-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD Cc1ccc(C[C@@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 584798665 VSHJBBIDLJSIAQ-HKUYNNGSSA-N 406.486 4.969 5 20 HJBD Cc1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1C(=O)N1CCCC1 603668912 ORMAUAJQRKYBKW-UHFFFAOYSA-N 409.486 4.689 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)COc1cccc([N+](=O)[O-])c1 603742912 NANDAFHSZWCDAR-UHFFFAOYSA-N 420.425 4.565 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 604076353 VCNAHUNHSUUGSI-OTWHNJEPSA-N 424.545 4.633 5 20 HJBD COc1ccc(CNC(=O)N(CCc2ccccc2)Cc2ccccc2)cc1[N+](=O)[O-] 604105356 NMTZTKGHRPDVAY-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608945259 AMFGXBKNLIACJX-GOSISDBHSA-N 408.376 4.746 5 20 HJBD CSCCc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 609014351 JOSGFNQKKJTHRG-UHFFFAOYSA-N 414.405 4.694 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1 609033439 UDCNXBPYTHHPFB-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609216357 MPUYZXVZEHTRQE-SFHVURJKSA-N 408.376 4.807 5 20 HJBD COc1cc(C(=O)NCc2ccccc2Oc2ccccc2C)c([N+](=O)[O-])cc1OC 609299083 WLNSUNVCZBXKIG-UHFFFAOYSA-N 422.437 4.643 5 20 HJBD CCCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](CC)c1ccccc1 609637416 LBBHNZUOFUXJCU-IBGZPJMESA-N 417.893 4.967 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccccc1 609652309 MIXQYYNJDCOFTL-CQSZACIVSA-N 406.394 4.716 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)oc12 609783537 OANBORLUPGITJV-GFCCVEGCSA-N 402.834 4.813 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(C)(C)C)cc1 609980825 GYGQOLACOZRYOI-GOSISDBHSA-N 418.877 4.580 5 20 HJBD C[C@@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1C(F)(F)F 610169637 QNPWNWLGACSUMJ-LBPRGKRZSA-N 424.375 4.907 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(OCc4ccncc4)cc3)CC2)cc1 610370985 BZXBKNFPSRMNSN-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610963495 WYDIQWOHRLVUNO-UHFFFAOYSA-N 401.373 4.536 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C1(c2cccc(F)c2)CCCC1 735444202 RNRDDSYAXXEXLO-UHFFFAOYSA-N 410.401 4.944 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@@]1(O)CCSC1 747428361 ZGTDOWQHJMHOER-VWLOTQADSA-N 417.530 4.531 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc(O)c2c(-c3ccccc3)csc2n1 747789325 HLDGNWKYVVIGGB-UHFFFAOYSA-N 421.434 4.638 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)[C@H](C)Sc1ccnc2cc(Cl)ccc12 750162795 GOMJBUPELUAUBZ-NSHDSACASA-N 418.858 4.891 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 750536155 XALQFNPBIRFUFH-IBGZPJMESA-N 410.813 4.785 5 20 HJBD O=C(Oc1cccc(Oc2ncccn2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 751190847 KVPSGWRRTRTTOM-UHFFFAOYSA-N 420.406 4.520 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(-c2ccccc2)cs1 760490893 MORMCAIBMHJXBK-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD C[C@H](OC[C@H]1CCCO1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761856743 DERHFNKZRPRQNT-IFXJQAMLSA-N 411.414 4.694 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CC2(CCC2)c2cc(Br)ccc21 765099594 USEXMFGIHLYGLI-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1Cc2ccc(Br)cc2C1 765198277 BXUPXRFWJDQEDC-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD COCc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 767199205 LJCRRHYISLSHOA-HNNXBMFYSA-N 406.442 4.562 5 20 HJBD O=C(Cc1cccc(OCc2cccnc2)c1)OCc1ccc(Cl)cc1[N+](=O)[O-] 771151096 YVJMHPPBBPPSAJ-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccc(N2CCCC2)c1 775548560 FXYXNWKKYYTSPV-LSDHHAIUSA-N 416.909 4.526 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1 778649928 NWJKWBAWFISZHK-UHFFFAOYSA-N 423.372 4.653 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790878139 IIXAIZDCSBINFN-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@@H](F)c1ccc(C(F)(F)F)cc1 801655613 FTACEGVKEFOQDP-HNNXBMFYSA-N 404.747 4.936 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 811887423 BRAIWHXOHFRZSI-IAGOWNOFSA-N 424.501 4.542 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3cc(C)nc4c([N+](=O)[O-])cccc34)CC2)cc1 812483142 WGFCPOCZIZCRJX-XMMPIXPASA-N 421.497 4.800 5 20 HJBD COC(=O)Nc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 917057721 LMXBKSNJBUCSAV-UHFFFAOYSA-N 408.366 4.785 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1320417906 MLIIQQIEFMTWGQ-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1c(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 5921481 XIQXEQRMLZXSET-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1c1ccc2c(c1)OCCO2 8366778 MWMHBBNNNONGAB-INIZCTEOSA-N 410.451 4.558 5 20 HJBD Cc1nn(-c2ccccc2)c(Cl)c1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 10898076 QWNVDQLBGRFPJA-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD Cc1[nH]c2ccc(C(=O)N[C@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])cc2c1C 12860316 IDWBSICKPOCPRI-HXUWFJFHSA-N 423.469 4.506 5 20 HJBD C[C@@H]1CCCC[C@@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15797012 SAJYDZXDOJYVPT-CJNGLKHVSA-N 413.440 4.525 5 20 HJBD CCN1CCC[C@@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 58276513 ISOIMUJGHZTMES-HNNXBMFYSA-N 417.893 4.502 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)Nc1cc([N+](=O)[O-])ccc1C 58411872 JZQFJZMDGQQNLW-UHFFFAOYSA-N 410.243 4.859 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(OC(F)F)c1 64917098 RUZAUQHTDOYRNF-NSHDSACASA-N 419.409 4.811 5 20 HJBD COc1cc(OCC(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-] 66020158 ZOCCBHYDSUMYOE-NSHDSACASA-N 413.257 4.509 5 20 HJBD CCCN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)[C@@H](C)c1cc2ccccc2o1 146469397 CPFUPOUUSPXIAF-HNNXBMFYSA-N 409.442 4.588 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(N2CCCC2)c1 301581580 TYFXQWAYTJMQAG-KRWDZBQOSA-N 408.502 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4cccc(F)c43)cc2[N+](=O)[O-])n1 427579660 VGDABEHVOMRXIE-UHFFFAOYSA-N 415.471 4.853 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)c1[N+](=O)[O-] 435617978 RLMYFSCYNMZFRP-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CSc1nnc(-c2ccco2)n1C1CCCCC1 436476412 ZNWWWUDNXCCCEZ-UHFFFAOYSA-N 400.460 4.949 5 20 HJBD CC(=O)c1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 436677852 FTCHZNDTXYDPAO-UHFFFAOYSA-N 408.435 4.907 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC[C@@H](CSc2ccccc2)C1 437824101 SXTUZHVKWBWKDY-CYBMUJFWSA-N 423.441 4.842 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440425742 OAHMCWGYWMFZQL-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCCN(C(=O)c1cccc(NC(=O)c2cccs2)c1)c1cccc([N+](=O)[O-])c1 441468671 GXGVAHACAVTVAJ-UHFFFAOYSA-N 409.467 4.965 5 20 HJBD C[C@@H](NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F)c1ccc(Br)s1 443402718 ZVARFGIZYQZDLM-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD CCCCO[C@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(C)C 444109852 OCSMXFOGROWUOO-OALUTQOASA-N 410.489 4.534 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCO[C@H](C4CC4)C3)o2)c(Br)c1 462550095 MOZUBPFDIJPKAK-YJYMSZOUSA-N 421.291 4.665 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3ccc(Br)cc3)C2)n1 462810077 TUSLEVRKZMAVOR-LEUOFYLZSA-N 419.323 4.573 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 463916051 PPQLAXFLHZCMIQ-ORAYPTAESA-N 407.873 4.571 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(Cc2ccccc2)[C@H](C)c2ccccc2)cc1[N+](=O)[O-] 463925585 KGFDXDHOYKJEDN-GOSISDBHSA-N 417.465 4.632 5 20 HJBD O=C(c1cccc(OC2CCCC2)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464642115 GNJUJSBQOHIDAP-UHFFFAOYSA-N 410.470 4.600 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2nn([C@H](C)c3ccccc3)cc2C)cc1[N+](=O)[O-] 471888251 SSUHUPOBTMORRY-DLBZAZTESA-N 407.474 4.900 5 20 HJBD COc1cc(OCc2csc(N(C(C)=O)c3ccc(C)cc3)n2)ccc1[N+](=O)[O-] 475869538 HDZLJVBSKHMQCI-UHFFFAOYSA-N 413.455 4.632 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 476182699 UPTJIXOVCZOOBB-FGZHOGPDSA-N 404.470 4.579 5 20 HJBD CC[C@@H](C)c1ncc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 478280810 NBBPVZNMSRGEAE-HUUCEWRRSA-N 420.473 4.719 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ncsc2c1 478723134 LXBFKYFILPRLHS-UHFFFAOYSA-N 410.377 4.664 5 20 HJBD CCc1c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482800004 SGKHSEJRMVXQJM-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 483060312 PWJPTHUHYPRMQB-UHFFFAOYSA-N 414.767 4.608 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2cccc(Br)c2)o1 485367256 ITCPPEDZNQTITO-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 485774485 OZQAYALZHWPYLX-UHFFFAOYSA-N 419.437 4.775 5 20 HJBD CCCCSc1ccc(Cl)cc1C(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487075774 IHPYASNRBZYKSN-UHFFFAOYSA-N 422.938 4.814 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 489935001 OBPMCKNVQLARNN-IRXDYDNUSA-N 420.469 4.850 5 20 HJBD COc1cc(OC)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1F 489984851 YDVRBWVWLBLJPC-UHFFFAOYSA-N 408.451 4.752 5 20 HJBD CC(C)(C)OC(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c(F)c1 494003851 AUJFQRDWQGLDNA-UHFFFAOYSA-N 402.422 4.606 5 20 HJBD Cc1nc(-c2cccc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2)cs1 498158838 BMVWTKCUTKCFNY-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD CN(C[C@H]1OCCc2ccccc21)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 506265464 YCYHWEDUGGLAAC-HSZRJFAPSA-N 418.449 4.773 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc3c4c(cccc24)CC3)cc1[N+](=O)[O-] 509619666 QVCZTZSKXBJEFC-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD CN(C)c1ccc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 509620616 RWINPEURMVYQHV-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 510040991 JPQKELIQFUMADH-UHFFFAOYSA-N 422.441 4.883 5 20 HJBD Cc1c(CSc2nnc(COc3ccccc3Cl)n2C)cccc1[N+](=O)[O-] 511119283 HPMDCEMJUWJSQL-UHFFFAOYSA-N 404.879 4.556 5 20 HJBD CCOc1ccccc1-c1cccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 512967025 MBFSRRXFWYJMQM-UHFFFAOYSA-N 404.466 4.975 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 513117573 TZZPHAQHEGDJCQ-HSZRJFAPSA-N 417.465 4.803 5 20 HJBD O=C(c1ccc(Oc2ccccc2)cn1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 515033006 HLJZFHDXUYZWTN-NRFANRHFSA-N 407.451 4.670 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2[nH]c(-c3ccco3)nc2c1 515937967 SQDBQEGLGKUQDY-LBPRGKRZSA-N 408.439 4.850 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2c(C)[nH]c3ccccc23)CC1 516817243 QKMZHUDGYAASGN-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD CCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)[C@@H](c1ccccc1)c1ccc(F)cc1 520726921 BJVIHOAYNJXSKG-QFIPXVFZSA-N 422.412 4.714 5 20 HJBD CCOc1cc(NC(=O)CCc2c[nH]c3c(C)cccc23)c([N+](=O)[O-])cc1OCC 525529395 ADMMPTCVFIBBFK-UHFFFAOYSA-N 411.458 4.753 5 20 HJBD COc1ccc(CN(C)Cc2cccc(O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 533255906 VZGPJCJXJIZBEB-UHFFFAOYSA-N 408.454 4.520 5 20 HJBD Cc1ccc2ccccc2c1CS(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535508272 WSZFYLYJRSBUOX-UHFFFAOYSA-N 422.462 4.826 5 20 HJBD Cc1oc(-c2ccccc2)nc1C[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536304801 FZJOWCXPOAQFNB-SSEXGKCCSA-N 423.450 4.662 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NCc1cc([N+](=O)[O-])cc2c1OCOC2 537123939 PRAZVZSVLYOKCY-HXUWFJFHSA-N 400.475 4.737 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](N2[C@@H](C)CC[C@H]2C)C1 537170819 ZICDBNNTFXWIIR-SOLBZPMBSA-N 405.564 4.573 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3cc(C)cc(C)c3)CC2)cc1OC 538801458 QLZKCTDOHHOBPP-UHFFFAOYSA-N 410.470 4.548 5 20 HJBD Cc1c(NC(=O)CCN[C@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 539338382 OHHWWWFBDRQREN-QGZVFWFLSA-N 404.470 4.645 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540566951 JCTVJSZXPRVTTQ-WIYYLYMNSA-N 423.856 4.570 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Br)c(Cl)c1Cl 543489535 BCTHBTCRQPBBQQ-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccc1 543524232 KELCDRVNYLYEGF-QRQCRPRQSA-N 408.429 4.752 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 546462317 JQFZWVCIHGKLNT-UHFFFAOYSA-N 415.471 4.661 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 549364564 KRYVEQAVCPNQQK-INIZCTEOSA-N 419.437 4.511 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])ccc1OCc1ccccc1 551089821 UDEAPGPEZRXQHV-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD COCc1c(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])sc2cccc(F)c12 557441862 KBZFQEBKNXIAKK-UHFFFAOYSA-N 402.447 4.760 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 557500369 XZVLMYNZBQOSAN-SUMDDJOVSA-N 415.287 4.766 5 20 HJBD CC(C)(C)[C@@H](CCO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 558575649 SNZAWJLIUHNHNA-QGZVFWFLSA-N 411.296 4.504 5 20 HJBD O=C1CC[C@@H](C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c2ccccc21 578890699 CQECXXLAVBTDAW-HXUWFJFHSA-N 416.433 4.873 5 20 HJBD CCSc1ccccc1C(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 591659407 FPVLAYUMDLJXKW-UHFFFAOYSA-N 413.421 4.568 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccc(Br)cc2n1 608870534 HEWBIIMSDBVORL-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 609010745 QUCBFFSKCQWUNW-CYBMUJFWSA-N 410.392 4.774 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3nccc(C)c3[N+](=O)[O-])c(C(F)(F)F)c2)n1 609669956 XCPXILUSFKIOCU-UHFFFAOYSA-N 405.380 4.732 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609856992 MVPINTKPQZVHRV-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD COc1cc(C(=O)N2[C@@H](C)[C@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 610186753 MNBDZYMPSXPFRW-VNHYZAJKSA-N 420.412 4.612 5 20 HJBD CN(C)c1nc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cs1 610468049 RIYUAWGLMIKZEO-UHFFFAOYSA-N 418.906 4.853 5 20 HJBD COc1ccc(CN[C@@H](C)c2cnccc2C)cc1OCc1ccc([N+](=O)[O-])cc1 611293150 RWJUECOMGHKEOM-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)OC)c1 611537824 WYZJLXCIDQBAMB-UHFFFAOYSA-N 408.863 4.789 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1csc(-c2cccs2)n1 730957670 FREQKWJGWBRMAY-YRNVUSSQSA-N 417.855 4.778 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1OCC(F)(F)F 733774263 LWAAPQUKPDHHSL-BAQGIRSFSA-N 400.740 4.841 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 734351479 XJLSOQHJYUPSMB-FQEVSTJZSA-N 423.494 4.616 5 20 HJBD C[C@H](c1ccc(OC(F)(F)F)cc1)S(=O)(=O)Cc1cc([N+](=O)[O-])ccc1Cl 735562387 JWJZXVACIOQUCD-SNVBAGLBSA-N 423.796 4.823 5 20 HJBD O=C(NC[C@@H](O)c1c(Cl)cccc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 740941145 MZGFSHNENKOORS-CYBMUJFWSA-N 411.266 4.580 5 20 HJBD CC[C@H](NC(=S)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cccs1 743023181 OASYQWWYLDLJFC-KRWDZBQOSA-N 405.545 4.525 5 20 HJBD Cc1nc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)sc1C 744253074 GPMXKYHNOGKUOH-CYBMUJFWSA-N 412.471 4.593 5 20 HJBD Cc1cc(O)c(C(C)C)cc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 748128060 QNFGYOMDZBFIRD-UHFFFAOYSA-N 412.364 4.926 5 20 HJBD Cc1cc(F)cc(C(=O)OCC(=O)Nc2ccccc2Oc2ccccc2)c1[N+](=O)[O-] 752556964 FFXIJUAVWHBLFR-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2cccc(-c3nc(C)c(C)o3)c2)cc1[N+](=O)[O-] 753065980 NSRUPHWTRPIVQF-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD Cc1csc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1Br 754173333 BFBMMGGFYHODGS-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)OCc1coc(-c2ccc(C(F)(F)F)cc2)n1 756196042 QSRNEUSTYSJNLA-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756967121 PNWGALZPWYJXEH-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1ncc(-c2ccc(Cl)cc2)o1 758735695 CZUHTYFDUINJOJ-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD CC(C)[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 763403197 FBDMKSICGSLNPI-UGSOOPFHSA-N 404.850 4.558 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(NC(=O)c2ccco2)cc1 765591391 SKTFIZDWNPDFJX-MRXNPFEDSA-N 408.410 4.614 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1 765834332 INSWSQMILLUAGL-UHFFFAOYSA-N 423.425 4.734 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC[C@H](O)c1ccc([N+](=O)[O-])cc1 765845657 RSMIBICXHRMTFI-SGTLLEGYSA-N 401.463 4.550 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC1CCCC1 778575051 NWROSVOZPFPWFN-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(C(F)(F)F)cnc1Cl 785176458 LFADJWAJYVCLGC-UHFFFAOYSA-N 411.670 4.516 5 20 HJBD Cc1ccc(Br)nc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 786627680 LYNZQYSSDRQDNX-UHFFFAOYSA-N 404.264 4.650 5 20 HJBD Cc1nn(-c2ccc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cc2)c(C)c1Cl 800145451 DMSHSVXRNCXXFY-UHFFFAOYSA-N 402.813 4.751 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@H](c2nc3ccccc3o2)C1 809917614 KULQCORNNXFNEC-LBPRGKRZSA-N 400.822 4.801 5 20 HJBD O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809922049 MUIXMYNCFZONRC-UHFFFAOYSA-N 406.229 4.790 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)[C@@H](O)c1ccccc1 811538702 SXNCCOBKYBUJIP-QVKFZJNVSA-N 408.479 4.598 5 20 HJBD CC(C)(CCc1noc(-c2cnc(-c3ccc(C(F)(F)F)cc3)s2)n1)[N+](=O)[O-] 812812843 UTIWWXWJXAJTAP-UHFFFAOYSA-N 412.393 4.867 5 20 HJBD CC(C)(c1csc(-c2cc(F)ccc2F)n1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812813409 KBWMYGKCYZNINC-UHFFFAOYSA-N 422.457 4.785 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)c12 812813839 OXYZIBBCAMPFCH-UHFFFAOYSA-N 413.478 4.727 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccccc21 813280952 LSKZRHBGMMTACX-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD CCCCN(CC)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 874811322 IRFUROAOEMEEBO-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC[C@@H](c4nc5ccccc5o4)C3)c2c1 917430152 FMMUPIYENLEGHZ-CYBMUJFWSA-N 410.455 4.850 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(CSc3ccc(Cl)cc3)o2)C1 1257753819 SICAYEFWOOLTLA-MRXNPFEDSA-N 416.846 4.789 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@H]4OCCC[C@@H]4C3)o2)c(Br)c1 1319712617 PGUKMXPYFFFZQY-FZKQIMNGSA-N 421.291 4.618 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(C3SCCS3)cc2)o1 1322399561 QYWZONYOJDNTDZ-UHFFFAOYSA-N 401.469 4.702 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@@H]3CCCN(c4nc5ccccc5s4)C3)o2)c1 1322710280 YMSIPZHTJIDIKM-CQSZACIVSA-N 407.455 4.639 5 20 HJBD O=C(NC1(c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)CCC1)C1=CCCC1 1790696825 ZMKFMPXYBWEYMY-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)c1ccc(NC(=O)c2ccccc2)cc1 7411031 BYVAHTNVKYDCNZ-UHFFFAOYSA-N 410.813 4.762 5 20 HJBD Cc1ccccc1-n1c(SCc2ccccc2[N+](=O)[O-])nnc1-c1cccnc1 25113558 PRHKGUYCDJVPDD-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(COCCOc3ccccc3)c2)c1[N+](=O)[O-] 46688383 AIDSGNDVTJQFNN-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1)C1CCCCC1 72001263 RPWGSINEFUJXGZ-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD Cc1ccc(NC(=O)c2cc(Br)ccc2Br)cc1[N+](=O)[O-] 160024953 WJFSXFODSRGLMK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cccnc2OCC(F)(F)F)s1 237908281 BODISPZSGMYWGE-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(C(F)(F)F)nc3)n2)cc1[N+](=O)[O-] 248006489 VXERHHDGJZRSNZ-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N2CCC(c3nc4ccccc4o3)CC2)cc1Cl 301645650 CVPGRIXJTLDINO-UHFFFAOYSA-N 415.833 4.560 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 301726419 APWNWLVKSPCSSA-CQSZACIVSA-N 406.628 4.667 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Oc2cc(Cl)c(Cl)cc2Cl)s1 302186856 PSRCECAXGSAQJF-UHFFFAOYSA-N 402.664 4.812 5 20 HJBD COc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 302221715 KFSMCTLCKJAXRY-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 303135566 QDMXITAZXDYMNU-UHFFFAOYSA-N 417.893 4.613 5 20 HJBD CC(C)n1c(CCNC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)nc2ccccc21 303825930 YQKUTXYRWCXBSQ-UHFFFAOYSA-N 408.502 4.795 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)c3ncc(C)s3)cc2[N+](=O)[O-])n1 427048872 JUCXOTVSVXAHKG-LLVKDONJSA-N 420.541 4.767 5 20 HJBD CN(C)c1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 432710872 YEALIDFDAUEIKC-UHFFFAOYSA-N 410.396 4.736 5 20 HJBD CC1(C)C[C@@H](NCc2cccc(NC(=O)c3ccccn3)c2)c2cc([N+](=O)[O-])ccc21 433167918 PPXNJHNTZVRGRH-JOCHJYFZSA-N 416.481 4.754 5 20 HJBD CC(C)(COc1ncc(Cl)cc1Cl)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 435444729 ZQCSUVQIPYRIIZ-UHFFFAOYSA-N 418.664 4.537 5 20 HJBD COc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1C1CC1 435539246 FSRFBRRZDQVPRK-UHFFFAOYSA-N 408.376 4.686 5 20 HJBD O=C(NC[C@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435876870 LCEZIKSSGAQUHH-OAHLLOKOSA-N 418.443 4.809 5 20 HJBD COc1cccc(C(=O)NCC2(c3cccc(Cl)c3F)CCCC2)c1[N+](=O)[O-] 436002221 OYTPHLQXMIHJEI-UHFFFAOYSA-N 406.841 4.638 5 20 HJBD COc1ccc(C2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)cc1 437037858 JQVJMVXWSCLEKP-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)c1 460988118 BRDVPIQVWGAWGP-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@@H]1CCCCO1 462485036 BLWJPJXIJQEFMC-QUCCMNQESA-N 412.486 4.750 5 20 HJBD Cc1cc(C)c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(Br)c1 478094721 GWKGQZDEZGGZOT-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD CC(C)n1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)n1 482756824 VNORZNWNESGQKK-UHFFFAOYSA-N 413.865 4.890 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@@H]1c1ccccc1 485349884 JWLVDBGICGWWKT-LAUBAEHRSA-N 414.527 4.851 5 20 HJBD CS[C@@H]1CCC[C@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 485492979 OMPXQQVDAOZRQM-FUHWJXTLSA-N 400.500 4.578 5 20 HJBD O=C(NCc1cccc2cccnc12)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486536529 TUEHNIAWBXLVCW-UHFFFAOYSA-N 413.433 4.652 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] 505573293 SBXSPFRRKPRTIY-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2OCC2CC2)cc1[N+](=O)[O-] 510210283 ILLPAVCYUYVWAH-UHFFFAOYSA-N 405.248 4.707 5 20 HJBD C[C@H](NCc1ncc(-c2ccc(Br)cc2)[nH]1)c1cccc([N+](=O)[O-])c1 522136258 GDZJJWKPUDGGDE-LBPRGKRZSA-N 401.264 4.598 5 20 HJBD CN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CCCCCC1 522873782 NYEOJLZNALHIJG-UHFFFAOYSA-N 411.289 4.882 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 532625522 ZKDUNMDWWBFBBH-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD COc1cc(C)cc(CNCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])c1 533563305 SDGVXSSGSOAKGX-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD COc1ccc(NC(=O)c2ccc(Oc3ccc(C(C)=O)cc3)cc2)c([N+](=O)[O-])c1 534764965 OBBRYMYBEKPMCZ-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc4nccnc4c3)c([N+](=O)[O-])c2)cc1 535512256 XPNHBSCTHMIKCQ-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](OCC(F)(F)F)C1 535715075 FMUZYADVKZMJMB-AWEZNQCLSA-N 420.453 4.526 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc2cccnc12 535723803 OQTWAKPEJAPRCB-UHFFFAOYSA-N 417.874 4.787 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](COc3ccccc3)c3ccccc3)n2)c1 537117462 KNUFUWSVANNIES-NRFANRHFSA-N 416.437 4.555 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3ccc(F)c(Cl)c3)cc2)c(C)c1[N+](=O)[O-] 537336910 RMDXHLVSPDJZMS-UHFFFAOYSA-N 416.840 4.526 5 20 HJBD O=[N+]([O-])c1cc(CN2C[C@H]3[C@H]4CC[C@@H](O4)[C@H]3C2)ccc1Oc1ccccc1Cl 538737655 UCWYYWQLKZSIRY-WTLGNFPFSA-N 400.862 4.650 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])NCC1(c2ccc(Cl)cc2)CCOCC1 539091993 MQXNYGXZOBWEKR-KRWDZBQOSA-N 402.922 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCCC[C@H]2c2nc3ccccc3o2)c1 539521908 FYQIEDABUKELHW-SFHVURJKSA-N 409.442 4.567 5 20 HJBD CC(C)(C(=O)N1CCC(OCC2CCCCC2)CC1)c1ccc([N+](=O)[O-])cc1F 545120441 GQGGXKDDDCQDRM-UHFFFAOYSA-N 406.498 4.599 5 20 HJBD COCCC(C)(C)CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 545341563 GOXHCRWRVRTGDH-IBGZPJMESA-N 419.909 4.580 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1occc1CSc1ccccc1 552232338 KYAWBNHIAOBWFT-UHFFFAOYSA-N 420.450 5.123 5 20 HJBD Cc1cc(N2CCC(c3ncc4n3CCCC4)CC2)c([N+](=O)[O-])cc1C(F)(F)F 558947233 XRHJQFBXGBQQRR-UHFFFAOYSA-N 408.424 4.839 5 20 HJBD Cc1cccc([C@H](c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)C(C)C)c1 574438750 BVHXFEBSGHLHGC-HXUWFJFHSA-N 404.426 4.995 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1 588930441 VTASUQJBTQCYCT-KRWDZBQOSA-N 421.453 4.737 5 20 HJBD COC(=O)c1ccc(F)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 593276486 XDPOZISUKMPWAN-UHFFFAOYSA-N 409.373 4.516 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)cn2)c1 603859819 XGAPDWFFOLUMCG-UHFFFAOYSA-N 418.453 4.896 5 20 HJBD C[C@@H](c1ccncc1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 604430921 OUGUOKAQECBHMT-KRWDZBQOSA-N 408.527 4.597 5 20 HJBD CCC[C@@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 608859636 WMXVTMPCUOKHIB-QGZVFWFLSA-N 416.421 4.574 5 20 HJBD CCSc1ccc(Cl)cc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 609221791 YFTTUTHERAHHDB-LLVKDONJSA-N 409.895 4.808 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccccc2)cc1 609456083 YYJHMJAJISTJJX-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 609501295 CZEOBNFAOYNASW-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3c[nH]c4ccc(F)cc34)n2)cc1[N+](=O)[O-] 609641467 XBRMUVLCGWISDV-UHFFFAOYSA-N 410.430 4.828 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609909810 WZQAGWIIXFZERE-BBRMVZONSA-N 411.458 4.876 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1ccc([N+](=O)[O-])cc1Br 610037046 QKFAUNUUVOLWHU-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD Cc1ccc(-n2nc(C(C)C)cc2NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1 610054318 CREVFRBYTQZOFO-UHFFFAOYSA-N 408.458 4.620 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@H]2c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC 610106548 LNWDATAMIVNBIC-VXGBXAGGSA-N 422.840 4.927 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3[C@H]4CCO[C@@H]4C3(C)C)cc2[N+](=O)[O-])cc1 610154576 FRXNDAIBVVTVIF-AHRSYUTCSA-N 412.511 4.598 5 20 HJBD O=C(N[C@H]1CCCc2nc(O)ccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212636 RDOGWJOWBCXJNR-SFHVURJKSA-N 413.499 4.538 5 20 HJBD CC(C)CN(C(=O)c1coc(C2CC2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 614419575 BFHYSFSAJPGHRE-UHFFFAOYSA-N 412.471 4.887 5 20 HJBD Cc1c(CN[C@H](c2ccc(Br)cc2)[C@@H]2CCCO2)cccc1[N+](=O)[O-] 616357505 RIIYFIWLGHJWML-RBUKOAKNSA-N 405.292 4.676 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 725790099 BKCCYUHQXKMCOG-HUUCEWRRSA-N 400.479 4.591 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cc(C(F)(F)F)ccc2-n2cccn2)cc1 730597501 UDUKSUMPHHYMOZ-UHFFFAOYSA-N 407.377 4.608 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CCOC(=O)[C@@H](Oc1cccc(C(C)C)c1)c1ccccc1 730689226 BVUFHKGBGARMTC-QFIPXVFZSA-N 423.469 4.587 5 20 HJBD CCc1ccc(OC(=O)c2ccccc2N(C)C(=O)c2cccs2)c([N+](=O)[O-])c1 734739768 NMQKEYBDZKCADX-UHFFFAOYSA-N 410.451 4.715 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(SC(F)F)c1 735008530 LNAVABPBRFXJME-UHFFFAOYSA-N 402.806 4.971 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCc1ncc(-c2cccc(Cl)c2)o1 744615121 NIVNSRJMFSRRQJ-UHFFFAOYSA-N 411.801 4.601 5 20 HJBD COc1cc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1SC 745569275 XFMXEFOXFYDWPD-UHFFFAOYSA-N 401.362 4.701 5 20 HJBD Cc1c(COC(=O)c2nc(C(C)C)n(-c3ccccc3Cl)n2)cccc1[N+](=O)[O-] 748421130 PTQLOYYYBGTPQR-UHFFFAOYSA-N 414.849 4.618 5 20 HJBD C[C@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(F)cc1 750854672 QYBZDRJTVRRTPF-SECBINFHSA-N 415.646 4.683 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 751152522 PBVOIENQUDAQJX-IBGZPJMESA-N 407.514 4.769 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(C2CC2)nc2ccc(F)cc12 751674353 RJNJVRRSGNDYDU-UHFFFAOYSA-N 407.357 4.658 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2COc2cccc(C=O)c2)cc1[N+](=O)[O-] 752743880 AIRASQWSUGBHHY-KRWDZBQOSA-N 418.449 4.786 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cccc21 753786328 RQWRPIWZNRPACY-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)CCc3cccc([N+](=O)[O-])c3)cc2)cc1 755129822 GHGNOAJITHQAQV-UHFFFAOYSA-N 418.449 4.718 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cc(Br)ccc2OC(F)F)cc1Cl 761519795 IOTSSYLNYHTMHE-UHFFFAOYSA-N 421.625 4.902 5 20 HJBD Cc1c(NC(=O)C[C@@H](C)[C@H]2CCCN(C(=O)OC(C)(C)C)C2)cccc1[N+](=O)[O-] 763099891 GNZZPAWJVIOOMN-ZBFHGGJFSA-N 405.495 4.515 5 20 HJBD O=C(NOCC(F)(F)F)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 768275005 IMNXNBNLTJQRJZ-UHFFFAOYSA-N 406.769 4.623 5 20 HJBD COc1cc(OC)c(OC)cc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 770593940 YOASOJUEXLBQMY-UHFFFAOYSA-N 400.456 4.961 5 20 HJBD O=C(Nc1ccc(SC(F)F)cc1)c1sc(Br)cc1[N+](=O)[O-] 770812962 BRHAZGVRRRMEJQ-UHFFFAOYSA-N 409.233 4.986 5 20 HJBD CCOc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1OCC 776134491 BWJMAMJRFBJKLO-QFIPXVFZSA-N 422.437 4.734 5 20 HJBD C[C@@H]1CC[C@@H](C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)C1 776293591 XFYMYRIFHFTMGT-CHWSQXEVSA-N 402.834 4.842 5 20 HJBD CCCc1nc(C)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 777220631 KBKJLLFJCNMGQW-UHFFFAOYSA-N 418.700 4.980 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 780000656 KBLBQAZNTXSLPD-UHFFFAOYSA-N 401.444 4.598 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccn3)n2-c2ccccc2)cc1F 789945804 DUDMBTBGARAQED-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)c1[N+](=O)[O-] 805432310 VRXHZHVCSYMJFM-UHFFFAOYSA-N 411.842 4.722 5 20 HJBD O=C(NCc1cccc(Br)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812877178 SVRMWRRHZIYQQY-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)c3c2CCC3)c(F)c1 813245931 PFJCDDYJYSUPHY-UHFFFAOYSA-N 414.824 4.622 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(Cc2ccccc2)no1 815511040 SLDBSLOFJVHSRL-NSHDSACASA-N 421.331 4.505 5 20 HJBD O=C1N[C@@H](c2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)Nc2cc(F)c(F)cc21 877859217 ODCCLWXDFMCSSR-IBGZPJMESA-N 415.327 4.659 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1COc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 918218623 YRLDCHHUZQMDSP-KDOFPFPSSA-N 403.866 4.562 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 918641615 MHJAVMJTKSQPBR-UHFFFAOYSA-N 401.438 4.621 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cccs1 920993202 XINCUKWARGIRAA-IBGZPJMESA-N 420.849 4.733 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(SC(F)(F)F)cc2)cc1[N+](=O)[O-] 1317860918 NJDDUXQSSYWWBK-UHFFFAOYSA-N 401.322 4.676 5 20 HJBD Cc1ccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2c1[C@@H](C)CC2=O 1318082816 FSUMWFYCCVYFBZ-LBPRGKRZSA-N 408.435 4.936 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(-c2ccccc2OC)cc1 1319067963 WAACEJKVQMCBCS-HNNXBMFYSA-N 405.454 4.803 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(CN(C)c2ccccc2[N+](=O)[O-])o1 1322151670 QURUUNVKLYXEFE-HNNXBMFYSA-N 404.426 4.907 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@@H](c2ccc(Cl)s2)C1 1327511891 CGNFZNKOABRPBG-LLVKDONJSA-N 402.259 4.569 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2ccccc2)c2ccccc2OC)c([N+](=O)[O-])c1 1344461026 SWULMOGQACGCGV-NRFANRHFSA-N 407.426 4.523 5 20 HJBD CC(C)n1nccc1NC(=O)[C@@H](C)OC(=O)c1c2ccccc2cc2ccccc12 6643764 RFHQMJIWCSLHAL-MRXNPFEDSA-N 401.466 4.954 5 20 HJBD Cc1ccc(CCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1Cl 10740285 WNAMONWYESAPMF-CYBMUJFWSA-N 415.833 4.844 5 20 HJBD CCCn1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)nc2ccccc21 11249269 FQDULNNXBGNXNY-UHFFFAOYSA-N 410.477 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1sc2cc(F)ccc2c1Cl 11443781 RRIJYMCHWBRUGF-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 15855756 AOJLDOFYUHDLLY-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)cc1 18238689 FQGDDKSGOQYQFS-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=C(Nc1nccs1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 24916416 ISBOGMPKXYXOLN-UHFFFAOYSA-N 424.463 4.771 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)n1 47169624 QNNHFOUWCHXIFI-UHFFFAOYSA-N 419.459 4.597 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1cccc(OC2CCCC2)c1 54802407 XCCQDAWIXIFDOZ-VBKFSLOCSA-N 403.438 4.570 5 20 HJBD C[C@H](NC(=O)Cc1csc(-c2ccccc2Cl)n1)c1cccc([N+](=O)[O-])c1 55465923 UQRVWETVCGPQML-LBPRGKRZSA-N 401.875 4.792 5 20 HJBD CCNc1ccc(C(=O)N[C@@H](c2ccccc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 60639431 AFCXETCWQYERGT-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD C[C@H](Nc1ccc(NC(=O)CCn2cc([N+](=O)[O-])cn2)cc1Cl)c1ccccc1 64657753 KBURPGSNJPYTKB-AWEZNQCLSA-N 413.865 4.647 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCc3ccc(N4CCc5ccccc5C4)nc3)sc2c1 111192882 MVBFFCJATXOBRL-UHFFFAOYSA-N 417.494 4.774 5 20 HJBD Cc1sc(NC(=O)c2ccc(C(F)(F)F)nc2)nc1-c1cccc([N+](=O)[O-])c1 195703880 GDDIKIKYZOFGKE-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cccc(Br)c3)cs2)c1 236568489 PRPWZHAZBWQDPI-UHFFFAOYSA-N 404.289 4.771 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(OC2CCCCC2)CC1 261378580 BKDNKLQDZXQEBE-OAHLLOKOSA-N 400.479 4.520 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccc(Cl)s3)o2)c(Br)c1 301525271 IOTHLXKQTFSBOS-UHFFFAOYSA-N 419.669 4.668 5 20 HJBD O=C(Nc1ccccc1)c1cccc(Oc2ncc(Br)cc2[N+](=O)[O-])c1 301675173 HCZIAKQJUSMRIJ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3ccccc3)CC2)c(Br)cc1[N+](=O)[O-] 302668716 JYZWCHVCMYBGOP-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCn2cccc2[C@H]1c1ccccc1 437367910 YNHLDWUQWZHSPE-LJQANCHMSA-N 415.371 4.661 5 20 HJBD CCCOc1cc(C)ccc1CNC(=O)c1cccc2c(=O)c3ccccc3[nH]c12 445017244 GPBGCBKULRNPGZ-UHFFFAOYSA-N 400.478 4.709 5 20 HJBD COc1ccc2c(c1)[C@H](N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1)CCO2 460232878 BTCJRBBMEWUPJY-GOSISDBHSA-N 424.478 4.831 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(OC(C)C)c(OC)c1 462420339 VCMJBZQKSOIVTB-SJLPKXTDSA-N 414.502 4.837 5 20 HJBD CC(C)(C)Oc1ccc(CNc2ccc(C(N)=O)cc2[N+](=O)[O-])c(C(F)(F)F)c1 463018458 GQRZYHYKEMBKCF-UHFFFAOYSA-N 411.380 4.502 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])c1ccon1 466548840 UNDOBNXGDQEIKJ-LLVKDONJSA-N 403.847 4.878 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@]2(C1)OCc1ccccc12 471598464 KJNARWULDBVZOL-DEOSSOPVSA-N 415.449 4.610 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@@H]2[C@@H](CCCN2Cc2ccccc2)C1 475552955 TVVNVUAHWJGNAH-RBBKRZOGSA-N 422.529 4.730 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477317987 DZWDHMXNRPWSDW-NWDGAFQWSA-N 406.870 4.699 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(-c3nc(C)co3)c2)cc1SC 478481930 QKLSLPYSLMNCIZ-UHFFFAOYSA-N 413.455 4.849 5 20 HJBD CC[C@H](C)n1ncc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 479502529 ZIHMWZDHQJLTFZ-WDEREUQCSA-N 413.400 4.529 5 20 HJBD CCC[C@@H](N[C@@H](c1cc(OC)cc(OC)c1)c1nccn1C)c1cccc([N+](=O)[O-])c1 480341995 KSUCATZTVOIMFK-YADHBBJMSA-N 424.501 4.566 5 20 HJBD CCC[C@@H](NCc1ccc(NC(=O)c2cccnc2)cc1)c1cccc([N+](=O)[O-])c1 482117261 ZZXOGLWUDQIXOS-JOCHJYFZSA-N 404.470 4.873 5 20 HJBD O=C(NCCCc1cccc(Cl)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 482236806 IGUDYKSBHFDQTP-UHFFFAOYSA-N 408.860 4.836 5 20 HJBD COC(=O)c1c(CC(C)C)csc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 485755028 XOJRPLQXJPTVNS-UHFFFAOYSA-N 408.501 4.616 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 498236396 ITXWXRLIDWNBCN-HNNXBMFYSA-N 400.435 4.553 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@@H]1CCc2ccccc21 500010177 SZEBRKMSOMNSQC-MRXNPFEDSA-N 420.412 4.745 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(-n2cccn2)c1 503408945 UIODJDYBSJYCHN-HNNXBMFYSA-N 410.499 4.774 5 20 HJBD COc1cc(CN2CCC(c3nc4ccccc4s3)CC2)c([N+](=O)[O-])cc1OC 506114602 SIAKMRFOERVMHV-UHFFFAOYSA-N 413.499 4.601 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c(C)c1 507459937 LSHKLZZPBOSUNQ-UHFFFAOYSA-N 407.470 4.851 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCC[C@H]1Cc1ccccc1 507926087 TYEAFJTYTLJSLY-NRFANRHFSA-N 410.517 4.779 5 20 HJBD COc1cc(C(=O)N(CCc2ccccc2)Cc2ccc(C)s2)ccc1[N+](=O)[O-] 508760640 CYBLHZRAOASRGT-UHFFFAOYSA-N 410.495 4.858 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCCN(c3ccccc3)CC2)c([N+](=O)[O-])c1 510124297 JUKMHJMVONILNB-UHFFFAOYSA-N 401.466 4.543 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC[C@](F)(c2cccc(Cl)c2)C1 512418630 QDRQDSUULSAOJJ-GOSISDBHSA-N 414.767 4.561 5 20 HJBD CCCOc1cc(NC(=O)N2CCc3sccc3C2)c([N+](=O)[O-])cc1OCCC 514504178 RRSHDXDVKTUGOW-UHFFFAOYSA-N 419.503 4.824 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 515531141 CGGCBVIFHDPUMR-HZPDHXFCSA-N 415.490 4.760 5 20 HJBD Cc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 515684147 WTYFHCOPDBOHFH-UHFFFAOYSA-N 406.438 4.890 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OCCC(C)C 515791078 KEVQZMYYCAOZLT-AWEZNQCLSA-N 404.438 4.658 5 20 HJBD Cc1ccccc1CN1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 518762317 PCEKSTZFXIBGMW-UHFFFAOYSA-N 405.498 4.917 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1csc2ccccc12 518832620 DXOUVPHHUIMNKG-LBPRGKRZSA-N 404.875 4.889 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc(Oc3ccccn3)cc2)c([N+](=O)[O-])c1 525346918 JIUKQFHGJSILLF-UHFFFAOYSA-N 421.457 4.714 5 20 HJBD COc1ccc(CC(=O)N2CC[C@H](C)[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 533018370 VLFIFNBOLANQJY-RNODOKPDSA-N 422.403 4.774 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(NC(C)=O)c1 537399413 YVYWBLYZQQLGKQ-AWEZNQCLSA-N 415.515 4.936 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)COCc1ccccc1 539291169 CTIRQOLTSVPUDX-MRXNPFEDSA-N 402.516 4.678 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](COc3ccccc3)c3ccccc3)n2)cc1 540416407 DPNOKQAJKCQEIC-OAQYLSRUSA-N 416.437 4.555 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@@H]3c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 547177558 BVYJQMDPFJJGBN-HUUCEWRRSA-N 419.359 4.867 5 20 HJBD C[C@@H]1C(=O)N(c2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C(=O)N1Cc1ccccc1 553123005 LKMFZZGVNPGNSX-MRXNPFEDSA-N 417.421 4.744 5 20 HJBD C[C@H]1c2cc(Cl)cc(Cl)c2CCN1c1nc(O)c2cc([N+](=O)[O-])ccc2n1 553446961 YKTAKACVTMVVRS-VIFPVBQESA-N 405.241 4.674 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@H]1c1ccccc1 554209709 ODSCPPZKUMFQOZ-UWJYYQICSA-N 414.527 4.995 5 20 HJBD CC(C)[C@H]1N(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)CC12CCC2 557369682 WYQOEYCFKJEQCE-IIBYNOLFSA-N 408.502 4.814 5 20 HJBD COc1cc(C)c(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)c1C 561715306 DGTNSTORZSBJPU-UHFFFAOYSA-N 422.485 4.643 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1cccc2ccccc12 564235064 PKXWABMECFRSKP-LJQANCHMSA-N 424.478 4.504 5 20 HJBD O=[N+]([O-])c1cc(CNC23C[C@H]4C[C@@H](CC(O)(C4)C2)C3)ccc1Oc1ccc(F)cc1 572409513 QGIGZLVPLNWKNT-TWVWUCKLSA-N 412.461 4.700 5 20 HJBD Cn1cc2c(n1)CCC[C@H]2c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 583531702 QZIDPGAWAKKDJF-GOSISDBHSA-N 417.425 4.639 5 20 HJBD O=C(CSCc1cccc(Cl)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638606 AWXSLBMCUATCNJ-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(=Cc2cccc(F)c2)CC1 604446018 VJTJMQTXZWSPRI-CQSZACIVSA-N 418.852 4.861 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 604469292 QJBLDXOJBZPJTI-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@H](c1ccc(C)cc1)c1cccnc1 609577316 RUZMSJGIXJWRSR-JOCHJYFZSA-N 404.470 4.592 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c(CN(C)C)c1 609610709 ULUXSOWUHTXEJM-UHFFFAOYSA-N 418.497 4.829 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccnc1Oc1ccccc1 609727769 ZMCKCRCGNILPAZ-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD O=C(CCc1cccs1)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 609817043 KSJVCDXEVIOVAX-UHFFFAOYSA-N 408.439 4.673 5 20 HJBD CSc1ccc(C(=O)Nc2n[nH]c(C)c2-c2cccc(Cl)c2)cc1[N+](=O)[O-] 610354480 ARBBWLOKDSQVHS-UHFFFAOYSA-N 402.863 4.921 5 20 HJBD Cc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 611092248 SSOGHBHGYFNRGO-UHFFFAOYSA-N 407.401 4.571 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2sc(Br)cc2C1 613295104 BYZYMRIMNWEBEW-UHFFFAOYSA-N 410.293 4.626 5 20 HJBD CSc1cccc(C(=O)N2CC(Cc3ccc(C(F)(F)F)cc3)C2)c1[N+](=O)[O-] 614445748 LLZSWCYCQMBGST-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cc(F)ccc1F 617345448 QGACQZFHKQQRMN-GOSISDBHSA-N 404.394 4.517 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 619503610 CCNKKUYCTKHEMK-KGLIPLIRSA-N 410.499 4.705 5 20 HJBD COc1ccc2[nH]c(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc2c1 647618366 NOUXGJJQXRZDPI-UHFFFAOYSA-N 419.387 4.702 5 20 HJBD COc1ccc(CC(=O)OCc2nc3ccccc3c3ccccc23)cc1[N+](=O)[O-] 727882414 GIVSQKVTTNOAIM-UHFFFAOYSA-N 402.406 4.591 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc(OC)c1C 728166684 LYOWOQHIUOIDOR-UHFFFAOYSA-N 404.850 4.631 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC1 730184847 CYTKTFVAZNQODE-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CCOC(=O)c1ccnc(N2CCC[C@@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 737410332 GJEYASGWTWZFND-OAHLLOKOSA-N 409.364 4.527 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccc(Cl)cc2[nH]1 742663706 PZXQKBYYZQYVKV-MRVPVSSYSA-N 412.755 4.634 5 20 HJBD CSC(C)(C)CNc1ccc(S(=O)(=O)Nc2ccc(C)cc2C)cc1[N+](=O)[O-] 743461034 UCEXEHXZVGUFPH-UHFFFAOYSA-N 423.560 4.566 5 20 HJBD O=C(Cc1ccn(-c2ccc(F)cc2)n1)OCc1nc2ccccc2c2ccccc12 745420464 GEJGQUOMSUMOIY-UHFFFAOYSA-N 411.436 4.999 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1csc([N+](=O)[O-])c1 749058795 XVBGRBWCAMOWHO-KRWDZBQOSA-N 407.407 4.653 5 20 HJBD Cc1c(C(=O)Nc2c(-c3ccccc3)ncn2C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 751125007 AUWVKRWMSAKFEH-UHFFFAOYSA-N 421.413 4.571 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2ccccc2Oc2ccccn2)cc1[N+](=O)[O-] 752247259 KSFLGISXPZOAIA-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1c(CNC(=O)N[C@@H]2CCOc3c2ccc(Cl)c3Cl)cccc1[N+](=O)[O-] 753200929 DUFTXYUBWAYKDL-CQSZACIVSA-N 410.257 4.533 5 20 HJBD Cc1nn(C)c(C)c1CCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761859132 UAQTVONVDQZQIW-UHFFFAOYSA-N 419.441 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1ccc(CCNC(=O)OC(C)(C)C)cc1 765608478 WUOYMFGJNCVTGH-QGZVFWFLSA-N 413.518 4.821 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 768945923 SSBCIJYITHTCJJ-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(NC(=O)OC(C)(C)C)nc1 769757808 FWAZSIZLDBLEFK-UHFFFAOYSA-N 400.435 4.514 5 20 HJBD CC(C)c1ccccc1OCC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131967 CCLKMDZZNZMJDJ-HSZRJFAPSA-N 406.438 4.825 5 20 HJBD O=C(CCN1CCC(=Cc2ccc(F)c(F)c2)CC1)Nc1cc([N+](=O)[O-])ccc1F 782543478 LFFQPMSNIDFAGX-UHFFFAOYSA-N 419.403 4.520 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)OC(C)(C)C 789442861 LZZQSMCUYOMMPL-RDJZCZTQSA-N 420.510 4.511 5 20 HJBD Cc1nn(CN(C)Cc2cc(C(F)(F)F)cc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 789559186 BSZOCMGTCCJSCW-UHFFFAOYSA-N 410.318 4.535 5 20 HJBD CCOc1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C(C)C)cc1 790771057 AAFSGAVDGLTAAF-UHFFFAOYSA-N 408.857 4.766 5 20 HJBD Cn1c(COc2ccccc2Cl)nnc1Sc1cc(Cl)ccc1[N+](=O)[O-] 791408055 QJLPICKJZPSSOS-UHFFFAOYSA-N 411.270 4.760 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)c(C)c1 791906881 ROCUOMQJACHUOT-HNNXBMFYSA-N 403.276 4.604 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3cc(N)ccc3[N+](=O)[O-])C2)n1 800870576 XTCXTXOLMLJHHY-AWEZNQCLSA-N 410.499 4.693 5 20 HJBD Cc1ccc([C@H](O)[C@H](C)NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804477956 GJWYIRJVUYVUFB-KSFYIVLOSA-N 422.506 4.906 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(N2CCCCC2=O)cc1 810132855 XDLKMXBPIRQPEH-INIZCTEOSA-N 420.469 4.618 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl 812212228 SXJSNSJMMOKZIZ-QAPCUYQASA-N 424.332 4.529 5 20 HJBD CCNC(=O)c1cc(Cl)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812988512 ZKVNXJUENLANDM-UHFFFAOYSA-N 416.648 4.557 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 915283512 RCGCMFLPVDBIAC-UHFFFAOYSA-N 423.372 4.796 5 20 HJBD C/C(=C\C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 917338420 NIUXBZYUVATLDS-VSFZPWCASA-N 414.845 4.828 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCCOC3CCCC3)cc2[N+](=O)[O-])n1 918516709 RAGFKJGDKKFOPS-UHFFFAOYSA-N 408.501 4.627 5 20 HJBD O=C(OCC[C@@H]1CCCCN1C(=O)c1ccc(Cl)s1)c1cccc([N+](=O)[O-])c1 918636171 DZHOONOTFJRPHX-AWEZNQCLSA-N 422.890 4.552 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)n2)cc1 1115830027 XSHHBMHJQQPRPY-UHFFFAOYSA-N 416.384 4.618 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(Cl)s3)C2)cc1[N+](=O)[O-] 1116167845 NKNYIWPBDLYOBZ-OAHLLOKOSA-N 408.907 4.821 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2ccc([N+](=O)[O-])c3cccnc23)cs1 1253878568 IFHQRVHPQANLHF-UHFFFAOYSA-N 416.462 4.778 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 1318329817 WDLRYPAIGVXFTJ-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1cc(OC)cc(-c2nnc(-c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)o2)c1 1329118908 IIYYZLAFLWRZDP-UHFFFAOYSA-N 424.457 4.565 5 20 HJBD C/C=C(/C)C(=O)NC1(c2ccc(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])cc2)CCC1 1792317290 LOXSYWLFJCIMRJ-GRZTYZROSA-N 419.481 4.708 5 20 HJBD CCCOc1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])c([N+](=O)[O-])cc1OCCC 10745098 HGSNTDCXAZBHOI-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1ccncc1 27271454 RWXPDNAGAXRNLA-NRFANRHFSA-N 402.454 4.579 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc3cn[nH]c23)cc1 32939412 KLYTZWUZYFHDJU-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD Cc1cc(N2CCC(COc3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60861240 ATVSTMPEJYSGCU-UHFFFAOYSA-N 404.470 4.656 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)c1 72035981 CPFOJMCFDILCEI-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1coc(-c2cccs2)n1 301115604 XTONLJAQXNXLJQ-UHFFFAOYSA-N 414.321 4.850 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@@H](c4ccccc4F)C3)cc2[N+](=O)[O-])CC1 410288381 LCNYGROCWWOTGT-GOSISDBHSA-N 411.477 4.600 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C(C)C 427604708 QVOFKVBIKWOVOL-UHFFFAOYSA-N 411.380 4.506 5 20 HJBD CC(C)(NC(=O)Nc1cccc(OCc2cscn2)c1)c1ccccc1[N+](=O)[O-] 432840037 PDSYBFXSDZKFSK-UHFFFAOYSA-N 412.471 4.687 5 20 HJBD CS[C@@H]1CCCCN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 435296099 DGTWNMXUSLFODC-NVXWUHKLSA-N 414.531 4.521 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439261289 VIRBILRXKFFXAH-UHFFFAOYSA-N 416.437 4.566 5 20 HJBD COCc1nc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c(-c2ccccc2)s1 441301456 KDSFYHLPZIGHCH-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD C[C@@H](C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C(F)(F)F 463689284 IFAOPRKZMDBWJP-VIFPVBQESA-N 420.746 4.994 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 463946880 BMZNFGQGNAUHLM-MAUKXSAKSA-N 407.829 4.656 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)nc12 465267408 YEVQQKVGRDDAIY-UHFFFAOYSA-N 414.462 4.507 5 20 HJBD Cc1cc(C(=O)N2CCC(c3cccc(Br)c3)CC2)cc([N+](=O)[O-])c1 466795764 QHVMGRDQCOXGFZ-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1ccc([C@@]2(C)CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 468828294 CXICAEQYUOGCEU-QFIPXVFZSA-N 407.495 4.831 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@H](c2c(F)cccc2F)C1 469159551 ZXGSQALPLXCAIU-ZDUSSCGKSA-N 415.421 4.626 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC(C)C)c2ccccc2OC)cc1OC 471465658 RGNOMPYWXLTCIO-QGZVFWFLSA-N 416.474 4.528 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cccc([N+](=O)[O-])c3OC)c2)cc1 475258046 UMHBJQMQPYSLBN-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@H](CO)c2c(Cl)cccc2Cl)o1 475613586 CFXGRXMDFZBARP-MRXNPFEDSA-N 407.253 4.985 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 482061573 POUNUVQHBFAELB-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD COc1ccccc1-c1nc(C2CCN(C/C=C\c3ccccc3[N+](=O)[O-])CC2)no1 483434949 FBKCTSIOPAQQNJ-VURMDHGXSA-N 420.469 4.546 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2)o1 484976836 RAEOHRFULQZOTD-UHFFFAOYSA-N 413.817 4.702 5 20 HJBD CN(CCN1CCCC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 487557824 NCENDYAHLGANSH-UHFFFAOYSA-N 419.934 4.567 5 20 HJBD Cc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c(OCc2ccccc2)c1 489845012 RIJQHTBIANVKFO-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD Cc1cccc(-c2cncc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2)c1 490634056 YPULBDIQCIRHHE-UHFFFAOYSA-N 417.465 4.649 5 20 HJBD CC(C)c1ccc([C@@H]2CCCCN2C(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)cc1 490797572 VYRODLCAZCXSJR-FQEVSTJZSA-N 419.485 4.666 5 20 HJBD Cc1cc(C(=O)N(C)[C@H]2CCSc3ccc(Br)cc32)ccc1[N+](=O)[O-] 504555043 XBPCHUMFDDKKEI-INIZCTEOSA-N 421.316 4.975 5 20 HJBD CCN(CC)c1nc2sc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 507389771 OQUJVXCZZXFTJZ-NSHDSACASA-N 404.517 4.603 5 20 HJBD CC(C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 507439298 CZIJJJRRVARDEJ-UHFFFAOYSA-N 418.453 4.914 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)c2c(c1)COCO2 507915792 VZTGXNCAPXEOGI-QHCPKHFHSA-N 404.466 4.925 5 20 HJBD CC1(c2cccc(Br)c2)CCN(C(=O)c2csc([N+](=O)[O-])c2)CC1 508444559 WVJRPHLJNKPFTQ-UHFFFAOYSA-N 409.305 4.613 5 20 HJBD COc1ccccc1S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 519116852 IBKRANVRMZSWSY-SNVBAGLBSA-N 400.378 4.742 5 20 HJBD COc1ccc([C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)N2CCCC2)cc1 520210583 ZBHGXQWGOWRGDR-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(Sc3ccccc3)ns2)c1 520310148 GNNVYFPJFNJKLJ-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD O=C(c1cccc(OC[C@@H]2CCCO2)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 522874857 IUIMVGQYIUYTEX-QFIPXVFZSA-N 410.470 4.742 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 524886656 IICBLJXQSIQAJE-AWEZNQCLSA-N 408.907 4.800 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 525595697 AKMYKMMWAMJCSS-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 535423136 RIPODCLVFLANKJ-UHFFFAOYSA-N 417.469 4.921 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4cccnc4Oc4ccccc4)no3)c2c1 536202193 CFVUGIGIKHVFOC-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(N3CCCC3)c2)c([N+](=O)[O-])cc1OC(F)F 537176496 JYMPHSZNFVGRCZ-AWEZNQCLSA-N 421.444 4.656 5 20 HJBD CCn1c([C@H](C)NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])nc2ccccc21 537732437 VBFIATDTOZUGBC-HNNXBMFYSA-N 415.453 4.517 5 20 HJBD COc1ccc2nc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])sc2c1 537869397 PNSUIAOZBPRDIC-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD CN(C(=O)c1cnc(-c2ccccn2)s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352541 NBCMSDLMTCUWOX-UHFFFAOYSA-N 423.479 4.513 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](C)C[S@](C)=O)cc2[N+](=O)[O-])c1 541368589 GLVDLYIGIXWVIT-OLRZCDJHSA-N 404.532 4.676 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 541733872 LEXMJLHEQRCGDR-SFHVURJKSA-N 419.591 4.681 5 20 HJBD CCCc1cnc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])s1 541823208 QNMVUVUTPICSFO-UHFFFAOYSA-N 405.398 4.566 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(-n2ncc3ccccc32)cc1 542384725 SZJCYELBOOWTMR-UHFFFAOYSA-N 401.426 4.598 5 20 HJBD CSc1c(F)cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1F 543131974 IQYANXJLULHCRL-UHFFFAOYSA-N 410.445 4.794 5 20 HJBD CCCc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 544294835 HVGLRLYSTZIHRP-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(CC1CCCC1)Nc1ccc(-c2noc(CNc3ccccc3[N+](=O)[O-])n2)cc1 544563737 GDJYNJDZQNEAQC-UHFFFAOYSA-N 421.457 4.776 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1OC1CCCC1 544621104 PFJLONCZECQWSE-CYBMUJFWSA-N 417.437 4.947 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cn4ccc5ccc(Cl)cc54)n3)c2c1 547194465 JWTQHAWIOJMYSC-UHFFFAOYSA-N 407.817 4.706 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3cc(OCC)ccc3[N+](=O)[O-])n2)cc1 548952927 XBBZYQCNXVKNFQ-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD COc1ccc(OC)c(CN(C(=O)CSc2ccccc2[N+](=O)[O-])C(C)(C)C)c1 548995054 PKMWGHXGFBJCNV-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](COc2ccccc2F)c2ccccc2)c1 549200019 XZKYWWOITYCXTE-HXUWFJFHSA-N 424.428 4.683 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(OCCOc3ccccc3)nc2)c2ncccc12 549916698 YPDJBUCYWMLEPB-UHFFFAOYSA-N 416.437 4.608 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])c1nc(O)c2c(-c3ccco3)csc2n1 552082607 XZOWVLNEZZFJMI-VIFPVBQESA-N 400.441 4.813 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC1CCN(Cc2coc(-c3ccccc3)n2)CC1 555190372 CCGVQDDNNHUYQH-UXBLZVDNSA-N 418.497 4.517 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2c(Br)cccc2s1 557775149 OVDXPGMPLOQDPN-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Cl)cc2OCC(F)F)cc1[N+](=O)[O-] 561867761 IDOMAWXNIAUIJE-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD CCSCc1ccccc1-c1nc(Cc2cc(OC)cc(OC)c2[N+](=O)[O-])no1 563840936 HLULWULHJNZCEY-UHFFFAOYSA-N 415.471 4.506 5 20 HJBD CN(CC(F)F)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 568559948 SSCIFYVPQVUYER-UHFFFAOYSA-N 417.771 4.586 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1noc(Cc2ccccc2)n1 569531548 JNOGFAYUIRDFKI-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD NC(=O)c1ccnc(Nc2ccc(Oc3ccccc3C(F)(F)F)cc2)c1[N+](=O)[O-] 580082516 HTBLMJSWPPLUFH-UHFFFAOYSA-N 418.331 4.643 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2nc3cc(Cl)ccc3s2)no1 581633003 UYLHCAIUBJKJDS-JTQLQIEISA-N 400.847 4.984 5 20 HJBD COc1ccc(-c2ncc(-c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)s2)cc1OC 581850150 ZTAFUGXISQHUIC-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(Cl)cc1NC(=O)c1ccco1 604007808 HFQQGPSXBCPIMH-UHFFFAOYSA-N 401.806 4.714 5 20 HJBD C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N(C)C1CC1 609026012 DBXMRSFWZHUAKY-ZDUSSCGKSA-N 419.934 4.612 5 20 HJBD CC(C)Oc1ccc(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 609212624 HJQDTKHMEQPQKZ-UHFFFAOYSA-N 411.380 4.765 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(C[S@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 609695253 KQQKOQQWBSRBJV-MHZLTWQESA-N 406.529 4.616 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H](C)[C@H]2C)cc1[N+](=O)[O-] 610178697 UQPUDMWNAOFKHJ-LSDHHAIUSA-N 412.511 4.808 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2ccc(F)c(F)c2)CC1 610292299 MITRLZPEYGDMDY-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@H](c3cc4ccccc4[nH]3)C2)c(Br)c1 610512798 UTOGXIYVBLZAFR-ZDUSSCGKSA-N 401.264 4.618 5 20 HJBD COC(=O)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611199465 YKXQLUGPWGUBNU-UHFFFAOYSA-N 414.483 4.597 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](Cn2cccn2)c2ccccc2)nc1OCc1ccccc1 613181597 KVLHCZBTJGZZBP-HXUWFJFHSA-N 415.453 4.619 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCC3)c(Cl)c2)cc1SC 730841275 VMWPIECHMZHWHJ-UHFFFAOYSA-N 421.906 4.831 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(C)cc([N+](=O)[O-])c3N)s2)cc1 730843248 RKRVOQUXBBPYOY-CYBMUJFWSA-N 410.499 4.717 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC([C@H](O)c2ccc(Cl)cc2)CC1 731485142 XENAMVYQLYVWDY-JOCHJYFZSA-N 418.921 4.981 5 20 HJBD O=C(O[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])[nH]1 732508554 OMIQJSHTIRGBMO-LLVKDONJSA-N 407.142 4.536 5 20 HJBD C[C@H](Oc1ccccc1Br)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl 734781235 UWEFJRDXWISMKN-JTQLQIEISA-N 414.639 4.521 5 20 HJBD O=C(COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)c(Cl)c1 736808656 OPBJGMYUTJCQRT-UHFFFAOYSA-N 420.805 4.551 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 742701302 PSDBVLLFYZJFRT-UGSOOPFHSA-N 407.873 4.571 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 745567470 MAOATTQBANHBBF-UHFFFAOYSA-N 422.142 4.960 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cc(C3CC3)nc21 746067037 MCHFJNAHSYGALW-UHFFFAOYSA-N 414.849 4.808 5 20 HJBD COCCOC[C@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746301195 CDDRKNPTWHNVJE-KRWDZBQOSA-N 417.505 4.715 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)CC[C@H]1c1ccccc1 746336828 IDUITSXOCUWVHA-SJLPKXTDSA-N 411.458 4.776 5 20 HJBD CCCN(Cc1nc(O)c2ccc(Cl)cc2n1)Cc1cc(Cl)ccc1[N+](=O)[O-] 746654652 PKJAMAXNMLNGMJ-UHFFFAOYSA-N 421.284 4.963 5 20 HJBD Cc1csc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1Br 753972732 BRQYJEUSSCTGFC-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c(C)c1 754636867 RENFSFCXYIZLGY-UHFFFAOYSA-N 411.227 4.846 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755493078 OYRKUQKJAMOCAF-KBXCAEBGSA-N 418.515 4.712 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 756839526 HUMKXCVROXYGRI-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD COc1ccc2cc(CN(C)C(=O)NCc3cc([N+](=O)[O-])ccc3Cl)ccc2c1 756967542 RJDQNNNDOZVGHF-UHFFFAOYSA-N 413.861 4.752 5 20 HJBD COc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1NC(=O)OC(C)(C)C 758340006 XCAQPEKWVHYQIN-UHFFFAOYSA-N 420.397 4.734 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 760069840 HWHVDCRQVYLWCL-MZEUMTGBSA-N 416.909 4.786 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F 760073670 XSZJLJAFDVPYCS-INIZCTEOSA-N 408.861 4.526 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 761493044 XTMJDKHGZKKZSG-UHFFFAOYSA-N 402.366 4.945 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1)CCC2 764302082 CRIBQHDQGVBRBC-ZDUSSCGKSA-N 424.320 4.526 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769997747 LMWZIURUCPDIRP-DNVCBOLYSA-N 403.866 4.880 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136646 KXBXACYOXNXPBS-HXUWFJFHSA-N 421.434 4.582 5 20 HJBD Cc1ccc(C(=O)CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776138663 JYMLEBFAHKGASA-HSZRJFAPSA-N 404.422 4.594 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2C)c1 782836999 ULALACNRKCRALK-HSZRJFAPSA-N 419.481 4.979 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 792415369 LQHSSDSHIIPMED-UHFFFAOYSA-N 416.842 4.720 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(COc2ccccc2)c1 801617203 JZYBBPQKEXEHSN-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1 811376111 LCEHWTKQRWOESK-LLVKDONJSA-N 411.241 4.702 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cccc(-c2nc(CC(F)(F)F)no2)c1 811846022 KYNUMPACCYWXDY-UHFFFAOYSA-N 420.347 4.564 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2C(=O)Nc2cccc(C)c2)cc1[N+](=O)[O-] 905350399 NSFZHTOQFWXXIG-UHFFFAOYSA-N 418.453 4.840 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1 918650712 PYSJGMNSAABFSB-QINSGFPZSA-N 411.421 4.699 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccc(Cl)cc2)C1)Nc1ccc(Cl)c([N+](=O)[O-])c1 921233733 ABUBORUPOKLAJB-LBPRGKRZSA-N 409.273 4.550 5 20 HJBD C[C@H](Oc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cn1)c1ccccc1 1117215046 SKUGBOQUZKJDHZ-HNNXBMFYSA-N 418.409 4.759 5 20 HJBD O=[N+]([O-])c1cc2c(c(COc3ccc4cc(Br)ccc4c3)c1)OCOC2 3410907 OKDGUWBISQMAMI-UHFFFAOYSA-N 416.227 4.956 5 20 HJBD CCOC(=O)c1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)sc2ccccc12 6047510 YZAFKRJWAOSLDZ-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD CC(C)[C@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1 11509193 UZKAEEFVOFWBLZ-SFHVURJKSA-N 401.513 4.810 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 15421528 NFSDZGSJTVOFRE-UHFFFAOYSA-N 401.488 4.944 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054158 UAISTNCPWMWNLK-LLVKDONJSA-N 403.485 4.641 5 20 HJBD C[C@@H](OC(=O)CSc1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17892579 PSTMEUBZIGNFMU-LLVKDONJSA-N 419.846 4.695 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 36714449 MTFGCZTVUUAGKU-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 55771236 AJJPQQKRQREBRU-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61253750 TYZOHCFIFGSBPY-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 63962734 IWXJUTMHMCZOAQ-UHFFFAOYSA-N 408.483 4.934 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64907035 OGJYXKTWNQSMEW-UHFFFAOYSA-N 411.483 4.573 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@H]2c2ccccc2Cl)c([N+](=O)[O-])cc1OCC 97000087 VSNBJHLJPIKVJP-KBPBESRZSA-N 404.850 4.788 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 106205170 SDOALAKTDUEQON-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CCOc1ccc(C(=O)NCc2ccc(Oc3cc(C)ccc3C)nc2)cc1[N+](=O)[O-] 107581274 AOAWOADEIPXYPM-UHFFFAOYSA-N 421.453 4.728 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(c3nc(-c4ccccn4)no3)CC2)ccc1[N+](=O)[O-] 301900200 YVONSLYSFQIYPP-UHFFFAOYSA-N 423.473 4.601 5 20 HJBD CC(C)[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 301943465 YOEJIOLFBDFTMR-AWEZNQCLSA-N 422.450 4.888 5 20 HJBD Cc1ccc(C(=O)NC2CCN(c3c(Cl)cc([N+](=O)[O-])cc3Cl)CC2)s1 302271292 HESSGWMJJDBELF-UHFFFAOYSA-N 414.314 4.670 5 20 HJBD CC(C)(C)c1ccc(-c2ccc(CN[C@@H](Cc3ccc([N+](=O)[O-])cc3)C(=O)O)o2)cc1 426778152 VBJLPTPCFIBARK-NRFANRHFSA-N 422.481 4.938 5 20 HJBD COc1cc(CSCCc2ccc3c(c2)CCO3)c([N+](=O)[O-])cc1OC(F)F 437444592 FRNOIIGTTMMICQ-UHFFFAOYSA-N 411.426 4.616 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1ccc([N+](=O)[O-])cc1Br 437460996 IUAHPCUJNJWNGY-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(Br)cc1CNCc1cc([N+](=O)[O-])ccc1OC(F)F 438912588 VNWULKXLEGVOQL-UHFFFAOYSA-N 401.207 4.557 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(Sc2ccc(Cl)cc2)CC1 441411829 UBQLGFBGNJLDLJ-UHFFFAOYSA-N 419.934 4.752 5 20 HJBD O=C(Nc1nnc(/C=C\c2ccco2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444290535 JTHSEEPCXAMQRZ-NTMALXAHSA-N 414.446 4.549 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3nccc4ccccc34)no2)c2ccccc12 445513990 OCSBUVQJFLIXOH-UHFFFAOYSA-N 418.456 4.725 5 20 HJBD COc1cc(C(=O)Nc2ccccc2CC(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 460447043 DGWVUKWTXFPJSS-UHFFFAOYSA-N 420.290 4.562 5 20 HJBD CC[C@@H](C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 461422630 SJSFPUWQVLIFRU-QGZVFWFLSA-N 408.376 4.528 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])c2)cc1 466301645 ZLWFLNXLMAGMCI-UHFFFAOYSA-N 410.401 4.712 5 20 HJBD NC(=O)c1ccc(CSCc2nc(-c3ccccc3Cl)cs2)c([N+](=O)[O-])c1 478676808 SRONILAZYVKZNB-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD CCC(=O)c1ccc(NCc2ccc(OCC(F)(F)F)c(OC)c2)c([N+](=O)[O-])c1 479369632 BRABPGFLKHYXIS-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD CCC(=O)c1ccc(NCc2ccnc(Oc3ccc(OC)cc3)c2)c([N+](=O)[O-])c1 479370599 JHXGALPBKCTJFH-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480465899 SYJVJARQEXEVJK-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD CC(C)c1c(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)cnn1-c1ccccc1Cl 482711007 RWULAJPUGCYVTN-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD Cc1ccc(F)c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1Br 484679761 KAHJJROVUZRJRK-SNVBAGLBSA-N 413.268 4.924 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(-c4cc5ccccc5o4)o3)o2)cc1 484714238 PHQBWIVDZZWYAI-UHFFFAOYSA-N 421.394 4.733 5 20 HJBD O=C(N[C@H]1CCCc2c[nH]nc21)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484733505 ZZIFEASQGJTHGL-INIZCTEOSA-N 412.833 4.571 5 20 HJBD CCNc1ccc(C(=O)Nc2cnn(-c3cccc(Cl)c3)c2CC)cc1[N+](=O)[O-] 486410017 ROLKVZASSMZANA-UHFFFAOYSA-N 413.865 4.680 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(OC(F)F)c1 489833230 FWRMBYYLDCAYAU-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C(F)(F)F)cs1 490093748 PYGSSEJOHAHBDB-SNVBAGLBSA-N 412.393 4.618 5 20 HJBD CN(Cc1ccc(Br)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 493002609 JINAAERRCZRYBZ-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1c(Cl)cccc1[N+](=O)[O-] 497874231 GRGXVNIFCOHOOI-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)c(OC(F)F)c(C)c2)c([N+](=O)[O-])cc1OCC 503111818 QVKFMCORIPBTOG-UHFFFAOYSA-N 424.400 4.863 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@H]2c2cccc3ccccc23)cc1[N+](=O)[O-] 516636087 FBANOEYUULFPHT-FQEVSTJZSA-N 405.454 4.803 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)cc2)n1 518345288 FKQRKXVBAHTVPN-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3nc4ccccc4cc3Br)n2)s1 521683714 SOFDMAQMBKKAGJ-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD COc1cccc(C[C@]2(C(=O)N3CCc4c3cccc4[N+](=O)[O-])C[C@H]3CC[C@H]2C3)c1 524113906 JTACZEJLUCZDJU-XFAGBWLFSA-N 406.482 4.542 5 20 HJBD CS[C@@H]1CCC[C@@H](NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 524209050 XIIJIHBJKXATDU-USXIJHARSA-N 414.531 4.567 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 524839881 DUXFCIFAFPVUPL-UHFFFAOYSA-N 413.430 4.899 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 525354111 NHSYSZIOPYFMCU-UHFFFAOYSA-N 409.408 4.778 5 20 HJBD O=C(NCc1ccco1)c1cccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 530856422 IJOXQOJQCVKVNI-UHFFFAOYSA-N 419.359 4.749 5 20 HJBD COCCCn1ccc(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 532119928 PPJWCYPRVJIGFT-UHFFFAOYSA-N 416.865 4.886 5 20 HJBD CCc1nn(C)c(CC)c1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 533658208 NAQMSPJVZNOTPY-UHFFFAOYSA-N 424.526 4.534 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C1CC1 536487825 IBOQMRZMXXCMNS-JTQLQIEISA-N 409.393 4.885 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 537135350 SSXUFXCLNDMOTC-WMZOPIPTSA-N 413.543 4.661 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537157843 ALDWVBRXIDNNKC-UHFFFAOYSA-N 415.515 4.733 5 20 HJBD O=C(Nc1nc(Cc2ccccc2Br)cs1)c1csc([N+](=O)[O-])c1 543132251 SXTHLEJSYGNEBA-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N(C)C[C@H](C)c2nccs2)c([N+](=O)[O-])c1 543239270 KSHNQFYPWCQYQF-OXJNMPFZSA-N 424.526 4.775 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3ccc(Oc4cccnc4)cc3)no2)cc1 544495048 GOOCWPLDKHZICK-UHFFFAOYSA-N 417.425 4.877 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc4nccnc4c3)no2)ccc1NCc1ccccc1 544962783 GLGRRTMCGBXPSZ-UHFFFAOYSA-N 424.420 4.867 5 20 HJBD CC(C)(NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1)c1ccccc1[N+](=O)[O-] 553726551 ITYFXYHCYKMIKI-UHFFFAOYSA-N 421.428 4.651 5 20 HJBD NC(=O)c1ccnc(NCc2cccc(Oc3ccc4ccccc4c3)c2)c1[N+](=O)[O-] 555144641 PHNOCJVQEAZUCN-UHFFFAOYSA-N 414.421 4.646 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1c(F)cc(Cl)cc1F 556424596 CWXCKBBHYHHCKS-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 557364325 SXBCTFPMSWLKGC-UHFFFAOYSA-N 413.861 4.780 5 20 HJBD CC(C)(C)C(C)(C)NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 560263558 CFWAHHNPYQZYTA-UHFFFAOYSA-N 421.544 4.687 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCN(C/C=C\c2ccccc2)CC1 560290063 YXCDYWAWLHAUTJ-YFHOEESVSA-N 407.517 4.859 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(Cn3ccnc3)c2)no1 561872955 JPCZKDLPSKORPT-SFHVURJKSA-N 403.442 4.626 5 20 HJBD CN(C(=O)CCc1ncc(-c2ccc(Cl)cc2Cl)o1)c1ccc([N+](=O)[O-])nc1 569646983 HLDVAOKQGGRNOF-UHFFFAOYSA-N 421.240 4.547 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc4ccccc4[nH]3)c2)c1 574772571 VCJALEIFADLGKP-UHFFFAOYSA-N 402.410 4.789 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(F)(F)F 579513982 PZMCDEGOVRBKEJ-MNOVXSKESA-N 400.328 4.806 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccc([N+](=O)[O-])c2cccnc12 583385892 YGFKTJGMBJQFJS-UHFFFAOYSA-N 400.366 4.943 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F 603472783 XUOMUQWWHABQFG-UHFFFAOYSA-N 401.772 4.934 5 20 HJBD Cc1ccccc1-n1c(SCCc2ccc([N+](=O)[O-])cc2)nnc1-c1cccnc1 603722640 MVTQHRUSJKSFAA-UHFFFAOYSA-N 417.494 4.881 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@@H](c1ccccc1)c1ccc(F)cc1 609491092 PRBBOVGIQPSGOZ-QFIPXVFZSA-N 418.428 4.862 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1c(Cl)cccc1[N+](=O)[O-] 609498377 VZEFFBCTQWONID-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609857757 NNFMIKWMJJIMDB-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc([N+](=O)[O-])c1 609881001 RQMRVWIUEIBLPV-IBGZPJMESA-N 410.861 4.924 5 20 HJBD Cc1nc(Oc2ccc([N+](=O)[O-])cc2Br)c2c3c(sc2n1)CCC3 609964005 APUIHGNBNQOPGN-UHFFFAOYSA-N 406.261 4.951 5 20 HJBD CC(C)(C(=O)NCCOc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1 610042776 RDVZRVXDDZXDHN-UHFFFAOYSA-N 404.466 4.735 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc(CC(C)(C)C)[nH]n2)cc1[N+](=O)[O-])c1ccccn1 610204544 PQTBFICXYCPUQK-AWEZNQCLSA-N 422.489 4.727 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Br)c(F)cc1Cl 610668618 SLYBWLHYGHICHZ-UHFFFAOYSA-N 401.619 4.721 5 20 HJBD CC(C)Oc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccn1 614591883 YVGUJJJYHNLDCW-OAHLLOKOSA-N 421.457 4.597 5 20 HJBD CN(Cc1cnn(C)c1)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727755357 VEDDTGRNJWFSOX-UHFFFAOYSA-N 409.489 4.939 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(C)cc1)c1cccnc1 732960828 BJBBEBDELGRBIG-RLMNYEENSA-N 401.466 4.950 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 739563399 JZLSCHYKECYUCG-UHFFFAOYSA-N 414.458 4.503 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c(Cl)c1 740780495 DWSIOYADSXESGQ-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1c(C)nn(-c2ccccc2)c1Cl 741933845 APDBFBMKRYOZMR-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1ccc2ccncc2c1 750687698 CWQYXTMBHYOJOD-UHFFFAOYSA-N 405.332 4.569 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(Oc3nccs3)cc2)cc1SC 750758661 AFXBPIMWQDAJJA-UHFFFAOYSA-N 418.452 4.793 5 20 HJBD COc1ccc2cc([C@H](C)C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)ccc2c1 751635150 KQLIMEXQKRSFLP-ZDUSSCGKSA-N 406.394 4.745 5 20 HJBD C[C@@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ncc(-c2ccc(Cl)cc2)o1 751667756 JXYOKBMOEQZAQH-GFCCVEGCSA-N 402.790 4.586 5 20 HJBD O=C(C[C@@H]1C(=O)Nc2ccccc21)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 752216215 GQVDJSRWFKMQQK-FQEVSTJZSA-N 418.405 4.556 5 20 HJBD CC(C)[C@H]1CC[C@H](C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 753452086 PHKWDCHXHKYCQG-JOCQHMNTSA-N 416.396 4.558 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)C 754365410 MQOPCCFPNVDJPJ-HNNXBMFYSA-N 415.465 4.737 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 754671722 FSLXSPQYQSDOPS-OLZOCXBDSA-N 416.528 4.766 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC2(CCC2)c2c(F)cccc21 754994919 DCZMKVCRPYQUNG-UHFFFAOYSA-N 423.213 4.718 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)co2)cc1 755065915 NXBJAMHWPDPQDH-ZDUSSCGKSA-N 414.389 4.635 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1ccc2c(c1)COC2 758874004 OOKSTPQHVBRHAU-UHFFFAOYSA-N 401.216 4.515 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 759165852 CCFXEULFUZVKGL-UHFFFAOYSA-N 411.414 4.571 5 20 HJBD CN(C)C1CCN(c2ccc(NCc3ccc([N+](=O)[O-])c(Cl)c3)cc2F)CC1 761518218 ZUBNTUZFOLIAGI-UHFFFAOYSA-N 406.889 4.530 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccc(C)cc2)CC1 763446895 RKGFKHLVEZEAAX-UHFFFAOYSA-N 412.511 4.571 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(C(C)(C)C)cc1 764210110 KJNQKGBUKSTOGE-UHFFFAOYSA-N 402.516 4.907 5 20 HJBD CC(C)N1CCN(C2CCN(Cc3c4ccccc4cc4ccccc34)CC2)CC1 769538144 SUNXHJHJXUHGGB-UHFFFAOYSA-N 401.598 4.983 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)c12 770327850 ULYIVJIPNAOSLT-UHFFFAOYSA-N 419.235 4.578 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccnn1Cc1ccc(C(F)(F)F)cc1 776914475 RODAZVLPUZAPOB-YHYXMXQVSA-N 416.359 4.510 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H](C)c3nnc(C)s3)cc2[N+](=O)[O-])n1 782060121 ZTVCTKNOAOMVIB-VIFPVBQESA-N 422.513 4.589 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 782727697 XKTINWBOQFQHKG-UHFFFAOYSA-N 416.389 4.533 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2cccc(Br)c2)CC2CC2)cc1 788677709 KNAHNLZQADNFRN-UHFFFAOYSA-N 420.332 4.966 5 20 HJBD Cc1ccsc1CCCC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791172277 MAWYNRVWHJMDGA-UHFFFAOYSA-N 423.332 4.635 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCc1ncc(-c2ccccc2Cl)o1 800145418 BSUYFRCRXHIRDC-UHFFFAOYSA-N 403.797 4.922 5 20 HJBD CC(=O)NCCN(Cc1ccsc1)C(=O)c1c2ccccc2cc2ccccc12 801003196 KBKMTJJMTKAMRE-UHFFFAOYSA-N 402.519 4.833 5 20 HJBD CSc1cccc(C(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)c1[N+](=O)[O-] 804412879 GLNWOBJIZGMLGD-HXUWFJFHSA-N 422.506 4.693 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(-c3ccnc(C(F)(F)F)c3)no2)c1 809535955 BPXTYZOAYMIVIA-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD O=C(Nc1nc(Cc2ccc(Br)cc2)cs1)c1cccc([N+](=O)[O-])c1 864782874 BQQYTBWPUHQCHH-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc(Cl)c3)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 915082438 LYJYPJHWYMOSMH-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 917856492 PYTZRNGHVNTDIB-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD Cc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)c2ccccc2n1 1341222971 PJXZKWRAMGOFNL-UHFFFAOYSA-N 401.426 4.769 5 20 HJBD COCCCOC1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1341569639 RSIBDSSSPWQBLF-UHFFFAOYSA-N 408.882 4.526 5 20 HJBD CC(=O)Nc1ccc(C2(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CCC2)cc1 1787815969 RWHBCUSEVLXKTI-UHFFFAOYSA-N 409.467 4.577 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 6011883 LWAKDIDMFLWZGA-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD O=C(NCc1cn(-c2ccccc2)nc1-c1ccc(F)cc1)c1ccc([N+](=O)[O-])s1 10908400 CZAJTWCWHIGTNV-UHFFFAOYSA-N 422.441 4.578 5 20 HJBD CCOc1ccc2nc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)sc2c1 14826422 WNAYLEVULIZSDA-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCO[C@H](c2ccccc2)C1 27914617 HNIGEWHHBLXGFC-NRFANRHFSA-N 420.490 4.960 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3cc(-c4ccc(F)cc4)no3)c1)OCOC2 30529011 JHRMUZQJMYZSLD-UHFFFAOYSA-N 402.403 4.689 5 20 HJBD CCn1c(SCc2ccc(OC)c([N+](=O)[O-])c2)nnc1-c1ccc(Cl)cc1 42110501 YUKWKTZFLQSWHQ-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3csc([N+](=O)[O-])c3)cc2)cc1 42568163 MHVBWIHAZBEAEO-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@@H]3c3nc4ccccc4s3)n2)c1 46502567 DZALEEZHJWAUOC-MRXNPFEDSA-N 407.455 4.592 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1ccccc1-c1ccccc1 55843407 CRPXUZZXKSPKOH-UHFFFAOYSA-N 413.380 4.914 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1 58271475 PMYXJELOWPRDOT-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CCC1)c1cc([N+](=O)[O-])ccc1Cl 59919621 UUGHNUADWWXGLS-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD CC(C)c1c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cnn1-c1cccc(Cl)c1 71862014 ABZYFCKOLPHNMM-UHFFFAOYSA-N 410.861 4.760 5 20 HJBD C[C@@H](Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)o1)c1ccccc1 107842999 DWVRXFVPWASSFY-ZDUSSCGKSA-N 423.454 4.666 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCc3ccc(N4CCc5ccccc5C4)nc3)ccc2c1 301109723 QYUWRMOLTVEVBB-UHFFFAOYSA-N 411.465 4.713 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccnc3)n2C2CC2)nc1-c1ccccc1 301866884 IADFPKYSGOUMGX-UHFFFAOYSA-N 416.466 4.796 5 20 HJBD COc1cccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(C)c3)n2Cc2ccco2)c1 302930069 GETMBULFNZZZQR-UHFFFAOYSA-N 422.466 4.963 5 20 HJBD C[C@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 426314739 XXTUIJJOVXNPNG-INIZCTEOSA-N 411.483 4.710 5 20 HJBD O=C(O)C1CCC(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 426693898 GQLWPRHVASEECU-UHFFFAOYSA-N 404.850 4.774 5 20 HJBD CCCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnn1-c1ccc(F)cc1 429348153 IKOZXKJJHJNNIR-UHFFFAOYSA-N 408.389 4.871 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 431675036 GYMJRXVMTAFKKD-SIKLNZKXSA-N 424.545 4.568 5 20 HJBD C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 434257254 UBRMJPTWHJQRTF-XJKSGUPXSA-N 408.376 4.554 5 20 HJBD O=C(NCc1nccn1CCCc1ccccc1)c1cc2cc([N+](=O)[O-])ccc2s1 434366386 GJBUXMUYGYYMCM-UHFFFAOYSA-N 420.494 4.569 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437284082 IJYNQAPCUHRWAZ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)C(C)C 437756392 VDUOAOWOQRFZCY-UHFFFAOYSA-N 424.501 4.548 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c2C)c1 437782902 ZRDQCMVXVGEMAT-UHFFFAOYSA-N 419.485 4.902 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(OC(F)(F)F)c1 437997787 GKBHHZWNLSGNTN-CYBMUJFWSA-N 423.391 4.929 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2ccccc2Sc2ccccc2)c([N+](=O)[O-])c1 440842887 LQSJHGXRFMYQJB-UHFFFAOYSA-N 422.462 4.966 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 443960916 JEHVSJNNOVVJJB-SFHVURJKSA-N 401.438 4.564 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNc3ncnc4scc(-c5ccccc5)c34)[nH]c2c1 443962415 SCYDKUSFVDXEGZ-UHFFFAOYSA-N 402.439 4.755 5 20 HJBD O=C(Cc1noc(-c2ccccc2[N+](=O)[O-])n1)Nc1ccccc1Oc1ccccc1 445318675 GVBRZMKVTSREJP-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD C[C@@H]1CN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 460663443 LBNBVSDBTIHGPK-QAPCUYQASA-N 402.878 4.669 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@@](CO)(c3ccccc3)C2)ccc1Oc1ccc(F)cc1 463041954 HIUMMWUMSVEJTK-XMMPIXPASA-N 422.456 4.662 5 20 HJBD Cc1ccc(-n2c(S[C@H](C)c3ccccc3[N+](=O)[O-])nnc2N2CCCC2)cc1 463253335 DELPISGYOTUAPK-MRXNPFEDSA-N 409.515 4.937 5 20 HJBD Cc1ccc(CCN(C[C@@H]2CCCO2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 464652133 YAFKMRRYONOPBF-FQEVSTJZSA-N 408.454 4.513 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1ccc2[nH]c3ccccc3c(=O)c2c1 468680862 GDQGKCODPIVLEA-WMZOPIPTSA-N 409.489 4.922 5 20 HJBD C[C@@H](O)C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccccc1 469141511 XPKLSQCCYRCEIJ-MJGOQNOKSA-N 420.465 4.672 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN(C)CC(=O)Nc1c(Cl)cccc1Cl 470170594 XQUWDANZCUKIOH-UKTHLTGXSA-N 408.285 4.875 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2F)c1 470511961 AWPDNZOELIXJGG-SFHVURJKSA-N 423.444 4.806 5 20 HJBD Cn1nc(C(F)F)c2cc(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)sc21 471101714 OGJFGOSVUSRCSL-UHFFFAOYSA-N 420.319 4.752 5 20 HJBD Cc1nc(CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 473658796 JEIYZEDKFKHNMJ-UHFFFAOYSA-N 414.849 4.553 5 20 HJBD COCC[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc(C)o1 479757374 IWEBOMJROQHKAO-HXUWFJFHSA-N 423.469 4.616 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cnc2ccccc12 482281638 KGUGBXSCIAUFRL-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(c3ccnc4ccc(Oc5ccccc5)cc34)CC2)n1 486043096 YDLGBPVXKJOLGY-UHFFFAOYSA-N 415.453 4.973 5 20 HJBD O=C(NC[C@@H]1CCCC[C@H]1C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486177469 OMGOSLURHWQGCU-JGVFFNPUSA-N 415.231 4.517 5 20 HJBD CSc1cccc(C(=O)N2CCc3[nH]c4ccc(Cl)cc4c3C2)c1[N+](=O)[O-] 486480872 TZHPGFPMTUXDRR-UHFFFAOYSA-N 401.875 4.650 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1COc2ccccc21 489077858 VRDCPPKSQLEXEC-RDTXWAMCSA-N 400.500 4.637 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1S(=O)(=O)C1CCCC1 491167269 MALOLCARNMLVGN-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD NC(=O)c1ccc(CSCc2cc(Br)ccc2Cl)c([N+](=O)[O-])c1 497326005 MCZCLVHXLWKZCS-UHFFFAOYSA-N 415.696 4.543 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc([C@@H](C)SCC)no1 503664440 AIKVWYQHPUDBTI-GFCCVEGCSA-N 424.548 4.566 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1=O 504980249 JCYWXMVVCCYSIN-ZBFHGGJFSA-N 406.442 4.679 5 20 HJBD CCN(CC)c1nc2sc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 507389769 OQUJVXCZZXFTJZ-LLVKDONJSA-N 404.517 4.603 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1F 510250016 CHYZAAMYVPZJCB-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Cc1c(Br)cc(C(=O)N(c2ccccn2)C2CCCC2)cc1[N+](=O)[O-] 511330810 AVYDYVYUQUZFLQ-UHFFFAOYSA-N 404.264 4.650 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)c3c(C)noc3n2)cc1 514490121 WNFRKGQGUORKFD-UHFFFAOYSA-N 402.410 4.691 5 20 HJBD Cc1sc(NC(=O)c2sccc2OC(F)F)nc1-c1cccc([N+](=O)[O-])c1 517928574 OWTNBWLUOYCEGW-UHFFFAOYSA-N 411.411 4.942 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3c(Cl)ccc(F)c32)cc1SC 518791344 MJQFEOYWMMIYAS-UHFFFAOYSA-N 410.854 4.711 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnn1Cc1c(Cl)cccc1Cl 520012433 PECUKTJVKYBTIP-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD O=C(NCCc1csc2ccccc12)c1ccc([N+](=O)[O-])cc1Br 524254820 SWGUFMBUEPSHGD-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD O=C(c1csc(Cc2ccccc2)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525085094 SFEHTMQFDUJIKV-HXUWFJFHSA-N 411.508 4.530 5 20 HJBD CC(C)c1nc2n(n1)CCC[C@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 532295249 QOJOPAOMXSZUJS-LJQANCHMSA-N 420.517 4.702 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C(C)=O)cc2F)c([N+](=O)[O-])cc1OCCC 539592757 COUIUAHMUWFUNK-UHFFFAOYSA-N 418.421 4.766 5 20 HJBD Cc1c([C@@H](C)Nc2ccc(NC(=O)CN3CCCCCC3)cc2)cccc1[N+](=O)[O-] 539638271 NFDPXVJPGZRCQL-GOSISDBHSA-N 410.518 4.891 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1 540211784 WIWUQKGVNGGUKA-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc(OC)c([N+](=O)[O-])c4)CC3)c2c1 542027889 HQEVRESWKZPIQG-UHFFFAOYSA-N 424.457 4.505 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1ccc([N+](=O)[O-])cc1F 543465385 IRYWBMNWRRUQFR-MRVPVSSYSA-N 420.290 4.679 5 20 HJBD CSc1ccc([C@H](C)Nc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)cc1 543779119 CSPIDRAPCGZQCF-LBPRGKRZSA-N 416.890 4.689 5 20 HJBD CC(=O)Nc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc(C(F)(F)F)c1 545575188 OXWWYFXJLRLKGS-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3CN3CCCC3)no2)ccc1N1CCCC1 546235030 XRNCDVYYUFTSMG-UHFFFAOYSA-N 419.485 4.508 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc2c(c1)nc(C(C)(C)C)n2C)c1cccc([N+](=O)[O-])c1 560552309 QBCFAEVVOXAOAN-HXUWFJFHSA-N 418.501 4.714 5 20 HJBD COCCC[C@H](CN[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(Cl)cc1 560574341 PJQZVUWEJWZVRX-MISYRCLQSA-N 420.941 4.728 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2cccc(-c3nc(C(C)(C)C)cs3)c2)n1 561213465 CCBPSOYCLPQAIW-UHFFFAOYSA-N 413.455 4.990 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccnc(-c2ccc(Cl)cc2)n1 561724114 VZAKUWSNBBZNEO-UHFFFAOYSA-N 410.861 4.756 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(Cl)cc2)no1 568679519 CAHUDJBKFWDOEP-AWEZNQCLSA-N 414.849 4.549 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 574542436 ADRPVEHWWUAYGO-OAHLLOKOSA-N 409.389 4.820 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Cl)cc1OCC(F)F 574843249 NVQSZSVZSFXRRF-UHFFFAOYSA-N 405.184 4.727 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(C)nc2N2CCCC2)no1 579286882 DEALIKHWVFXCHO-QGZVFWFLSA-N 407.474 4.685 5 20 HJBD Cn1cc2c(n1)CCC[C@@H]2c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 583531703 QZIDPGAWAKKDJF-SFHVURJKSA-N 417.425 4.639 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3sc4ccccc4c3Br)n2)nc1 589366913 CIEKWDLDXNKYBS-UHFFFAOYSA-N 417.244 4.608 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c(F)c3F)cc2[N+](=O)[O-])C1 603470269 DAAIHCPRAXRBEM-TXEJJXNPSA-N 407.392 4.747 5 20 HJBD CN(Cc1nc2ccccc2[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603838224 KZJQJJGOQRFOKG-UHFFFAOYSA-N 402.410 4.536 5 20 HJBD COc1ccc([C@H]2CCCCCN2S(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 604024377 KCVYHUREFJYOCX-GOSISDBHSA-N 424.906 4.563 5 20 HJBD CN(C)CCCN(C(=O)c1ccc([N+](=O)[O-])s1)C(c1ccccc1)c1ccccc1 609517757 NNUVZSFHBOBDKU-UHFFFAOYSA-N 423.538 4.840 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609724771 KYZTZABBJUCEGH-SFHVURJKSA-N 402.878 4.559 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1ccc([N+](=O)[O-])cc1Br 610042610 JKHPSOIEHCXHBY-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD COC(=O)c1cc(C(C)C)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610042633 UVUIAOZQRPQERD-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD C[C@@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1C(F)(F)F 610305547 MHYBPAIRNSEHBX-CQSZACIVSA-N 422.403 4.787 5 20 HJBD CC(C)C(NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)C 610912283 YRDRZKBRBBBPOT-UHFFFAOYSA-N 415.456 4.627 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccc(OC(F)F)cc3)no2)cc(C(F)(F)F)c1 612869352 KXJWYOMYEYQNRS-UHFFFAOYSA-N 415.274 4.856 5 20 HJBD C[S@@](=O)c1ccc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cc1 612966585 OYFWXGCXIZEITH-SSEXGKCCSA-N 421.434 4.842 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(SC(F)F)c1 727344803 GEOKJNCVBKXYBB-VIFPVBQESA-N 402.806 4.969 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 730109771 WCSLAHOEECJJHD-GFCCVEGCSA-N 417.428 4.743 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 731418694 DEWGAHLYOBYVAF-UHFFFAOYSA-N 401.469 4.836 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1NC(=O)Nc1ccccc1 741176339 PAPJZUYODYOGPZ-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD CC/C(=C/C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C)cc1 745127138 QILRNXNCDGMKCU-UVTDQMKNSA-N 402.834 4.532 5 20 HJBD Cc1c(C(=O)Nc2ccccc2SC(F)(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748621540 NJJVXMZKAVMCLI-UHFFFAOYSA-N 415.349 4.984 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1cc([N+](=O)[O-])ccc1Br 750603908 RKRZDQHHRZVKHX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1cc([N+](=O)[O-])ccc1Br 751093661 FXTDBNZZZMXOIH-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD Cc1c(C(=O)N(CC(F)F)c2cccc(Cl)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 753668264 YIBYLCHNJHFMAE-UHFFFAOYSA-N 413.764 4.685 5 20 HJBD C[C@@H](C(=O)OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc([N+](=O)[O-])cc1F 755061985 IHIVYHWPNCWTPA-SECBINFHSA-N 412.295 4.741 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1scnc1Br 755640281 YHWAVUQXCMRZFT-UHFFFAOYSA-N 405.229 4.700 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OC2C[C@H](C)O[C@@H](C)C2)cc1 756044003 DRJXIPCBNGDLLE-KBPBESRZSA-N 401.415 4.509 5 20 HJBD CC(C)c1c(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(F)cc1 756993292 ISNCZWIMQHPQDL-UHFFFAOYSA-N 416.840 4.626 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1cccc([N+](=O)[O-])c1Br 757956811 SFEONESZGVQNJX-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(Nc1ccc(-c2nnc3n2CCCCCC3)cc1)Nc1ccc([N+](=O)[O-])cc1F 758335498 NLIYNUCOHAQBMA-UHFFFAOYSA-N 424.436 4.753 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1cccc([N+](=O)[O-])c1Br 758511980 CEECJMOTQNIONS-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cc([N+](=O)[O-])ccc1Cl 760834698 HPBGGHMDHXMCKO-GOSISDBHSA-N 402.237 4.816 5 20 HJBD Cc1nnc(S)n1-c1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 763255143 WXSAULFSZQXBRB-UHFFFAOYSA-N 411.490 4.818 5 20 HJBD COC(=O)Nc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc(C(F)(F)F)c1 764230890 RGPICZLLBSXVJF-UHFFFAOYSA-N 417.727 4.698 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H]2CCOc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 764363314 BZLBUTOYJAKLAK-NYHFZMIOSA-N 419.437 4.844 5 20 HJBD CC(=O)[C@@H](Cc1ccc(F)c(F)c1F)Sc1nc2ccc([N+](=O)[O-])cc2s1 767034940 XYFVORJQVZPJFK-CYBMUJFWSA-N 412.414 4.914 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2C[C@H](O)c2ccc(F)cc2)ccc1Br 770325481 IOCWEYHEGLRNAD-LPHOPBHVSA-N 423.282 4.585 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([C@@H](C)O)c(C)n3)c([N+](=O)[O-])c2)cc1 777993724 ODHIDOLOSLIIOH-MRXNPFEDSA-N 420.469 4.524 5 20 HJBD CCOc1cc(NC(=O)c2ccnc(SC(C)(C)C)c2)c([N+](=O)[O-])cc1OCC 779338488 VTLZVANFVTZESA-UHFFFAOYSA-N 419.503 4.930 5 20 HJBD O=C(COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(F)c(Cl)c1 781259562 GPQMQSJWDWDCIL-UHFFFAOYSA-N 409.800 4.514 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CC=C(c2cccc3ccccc23)C1 782046312 NDFJDCHOIKGCHE-UHFFFAOYSA-N 417.421 4.515 5 20 HJBD CC(=O)NCCCOC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 785641379 XEFLUPDDTLRFDM-UHFFFAOYSA-N 401.462 4.616 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1F 804790163 AUKSXMJEPPGHAS-AWEZNQCLSA-N 409.417 4.652 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 811372836 IVFKNVQLLQDFFK-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 812931311 LARXKGAUILDWMB-LLVKDONJSA-N 422.268 4.519 5 20 HJBD CC(=O)c1ccc(N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])c1 813626867 APTDALYDLRAWRS-BLVKFPJESA-N 408.376 4.783 5 20 HJBD Cc1c([C@H](C)N[C@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cccc1[N+](=O)[O-] 813881544 LVSZIZZUFWCWCS-IRXDYDNUSA-N 403.523 4.876 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C(C)C)c1C 877197523 SYLLRARBPHORCN-SFHVURJKSA-N 404.850 4.685 5 20 HJBD COc1ccc(CNC(=S)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 917597076 FMSDDLFWYPCHGQ-UHFFFAOYSA-N 409.467 4.882 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@@H](O)CO[C@H]3CCCc4ccccc43)sc2c1 921231232 PKGONFCNORHGPQ-YJBOKZPZSA-N 416.524 4.752 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)o2)cc1 1117211309 INQFAFGWEYKBQB-AWEZNQCLSA-N 417.425 4.889 5 20 HJBD CSc1ccc(C(=O)N2CCC(Nc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 1318189863 PTHOFNCUNTWHAI-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 1320303259 MSTMCILXRXUMAE-QFIPXVFZSA-N 414.465 4.609 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1ccccc1F)N1Cc2ccc([N+](=O)[O-])cc2C1 1323368517 GNTFXOSFNDZJSB-JOCHJYFZSA-N 405.429 4.743 5 20 HJBD C[C@@H](CCNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 1323425991 HLZBKYXPFVEZJU-ZDUSSCGKSA-N 407.392 4.833 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl 8248981 QZPWOJYOYMLGNE-JTQLQIEISA-N 400.237 4.638 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 10757648 VVBCCJGECSNOQT-LJJIPZOBSA-N 416.433 4.840 5 20 HJBD O=C(OCc1nc(-c2cccc(Cl)c2)no1)c1cc2cc([N+](=O)[O-])ccc2s1 17669068 RAGVDVBSCYZZAR-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)cc1 18238892 XIAHGKVIAFHGFA-UHFFFAOYSA-N 407.426 4.714 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3Cc4ccccc4[C@H](c4ccccc4)C3)c1)OCOC2 25399687 UKZUZOBNKBLFCG-QHCPKHFHSA-N 402.450 4.609 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2ccccc2OC(C)C)cc1[N+](=O)[O-] 44694177 KVIJYIXOJUFTGX-HNNXBMFYSA-N 418.515 4.501 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1ccc([N+](=O)[O-])cc1Cl 47252309 PNKUSDZGDKMHBB-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 49748889 NNDFXTGJNXTIMX-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD CC(C)c1ccc([C@@H](NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c2cccs2)cc1 53782559 WYBKDPKXUNGEDN-OAQYLSRUSA-N 409.511 4.758 5 20 HJBD Cn1c(Sc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])nc2sccc2c1=O 60462610 LYULSCHZBQYVDA-UHFFFAOYSA-N 421.809 4.727 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1ccc([N+](=O)[O-])s1 63613560 ZMFMRBYIDHTOHD-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD CCOc1ccc(C(=O)N2CCC(c3c[nH]c4ccc(OC)cc34)CC2)cc1[N+](=O)[O-] 108916401 QSIRJAMTCCPRGR-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD CCCc1cc(=O)oc2cc(Oc3ncc(Br)cc3[N+](=O)[O-])ccc12 301694062 OTJYKXJMDUWGGV-UHFFFAOYSA-N 405.204 4.604 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2ccc(Cl)cc2)cc1SC 303145424 DQMKQRBPTIALJA-UHFFFAOYSA-N 408.907 4.686 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 426892157 UZBDUCQLDDZRPO-SJORKVTESA-N 400.500 4.954 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1C(F)(F)F 430607464 CGMYPQOKEKGKHY-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 430617284 LLAVJSOFJSUTCH-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD O=C(NCCc1c[nH]c2ncccc12)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442628865 MLADBQOVFWJPGU-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD CCC(CC)[C@@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCO1 444293238 UILRNJOMIWXAQZ-QRWLVFNGSA-N 410.489 4.534 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 445250585 OOJZKRORMUNWKY-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD COC1(c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)CCCC1 460802209 FFQMPMYJCQTBCY-UHFFFAOYSA-N 418.500 4.977 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1 464719230 JMPWRIVUTNEVDI-UHFFFAOYSA-N 403.438 4.686 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 466516112 QMIFXXBUHLIWOI-UHFFFAOYSA-N 410.367 4.644 5 20 HJBD CC[C@@H](SCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1 466975547 PRKMZICBXOTIQF-LJQANCHMSA-N 402.472 4.579 5 20 HJBD Cc1nc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)oc1-c1ccc(Cl)cc1 476925290 OIKXMNATTVQOPE-UHFFFAOYSA-N 420.252 4.724 5 20 HJBD CCc1cc(Br)ccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 478632559 KBWVKQDEKPJTGY-UHFFFAOYSA-N 418.291 4.772 5 20 HJBD CN(CCc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 480405021 OTSNIJXLCMRKKN-UHFFFAOYSA-N 424.888 4.570 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 483183449 YVIFMRDBLSJYBF-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD COc1ccc(CCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 486689299 IIUGSPOLKFXTSE-UHFFFAOYSA-N 416.783 4.525 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 492829767 LANLUFCPBNDSEK-QZTJIDSGSA-N 414.525 4.596 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1 493000936 BPWOYHIVJJHQJZ-HNNXBMFYSA-N 413.543 4.614 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(-c2cccnc2)c1)C1CC1 494232899 DMNALGPHXKUPHF-UHFFFAOYSA-N 418.453 4.862 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cc(Cl)ccc1OC[C@H]1CCCCO1 494243958 FJFSDNCQCBEJPR-MRXNPFEDSA-N 422.840 4.516 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ncc(-c2ccccc2)o1 494547872 MNAUQJBMQXIRCG-OAHLLOKOSA-N 406.442 4.685 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(Cl)cc1)c1ccccn1 497907734 KZAHNAVLBQLTBN-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD Cc1cc(SC2CCCCC2)ccc1NC(=O)CCn1cc([N+](=O)[O-])nc1C 499726924 RDOPNFVPPBCCCV-UHFFFAOYSA-N 402.520 4.862 5 20 HJBD C[C@H](NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 513731746 ZRQQDXSIONAFKK-VIFPVBQESA-N 404.291 4.706 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(-n2cccn2)cc1 522672245 CSUFTVURBJGCND-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1ccc([N+](=O)[O-])cc1Br 524144109 HDGCZLLVDYTLHB-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD COc1cccc(C2=CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 533534038 DJVUYXQJFLVMSL-UHFFFAOYSA-N 405.454 4.590 5 20 HJBD O=C(CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(F)cc(F)c1 536303707 CYDSYRRTFMRBLX-UHFFFAOYSA-N 419.409 4.790 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@H]1NCCc1nc(-c2ccncc2)cs1 539071059 UIEHMSVXHWJADN-IEBWSBKVSA-N 408.527 4.657 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(CN2CCCC2)c1 539338306 XLKPRCOFEGGWKX-UHFFFAOYSA-N 413.543 4.621 5 20 HJBD CN(C(=O)CCc1ccc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539350953 DWPWEMIBZJKSPA-UHFFFAOYSA-N 403.410 4.592 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 541432849 VIPUVYZQJWUGTR-KRWDZBQOSA-N 419.934 4.770 5 20 HJBD CC(C)[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 541595623 DLCPZTCGLUGIPL-QFBILLFUSA-N 406.548 4.811 5 20 HJBD CC(C)(C(=O)N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 547231835 WFZSIBRMASSKPG-SUMWQHHRSA-N 410.367 4.703 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1 555460969 GBGHGIRXGVMISW-DLBZAZTESA-N 402.319 4.512 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C(C)(C)C)cc1 572322359 XJBMYQLOIOHFTE-UHFFFAOYSA-N 411.502 4.645 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 577134573 IAIWOBJVIGNOGY-IRXDYDNUSA-N 405.454 4.550 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1cccc(Br)c1 583593164 NHDQXOHDFOAEIG-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@H](C)CCc2ccco2)c([N+](=O)[O-])c1 600036558 SDGBTVONJSNRTE-OAHLLOKOSA-N 422.437 4.639 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609513339 GEEDQXCRYXUPDI-UHFFFAOYSA-N 417.531 4.968 5 20 HJBD Cc1csc(-c2cccc(C(=O)Nc3cc(C)nn3-c3ccc([N+](=O)[O-])cc3)c2)n1 610038309 ORWAYVLBYREDLA-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610042620 SMXZFJMDKZYYFH-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC1CC1 610147661 DAHVEQHYUJRMQE-UHFFFAOYSA-N 401.438 4.965 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2cccc(C)c2[N+](=O)[O-])C1 610159833 NSTKPYJWSMKBCR-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD COc1cccc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 610336599 FVTFDIYKLXHZRO-AWEZNQCLSA-N 417.425 4.889 5 20 HJBD CS(=O)(=O)Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1F 610911805 ZWJQVNCTMUGDOB-UHFFFAOYSA-N 420.487 4.619 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1cc([N+](=O)[O-])ccc1Cl 727747610 OHFYDNORSNFYIX-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccccc1C(F)(F)F 728068112 LTERAQAITNPHBE-GOSISDBHSA-N 407.392 4.703 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN3CCCC[C@@H]3c3cc4ccccc4[nH]3)n2)cc1 731028268 VRNYHCUSMLQJBI-OAQYLSRUSA-N 402.458 4.519 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccccc1C(F)(F)F 731686688 KSKAVCBRSVMWDU-SFHVURJKSA-N 423.391 4.539 5 20 HJBD O=C(c1cccnc1)N1CCc2cc(Nc3nc4ccc([N+](=O)[O-])cc4s3)ccc21 733792414 NPEDDOVEGQKNKG-UHFFFAOYSA-N 417.450 4.546 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)cc1 741641008 WPDSCCCSHXFDLC-INIZCTEOSA-N 419.481 4.827 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cc12 746065592 PTGQFFDIQXITJR-UHFFFAOYSA-N 422.828 4.648 5 20 HJBD CN(C)C[C@H]1CCN(C(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)C1 746264838 FZLMRZGGIAJUEX-GOSISDBHSA-N 412.533 4.957 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(OCc2nc(Cc3cccc(Br)c3)no2)c1 747811739 ZBLVQJVRJJXHLV-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2c(C)nn(Cc3ccccc3)c2Cl)cc1[N+](=O)[O-] 752415841 AJJWMKROGOLHQF-AWEZNQCLSA-N 412.877 4.601 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 752977336 GDLWUYHNABVGJV-QQJLWGBUSA-N 413.699 4.921 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2ccc(N)c([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 755800464 QZCLVGQYGNJXRA-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD Cn1c([C@@H]2CCCN2Cc2ccc(Br)c([N+](=O)[O-])c2)nc2ccccc21 768919663 VLOIHSUGQKMFFY-KRWDZBQOSA-N 415.291 4.581 5 20 HJBD CC1(C2CCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)CC2)OCCO1 769398805 DQIXGVGVIQIBCD-UHFFFAOYSA-N 416.449 4.501 5 20 HJBD COc1ccccc1COC1CCN(c2cc(Br)ccc2[N+](=O)[O-])CC1 769927387 GWYPXTIMFBRYHJ-UHFFFAOYSA-N 421.291 4.552 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(CCO)Cc1ccc(Cl)c(Cl)c1 770018212 XLSDIBCEFAWPQY-UHFFFAOYSA-N 418.664 4.581 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140299 WAMVGNSEEDNKNW-XWDDPXMASA-N 411.417 4.884 5 20 HJBD CCC(=O)c1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1F 776221574 SSICFIXOBSPWJG-OAQYLSRUSA-N 408.385 4.668 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccc(Br)n1 776380561 NIBFWRHPYQDQPV-UHFFFAOYSA-N 424.320 4.921 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc2nc(-c3ccccn3)oc2c1 777728930 QUUFIFQTOQXMEC-UHFFFAOYSA-N 423.816 4.773 5 20 HJBD Cc1cnc(COC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)c(C)c1[N+](=O)[O-] 789441773 BATRPYNCUYYGBT-UHFFFAOYSA-N 417.874 4.669 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)c1F 790971314 MNXJSTNHEOSUHR-HNNXBMFYSA-N 422.840 4.896 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)CCC(=O)c1cc(Cl)sc1Cl 797474507 KRKHBOSKIAGNCY-UHFFFAOYSA-N 418.254 4.678 5 20 HJBD O=C(Nc1cc(Cl)c(O)cc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 805255760 CVAGJIBJSQSYPU-UHFFFAOYSA-N 418.758 4.710 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1cccc(S(=O)(=O)c2ccccc2)c1 864032922 YDESUXDENGHLSB-UHFFFAOYSA-N 402.859 4.693 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 914504371 AUEJHSXMCKUWIG-GHXNOFRVSA-N 403.276 4.808 5 20 HJBD C[C@@H](NC(=O)Nc1ccccc1C(=O)N(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 918039679 GUZAXRSHZMBXAX-MRXNPFEDSA-N 424.501 4.882 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1F 1322457894 SGYMURYOWGKJKA-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(-c2cccs2)s1 1342171565 ARXBBGFGLBVQGM-UHFFFAOYSA-N 402.453 4.798 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10729509 IYNZJHUWHSDBCC-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CSc1ccc(C(=O)O[C@H](C(=O)Nc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 12464392 VWAITICOVHELNN-FQEVSTJZSA-N 422.462 4.854 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)Nc1ccc(N2CCCC2)cc1 16325183 MUUNCRMKVNEYPK-UHFFFAOYSA-N 423.494 4.941 5 20 HJBD Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 23214145 VWGMZLXSAUAJIF-QWHCGFSZSA-N 401.513 4.871 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@H]1c1ccc(-c2cccc([N+](=O)[O-])c2)s1 28512032 VTBMZBKQQAXUFI-HXUWFJFHSA-N 409.467 4.536 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCSc1ncnc2sc(C)c(C)c12 41902788 KDSWRODARCYPNR-UHFFFAOYSA-N 402.501 4.646 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 50868674 YAUCQAJMOUQVIT-YRISNDGFSA-N 414.527 4.702 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 58911133 OJTCUELQFDPSQI-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD Cc1noc(C)c1CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 148233706 WLNFKWYHJKVEGR-UHFFFAOYSA-N 401.806 4.575 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(Br)nc2)nc1OCc1ccccc1 302169830 LNMCVKGQVUIOQI-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)ccn1 426288690 CDSOAFSDRAPDTJ-OAHLLOKOSA-N 406.442 4.623 5 20 HJBD O=C(O)C[C@@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccccc1 426637480 DRZYRQIUBSWEEY-SFHVURJKSA-N 424.428 4.874 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@H]3c3ccc(F)cc3F)o2)cc1 432021012 RQZRYTZBDMEVBY-SFHVURJKSA-N 400.385 4.650 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCc1ccccc1[N+](=O)[O-] 433400431 NPLGHKOVMTXLNZ-UHFFFAOYSA-N 401.875 4.595 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](c3cc(F)ccc3F)C(F)F)o2)c1 434670925 SHMSSGLGPUSNGS-GOSISDBHSA-N 409.339 4.667 5 20 HJBD CCc1sc(NC(=O)c2cccc(OC)c2[N+](=O)[O-])nc1-c1ccc(OC)cc1 435878034 XGNUGFRGOCVHAD-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 436020910 MLHUHEHCATYDOI-CJNGLKHVSA-N 408.376 4.688 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCc2ncsc2C1 438691104 HOQIXLOQEDBFLN-UHFFFAOYSA-N 415.858 4.696 5 20 HJBD O=C(NC[C@H]1CCCC[C@@H]1C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 444994536 DQKQODUJJZVPLD-DYVFJYSZSA-N 402.416 4.780 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 446025868 KNQCWEXTMGYOKZ-GOSISDBHSA-N 423.435 4.735 5 20 HJBD COc1cc(N2CCOC(C)(C)C2)ccc1N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 447597466 GDZHCTIREKVYCG-HXUWFJFHSA-N 411.502 4.708 5 20 HJBD CCn1c(-c2cccc3cccnc23)nnc1N(Cc1ccccc1[N+](=O)[O-])C1CC1 460166256 GDCSOLGYKZPWPH-UHFFFAOYSA-N 414.469 4.590 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-] 460411249 YDYCMJOZFJMCKE-HNAYVOBHSA-N 424.522 4.952 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 461301630 MKNOEVWQZVBNHI-SFTDATJTSA-N 424.522 4.920 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)c(C(F)(F)F)cc1[N+](=O)[O-] 461773234 FTGZDZIGAPJFGP-SFHVURJKSA-N 423.391 4.652 5 20 HJBD Cc1cc(C)n(-c2ccc(CCNc3ccc([N+](=O)[O-])c(OC(F)F)c3)cc2)n1 462795029 ZWCJBFNQUBEMJQ-UHFFFAOYSA-N 402.401 4.653 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 463963889 KAIIRDCIDQNPFO-SFHVURJKSA-N 402.539 4.720 5 20 HJBD COc1cc(F)c(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 464663325 WLRGKDYWCLKBIU-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD CC[C@@H](C)[C@@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467921913 YPGVPQXZMGNMNT-WBMJQRKESA-N 408.907 4.536 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2N)nc1-c1ccccc1 468744448 MTEBHDVOCOZSLJ-SFHVURJKSA-N 420.469 4.933 5 20 HJBD Cc1sc(CCNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nc1-c1ccccc1 468800271 CTYVRKVUUSNLKO-UHFFFAOYSA-N 408.483 4.566 5 20 HJBD CC(C)(C)NCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 469203557 ITTQKDZSXOYQGK-UHFFFAOYSA-N 407.923 4.517 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1cccs1)CC(F)(F)F 470782154 QWJQQKIQERXAJL-UHFFFAOYSA-N 422.812 4.670 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Cl)c(C)cc1Br 471127900 UJTZZYXHLKIJEF-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@]2(C1)OCc1ccccc12 471598465 KJNARWULDBVZOL-XMMPIXPASA-N 415.449 4.610 5 20 HJBD CC[C@H](NC(=O)N1CC=C(c2c(F)cccc2F)CC1)c1cccc([N+](=O)[O-])c1 474026496 FYNRVKJTIRYDMS-IBGZPJMESA-N 401.413 4.823 5 20 HJBD CC(C)CCOC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 475196825 RLFWBURODDEKKQ-UHFFFAOYSA-N 403.401 4.673 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCN(Cc2ccc(Cl)cc2)CC1 475637359 KPXZUPWBXDQISA-UHFFFAOYSA-N 416.909 4.605 5 20 HJBD CC1(C)CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)[C@@H]1c1ccncc1 476326539 XYVGZVSYKJNSNK-JOCHJYFZSA-N 416.481 4.825 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)ccc1[N+](=O)[O-] 476935774 DIRGPOQRPUPURA-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(Br)cn1 479524584 IBSKCPSZCMFQSA-GXTWGEPZSA-N 410.337 4.974 5 20 HJBD CCOc1cc(C(=O)Nc2nc([C@@H]3C[C@H]4CC[C@H]3C4)cs2)c([N+](=O)[O-])cc1OC 482407366 OYEINSHBHGCTBC-RWMBFGLXSA-N 417.487 4.615 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(C(F)(F)F)c1 483017296 QMBRWPUDJNYRKS-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)c3cc(OC)c(OC)cc3[N+](=O)[O-])cn2)c1 484170321 PRHFRDFXJCYOES-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD CCOc1cc(C(=O)N[C@H](CC(C)C)c2ccccc2C)c([N+](=O)[O-])cc1OC 485609343 WWJRMMNMBAPSJS-GOSISDBHSA-N 400.475 4.828 5 20 HJBD CSc1cccc(C(=O)NCCc2ccc(-c3csc(C)n3)s2)c1[N+](=O)[O-] 486115036 DBJZTOPCSRHKLK-UHFFFAOYSA-N 419.553 4.783 5 20 HJBD Cc1nc([C@H](C)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)oc1-c1ccc(Cl)cc1 488976971 FTUDHFUQIIOBFR-AWEZNQCLSA-N 415.881 4.806 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1ccc([N+](=O)[O-])cc1F 491078477 PHBAKPHFSAIVEE-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nnc(CC)o2)cc1 503395891 RJOODLYGRLCFBM-UHFFFAOYSA-N 412.471 4.962 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(Cc3nnc(-c4c(Cl)cccc4Cl)o3)c2)cc1 505607436 JJXJPCNHOLYEBW-UHFFFAOYSA-N 416.224 4.863 5 20 HJBD C[C@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccc1Oc1ccccc1 509728207 LZLXTDOISGOFOG-INIZCTEOSA-N 412.467 4.568 5 20 HJBD COCc1c(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)sc2cccc(F)c12 509953340 SBQTVJQGXNIMQV-UHFFFAOYSA-N 400.431 4.688 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H](COc2ccccc2Cl)C1 514139259 YFHYCQYTLYRRQD-AWEZNQCLSA-N 413.861 4.661 5 20 HJBD CC(C)c1ccc(CN[C@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 514245684 BHQBBUDTQNJRQF-GOSISDBHSA-N 407.308 4.694 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(Br)cc1F)c1cccc([N+](=O)[O-])c1 516338306 QDFWPTSQRLVUGH-WDEREUQCSA-N 410.243 4.618 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3cccc(CN4CCCCC4)c3)no2)cc1 518876163 VFALJQBYQGXRRK-UHFFFAOYSA-N 410.499 4.923 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)Nc1ccc([N+](=O)[O-])cc1F 519853977 ZYNWLKCDTLCFPQ-UHFFFAOYSA-N 412.402 4.925 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@@H](c2cccc(Br)c2)C1 522549703 HGNROWUZXDKUKT-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1cnc2c(cnn2C(C)C)c1 532360814 SAJSOZJKRVNICG-UHFFFAOYSA-N 405.252 4.570 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] 533763019 GQWYYSXERJCJQN-GFCCVEGCSA-N 410.927 4.768 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](Nc1ccc(CO)cc1)c1ccccc1 534880989 SMGSYKKAAHMHMF-HXUWFJFHSA-N 411.845 4.532 5 20 HJBD CCOc1ccc(NC(=O)CN(C)[C@H](C)c2sc(C(C)C)nc2C)c([N+](=O)[O-])c1 535972467 PIMDXKCUBHKZAN-CQSZACIVSA-N 420.535 4.513 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccco2)s1 537480446 AZZNGEDGMUIKEM-UHFFFAOYSA-N 404.473 4.851 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)Nc2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 538276440 QRELSWJPKRKKIV-NSHDSACASA-N 415.799 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](C)c2ccc(-c3ccncc3)cc2)c1 539530132 JUCRNEIAQVVLKE-QGZVFWFLSA-N 405.454 4.612 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCOc2cc(F)ccc21 539766288 SKWVURPFMUZRJG-LJQANCHMSA-N 401.438 4.568 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNC/C=C/c2ccccc2[N+](=O)[O-])cn1 540558121 VXRAEGZRHBEKLK-SOFGYWHQSA-N 421.453 4.602 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cn2)cc1 542555790 HULNSRDANSGJEL-ZDUSSCGKSA-N 411.389 4.672 5 20 HJBD CCc1ccc([C@H](NCc2cccc(-n3ccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 544356767 NLMDKXABNAVNPK-HSZRJFAPSA-N 402.454 4.815 5 20 HJBD COc1cc(Cc2noc([C@H](C)CSc3ccc(F)cc3)n2)ccc1[N+](=O)[O-] 545047944 FTKBWRMETZVDOQ-GFCCVEGCSA-N 403.435 4.612 5 20 HJBD CC(C)(C(=O)NCc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1F 545122630 XJQYFHJFPAJYAK-UHFFFAOYSA-N 407.854 4.591 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccc([N+](=O)[O-])cc3)n2)c1)c1ccccc1F 546269387 LQUQCZXGDUEYOX-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD COc1ccccc1OCc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)o1 547197513 ULIPRGRMHDPBOJ-UHFFFAOYSA-N 421.409 4.725 5 20 HJBD C[C@@H]1c2cc(Cl)cc(Cl)c2CCN1c1nc(O)c2cc([N+](=O)[O-])ccc2n1 553446960 YKTAKACVTMVVRS-SECBINFHSA-N 405.241 4.674 5 20 HJBD Cc1noc([C@H]2CCCCN2C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 553723362 PDAAAGFIGCEKBQ-LJQANCHMSA-N 424.482 4.805 5 20 HJBD COc1ccccc1[C@H]1C[C@@H](C)CCN1C(=O)CSc1ccccc1[N+](=O)[O-] 553827084 ZKJTYCKFIWOBIL-MAUKXSAKSA-N 400.500 4.695 5 20 HJBD Cc1cc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)ccc1[N+](=O)[O-] 560812289 AAOBOESCUBOZBR-LJQANCHMSA-N 422.403 4.820 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 566033030 DKEWCOZZQMENJK-UONOGXRCSA-N 411.433 4.574 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC(F)(F)[C@H](C)C2)cc1 576573232 GVPOSJZLDGSQQK-CYBMUJFWSA-N 406.385 4.513 5 20 HJBD Cc1sc(NC(=O)c2cncc(C(F)(F)F)c2)nc1-c1cccc([N+](=O)[O-])c1 577020075 VENXEJDFASCXBL-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 589083364 FQGNLTOXWDXKBU-HNNXBMFYSA-N 407.264 4.589 5 20 HJBD O=[N+]([O-])c1cc(N2CCc3c(ncn3CCc3ccccc3)C2)ccc1C(F)(F)F 589112387 KQYHPGOPGRAMPU-UHFFFAOYSA-N 416.403 4.616 5 20 HJBD CCc1cccc(C)c1NC(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603643270 FCDCSTTVLHUVDN-UHFFFAOYSA-N 410.396 4.718 5 20 HJBD C[C@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 603957093 ZOYLTMDVQJWQTR-SFHVURJKSA-N 404.466 4.701 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2ccccc2[N+](=O)[O-])ccc1OCc1ccccc1 603986603 BFDMVVOZLOLNBS-KRWDZBQOSA-N 420.465 4.602 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604105410 NCMGBWHITJSALN-UHFFFAOYSA-N 401.422 4.950 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1cccc(C(F)(F)F)c1 604446379 ZMJVWFXEORSOLX-NSHDSACASA-N 416.783 4.693 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cc(F)ccc2Oc2ccccc2)cc([N+](=O)[O-])c1 609022955 VZDPWTVLZYFCOL-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD O=C(CSC[C@H]1CCCCO1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609761789 JVWMBXFMRFONLC-LJQANCHMSA-N 402.472 4.628 5 20 HJBD Cc1c(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)cccc1[N+](=O)[O-] 609978160 KJWILOGWYHTZDK-UHFFFAOYSA-N 401.466 4.960 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1c(C)nn(Cc2ccc(Cl)cc2)c1C 610207726 DWPPFBJLRCASJA-UHFFFAOYSA-N 401.850 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)c1 610225143 BQTCFGFCDSLADQ-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD COCCN(C(=O)[C@H](C)C1CCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 610550044 QNGPHCDVDHRRDL-CQSZACIVSA-N 403.504 4.524 5 20 HJBD CCn1cc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])cn1 613510316 VRFYMSRVIVJSQG-UHFFFAOYSA-N 416.890 4.546 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cc(F)ccc1F 617345405 BAGUDPVJALMNNE-GOSISDBHSA-N 404.394 4.517 5 20 HJBD C[C@H](Cc1ccco1)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 726606826 BFHPGZHUHRFTTM-QGZVFWFLSA-N 402.454 4.761 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c12 727706193 KZJYQRSXTJHXEE-UHFFFAOYSA-N 410.361 4.906 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 727868674 SAYVRIBQFAPTBE-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)cc1[N+](=O)[O-] 729832099 IYOYMWPXWPVZTA-UHFFFAOYSA-N 419.262 4.718 5 20 HJBD COc1cc(CN(C)c2nc3ccc([N+](=O)[O-])cc3s2)c(SC)cc1OC 741244713 XLLGOALJDYEGIW-UHFFFAOYSA-N 405.501 4.580 5 20 HJBD Cc1c(N[C@H](C)C(C)C)cccc1C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741748825 PCWMROVZOLXJEP-OAHLLOKOSA-N 424.457 4.767 5 20 HJBD Cc1cc(C)c(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)o1 749311541 VLDBDTCNYFOQEB-UHFFFAOYSA-N 414.801 4.930 5 20 HJBD O=C(CCN1CCC[C@H]1c1nc2ccccc2s1)Nc1cc([N+](=O)[O-])ccc1F 753916398 VBUYGDOQMFPRLI-KRWDZBQOSA-N 414.462 4.509 5 20 HJBD Cc1cccc(C(C)(C)CNC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754635078 GXDUEXSPDOFNCD-UHFFFAOYSA-N 409.255 4.512 5 20 HJBD Cc1ccc(-c2ncco2)cc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 759032812 ARJZQEWBULWZGM-LBPRGKRZSA-N 400.822 4.651 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1 761198667 SMQLVHILRHGCKZ-JTQLQIEISA-N 419.846 4.938 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](c2nc3ccccc3s2)C1 768675820 MCDZSNTYIAANSC-CYBMUJFWSA-N 401.875 4.878 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccc([N+](=O)[O-])c(F)c2)on1 778116577 UNLUQMHDEDCCNL-UHFFFAOYSA-N 422.368 4.908 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])ccc1OC(F)F 779366495 XPKXWRMMRFODNT-HNNXBMFYSA-N 406.366 4.599 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)OCc3ccc([N+](=O)[O-])c(F)c3)cs2)cc1 782693130 PHDLEYOHNHTTQT-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C1(Cc2ccc(OC(F)(F)F)cc2)CC1 784036882 DTTUFWSGNNZTCW-UHFFFAOYSA-N 416.302 4.733 5 20 HJBD CO[C@@H]1Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3NCc3ccccc3)cc2C1 784227266 YRNCMQLVBNXWHT-OAQYLSRUSA-N 417.465 4.573 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1Br)[C@H]1CC[C@@H](C(F)(F)F)CC1 809372107 JCVSPKYDXPORDO-AOOOYVTPSA-N 409.202 4.550 5 20 HJBD Cc1noc(COc2ccc(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)n1 811471040 ZCQJBHXQXKGLKF-UHFFFAOYSA-N 409.229 4.784 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cc(Cl)ccc1[N+](=O)[O-] 812559475 RGCHLEYTRGUBNI-YEORSEQZSA-N 409.667 4.687 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)C[C@H](C)O1 812953373 ZEGXWBSWYQADFQ-RYUDHWBXSA-N 424.284 4.768 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(-c3cccc(Cl)c3)cs2)cc1[N+](=O)[O-] 915083022 ZFCOQUSFBZCAHX-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1F 1117734205 XRWHOLPRMUOQHI-UHFFFAOYSA-N 417.437 4.997 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1252256736 RQQADRMUNGJZHT-CQSZACIVSA-N 408.483 4.813 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(Cc3ccc4ccccc4c3)o2)C1 1324083630 RALGGSAKMJGPSE-FQEVSTJZSA-N 400.394 4.588 5 20 HJBD O=C(O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1nc(-c2ccccc2)oc1C1CC1 1342560354 FSERBWUNRLONGW-IRXDYDNUSA-N 422.441 4.664 5 20 HJBD CSc1cccc(NC(=O)[C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 25374485 VXPYXGFBKSUKBY-NSHDSACASA-N 416.480 4.715 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 26115928 AHAKOVKDTYAISE-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(-c2ccccc2Cl)on1 42918076 HUOZTPODJQXKQX-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(F)cccc1F 60547932 ZWLVRQGMUCSYLM-UHFFFAOYSA-N 406.332 4.647 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccnc2)Cc2ccccc2C)c1 65806764 UUVFUDXGDDTIQG-UHFFFAOYSA-N 407.495 4.863 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)[C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)c(C)c1 110486163 IZOPUZCJYVKVSL-LSDHHAIUSA-N 415.515 4.551 5 20 HJBD C[C@H](NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(Cl)c1Cl 303682086 MSLRVXNPCKCCRL-NSHDSACASA-N 405.241 4.578 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435877993 WYIIAILFQBSXBE-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD COc1cccc(C(=O)NC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1[N+](=O)[O-] 435968654 BYOSFVMUQXVHHL-UHFFFAOYSA-N 414.767 4.695 5 20 HJBD COc1cccc(C(=O)NCc2ccc(Sc3ccc(F)cc3)cc2)c1[N+](=O)[O-] 436041307 UIONSGKQBKYLPU-UHFFFAOYSA-N 412.442 4.824 5 20 HJBD CC(C)OCc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436184904 YXGCJTKNDHGPPB-UHFFFAOYSA-N 404.441 4.998 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](CC)c1nc(C(F)(F)F)cs1 439933469 PYOZKAVRUJNOGA-SNVBAGLBSA-N 418.397 4.742 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)s1 440875859 PJQSGPMBOYTUHF-BDAKNGLRSA-N 401.257 4.539 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 444096316 ORESVUIZERVSEX-UHFFFAOYSA-N 415.880 4.789 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(-c3ccc(O)c(F)c3)no2)cc1[N+](=O)[O-])c1ccccn1 445476184 ZNZBVQPQJQZDII-GFCCVEGCSA-N 421.388 4.725 5 20 HJBD CCC(CC)n1nccc1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446428104 OVZKEFIYLVPMPN-UHFFFAOYSA-N 408.458 4.984 5 20 HJBD CCCCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)c1C 448424124 DMGFUYOJJIDSES-UHFFFAOYSA-N 424.457 4.953 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)C1CCN(c2ccc(F)cc2[N+](=O)[O-])CC1 462872241 UBESPJPRKWCHES-UHFFFAOYSA-N 412.248 4.896 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Br)c1 463427423 VBPPTBFRABORGF-SECBINFHSA-N 415.190 4.701 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)n1 463716258 QWCGSMHZIFUOPS-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD CCc1cnc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 464135148 ZOUJWHHLXXHLHG-UHFFFAOYSA-N 417.874 4.989 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(CC(F)(F)F)c2)cc1SC 464417043 ASAVUJAVEVMLOJ-UHFFFAOYSA-N 400.378 4.682 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OCC(C)C)c(OC)c2)c([N+](=O)[O-])cc1F 464504090 ZQFVSGDKURYEJU-CQSZACIVSA-N 406.454 4.637 5 20 HJBD CC(C)C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465470558 RMFQGJPWESZCHG-JOCHJYFZSA-N 411.502 4.935 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OCc1ccccc1 466298118 JSPLEMFZAMUYIV-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCN(c4nccs4)CC3)s2)cc1 466424306 VJXDZEOFIGYJJI-UHFFFAOYSA-N 400.529 4.538 5 20 HJBD CCCCOc1cc(OC)ccc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 469931985 BDEFMYZYZBOVFC-UHFFFAOYSA-N 410.373 4.636 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cccc(Sc2ccc(Cl)cc2)c1 471484979 VHNLRVPXQGOCIA-UHFFFAOYSA-N 416.890 4.933 5 20 HJBD C[C@@H](NC1CCN(Cc2ccc(Br)cc2)CC1)c1ccc([N+](=O)[O-])cc1 474416565 ORHREIFWPXECJZ-OAHLLOKOSA-N 418.335 4.673 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474737804 OJSGBRGESQGYMX-OLZOCXBDSA-N 406.866 4.530 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(c2cccc(Cl)c2)CC1 478260206 WBPVGHVTZNQATC-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](CCO)c1ccc(Cl)cc1 480363350 FTPSIRDSYQPVEI-CQSZACIVSA-N 417.771 4.512 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)o1 482494542 JTBKUVLTZBDEOA-UHFFFAOYSA-N 421.438 4.583 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(-c2cccc(Cl)c2)on1 483459494 NFLLSZMEWRFPJZ-UHFFFAOYSA-N 400.822 4.610 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1Cc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 485529507 HKAPBGHWGJTCQS-CHWSQXEVSA-N 409.305 4.560 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)NCC(C)C)c(Cl)c2)c1[N+](=O)[O-] 485747629 GTAMJMQOEQTIML-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD Cc1cc(C)c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1Br 486127714 MAPUDPZCVFJLRE-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD Cc1cc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(Cl)cc1F 487451191 WSVBHQATJFLEFU-UHFFFAOYSA-N 407.829 4.771 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC[C@H](c2nnc3ccc(C(F)(F)F)cn23)C1 487735589 ZAYUTGWVMSJCKR-KGLIPLIRSA-N 419.407 4.597 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494007776 UAPFALXVZBOIKB-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1cccnc1 496590681 HDGNDZNOHICFTP-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD CCc1sc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)nc1-c1ccccc1 501711052 QSLBLNMNXUOAKO-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD O=C(Nc1ccc(N2CCCC2)cn1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 507663045 IHNVCAXNECLYRG-UHFFFAOYSA-N 420.494 4.994 5 20 HJBD COc1ccc(CNCc2ccc(F)cc2F)cc1OCc1ccc([N+](=O)[O-])cc1 507910760 AWQYRCYHWKSDCF-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD CCOc1cc(NC(=O)NCC(C)(C)c2cccs2)c([N+](=O)[O-])cc1OCC 512725064 JYAXVLXHRZNXSS-UHFFFAOYSA-N 407.492 4.553 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 513055993 GGHBGAGKVBRWET-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 515286505 INNZJOXKUVQHQH-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])s1 517368649 YSQDCWRWDAVJBW-CYBMUJFWSA-N 402.863 4.894 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@H](Cc2ccccc2)C1 518506005 XNMQKNFYIDLWSR-MRXNPFEDSA-N 422.403 4.631 5 20 HJBD Cc1ccc(C2(c3noc(/C=C/c4ccc(F)cc4[N+](=O)[O-])n3)CCOCC2)cc1 519717513 CDMOUWGDEDWSOZ-WEVVVXLNSA-N 409.417 4.692 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 519890201 MQPYYBYZEJHXOY-JTQLQIEISA-N 422.812 4.649 5 20 HJBD CC(C)COc1ccc(C(C)(C)CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 520734587 JENLHWUTGCETCO-UHFFFAOYSA-N 413.518 4.749 5 20 HJBD Cc1csc(Nc2cccc(NS(=O)(=O)c3ccc(Cl)cc3[N+](=O)[O-])c2)n1 520958636 VJWHUOXCWBOZQX-UHFFFAOYSA-N 424.891 4.558 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(SCc2ccccc2)cn1 532821562 UACHYVHWQLZKRN-UHFFFAOYSA-N 409.467 4.690 5 20 HJBD COc1cc(CNc2ccc(SC)cn2)ccc1OCc1ccc([N+](=O)[O-])cc1 532868148 RPUMYEVCVHTFMI-UHFFFAOYSA-N 411.483 4.911 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 534648037 AQPCMPDSVYLGTN-QFIPXVFZSA-N 407.470 4.771 5 20 HJBD COCCN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1ccc(F)cc1Cl 536366079 OTMNARQTMDFGKI-UHFFFAOYSA-N 419.840 4.691 5 20 HJBD Cc1ccsc1CCNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 537998382 USAISFSIZFFJIS-HNNXBMFYSA-N 410.499 4.505 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(OC(F)F)cc(OC(F)F)c2)cc1[N+](=O)[O-] 540488696 XMOSHZRAFYKGFJ-JTQLQIEISA-N 416.327 4.597 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543471776 LGJMRAUVLGCKLU-SNVBAGLBSA-N 411.227 4.556 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(CC)s3)cs2)c1 548961581 SSJBRKRWBGEFBI-UHFFFAOYSA-N 403.485 4.993 5 20 HJBD Cn1ccnc1[C@@H](NCCc1c(Cl)cccc1Cl)c1cccc([N+](=O)[O-])c1 552046671 NRFVADCYFIULBT-SFHVURJKSA-N 405.285 4.557 5 20 HJBD COc1ccc(-c2ncc(-c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)s2)cc1OC 564257693 SXHJVAGAVGRJOE-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD CC(=O)N1CCC[C@@H](c2noc(-c3ccccc3Sc3ccccc3[N+](=O)[O-])n2)C1 570759303 HHTJMZKXYLSDHP-OAHLLOKOSA-N 424.482 4.522 5 20 HJBD CCOc1ccccc1[C@H](CC)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 571308572 NQUWQWHCIYNFLA-DYVFJYSZSA-N 405.882 4.715 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@H](c2ccncc2)C1 574387060 OAMQDYXGUABNFB-SFHVURJKSA-N 403.438 4.802 5 20 HJBD CN(C)c1cccnc1CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 574441975 FWEHMXXHWZNFJY-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD Cn1ccnc1[C@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 576790277 UECWOIWBXWLDAO-INIZCTEOSA-N 412.877 4.764 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCC[C@H]2c2nc3ccccc3o2)c1Br 582573456 NKSSULOZSVPBPQ-ZDUSSCGKSA-N 417.263 4.934 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 584079807 YTUGNAWEHQHTHH-UHFFFAOYSA-N 423.448 4.964 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC2(CCOCC2)[C@H]1C1CC1 590516944 UJTONAKTKKTCRH-HXUWFJFHSA-N 416.543 4.661 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc2c(C(F)(F)F)cccc2s1 603950712 SRHCGSMQNOZXHZ-UHFFFAOYSA-N 411.361 4.631 5 20 HJBD Cn1c([C@@H](NCc2nc3cc([N+](=O)[O-])ccc3o2)c2ccccc2)nc2ccccc21 614212629 VGZAUNUJCHYVPD-QFIPXVFZSA-N 413.437 4.502 5 20 HJBD C[C@@H](OC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 729788959 VTKJSBYBMWFYKU-QRQCRPRQSA-N 417.417 4.967 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368783 QKLNFPYQDPMUJA-NRFANRHFSA-N 419.441 4.685 5 20 HJBD CC(C)CN(C[C@@H](O)c1ccc(F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 735832089 DDXPPISGMCGTQO-GOSISDBHSA-N 409.845 4.611 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c(C)c1 736866700 PQISUDWXDVKWQG-UHFFFAOYSA-N 404.850 4.749 5 20 HJBD CC[C@@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C)cc1 738687440 CAIKHCBSIZKPSP-AWEZNQCLSA-N 404.850 4.622 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742001308 SZVIXSZPKOUTST-XODWSEBVSA-N 403.822 4.592 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)c1 748022988 VVABPYIPSXKPLK-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD C[C@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(C(F)(F)F)cc1 751662300 SPSCHZRVMBKPHS-LLVKDONJSA-N 408.332 4.992 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1CSC1=NCCS1 756999278 VMVYBTIYKSSJQG-UHFFFAOYSA-N 421.931 4.514 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 760529305 AFNYWYAZQDZDQU-RKOMNEFPSA-N 400.406 4.602 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)sc1Cc1ccc(F)cc1 763428014 UFMLDPKQRZNYQS-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 765580724 SPSXFDFBWAVDJG-IRLDBZIGSA-N 422.481 4.519 5 20 HJBD Cc1c(C(=O)Nc2ccc(Sc3ccncc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 772222951 TVLQUYNJBQNKFY-UHFFFAOYSA-N 424.438 4.918 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(N(C)C)cc2)CC1 774073869 BEXVRNLRQCTIFY-MRXNPFEDSA-N 413.543 4.548 5 20 HJBD COc1ccc(SCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131912 BENAWWDBOHQJQC-JOCHJYFZSA-N 424.478 4.813 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cnn1C1CCCCC1 781167847 FTWFJCDTIOXGGO-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1sc(Br)cc1[N+](=O)[O-] 784943435 NSXDXRSGDVBLQH-UHFFFAOYSA-N 413.318 4.870 5 20 HJBD COc1cccc([C@H]2[C@H](c3ccccc3)CCN2C(=O)c2cc([N+](=O)[O-])ccc2N)c1 787263395 MAQRJRBTDLQEKS-REWPJTCUSA-N 417.465 4.557 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN(CCC(F)(F)F)CC(F)(F)F 790932974 LVLJEBIQKCCWIG-UHFFFAOYSA-N 409.124 4.674 5 20 HJBD C[C@H](c1ncc(C(C)(C)C)o1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 792895848 DZFHLSRYRBFAFQ-LLVKDONJSA-N 408.296 4.762 5 20 HJBD CCC(=O)c1ccc(Cl)c(NS(=O)(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c1 794594105 DOTSKHIIRZECPP-UHFFFAOYSA-N 417.270 4.604 5 20 HJBD CO[C@H](COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 801246866 BLEAGVKQQHFDLT-QGZVFWFLSA-N 418.858 4.916 5 20 HJBD CCC[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 821118865 PZAOADONUOYPMJ-CJNGLKHVSA-N 404.850 4.702 5 20 HJBD NC(=O)c1ccc(Cl)c(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 863995819 FKGUVZWNPSWZRK-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1cc([N+](=O)[O-])ccc1Cl 914503826 CQGSHNOSLIMGAO-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD Cc1cc(C)c([C@@H](C)OC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)c(C)c1 920164355 VYWZIIFCMGALNF-MRXNPFEDSA-N 412.442 4.513 5 20 HJBD O=C(N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1261096195 FOPXNUUVONNWSJ-GOSISDBHSA-N 421.375 4.670 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(C4CCCCC4)c3)n2)cc1[N+](=O)[O-] 1318673898 YUVFZNMZXABILX-UHFFFAOYSA-N 411.487 4.981 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1320417905 MLIIQQIEFMTWGQ-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CC(C)[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc2c(c1)OCCO2 1320704598 BXGOGLFERVAPHR-LJQANCHMSA-N 421.291 4.615 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(F)cc1OC(F)F 1321394756 OOHPQHKHDKNABG-VIFPVBQESA-N 419.197 4.949 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc(-c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)o1 1322487625 WYVGNSZNYWYEEX-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1343293263 VLILNOQKUQLZLZ-TZMCWYRMSA-N 400.378 4.996 5 20 HJBD Cn1cnc(-c2cccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)c2)n1 1353002419 CKQDYVVXBJEMHR-UHFFFAOYSA-N 409.833 4.916 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1 1353231267 IBOGTWCDGMNVSV-HUUCEWRRSA-N 408.298 4.540 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cc(Cl)ccc1[N+](=O)[O-] 15640474 WVDCLJCOBMGRDK-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])n2)cc1F 18231848 FZUQQHBMJSYOEM-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc4c(c3)CCO4)cs2)c1 24992808 CDKLZODOQPXBOP-UHFFFAOYSA-N 413.480 4.627 5 20 HJBD COc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 28883029 DNSVTMBVEHFWMH-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1Cl 55689316 GWWDIOGDRHTCNN-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CN(C)c1c(Cl)cccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64916846 SYHDWPCTVMFULS-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)c1 65450863 BFJRIEYSMJJKGC-UHFFFAOYSA-N 407.426 4.760 5 20 HJBD C[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1cc2ccccc2o1 301161739 HMMFQZLMBILMBD-LBPRGKRZSA-N 410.817 4.713 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2nc3cc(Cl)ccc3o2)c([N+](=O)[O-])c1 302767579 MXPBMSVMRBJLKJ-VIFPVBQESA-N 407.835 4.517 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)cc1[N+](=O)[O-] 409987043 SEGPEGUUDBMTSH-HNNXBMFYSA-N 408.458 4.574 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)NCc3ccccc3[N+](=O)[O-])CC2)cc1 410154540 OCKWWNPHZDUJCH-UHFFFAOYSA-N 423.513 4.598 5 20 HJBD COc1ccc(CN2CCS[C@@H](C)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 426407079 YAOLPSIEFFTLFN-INIZCTEOSA-N 402.516 4.510 5 20 HJBD O=C(O)[C@H]1CC[C@H](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 426693414 ZQLAAIPFQJTVJF-KOMQPUFPSA-N 402.422 4.507 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1cnccn1 427452894 MGNMOTZCHSZNAN-SFHVURJKSA-N 417.252 4.553 5 20 HJBD Cn1nc(-c2ccsc2)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 428064964 BNXXAIXXBUARLE-UHFFFAOYSA-N 418.453 4.535 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c2)n1 433029285 RRGNEZHYYJQDNT-UHFFFAOYSA-N 409.446 4.572 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)CSc2ccccc2[N+](=O)[O-])c1 441394219 JFUDBFRRWPBPQM-UHFFFAOYSA-N 411.458 4.763 5 20 HJBD CC[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(F)c1 444246321 PCJBXZZYBNQFCF-HNNXBMFYSA-N 422.431 4.547 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])C1C[C@@H]2CCC[C@H](C1)C2=O 446432189 GWJZIMASTIBEQW-JWTNVVGKSA-N 408.454 4.508 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 462489480 NVQJJPAIFSMGKM-YWZLYKJASA-N 408.458 4.530 5 20 HJBD Cc1nn(C)c2cc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)ccc12 462661203 OZTVFUMPRJPUSQ-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD C[C@@H](Sc1nnc([C@@H](C)N(C)C)n1-c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 463040949 WZCGNCSMLKTODG-ZIAGYGMSSA-N 415.494 4.791 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 463972752 WSRLMCYTGKEWOA-SFHVURJKSA-N 407.829 4.610 5 20 HJBD O=C(NCCc1ccccn1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464557619 WHHCQONPNGCMOO-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD CN(C[C@H]1CCCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467515636 YOIHBEUYKAYRDQ-CQSZACIVSA-N 420.918 4.898 5 20 HJBD Cc1cc(Sc2nnc(COc3ccccc3Cl)n2C2CC2)ncc1[N+](=O)[O-] 470870018 OIPQGVQWAAZJNT-UHFFFAOYSA-N 417.878 4.608 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3ccn(C)c23)cc1[N+](=O)[O-])c1ccccn1 471708231 BDDUHOSHSQQTBW-OAHLLOKOSA-N 415.453 4.907 5 20 HJBD COc1cccc(C=C2CCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 472941258 FWUHEUNJILRWDX-INIZCTEOSA-N 412.511 4.790 5 20 HJBD CCCC(=O)Nc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 475221087 SWXJPYKRASJSFU-UHFFFAOYSA-N 424.379 4.674 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477317989 DZWDHMXNRPWSDW-RYUDHWBXSA-N 406.870 4.699 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477678186 IYDQETMFSQVLOG-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD Cc1nc(CNC(=O)NCc2cccc([N+](=O)[O-])c2C)oc1-c1ccc(Cl)cc1 479678454 SWSUHMYWXZGZNA-UHFFFAOYSA-N 414.849 4.519 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCc3ccccc3C)c2)c([N+](=O)[O-])cc1OC 482364948 FYPCZUZEMNXIBS-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1cccc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])n2)c1 483662535 YUCHLIUBAKBMCJ-RZQQEMMASA-N 422.485 4.715 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nnc(CCC2CCCCC2)o1 488785047 QMGOQOOOZGHAIO-UHFFFAOYSA-N 404.492 4.530 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1Cl)C(C)C 489635956 FQYYIOYHARZHKC-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD CC[C@H](NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)[nH]1 493282119 SEHRJNRLPHVFEQ-YJBOKZPZSA-N 407.474 4.805 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494007438 RHUHXPHGKFMFAQ-UHFFFAOYSA-N 420.473 4.631 5 20 HJBD Cc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] 494251285 PBKCJEJFZWJCIM-INIZCTEOSA-N 404.850 4.757 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498159616 QQRFVHBXSZMXGA-YQVWRLOYSA-N 422.428 4.991 5 20 HJBD CC[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1OC(F)F 498820498 YREJOSQAJCZCJD-KRWDZBQOSA-N 419.428 4.995 5 20 HJBD Cn1ccc2c(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cccc2c1=O 500447177 JISSNAFXROXLBD-UHFFFAOYSA-N 419.412 4.990 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(=O)N[C@H](C)c2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 502765922 FBPPRKARYVVTCM-IAGOWNOFSA-N 417.465 4.577 5 20 HJBD CCCN(C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@@H](C)CC(=O)Nc1ccccc1 505391959 NAPZKFIQZILEQF-INIZCTEOSA-N 412.490 4.873 5 20 HJBD CC(C)[C@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1ccc(Cl)cc1 507179055 MBYWGKAPJMHEMU-FQEVSTJZSA-N 417.893 4.530 5 20 HJBD Cc1ccc(NC(=O)c2c(-c3cccc(Br)c3)noc2C)cc1[N+](=O)[O-] 508149578 MJDGOBZJOIEOJA-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 508951633 TUSNEHMYRRCQRM-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2c2nc3ccccc3n2C)c1 517749541 FZVVKGWKUVUJQM-LJQANCHMSA-N 410.499 4.571 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])c(O)c1 520735438 FDYKDBAROWEJQJ-JTQLQIEISA-N 417.874 4.877 5 20 HJBD COc1ccc(Br)cc1-c1noc([C@@H](C)c2cccc([N+](=O)[O-])c2)n1 521110872 DCCMKSCYBQEAGV-JTQLQIEISA-N 404.220 4.568 5 20 HJBD CN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Oc2ccccc2)cc1 521174521 UXSYOHPQSUPANU-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)N1CCc2cc([N+](=O)[O-])ccc21 521676158 CILAWJBITGEVEF-UHFFFAOYSA-N 421.400 4.745 5 20 HJBD CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccccc1 522877447 ISBPRLSAJGQWGA-UHFFFAOYSA-N 405.241 4.754 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc(OC)c(OC)c([N+](=O)[O-])c3)n2)cc1 525519884 XQKYGYAHQFBIQI-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD CS(=O)(=O)CCc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 533467449 FJVNBQIESUYQBH-UHFFFAOYSA-N 416.524 4.523 5 20 HJBD CN(CCC(F)(F)F)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 533490132 HQLHAJUIOGWUJX-MRXNPFEDSA-N 415.799 4.812 5 20 HJBD COc1cc(CNC2CCC(F)(F)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 534904424 YZZGLSACDYPWAT-UHFFFAOYSA-N 406.429 4.850 5 20 HJBD Cc1c(CC(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cccc1[N+](=O)[O-] 537244088 WKTNZHHHXXISLU-MRXNPFEDSA-N 420.309 4.884 5 20 HJBD COc1ccc(OCc2ccccn2)c(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 539103547 GTESIBHYNORQRK-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD C[C@@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccc(OC(F)F)cc1 540219269 HCMMFVRKPKXBLP-CYBMUJFWSA-N 413.380 4.749 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 542567390 YEMZBVAATQMHGZ-CHWSQXEVSA-N 420.391 4.945 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)Nc1ccc([N+](=O)[O-])cc1F 543645600 ZZKGHMIABGGGKG-UHFFFAOYSA-N 419.306 4.795 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1=O 544934513 SUDWKFQNLCEJCK-GDBMZVCRSA-N 406.442 4.679 5 20 HJBD CCCOc1ccc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)cc1OC 546936819 XHIVUUXXFYOSSG-UHFFFAOYSA-N 405.357 4.582 5 20 HJBD Cc1ccc(-c2nnc(N(C)Cc3ccccc3[N+](=O)[O-])n2Cc2cccs2)o1 549465755 YMTYURDVZVBAGI-UHFFFAOYSA-N 409.471 4.501 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)N(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)C1 553336123 IANCNUINSAVMHF-SCLBCKFNSA-N 403.276 4.510 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c1)C1CCCCC1 557525258 QQNCYURBYXPHGH-UHFFFAOYSA-N 411.487 4.730 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 557753339 ZOJSDYNUHYLMHR-QTGUNEKASA-N 423.513 4.594 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Oc2cccnc2)cc1 557932786 HWTIAALRTRCUGK-GJZGRUSLSA-N 409.417 4.902 5 20 HJBD CCNc1ccc(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 565857219 LGKBHIQSSQRHPT-QGZVFWFLSA-N 406.364 4.541 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC[C@H](CC3CC3)C2)cc1[N+](=O)[O-] 572634469 SQCWFJLLMCOVRK-MRXNPFEDSA-N 424.522 4.810 5 20 HJBD COC1(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])CCC(c2ccccc2)CC1 582080721 WIYYUGZHEJFDRC-UHFFFAOYSA-N 402.878 4.721 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@@H]1c1ccc(O)cc1 589648476 AUFOKQGIODGTFS-HXUWFJFHSA-N 407.474 4.556 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 603642388 IDMFCGISIBOEJP-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(C)c(Cc3cccc(F)c3)s2)cc1[N+](=O)[O-] 603974534 ZOYAECSMNPLBQY-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](C)C(C)(C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609064307 YHPIDZCQSMCHDE-GOSISDBHSA-N 420.513 4.553 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c3cccnc23)cc1SC 609125979 LLDSCIGFLCOGIA-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc([N+](=O)[O-])cc1F 609187078 AJGCGCYAHPNKSL-MRXNPFEDSA-N 415.421 4.804 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609732129 YTEVPZYXCLRPCV-SFHVURJKSA-N 402.878 4.559 5 20 HJBD CCOC(=O)c1ccnc(Sc2nc(-c3ccc(F)cc3)cs2)c1[N+](=O)[O-] 609960916 XTTDBOWRFUCRJA-UHFFFAOYSA-N 405.432 4.580 5 20 HJBD Cc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1NC(=O)c1ccco1 610041276 ZFKBVDZLYYSFCE-UHFFFAOYSA-N 407.426 4.665 5 20 HJBD COc1c(Cl)cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1Cl 610043062 AEQDFUIGMOHDIT-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cc(Cl)ccc1Br 610046736 CPABQSVEBWVHML-UHFFFAOYSA-N 411.683 4.763 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c(C)c2c1 610892239 SEUZVHLNWCKWRD-UHFFFAOYSA-N 420.465 4.976 5 20 HJBD O=C(N[C@H]1CC[C@@H](CO)CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 611136062 VVYZCHURMPHOMB-OTVXOJSOSA-N 420.918 4.680 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@H](CN2CCCCC2)C1 611209869 KENJTHQVROMGHC-QGZVFWFLSA-N 417.575 4.578 5 20 HJBD CN1[C@H]2CC[C@H]1CC(c1noc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)n1)C2 612954566 JOHRHVXQQHQAFB-OALUTQOASA-N 419.485 4.597 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])ccc1F 730631278 MMAROYJABZCDGN-UHFFFAOYSA-N 408.357 4.577 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)cc2)nc1 733236845 JBSAXPZKULMPLG-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 735433164 QHQYDMAXYHLFEM-UHFFFAOYSA-N 405.838 4.634 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCC(=O)CCC(=O)c1cccs1 735791088 JNZYLQVJEZSQAC-UHFFFAOYSA-N 409.847 4.536 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(-c3ccc(F)cc3)cc2F)cc([N+](=O)[O-])c1 736196448 PUVHODILZFFRJI-UHFFFAOYSA-N 412.348 4.579 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(c2cccc(Br)c2)CC1 736417209 NLAWPDREBQRVRN-UHFFFAOYSA-N 409.667 4.989 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H](C)c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 738829238 IBLJIGDTEKSLFH-YWZLYKJASA-N 424.478 4.991 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(Sc2ccccc2Cl)nn1 742228278 RZXHWGHISLDZIP-UHFFFAOYSA-N 404.810 4.581 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C(C)(C)c2ccc3ccccc3c2)cccc1[N+](=O)[O-] 745417355 HFCXYQWPLJBRJP-MRXNPFEDSA-N 420.465 4.904 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc2c(c1)CC(=O)N2 748509721 VBOSMVDJQAPKBH-AWEZNQCLSA-N 418.405 4.800 5 20 HJBD O=C(Nc1nc2c(s1)C[C@@H](c1ccccc1)CC2)c1cc(F)c([N+](=O)[O-])cc1F 755190433 WJAYGZCYARJYST-LBPRGKRZSA-N 415.421 4.854 5 20 HJBD CC(C)Cc1ccc([C@H](C)C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 758853790 GBNMDMVBWNWWJR-HNNXBMFYSA-N 409.442 4.690 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1cnc(Cl)s1 758869751 FQTIUKSUWLDCKU-UHFFFAOYSA-N 400.641 4.594 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N1CCC2(CC1)OCc1ccccc12 759716501 WNVKHHITKZCJPJ-UHFFFAOYSA-N 423.347 4.548 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCCCNC(=O)OCc1ccccc1 760547728 ROKHTQNZKBJPIV-UHFFFAOYSA-N 419.865 4.592 5 20 HJBD O=C(CN1CCCCCC1)Nc1cccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)c1 761514630 FBJQAKKJPUTHRA-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3ccnc(OCc4ccccc4)c3)no2)cc1 761683341 UMOBDXLWTSUVFP-UHFFFAOYSA-N 420.450 4.911 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2c(Cl)cccc2Cl)o1)c1cccc([N+](=O)[O-])c1 763400198 VWKRBIWKTDQIDX-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764218089 VJHFNCDGSKXBDN-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(CCNC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 765608055 STGRPDNZGAKIPN-QGZVFWFLSA-N 413.518 4.821 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@H](c4ccc(C(F)(F)F)cc4)C3)n2)c1 767873731 CZHXUYFEKYJDOZ-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD CCc1cccc[n+]1/C(C(=S)NC(C)(C)CC)=C(/O)c1ccc(C)c([N+](=O)[O-])c1 773939747 XQNJTGJKEOMPJN-UHFFFAOYSA-O 414.551 4.743 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 773958511 VJVXKCGEYFVSRS-UHFFFAOYSA-N 419.416 4.596 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 785393920 CEHPKRBSGJIDTO-UHFFFAOYSA-N 401.488 4.568 5 20 HJBD CC[C@H](Oc1ccccc1Cl)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786589226 ZUKQKZVKSQKQOT-KRWDZBQOSA-N 416.817 4.804 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)cn1 798669792 MEZCKRQANLKNNL-SNVBAGLBSA-N 400.328 4.730 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1C(=O)NC(C)C 812986260 VEADZIWASYGYMH-UHFFFAOYSA-N 410.257 4.601 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)[nH]c1C 815098968 PGWVQOGGQDGNKF-UHFFFAOYSA-N 404.348 4.873 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 836864318 BGBOCJNBRREEIM-KMKOMSMNSA-N 419.359 4.614 5 20 HJBD O=[N+]([O-])c1ccc(I)c(-c2nc(C3CCCCCC3)no2)c1 904679322 IYYPRAVVLFFKGQ-UHFFFAOYSA-N 413.215 4.687 5 20 HJBD Cc1c(OC(=O)c2ccc3c(c2)C[C@@H](c2ccccc2)OC3=O)cccc1[N+](=O)[O-] 917049700 LDTCGWOCQDBXPN-NRFANRHFSA-N 403.390 4.577 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)NC2=S)cc1C 917607816 SCZRODIHXKQLHA-UNOMPAQXSA-N 419.462 4.734 5 20 HJBD O=C(CC[C@@H]1Cc2ccccc2NC1=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920331322 XNBURNMRUNCNQD-LJQANCHMSA-N 416.433 4.758 5 20 HJBD CCCN(Cc1nc(O)c2sccc2n1)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 1116437809 UAOBVEALAKZPGH-UHFFFAOYSA-N 424.482 4.977 5 20 HJBD COc1cc(C2(c3nnc(COc4ccccc4[N+](=O)[O-])o3)CCCC2)ccc1C 1345740395 NVGUYJCHQUMPJO-UHFFFAOYSA-N 409.442 4.734 5 20 HJBD CN(Cc1ccc(C(=O)NC2CC2)cc1)C(=O)c1cc2ccccc2c2cccnc12 45769488 AXXCTWPEIVEROX-UHFFFAOYSA-N 409.489 4.552 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)c1ccc([N+](=O)[O-])s1 108825803 ZFCNNXWPTFBCNY-UHFFFAOYSA-N 416.478 4.671 5 20 HJBD COc1cc(OC)cc([C@H](Nc2cc(C)c([N+](=O)[O-])cc2Cl)c2nccn2C)c1 301445206 PGQXBYFOPNCTFA-IBGZPJMESA-N 416.865 4.509 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 301876628 SMPYMLDSZAYIOT-WBVHZDCISA-N 412.490 4.539 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)CCNc1ccccc1[N+](=O)[O-] 303320029 RBZVTLSXSWZZQB-UHFFFAOYSA-N 421.501 4.827 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2Cc2ccc(Cl)c(F)c2)c1 303324126 IIHVQAOSGDWWLQ-UHFFFAOYSA-N 420.853 4.606 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 409664530 LBOLCADTBHZDFP-LLVKDONJSA-N 413.421 4.896 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 409677775 HNXAZNOHZPKQOR-HXUWFJFHSA-N 419.416 4.647 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1Cl 426133377 JNZAUBHIKOHDGB-VIFPVBQESA-N 402.665 4.844 5 20 HJBD CC(C)c1ccc(Cn2nccc2NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1 429558169 IFSBFRIKUCSUSF-UHFFFAOYSA-N 419.485 4.553 5 20 HJBD Cc1cc(C)c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c(Br)c1 431204602 UVEVYCVJDJMGIJ-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD CCC(CC)n1ccc(CSc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)n1 433388219 LVKKSDXKQCKTBY-UHFFFAOYSA-N 400.508 4.723 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(COc4ccc(Cl)cc4)o3)nc2c1 434809726 VWNMVUZMWHWJCV-UHFFFAOYSA-N 418.818 4.644 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 435373202 CXNSNYDDQUEKGF-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(NCc1csc2ccccc12)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436062836 FTPNVQOAKABPDK-UHFFFAOYSA-N 402.450 4.965 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 437276365 NFLMJQUDTJZFSG-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)c(C)c1 438843005 RKSCQWUCOJBYNX-CYBMUJFWSA-N 401.488 4.679 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2ccc(Cl)cc2C1 444282294 BMYZVRRQZVTQGW-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3cccc([N+](=O)[O-])c3)n2)cc1 445277373 VLBMFLGUSHXHKL-XCHKQJEOSA-N 406.442 4.843 5 20 HJBD CC(C)c1cccc(N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 447008720 WQKXKZQFRASHKQ-UHFFFAOYSA-N 402.394 4.562 5 20 HJBD CCn1c([C@@H](C)Oc2cccc(C)c2C)nnc1N1CCc2ccc([N+](=O)[O-])cc2C1 460160295 BBNROXHJVISUFK-QGZVFWFLSA-N 421.501 4.526 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC(C)(C)[C@H]2C2CC2)cc1[N+](=O)[O-] 460980091 MEDRGHMUZURZPL-OAQYLSRUSA-N 424.522 4.808 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1[C@H]1CCCCC1=O 462780579 YTNUQYXPIVRUNI-HMXCVIKNSA-N 400.519 4.694 5 20 HJBD CCCCc1nc(-c2ccc(OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)no1 463251814 WIESBVUDTJQHMD-UHFFFAOYSA-N 421.413 4.616 5 20 HJBD CSc1ccc(C(=O)NC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 464701381 GJOLNAZOQFXKRZ-FXAWDEMLSA-N 400.500 4.523 5 20 HJBD O=C(N[C@H]1CCCOCC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466242780 QPGKLPZHZYTLOX-HNNXBMFYSA-N 406.891 4.698 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OCCC(C)C 466298480 NEUJBOOPPCMPCM-CQSZACIVSA-N 404.438 4.658 5 20 HJBD COC(=O)c1nc([C@H]2CCCN2c2ccc(C(F)(F)F)cc2[N+](=O)[O-])sc1C 480580259 QDCKZYUAMJSQJC-GFCCVEGCSA-N 415.393 4.507 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1ccc(F)cc1OC1CCOCC1 482228852 DCMLRLNOTJVAJH-UHFFFAOYSA-N 403.410 4.553 5 20 HJBD COc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 485462054 DOQNMXOEUJIQER-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD COc1ccc(CSCc2nc(-c3cc(F)c(F)c(F)c3)no2)cc1[N+](=O)[O-] 486761802 LUDKPCUDFLFBSX-UHFFFAOYSA-N 411.361 4.504 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1cccc([N+](=O)[O-])c1 490334045 DCIBHKDQDVLTMG-GDBMZVCRSA-N 414.531 4.580 5 20 HJBD CN(C)Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1Cl 500784120 AFPJFTFKJBXINK-UHFFFAOYSA-N 419.912 4.508 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2OC)cc1 502848057 UKCOBPSPNCGHQV-NRFANRHFSA-N 407.426 4.523 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1noc(Cc2ccccc2)n1 504931450 YBFYATKGPRDCIH-WBVHZDCISA-N 412.515 4.790 5 20 HJBD COc1cc(CNC2(c3cccc(Cl)c3)CC2)c([N+](=O)[O-])cc1OCC(F)F 505471864 NTDGAFPKLJBTPN-UHFFFAOYSA-N 412.820 4.680 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)Nc3nc4sccn4c3[N+](=O)[O-])s2)cc1 505769715 WHRIVRFVTNKDDV-SNVBAGLBSA-N 415.500 4.918 5 20 HJBD COc1ccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] 506542207 QOUGESGECANRLQ-UHFFFAOYSA-N 423.469 4.743 5 20 HJBD CNC(=O)c1ccc(N[C@@H](c2ccc(Br)cc2)C2CCC2)c([N+](=O)[O-])c1 506966651 YNTICQUCIZZZSX-GOSISDBHSA-N 418.291 4.670 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2cc(C)no2)cc1OCc1ccc([N+](=O)[O-])cc1 509814296 KHSOJAPDEVCCNC-FQEVSTJZSA-N 423.469 4.816 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 509952852 PIKOUVLKEBHJRB-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD COc1ccc(-c2ccc([C@@H]3Nc4ccc(Cl)cc4C(=O)N3C)o2)c([N+](=O)[O-])c1 510759012 UQYBUUVPHOSPAP-LJQANCHMSA-N 413.817 4.713 5 20 HJBD Cc1nn(C)c(C(=O)N(Cc2ccc(-c3ccc(F)cc3)s2)C(C)C)c1[N+](=O)[O-] 511446533 VEJYBRVWPPBUFM-UHFFFAOYSA-N 416.478 4.555 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1csc(-c2ccc(Cl)cc2)n1 513002640 BWDRKHTWESDQID-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 513697885 TWYHTTFREZXAEZ-VGOFRKELSA-N 408.502 4.693 5 20 HJBD COc1cc(CN[C@H]2CCC[C@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 522717202 OQQUTEJRYGBWTQ-OALUTQOASA-N 416.543 4.946 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 524043260 CHJLDNDUHLCLKS-GFCCVEGCSA-N 405.838 4.696 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@@H](C)C(C)C)cc1[N+](=O)[O-] 524421503 XJEKWFDRKTWWEW-AWEZNQCLSA-N 400.500 4.664 5 20 HJBD Cc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3NCc3ccccc3)ccc2n1C 525286813 AXRSKSVVVHNQNW-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(c3ccc(F)cc3)CC2)cc1[N+](=O)[O-])c1ccccn1 530731722 VAFIYVUQJUHWCD-OAHLLOKOSA-N 420.444 4.721 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H]2CCO[C@]3(CCSC3)C2)cc1[N+](=O)[O-] 532866729 PFGALPNKZUWRQU-XMSQKQJNSA-N 413.543 4.507 5 20 HJBD CC1(C)CO[C@H](c2ccccc2)CN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 533262164 ZIAPIXISWLPYAP-NRFANRHFSA-N 407.470 4.644 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 534706865 UUJAULGCWDUXCD-UHFFFAOYSA-N 415.381 4.785 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OC)ccc1C 537393188 BDJBJBLNOPOIKX-UHFFFAOYSA-N 402.472 4.834 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 537464538 TXVVYRSBOBLQAO-KFKAGJAMSA-N 404.532 4.565 5 20 HJBD O=C(NCCOc1ccc2ccccc2c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540322824 WJQPNKKMNPTWEQ-UHFFFAOYSA-N 413.433 4.619 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)[C@@H]2C 541021467 YDDVHBTZLKWORC-JKSUJKDBSA-N 407.470 4.809 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(NCc3cccc(COCc4ccccc4)c3)c12 541578107 GKKFHCWBSMKXOK-UHFFFAOYSA-N 400.438 4.867 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC1CCOCC1)c1ccccc1 544371799 SVLAMHLATGZINY-YCRPNKLZSA-N 404.894 4.663 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 545101769 PQXIKJNZHYQMET-QGZVFWFLSA-N 401.369 4.595 5 20 HJBD Cc1cc(C(=O)N2CC=C(c3cccc(Br)c3)CC2)cc([N+](=O)[O-])c1 547235101 PMTDECPSWOHCDG-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1c(F)cccc1Cl 549168487 MYJXRVSPNYODCT-UHFFFAOYSA-N 420.750 4.640 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCc2cnc(-c3ccc(Cl)cc3)s2)n1 551816929 FEPAHCIUVRFOEH-DLBZAZTESA-N 417.922 4.842 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c1 554944035 HBQUTPWIPWTIJW-OAHLLOKOSA-N 420.746 4.819 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CC[C@@](F)(c3cc(F)cc(F)c3)C2)cc1[N+](=O)[O-] 565612492 NJDPONSEXRNJEE-RBZFPXEDSA-N 407.392 4.523 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1F 577895318 DINDFWZZNAXCBJ-NXEZZACHSA-N 413.218 4.616 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 577897885 IUZVQQLKSNYOHJ-GFCCVEGCSA-N 413.293 4.651 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nc(-c2ncoc2-c2cccc(C(F)(F)F)c2)no1 584318119 UBEGAQZHJMJQEG-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD COCCN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1ccccn1 589195957 VJQKTNBKIDVRAN-UHFFFAOYSA-N 411.433 4.570 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3cnn(-c4ccccc4)c3)no2)c1 590272889 YZGUJPVBMNKMQU-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 603910494 PDNULSMZWMJHEQ-MRXNPFEDSA-N 406.486 4.591 5 20 HJBD O=C(N[C@H](c1ccc(Br)cc1)C1CCC1)c1ccc([N+](=O)[O-])cc1F 604018122 ZKAWGENLCRXUEN-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 604257244 BXBRCAKWXXPEQL-UWVGGRQHSA-N 410.270 4.544 5 20 HJBD Cc1nn(CCC(=O)N[C@@H](CCC(C)C)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 608805355 ZAZWJAZKOPMKLN-SFHVURJKSA-N 406.914 4.745 5 20 HJBD Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1NC(=O)c1ccccc1F 608870443 FBEVQCACTOVRGQ-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)s1 609293002 UYYFRYHFOPRCEJ-GUYCJALGSA-N 411.487 4.871 5 20 HJBD CCc1ccc(OCc2csc(-c3cccc(OC)c3OC)n2)c([N+](=O)[O-])c1 609650870 JPDBPQROGFWLRJ-UHFFFAOYSA-N 400.456 4.877 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)c1nc(C)cs1 610184946 TWAWWIPNWKIPAE-NRFANRHFSA-N 416.547 4.651 5 20 HJBD COc1ccc([C@@H](Nc2ncnc3ccc([N+](=O)[O-])cc23)c2ccccc2OC)cc1 611711936 BSKYQMFXGHVQBZ-JOCHJYFZSA-N 416.437 4.757 5 20 HJBD Cc1cnc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 612517475 QSSSTAHYJHIOSY-LBPRGKRZSA-N 405.545 4.928 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727705155 IWGYEVYKKOVLFI-UHFFFAOYSA-N 400.366 4.502 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC[C@@H]3c3nc4ccccc4s3)c2)cc1 728895688 DDFCKZUETFSFAN-LJQANCHMSA-N 405.483 4.863 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C/C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 729871905 MNYCADLQCFOOHL-RUMSDORHSA-N 423.425 4.579 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 730506910 RUFNJSSQDXSFNS-UHFFFAOYSA-N 403.504 4.814 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1 730761520 HGWVPYSKPILQOR-UHFFFAOYSA-N 419.416 4.596 5 20 HJBD CC(C)Oc1ccc([C@H](O)CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 734849873 GKRDBLXSDWJWGU-OAQYLSRUSA-N 412.511 4.934 5 20 HJBD O=C(Cc1ccc(C(F)(F)F)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443695 QUMNSBFQJRNQKK-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD C[C@@H]1C[C@@H](N(C)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCN1Cc1ccccc1 738885039 OGWOFXQMKZZUKP-QAPCUYQASA-N 416.909 4.765 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)OC(c1ccccc1Cl)c1ccccc1Cl 741119084 QAFQXTLHPLKPKO-UHFFFAOYSA-N 420.252 4.821 5 20 HJBD CN(C)c1ccc(N2CCC(Nc3ccc([N+](=O)[O-])cc3Br)CC2)cc1 742417862 ZCWKCISSVGCCFM-UHFFFAOYSA-N 419.323 4.504 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C[C@H](O)c2cccc([N+](=O)[O-])c2)cc1 750079591 HCXKQBBKUOTWCB-SBUREZEXSA-N 406.482 4.997 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@H](C)c3ccc(Cl)s3)CC2)ccc1[N+](=O)[O-] 750713342 AGMHMLSSEKPFCA-GFCCVEGCSA-N 424.906 4.634 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](C)C(=O)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 752217217 MLZILHGRVAMIGM-CQSZACIVSA-N 409.442 4.584 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nnc(-c2cccc(C)c2)o1 753407205 UUNDPCQVEGUBIZ-ZDUSSCGKSA-N 413.455 4.983 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1[C@@H]1CCC[C@@H](C)C1 758161662 BAMLVUSZRYPEFR-BXUZGUMPSA-N 423.926 4.630 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1cccc([N+](=O)[O-])c1Br 759154254 RORCGLYIMFRULI-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1Br 760616393 QDNWYXGEQZQWMT-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@H](OC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F)c1ccccc1[N+](=O)[O-] 764586818 AJDCZSDZAVDZGV-JTQLQIEISA-N 422.775 4.685 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 765058788 SSZZUVFPNFZMLU-JSGCOSHPSA-N 400.866 4.571 5 20 HJBD COc1cc(CN2CCCCC[C@H]2C[C@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766798092 XUXPECPWBZSZIY-YJBOKZPZSA-N 408.495 4.672 5 20 HJBD COc1cc(CN(C)Cc2ccc(Br)c([N+](=O)[O-])c2)ccc1SC 769192138 JIWRHLHAIZNDEX-UHFFFAOYSA-N 411.321 4.720 5 20 HJBD CC(C)c1cccc(OCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776134014 KPYRTNSJXDBJDU-QHCPKHFHSA-N 406.438 4.825 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1c1cccc(F)c1F 776139973 XDOUKMSKECGNKE-CSODHUTKSA-N 410.376 4.704 5 20 HJBD O=C(Nc1ccc(SC2CCCC2)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 783398883 ADBHOROMAHLBKD-UHFFFAOYSA-N 419.890 4.860 5 20 HJBD CCc1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cnn1-c1cccc(Cl)c1 791591377 RZXVAFCOQPHDFQ-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD CCC[C@](C)(CC)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796334737 IFHDGWPBMXWCMV-DIFFPNOSSA-N 404.385 4.700 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC1CCN(Cc2ccc(F)cc2)CC1 811474687 QGWNQHBAOLAHNK-UHFFFAOYSA-N 412.292 4.795 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC[C@@]2(C)[C@H](C1)C2(Cl)Cl 812212231 SXJSNSJMMOKZIZ-YJBOKZPZSA-N 424.332 4.529 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(C[C@@H]1CCCO1)c1nc2ccccc2s1 877869867 IAFJPOOXEPXXEO-ZDUSSCGKSA-N 417.874 4.684 5 20 HJBD Cn1cc([C@H](Nc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)c2ccccc2)cn1 1254918506 DJSQSBCPPAKHRN-JOCHJYFZSA-N 415.453 4.504 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cnn(Cc3ccncc3)c2)o1 1318419221 WFWIUAXVINCSME-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD CN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1320466973 YHOIAVLIJUWBAG-UHFFFAOYSA-N 424.844 4.789 5 20 HJBD CC(C)CC(=O)NC1(c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)CCC1 1790696802 XZVGXROLQWRGRR-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc(-c2cccc3ccccc23)cs1 7688816 UVKLVVMDXMRFOQ-UHFFFAOYSA-N 405.435 4.889 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 8807486 LNDDDFIUGGLSMZ-LLVKDONJSA-N 404.879 4.737 5 20 HJBD Cc1ccc(SCCC(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 10210566 CVDQZMIGPFETLO-ZDUSSCGKSA-N 422.890 4.609 5 20 HJBD O=C(CSc1ccccc1Br)Nc1ccc(Cl)cc1[N+](=O)[O-] 16360417 LHZKNFWQMRIWGO-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1Cl 32042325 MCYYNOOMQHSIJF-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1)c1ccccc1 46001596 YJYLVSKVAYNQAE-UHFFFAOYSA-N 416.437 4.674 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] 46210951 LKLCQNKAQINWHL-HNNXBMFYSA-N 418.515 4.501 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1Oc1cccc(F)c1 60458650 MXQIBZUGJOEDTI-UHFFFAOYSA-N 411.389 4.585 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-n3nccc3C(F)(F)F)cc2)cc1[N+](=O)[O-] 195629198 LUYMFPBGVMSSGK-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3cccnc3)n2Cc2ccccc2)o1 209876649 WHNJDIXCDPTVBV-BENRWUELSA-N 405.439 4.653 5 20 HJBD CC(=O)Nc1cc(Cl)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 303266205 IRXLHPBDYKWIKN-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccccc1COc1ccccc1 303809269 NFMVSRDPIIOBOW-UHFFFAOYSA-N 404.466 4.927 5 20 HJBD O=C(c1cc(NCCCO[C@@H]2CCCc3ccccc32)ccc1[N+](=O)[O-])N1CCCC1 427378004 ULFSNNDAEGKPBQ-HSZRJFAPSA-N 423.513 4.727 5 20 HJBD CCc1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cnn1CCC(C)C 427418058 ZFXQCKPEEVPIHP-UHFFFAOYSA-N 420.488 4.878 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(OC(F)(F)F)c1 437997789 GKBHHZWNLSGNTN-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 443959892 NZYAYGPCTJUCKT-QGZVFWFLSA-N 407.829 4.610 5 20 HJBD CCCc1nc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)nn1-c1ccccc1Cl 444742744 ZYHOJKBRIRSPBJ-UHFFFAOYSA-N 413.865 4.651 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](C)Sc2ccc(Br)cc2)c1 461086235 JHINRGXLEFECKH-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1NCc1ccc(Cl)cc1 462844675 XWNQQZNBEGTHFD-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD O=C(c1cc(-c2ccccc2C(F)(F)F)on1)N1CCCc2c1cccc2[N+](=O)[O-] 464183562 DFMQENCQFQZFFM-UHFFFAOYSA-N 417.343 4.862 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1cccs1)Cc1cccs1 466160234 VVMOAOGNYVOUTK-UHFFFAOYSA-N 401.513 4.672 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H]2CC[C@@H](SCC)C2)c([N+](=O)[O-])cc1OCC 467196155 OMBXMNWQWZVMQQ-UONOGXRCSA-N 411.524 4.530 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2c(OCC)cccc2[N+](=O)[O-])cc1 470839978 LUNVDFDOMJOAAL-UHFFFAOYSA-N 413.474 4.508 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1Cn1ccnc1 481235812 XNHOEWLYKKGMLV-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485580077 MSKKMVBQYKJTHO-QZNHQXDQSA-N 416.481 4.579 5 20 HJBD CSc1cccc(C(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)c1[N+](=O)[O-] 486415193 ZGOOKDXJJXHGAF-GOSISDBHSA-N 413.886 4.885 5 20 HJBD COc1ccc2[nH]cc(C3CCN(c4nc(-c5ccc([N+](=O)[O-])cc5)no4)CC3)c2c1 486945767 ZCMFZYRWZPUAHL-UHFFFAOYSA-N 419.441 4.519 5 20 HJBD CCN(C(=O)c1ccc(C(=O)N(CC)c2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 494249343 ZLVHSJFEVLOBOS-UHFFFAOYSA-N 417.465 4.928 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 499137409 YMEWQWZISKOYAF-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCOC)cc1C 504070899 MERMYDXDLXBECK-UHFFFAOYSA-N 404.488 4.683 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F 506703722 LTUXYRBAJWEGOW-OAHLLOKOSA-N 403.307 4.915 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccccc1[N+](=O)[O-] 508289931 QJCZLNIFURBWQG-KBPBESRZSA-N 415.877 4.904 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CCC(=O)c1cc(Cl)sc1Cl 513337509 BRUKYMXOVHNWEZ-UHFFFAOYSA-N 415.298 4.627 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 517653485 HVBDRAKAKMGXAF-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD O=C(CSc1nccn1-c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 517658648 OROMCAWJAUKGKC-UHFFFAOYSA-N 407.373 4.774 5 20 HJBD CC[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C)cs1 520627525 PNDSMIZVYHGXNV-LLVKDONJSA-N 419.450 4.738 5 20 HJBD Cc1nn(CCC(=O)Nc2nc(-c3cccc4ccccc34)cs2)c(C)c1[N+](=O)[O-] 523229058 PWSYJTWUMNJNSX-UHFFFAOYSA-N 421.482 4.714 5 20 HJBD Cc1nc2sccn2c1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 524709674 VEVSHYOSKNKVER-UHFFFAOYSA-N 422.466 4.677 5 20 HJBD NC(=O)c1ccc(CN[C@H](c2cccc(Cl)c2)C2CCCCC2)c([N+](=O)[O-])c1 531858930 YEYFCJDFCAGCLH-FQEVSTJZSA-N 401.894 4.758 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 533763769 SXVFALGVBBTXJJ-ZDUSSCGKSA-N 401.492 4.726 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCCc1cccc([N+](=O)[O-])c1 535445553 GNEBYHUTKYBDNW-UHFFFAOYSA-N 415.902 4.638 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537330334 OLUNKIJONBCSCW-CQSZACIVSA-N 404.430 4.527 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccn(-c2ccccc2)n1 537430389 HUNDFIDUZCLDIV-UHFFFAOYSA-N 424.526 4.645 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(NCCSCc2cccc3ccccc23)s1 537640676 GKOMQJPZVJOIFF-UHFFFAOYSA-N 422.553 4.558 5 20 HJBD Cc1ccc(Cl)c(S(=O)(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c1Cl 538454635 HFYDOTJKFGZDEZ-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD O=[N+]([O-])c1cc(CN2C[C@@H]3[C@H]4CC[C@@H](O4)[C@H]3C2)ccc1Oc1ccccc1Cl 538737653 UCWYYWQLKZSIRY-ILRDRHFLSA-N 400.862 4.650 5 20 HJBD C[C@H]1C[C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 538863913 VZHVTKPHMRZODO-UONOGXRCSA-N 420.918 4.944 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1nccn1Cc1ccccc1 539830551 DRNGZLYSUFGCIC-UHFFFAOYSA-N 402.454 4.732 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1csc(Br)c1)C1CC1 541785108 GRSLATSGCIJNTF-UHFFFAOYSA-N 414.256 4.754 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1O)c1ccc(Br)cc1[N+](=O)[O-] 544813256 MUQBEDPRAXJSID-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CC(C)(C(=O)NCCc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1F 545822996 BANGRTQRRQQUAP-UHFFFAOYSA-N 421.881 4.634 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)c(Cl)c1 546990616 AUYSFTGAGLSZCN-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@](O)(C(F)(F)F)CC3)s2)cc1 558417758 HKJLPLPJVADVMH-KRWDZBQOSA-N 400.422 4.603 5 20 HJBD O=C(Nc1ccc(SCc2ccccc2Cl)cn1)c1cc(O)ccc1[N+](=O)[O-] 568425740 VOJPEZGUBAUDCJ-UHFFFAOYSA-N 415.858 4.893 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 569652998 IUZRPQHHBWYHBO-GOSISDBHSA-N 407.829 4.555 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 577595204 CINAPLCBWVXAOA-AWEZNQCLSA-N 413.474 4.647 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(-c2ccc(Br)cc2)[nH]n1 590551442 VXRSXHFPVGJWQT-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCSc2ccccc21 603731115 YCTAZIXHWDDXIQ-UHFFFAOYSA-N 412.389 4.679 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 611202526 OFESCPKBBDRKDM-UWJYYQICSA-N 414.527 4.947 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCC[C@H]3c3ccc(Br)c(F)c3)c2c1 619527840 QPCVQPQSWLUJOL-KRWDZBQOSA-N 417.238 4.781 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3c(F)cccc3[N+](=O)[O-])cc(-c3ccco3)nc21 728989932 GROUUANFJNROLU-UHFFFAOYSA-N 424.388 4.677 5 20 HJBD Cc1c(NC(=O)CC[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 729297161 XNNKDFOISLTJNW-GOSISDBHSA-N 413.474 4.888 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)cc1 731802322 FGMODKBGRKCFCD-GHXNOFRVSA-N 410.393 4.549 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCc3ccc(C)cc3)c2)c([N+](=O)[O-])cc1OC 732011589 HHUFDTLOURFOBB-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 733281114 LKDPIARBGMCUOP-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 733782431 XSFDILXGMBWDRL-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1ccc([C@H](O)CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735368461 PMOQRNVMFNKQBG-OAQYLSRUSA-N 407.426 4.551 5 20 HJBD CC[C@@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1cc([N+](=O)[O-])ccc1Cl 741792807 VBQGTEZVFYETTK-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1cc([N+](=O)[O-])ccc1Cl 743753551 MOIOWGMYSAQKEW-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD Cc1cccc(C(=O)O[C@H](C)c2ccc(N(C)Cc3ccccn3)c(F)c2)c1[N+](=O)[O-] 744203698 NUFMIVMQFDDPDQ-MRXNPFEDSA-N 423.444 4.992 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2coc(-c3ccc(Br)cc3)n2)c1 745389803 SKQJYFLLBOAQLQ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccccc2)c2ccc(F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618939 HKPGCEQPGNWYQW-FQEVSTJZSA-N 423.400 4.778 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cc([N+](=O)[O-])ccc1Cl 748662423 DXNKIQIDWQBASX-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(Oc2nccs2)cc1 750765339 YXGIUEWPKWETFJ-LBPRGKRZSA-N 402.453 4.930 5 20 HJBD O=C(NC1CC(c2ccccc2)C1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750864138 MHERSBGAQBNWOX-UHFFFAOYSA-N 409.667 4.687 5 20 HJBD Cc1ncc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 754368031 ZJMCKNVUVRMPAA-UHFFFAOYSA-N 405.545 4.615 5 20 HJBD CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1Cl 758263477 GRVBQVXQSXHOCZ-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC(c2cccc(F)c2)CC1 770186075 WTEHFOOJXTWMTQ-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD C[C@H](CC(=O)Nc1ccccc1C(F)(F)F)NCc1cc([N+](=O)[O-])ccc1Cl 773041960 URRCLHZJCCRSIB-LLVKDONJSA-N 415.799 4.774 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138462 LAMAMAZLTBWBNC-SSSWZJSRSA-N 417.446 4.946 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)N[C@H](c1ccccc1)c1cccs1 795262130 SLORWGCEAARIMU-LJQANCHMSA-N 420.849 4.733 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@@H](c3ccccc3)[C@H](O)c3ccccc3)CC2)cc1 808724850 SIWWGAHWMOWRBW-LOSJGSFVSA-N 417.509 4.628 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(C(F)(F)F)nc1 808950160 AKRRJDBDLOPEDQ-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811219579 WMJRHKYIQNJITJ-UHFFFAOYSA-N 407.451 4.876 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(-c2cccc(OCc3cccnc3)c2)no1 904502774 KYJJDIFQZRPKAN-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD Cc1c(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)cccc1[N+](=O)[O-] 915371209 GZMZLYYOPAKPAO-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD Cc1ccc(/C=C/c2nc(=S)n(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)[nH]2)o1 919950399 JSXOZJAVZPMJJL-ZHACJKMWSA-N 413.503 4.789 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCN(c2cc(Cl)ccc2Cl)C1=O)c1cccc([N+](=O)[O-])c1 920160573 XTYVQDXJEODPOA-ABAIWWIYSA-N 423.252 4.559 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(COCc2ccc(OC)cc2)c1 1318024361 ZXUQJDSEJMCZDM-UHFFFAOYSA-N 421.453 4.614 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc(-c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)o1 1322487627 WYVGNSZNYWYEEX-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nnc(-c4cnn(-c5ccccc5)c4)o3)cs2)c1 1345529897 WUXNBZOFSORLFT-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD C[C@@H](OC(=O)C[C@@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 8754394 NXIIACFLOCGZSI-CHWSQXEVSA-N 424.375 4.678 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 9855374 MSXGPPQVPLHYPU-SNVBAGLBSA-N 406.414 4.553 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c(Cl)c1 22547547 RHGOIRIDQVHEBP-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)Nc4cccc([N+](=O)[O-])c4)cc3)o2)c1 30809448 BMUQRMRFSBQWRS-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(CN2CCCCCC2)CC1 45832293 OKMGEOUYOWUUDU-UHFFFAOYSA-N 401.532 4.538 5 20 HJBD Cc1cc(C)c(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(C)c1 54005439 UDOBCTZHZHPMEG-GOSISDBHSA-N 413.474 4.725 5 20 HJBD CCCn1c(Sc2ccc(Br)cc2[N+](=O)[O-])nnc1-c1ccncc1 110453934 JAQJDCSUOIDCKF-UHFFFAOYSA-N 420.292 4.572 5 20 HJBD O=C(Nc1ccc(Cl)cc1)Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])o2)cc1 140531398 UWFALNXGALFEPB-UHFFFAOYSA-N 400.778 4.738 5 20 HJBD Cc1cc(Sc2nnc(-c3cccnc3)n2-c2ccc(Cl)cc2)ncc1[N+](=O)[O-] 301731588 XWZPOTKIGNDBLY-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(Oc3nc(C4CC4)ns3)cc2o1 301928507 LBEIWCMQPGKQLC-UHFFFAOYSA-N 407.407 4.889 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cncc(Br)c2)nc1OCc1ccccc1 302189216 XRDHNJLLFAFOGU-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD C[C@H](C1CC1)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1Br 310347450 KZLXMMKYYDRADX-CYBMUJFWSA-N 403.276 4.798 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCC[C@H]3c3ccc(F)cc3F)n2)c1 432024526 LKDIRIXWALNQLW-SFHVURJKSA-N 400.385 4.650 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)c1[N+](=O)[O-] 433850363 ZHMYPLVTXLNYPM-OAHLLOKOSA-N 404.879 4.676 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(c4cc(C(F)(F)F)ncc4[N+](=O)[O-])C3)[nH]c2c1 436331717 OSVUEOQOVDZWEO-LBPRGKRZSA-N 405.380 4.577 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)C2)cc1 437244299 FXGDTPREFBUOEJ-OAHLLOKOSA-N 422.403 4.717 5 20 HJBD CCCOc1cc(NC(=O)c2cc(F)c(F)cc2F)c([N+](=O)[O-])cc1OCCC 437367741 XOGLHEOEBHEDLI-UHFFFAOYSA-N 412.364 4.842 5 20 HJBD C[C@@H](Nc1ccc(CCn2nnc(-c3ccccc3)n2)cc1)c1ccccc1[N+](=O)[O-] 439571197 LEVJNIMOVZXQQY-QGZVFWFLSA-N 414.469 4.664 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C[C@@H](C)O)[C@@H](C)Cc1ccc(Cl)cc1 441672724 GHLDVWIKZLQQED-LSDHHAIUSA-N 419.909 4.711 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 444080292 IDNPPHJPSGWNQM-HNNXBMFYSA-N 418.468 4.753 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)[C@@H](CC)Cc2ccc(C)cc2)cc1OC 460526711 RAXYEQPTFQRMIS-SFHVURJKSA-N 414.502 4.794 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@@H]1C[C@]12CCOC2 460764095 WGKDSSPMCQFSCG-KXBFYZLASA-N 421.375 4.722 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(C)(C)CN(C)C 461679636 JLEHLGSZWNDSDG-CQSZACIVSA-N 419.909 4.747 5 20 HJBD O=C(CCc1cncc2ccccc12)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 462390430 QBEITEDFFHBKGH-UHFFFAOYSA-N 404.451 4.838 5 20 HJBD C[C@@H](Sc1nc2ccccc2c(=O)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463046695 BMWFJVKOBQQVBK-CQSZACIVSA-N 407.451 4.799 5 20 HJBD CC[C@@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1nc(C(F)(F)F)cs1 463963555 JPFSAEQJKHOMDY-SNVBAGLBSA-N 402.398 4.960 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)c1 466260982 ZGMPWIYEPHYSKV-INIZCTEOSA-N 407.495 4.562 5 20 HJBD CCOc1cc(C(=O)N(c2ccccc2F)C2CCCC2)c([N+](=O)[O-])cc1OC 471407496 RBISFIDUCBWUCF-UHFFFAOYSA-N 402.422 4.731 5 20 HJBD CSc1ccc(C(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 473596889 LGASKMJVVNISJG-UHFFFAOYSA-N 417.874 4.864 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 473638496 CFKOKSWZXUJRKS-QFBILLFUSA-N 400.453 4.887 5 20 HJBD CN(C(=O)COc1ccc2cc(Br)ccc2c1)c1ccc([N+](=O)[O-])cc1 478060588 ILYGDIURESCYPD-UHFFFAOYSA-N 415.243 4.552 5 20 HJBD Cc1cc(OCC(=O)Nc2cc(C(F)(F)F)ccc2Cl)c(F)cc1[N+](=O)[O-] 478905917 JDAYNHMOBRCGII-UHFFFAOYSA-N 406.719 4.732 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc4occ(C)c4c3)cc2)c(C)c1[N+](=O)[O-] 479037027 WNHCUKCXUDAJPO-UHFFFAOYSA-N 404.426 4.763 5 20 HJBD CC(C)c1c(NC(=O)COc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 482746916 MVZHODXWWHEHLU-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@@H](C)CC[C@@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 483520820 NLMNKENTYWMBIM-IFXJQAMLSA-N 411.458 4.695 5 20 HJBD CN(C[C@H]1CCCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 484289851 KRHFCLQXHWIWSR-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC(F)(F)F)cc1 485352056 QKVSCSSSDFIHIE-UHFFFAOYSA-N 414.405 4.690 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485634205 MOIIBARNVPEAOD-ZVDOUQERSA-N 416.481 4.579 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(NC(=O)c3ccco3)c(C)c2)c1[N+](=O)[O-] 486240850 JHGMTIYSTFEXAK-UHFFFAOYSA-N 411.439 4.723 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OC(F)F)c3cccnc23)c1[N+](=O)[O-] 486582428 BFZGJYLVOZHAMF-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CN(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)cs1 490052258 FJEOBJLWLXVBMZ-UHFFFAOYSA-N 422.510 4.630 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H]3CCO[C@@H]3c3ccc(Cl)c(F)c3)co2)cc1 494480466 ZLUSBKCNJANZMM-RBUKOAKNSA-N 417.824 4.662 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 504704770 ZRPZROOCEHJNOE-CYBMUJFWSA-N 406.529 4.546 5 20 HJBD Cc1c(C(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])oc2c(C)cccc12 506071708 QLDCIYZQWYMHHN-GOSISDBHSA-N 424.453 4.771 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 509294997 SQJPYNILIXQROK-INIZCTEOSA-N 411.355 4.732 5 20 HJBD Nc1c(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)cc(F)cc1[N+](=O)[O-] 511675223 NRGLEVVBXGOKBY-UHFFFAOYSA-N 410.232 4.552 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 513571506 GEDDONHAICBNFM-IBGZPJMESA-N 407.495 4.874 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cc(-c3cncc(F)c3)ccc2C)c1 513577066 QJJPLJUVORTVFG-UHFFFAOYSA-N 409.417 4.820 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Br 515859045 FKGXPHJOGDIJRN-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1CCC[C@@H]1c1cccc2ccccc12 516783837 IYPAGZRXEMASFP-GOSISDBHSA-N 416.886 4.927 5 20 HJBD O=C(c1cc(Cl)c2c(c1)OCCCO2)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 518893196 PIPSZMDOMVNPKD-UHFFFAOYSA-N 416.861 4.999 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCc2ccccc2C1 523509485 CPPIOJOJSBUWJQ-MRXNPFEDSA-N 417.303 4.767 5 20 HJBD Cc1nc(-c2ccccc2)c(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)s1 524209528 BVODYCBCOUVAAO-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD O=C(Nc1nc(-c2ccccc2OC(F)F)cs1)c1ccc([N+](=O)[O-])cc1F 524351791 VNBLYXONYZHHNO-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C[C@@H](C)c3c(C)noc3C)n2)cc1[N+](=O)[O-] 533147568 HABAFCVQDQPZGW-LLVKDONJSA-N 400.460 4.764 5 20 HJBD CCC1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCOCC1 535619571 DIJALQCTDMVARX-UHFFFAOYSA-N 409.280 4.666 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 535840075 XATOVKLVEWIWKJ-UHFFFAOYSA-N 407.474 4.510 5 20 HJBD CC(C)(C)C(=O)NC[C@@H]1CCCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 537631002 JFOFIEIZEOZWSE-INIZCTEOSA-N 415.559 4.698 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC(c4nc5ccccc5s4)C3)o2)c1 538037781 LKECOVBLGKMAIW-UHFFFAOYSA-N 406.467 4.501 5 20 HJBD CC[C@@H](NCCC(=O)Nc1cc(Br)ccc1C)c1cccc([N+](=O)[O-])c1 539082237 OHGZCYGCHUBIFH-QGZVFWFLSA-N 420.307 4.735 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4c(C)cc(C)c([N+](=O)[O-])c4C)CC3)c2c1 539859382 LTMCZGSHIRDDHY-UHFFFAOYSA-N 419.481 4.939 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 542214612 QSMXYEYGSQMDGY-JTQLQIEISA-N 413.952 4.972 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n(-c2ccc(Cl)cc2)n1 542536545 IGGPGLGCTUJREN-LBPRGKRZSA-N 402.813 4.624 5 20 HJBD C[C@H](C(=O)NCc1ccc(CN2CCCC[C@@H]2C)cc1)c1ccc([N+](=O)[O-])cc1F 542613639 WKSBMRQVHTWFIB-IRXDYDNUSA-N 413.493 4.528 5 20 HJBD CC(C)n1ncc2c(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc(-c3ccco3)nc21 546258900 CZZVFSYOUQRCRR-UHFFFAOYSA-N 416.397 4.897 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C3CCC3)nn2-c2ccccc2)c1 549007154 QIXVMZVWKUPKQS-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD Cn1c(COc2ccccc2)nnc1SCc1cc([N+](=O)[O-])ccc1C(F)(F)F 549371193 ASXRRSHAJRDBRV-UHFFFAOYSA-N 424.404 4.613 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)no1 551706357 GHEUHTFMHILRFS-UHFFFAOYSA-N 406.320 4.906 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(C[S@@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 560559214 YBNWAHZPFFWKRR-PZGXJGMVSA-N 412.511 4.508 5 20 HJBD CCOC1CC2(CCN(C(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)C2)C1 561298745 BQIUWZUFIQIUFK-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD CN(Cc1nc2ccccc2[nH]1)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 563776284 QQTDJWHXLJTLRW-UHFFFAOYSA-N 418.478 4.895 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 566397539 CUJDBYLNIWNARN-MRXNPFEDSA-N 424.526 4.972 5 20 HJBD C[C@H](C(=O)Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 569665695 BSGMSEHXPZBFDU-ZDUSSCGKSA-N 418.375 4.601 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cc([N+](=O)[O-])c1C 588771746 RMKYDGJPBDCPQI-OAHLLOKOSA-N 407.426 4.590 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2cc(Cl)c(OC(C)C)c(OC)c2)no1 589072457 BAXKXJZIXBZNCT-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590422132 GPZHWQBXLHRJJX-SFHVURJKSA-N 420.431 4.883 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1SCC(F)(F)F 603461583 RBHFGWSLOFEGNR-UHFFFAOYSA-N 402.419 4.980 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ncccc2c1 603768115 PYKKSGRPWMFIOT-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD CC(C)n1cc2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2n1 607041288 PBIZJNBXXQCUIB-UHFFFAOYSA-N 410.477 4.724 5 20 HJBD Cc1ccc(COc2ccc(C[C@@H](C)NC(=O)Cc3ccccc3[N+](=O)[O-])cc2)cc1 608900905 RDTYJPLFXNWVIL-LJQANCHMSA-N 418.493 4.772 5 20 HJBD CCSc1ccc(Cl)cc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 609221792 YFTTUTHERAHHDB-NSHDSACASA-N 409.895 4.808 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)s1 609304922 SDNWPOYPJPGJFP-UHFFFAOYSA-N 414.512 4.503 5 20 HJBD Cc1cc(F)ccc1-c1ccc(/C=C2/CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)o1 609642911 WTTLMJNENHJNLJ-KAMYIIQDSA-N 417.396 4.957 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccccc2C(F)(F)F)cs1 609788980 ATLNRMZTYTTZCL-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2ccc([N+](=O)[O-])cc2Br)c1 610038895 LYIPKBIIAMDJAP-UHFFFAOYSA-N 421.291 4.664 5 20 HJBD CC(C)(C(=O)NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc([N+](=O)[O-])cc1 610043694 UHDHCITUPQFXPE-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 610295718 RXWPIYFRWDNILF-PBFPGSCMSA-N 406.841 4.764 5 20 HJBD C[C@@H](OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1)c1ccccc1[N+](=O)[O-] 726326692 YMJZILZITOWHEK-CYBMUJFWSA-N 407.382 4.628 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 731876720 BMBXVFWTJDCIBP-QFIPXVFZSA-N 405.454 4.900 5 20 HJBD COC(=O)Nc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 735251933 WNEUEAUVPYRDNC-UHFFFAOYSA-N 407.382 4.818 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3ccc(N)c([N+](=O)[O-])c3)s2)cc1 739766977 URNMLSCCHONJRP-CQSZACIVSA-N 410.499 4.751 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CNCc1ccc(-n2ccc(C(F)(F)F)n2)cc1 740004179 HPMGIOVFNFONHG-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1csc(-c2cccc([N+](=O)[O-])c2)n1 746071440 BQLKNOSZIZLKLS-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD Cc1cccc(Nc2nnc(SC[C@H](O)c3cccc([N+](=O)[O-])c3)s2)c1C 749639566 LROQXCOWQWHZHS-INIZCTEOSA-N 402.501 4.632 5 20 HJBD Cc1cc(C(=O)OCc2nc(O)c3c(-c4ccccc4)csc3n2)cc([N+](=O)[O-])c1 752197650 SOEWPYFPRNXOTP-UHFFFAOYSA-N 421.434 4.638 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)N2CCCc3c2cccc3[N+](=O)[O-])on1 754409579 NBQZZWVZAFJSKN-UHFFFAOYSA-N 409.467 4.777 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H](Cc2ccccc2)C1 754997370 MRPHVXOWFAPQMU-AWEZNQCLSA-N 421.266 4.591 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)C(C)C 759635436 SOMMACBHJJPEEZ-UHFFFAOYSA-N 422.485 4.518 5 20 HJBD Cc1c(NC(=O)C[C@H](C)[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)cccc1[N+](=O)[O-] 763099887 GNZZPAWJVIOOMN-GOEBONIOSA-N 405.495 4.515 5 20 HJBD O=C(CSc1nnc(CCC2CCCCC2)o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 768356911 YZTGZVVFFNMMTI-UHFFFAOYSA-N 424.910 4.875 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 769657428 LMZADYAQXCRXAK-QMMMGPOBSA-N 417.181 4.592 5 20 HJBD O=C(CCn1ccc2ccccc21)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134548 DCLVEUYBJMUNPH-QHCPKHFHSA-N 401.422 4.667 5 20 HJBD CSc1cccc(C(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c1[N+](=O)[O-] 776919073 JZUQUHOIACFOPG-ZDUSSCGKSA-N 410.417 4.965 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@@](C)(O)c1ccccc1 779346937 RFXNCZAVXUOCFO-OAQYLSRUSA-N 402.516 4.515 5 20 HJBD CCCCOC(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 782119119 MPRJLMLBBOWQFZ-UHFFFAOYSA-N 421.247 4.567 5 20 HJBD Cc1ccncc1[C@@H](C)N[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 783000477 VZCSYYHLOORHDB-QVKFZJNVSA-N 424.888 4.982 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Br)Nc1cccc(Cl)c1Cl 786743356 FHTMLXIMZIWSOA-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN(C)C1CCC(NC(=O)OC(C)(C)C)CC1 787407293 YZOUXHHNFYZBCN-DTQAZKPQSA-N 403.523 4.766 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cc(F)ccc1[N+](=O)[O-] 787670103 FPPMZGJWFWYAOO-IBGZPJMESA-N 419.368 4.730 5 20 HJBD CC(C)(C)c1cnc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 790892696 QQOKUXJRCAXNPI-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD Cc1cc(C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)c(C)n1-c1nccs1 795247773 MXJJSOGMMDOZLY-UHFFFAOYSA-N 409.826 4.513 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(Nc2cccc(Br)c2)CC1 804674916 XFNFYPOTYLOYJX-UHFFFAOYSA-N 418.291 4.641 5 20 HJBD COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)c2c3ccccc3cc3ccccc23)C1 808900861 SFRNSEYRRXUAPY-OAQYLSRUSA-N 401.384 4.561 5 20 HJBD O=C(CCC(=O)c1ccc(F)cc1F)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811082920 BHODUDZAQSXIKS-UHFFFAOYSA-N 418.179 4.886 5 20 HJBD COc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 812480353 NXTLLGIVTARBGQ-IBGZPJMESA-N 410.392 4.572 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 813629498 YFIUWBZUSSZZMM-ORAYPTAESA-N 410.392 4.726 5 20 HJBD Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)cc1NC(=O)c1ccccc1F 915278533 CBRFEPLDVMNVCG-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nc2ccccc2n1C(F)F 917947370 SJKIYFIDCAUJKA-JTQLQIEISA-N 400.341 4.739 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1323592457 GNEKHGGVYHVTPQ-UHFFFAOYSA-N 419.260 4.515 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3cccs3)Cc3cccs3)c1)OCOC2 16396695 VRGOIZSCCIUJMH-UHFFFAOYSA-N 402.497 4.787 5 20 HJBD Cc1ccc(NC(=O)c2sc(COc3ccc(F)cc3)nc2C)cc1[N+](=O)[O-] 23456125 SITPLLBZWFRTNN-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD O=C(CSc1nccn1-c1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1Cl 25136490 HTHGEARRWPESSS-UHFFFAOYSA-N 423.281 4.818 5 20 HJBD CC[C@@H]1CCCN(C(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 27153941 NHGJFPCMKULGCJ-OAHLLOKOSA-N 412.511 4.810 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 108784550 HBICXOBMVJTGJI-VFNWGFHPSA-N 409.490 4.567 5 20 HJBD CCCC(=O)Nc1cccc(N[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C 142141747 OTRNPALZRNWKHE-AWEZNQCLSA-N 409.446 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)cc1Br 219051878 ANKGEXKXACVSDM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3csc([N+](=O)[O-])c3)c(C(F)(F)F)c2)n1 237698615 ITZASSPDGJQVPC-UHFFFAOYSA-N 410.421 4.767 5 20 HJBD O=C(Nc1cccc(CNCCc2ccccc2[N+](=O)[O-])c1)c1ccccc1Cl 237860349 LOFLMWUBUMFCRW-UHFFFAOYSA-N 409.873 4.833 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])c2cnccc12 301060683 RSAYXYKOZVEOBK-UHFFFAOYSA-N 418.375 4.627 5 20 HJBD C[C@@H](C(=O)Nc1cccc(Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1)n1cccn1 301867022 VQQNOZWYIQSJAB-LBPRGKRZSA-N 419.363 4.753 5 20 HJBD O=C(Nc1cccc(Oc2ccccc2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303494879 SZHQWBUUEKCHFI-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD CC(C)(CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 303526391 GCUUJEXKIZRVDR-UHFFFAOYSA-N 418.462 4.861 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 303781743 SOPCTZTYGCJOPU-IERDGZPVSA-N 419.485 4.781 5 20 HJBD CCSCCCN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 409834045 CDLXLYCZPTTZJP-SFHVURJKSA-N 407.923 4.661 5 20 HJBD O=C(O)C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1cccc(Cl)c1 426828184 VFGVUORFCZQZBW-KRWDZBQOSA-N 400.818 4.814 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 429860354 LZNTWQNOWKWTMX-VIFPVBQESA-N 417.181 4.867 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1ccc(C)cc1 432550620 WJAVDANZERZQRV-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD C[C@H](C(=O)Nc1cccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1)n1cccn1 433238924 IPMPRUFYKUPQRC-QVKFZJNVSA-N 419.485 4.826 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439245126 HXESIXQEUMOFKT-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD C[C@@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1 440482686 PQVUVXOAQZCZJF-OAHLLOKOSA-N 421.478 4.503 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2/C=C/c2ccccc2)cc([N+](=O)[O-])c1OC 440891596 FZGSRIGGELVGOO-HYSAVQALSA-N 410.470 4.710 5 20 HJBD C[C@@]1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO[C@H]1C1CC1 441274569 BYKNUFSFMPIPQF-UNMCSNQZSA-N 412.511 4.681 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444296104 ZKKAVYXLCYNUJB-OAQYLSRUSA-N 424.422 4.975 5 20 HJBD COc1cc([C@@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1OC1CCCC1 444690784 VJQULGPLAISKAC-CQSZACIVSA-N 410.474 4.652 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3nccc4ccccc34)no2)cc(C(F)(F)F)c1 445526073 RYRONVZERTUFEE-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 461309755 WHSWELAXAIWTJM-XNJGSVPQSA-N 406.841 4.764 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)c(Br)cc1F 467450914 YBWTXUJYZDHQJJ-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2o1 470978852 CLHXILHJMPNEQY-UHFFFAOYSA-N 410.611 4.713 5 20 HJBD Cc1ccc(NC(=O)[C@@H](SCC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 472209166 ONKTXWGNJIEKOA-IBGZPJMESA-N 416.499 4.658 5 20 HJBD O=C(Nc1cc([C@@H]2CCCOC2)[nH]n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 473406457 LOSKVMXPCJYCFB-CQSZACIVSA-N 424.482 4.615 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1 479278465 MLAJPEXSKGXQGA-LBPRGKRZSA-N 423.897 4.508 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480153859 OTVRGPZIWKOHET-UHFFFAOYSA-N 416.783 4.852 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 486865556 XECAORVQXUBNQE-ZDUSSCGKSA-N 405.279 4.621 5 20 HJBD O=C(NCc1coc2ccccc12)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 488950532 FCZHRRRJXQLBRF-UHFFFAOYSA-N 404.372 4.636 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 489661307 QEJZHVKFJUTNLU-SFTDATJTSA-N 407.514 4.654 5 20 HJBD CC(C)(NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1)c1ccccc1[N+](=O)[O-] 490359429 CNUYMAGJAATTAE-ZYHUDNBSSA-N 424.320 4.509 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OCC(F)F 491181807 GOFHWZQSIMAHFH-MRXNPFEDSA-N 422.428 4.593 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(N3CCCC3=O)ccc2Cl)cc1[N+](=O)[O-] 493311257 SIMADSOHGZZVNG-CYBMUJFWSA-N 416.865 4.566 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 493407904 CSMYMKFGEKFCNC-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 495350660 LOZIENPZAJBGBW-UHFFFAOYSA-N 420.290 4.784 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3ccc4c(c3)CCO4)cc2)c1 499947513 SEIHXTPSGXQCNG-UHFFFAOYSA-N 420.490 4.849 5 20 HJBD COc1cc(C(=O)Nc2ccnc(-c3ccccc3)c2)c([N+](=O)[O-])cc1OC(F)F 500438172 RHAQYUAIENFTEP-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cc(OC)c(OC)cc1C 502515563 ICKQNSZPNUVPIF-UHFFFAOYSA-N 418.515 4.695 5 20 HJBD CCOc1ccc([C@H](C)NCc2nn(-c3ccccc3)c3c2CCC3)cc1[N+](=O)[O-] 504927516 QDBXIDASTZNJQP-INIZCTEOSA-N 406.486 4.519 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 505299587 KTQDBBUZVRAKOI-UHFFFAOYSA-N 403.442 4.633 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c(CN(C)C)c1 505630060 FGKPBNXNMCRPGX-ROUUACIJSA-N 424.545 4.699 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(OC(F)F)cc1 509339642 CECPHLDNQYVRAL-AWEZNQCLSA-N 407.417 4.877 5 20 HJBD Cc1cnn(C)c1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 512036943 MVNJPAFOPRTFGR-UHFFFAOYSA-N 404.829 4.511 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 512081851 FDZFLUUPELOUFX-CQSZACIVSA-N 410.861 4.800 5 20 HJBD Cc1nnc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1C1CC1 515887713 TWVGCWZMQRMECP-UHFFFAOYSA-N 413.821 4.528 5 20 HJBD O=C(NCCc1ccc(Br)cc1Cl)c1c(Cl)cccc1[N+](=O)[O-] 516337345 HFZNNIPAPNQRTH-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1sc(-c2ccco2)nc1-c1ccccc1 518294212 NBEPXEVYAAUTJB-UHFFFAOYSA-N 405.435 4.908 5 20 HJBD Cc1c(C[S@@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 523959932 OOBUTHBFUAUQMJ-SSEXGKCCSA-N 424.478 4.826 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nc(-c3ccc(Br)cc3)n[nH]2)c(F)c1 530868009 ZYDSNGXNECFTBP-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1c(F)cccc1Cl 530966434 MIFXUQAZYPEKNW-VHSXEESVSA-N 400.237 4.719 5 20 HJBD O=C1NCc2ccc(NCc3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)cc21 532728685 SDPLDBDQTLIHSV-UHFFFAOYSA-N 409.829 4.896 5 20 HJBD CC[C@@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1c(C)noc1C 534539569 CPFCRVGLIZOMLA-SUMWQHHRSA-N 423.473 4.649 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCOc2cc(F)ccc21 537487409 WBWZBYTWIWYCHN-KRWDZBQOSA-N 404.463 4.878 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2nc(C(C)(C)C)cs2)CC1 539422989 AJDGNGWKXLNPIS-UHFFFAOYSA-N 417.531 4.767 5 20 HJBD O=C(c1ccc(Br)cc1C(F)(F)F)N1CCc2cc([N+](=O)[O-])ccc21 540647098 GANZTFCDXPJLOV-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 544042654 SKGWILDOVQTOCE-UHFFFAOYSA-N 414.874 4.744 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4ccc(F)cc4F)no3)cs2)cc1 546276416 QRSBOGZYMDGLFH-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD CC[C@@H](NCc1cn2cc(Br)cc(C)c2n1)c1cccc([N+](=O)[O-])c1 546872195 LNBMAXUTLGMTIZ-QGZVFWFLSA-N 403.280 4.554 5 20 HJBD Cc1cc(C)c2nc(C3CC3)cc(-c3nc(Cc4ccc([N+](=O)[O-])cn4)no3)c2c1 547193648 CNEPWLBRGOUADC-UHFFFAOYSA-N 401.426 4.673 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)c1 548958910 KQFVBOZXAIBNNC-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 557723403 JCILYBJMGSCMBP-UHFFFAOYSA-N 415.381 4.580 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nccn1Cc1ccccc1 558139237 RNJLJVMFWYTDRN-UHFFFAOYSA-N 410.499 4.984 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 559294218 DWICHCWNQBMZRC-KRWDZBQOSA-N 419.481 4.724 5 20 HJBD COc1cc(Cl)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1C 561877351 FZYNQQWEQYWLNF-UHFFFAOYSA-N 401.271 4.949 5 20 HJBD COCCN(C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 567556023 JVFQRTTUELOERQ-HUUCEWRRSA-N 424.419 4.953 5 20 HJBD CC(C)NC(=O)c1ccc(NC[C@H](Cc2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 572451121 CKAWRGSCRWYFFA-INIZCTEOSA-N 409.408 4.566 5 20 HJBD O=C(Nc1ccc2oc(CO)cc2c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 576249339 CDOQIWFOCQCVEU-UHFFFAOYSA-N 404.378 4.878 5 20 HJBD CC(=O)c1cc(N2CCC(Oc3cccc(Br)c3)CC2)ccc1[N+](=O)[O-] 577169311 VTAYKMQWBYCBBJ-UHFFFAOYSA-N 419.275 4.608 5 20 HJBD O=C(Nc1sc2c(c1C(=O)c1cccs1)CCCC2)c1ccc([N+](=O)[O-])o1 590535113 NPHMRXSNGPBXFZ-UHFFFAOYSA-N 402.453 4.673 5 20 HJBD COc1cc(CNc2ccc3c[nH]nc3c2)c([N+](=O)[O-])cc1OCc1ccccc1 600859453 SGMKNSJHDSKPPX-UHFFFAOYSA-N 404.426 4.671 5 20 HJBD O=C(Nc1ccc(Oc2ccc(C(F)(F)F)cc2)nc1)c1ccc([N+](=O)[O-])c(O)c1 609202505 MUDVFCKWRCOWEG-UHFFFAOYSA-N 419.315 4.759 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc(C(C)(C)C)cs1 609214361 YJJVXFDCWOKXMW-UHFFFAOYSA-N 404.536 4.512 5 20 HJBD CC(C)COc1ccc(C(C)(C)CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 609505993 GIFBVBQMSJITRY-UHFFFAOYSA-N 404.894 4.991 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1NC(=O)C1CCCCC1 609698123 XHSYMPGRXNOAGO-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc2c(c1)OCCCO2 609762150 OLBYFMVNXNPTFJ-UHFFFAOYSA-N 406.394 4.801 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 610041120 UJBPNKMZGBKZQA-MRXNPFEDSA-N 414.502 4.937 5 20 HJBD COc1ccc(-c2ccc(/C=C\c3ncc(C(=O)O)c(C(C)C)n3)o2)c([N+](=O)[O-])c1 610106580 VHOJHJUPKMDVFR-TWGQIWQCSA-N 409.398 4.645 5 20 HJBD O=[N+]([O-])c1cc2c(c(COc3ccccc3OCc3cccc(F)c3)c1)OCOC2 610132001 ZBDDZLIYXZKWJG-UHFFFAOYSA-N 411.385 4.758 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1OCC1CCOCC1 610158890 UBIVJNGYISAKKH-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660082 BLHMLPCSUSDQKM-UHFFFAOYSA-N 404.344 4.675 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1CCCN(c2nc3ccccc3s2)C1 610664765 NUVUXSLZOBYHKM-HNNXBMFYSA-N 410.499 4.676 5 20 HJBD C[C@H]1CC[C@@H](C(F)(F)F)CN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618689815 LDZREFSUQSBAGZ-GOEBONIOSA-N 422.403 4.977 5 20 HJBD CCc1cccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)c1 727585888 KOXCTJROHCZQBT-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728033018 UVADOMWVJZPWJS-INIZCTEOSA-N 408.376 4.528 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 728900176 DWBUUGPQXZRZNU-UHFFFAOYSA-N 417.469 4.635 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 732390109 CSCDKOZDWOQCEP-OLZOCXBDSA-N 422.890 4.547 5 20 HJBD CC[C@H](CC(=O)OC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1)c1ccccc1 739384407 IWHCSPCQVUSIOY-QGZVFWFLSA-N 412.486 4.699 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cc2cccc(F)c2o1 741888953 NNNNYUHOYBYNBR-UHFFFAOYSA-N 424.388 4.581 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1[N+](=O)[O-] 741956869 JZBSGXQOXUKFQC-LJQANCHMSA-N 415.471 4.688 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1cc([N+](=O)[O-])ccc1Sc1ccccc1 742008018 VMZAJINLNHWPGJ-UHFFFAOYSA-N 423.450 4.627 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 742934897 HYILHRHOMUWPEN-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD Cc1c(CC(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)cccc1[N+](=O)[O-] 748471944 WGXHBPBQAXGXDR-UHFFFAOYSA-N 422.224 4.546 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)c2nc3ccccc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607336 AMLJUQWLQBJZQQ-LBPRGKRZSA-N 414.443 4.563 5 20 HJBD O=C(NO[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 749623700 RBAITNVBZFAMRG-QGZVFWFLSA-N 408.863 4.587 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCc1ccccc1[N+](=O)[O-] 754473503 YECCCWSUWLHCRW-UHFFFAOYSA-N 400.435 4.572 5 20 HJBD C[C@H](C(=O)OCC(=O)c1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1F 755054747 MINRDIOKFJDYJA-VIFPVBQESA-N 400.189 4.570 5 20 HJBD C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccccc2)cs1 756934336 MRROREZLWBRXKW-GFCCVEGCSA-N 416.890 4.932 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCCC[C@@H]3C[C@H](O)c3ccco3)co2)cc1 760847744 PWWNXOBXCFCIIJ-UXHICEINSA-N 411.458 4.711 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 761503122 VXENCKCXIICAOY-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD CS[C@@H](C)CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 766916033 AYESUXRQASRYND-SGTLLEGYSA-N 422.890 4.613 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 770010237 DVZUZBLGTPWFIU-LBPRGKRZSA-N 420.922 4.995 5 20 HJBD COc1cccc([C@H](OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)c1 782456412 NOBKSZOYWZAOSN-QVKFZJNVSA-N 424.478 4.812 5 20 HJBD COC(=O)Nc1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1F 782973345 BUFAOZSJFDDPOT-UHFFFAOYSA-N 415.377 4.793 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc3ccccc3n1CC(F)(F)F)CC2 784598849 ASGOJFGEQLAUOB-UHFFFAOYSA-N 403.360 4.623 5 20 HJBD COc1ccc(C2=NC[C@@H](CSc3nc4ccc([N+](=O)[O-])cc4s3)S2)cc1 788010820 ARDAZGYCXHYNBP-AWEZNQCLSA-N 417.537 4.867 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])c2)cc1 789672894 PIPDLYQOPLFJGD-UHFFFAOYSA-N 410.342 4.816 5 20 HJBD O=C(OCC(F)(F)F)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 790135041 HZYKRLKUUYPGFO-UHFFFAOYSA-N 418.711 4.578 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccnc1OCc1ccccc1 790844445 RELZWBPPOCAMGH-UHFFFAOYSA-N 415.808 4.543 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])O[C@H](c1ccccc1)c1nccs1 790974687 XWEDIJXWGHBURI-QGZVFWFLSA-N 406.822 4.719 5 20 HJBD CCCN(C(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 799411543 GPVJZAVIGHTNIO-UHFFFAOYSA-N 413.474 4.928 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 799671772 SHJKHINPNKSVSX-OAHLLOKOSA-N 408.479 4.729 5 20 HJBD O=C(CCCC1CC1)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 812827390 USAGXFLNZJUART-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD O=C(N[C@H]1CCO[C@H](c2ccc(F)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813167060 TWKALHPVYJCDPQ-BBRMVZONSA-N 413.232 4.691 5 20 HJBD Cc1sc2nc([C@@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)nc(O)c2c1C 919212467 SDHHJPJLKFRYQK-SECBINFHSA-N 413.411 4.586 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2ccc(F)cc2)c2cccs2)c([N+](=O)[O-])c1 1263629808 PRXZDDSEAUGNEN-GOSISDBHSA-N 401.419 4.715 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1OC(F)F 1319797898 VGYQMBXUCLBZFZ-WDEREUQCSA-N 414.405 4.693 5 20 HJBD COc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Br 1321286349 YPZINSDGLWIYAH-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cccc(OCc2nnc(-c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)o2)c1 1329118184 DFXFIJJFPZFVMY-UHFFFAOYSA-N 408.458 4.769 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2nc(-c3ccccc3)cs2)c([N+](=O)[O-])c1 7176698 ZYQAVGVHNRJWDP-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD C[C@@H](NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 24780369 JINGZGSHEOVNLP-SECBINFHSA-N 403.649 4.811 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1nc(C2CC2)cs1 26049999 YUAFRAHQHSTFOE-UHFFFAOYSA-N 403.407 4.678 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc2c(cc1Cl)OCCCO2 26984270 CPNBMRUWZMRCCV-NSHDSACASA-N 408.863 4.529 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCCOc1ccc(Cl)cc1 27440621 LIQIKJVHONALQV-CQSZACIVSA-N 408.907 4.704 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc([N+](=O)[O-])cc1Cl 109987267 SFSOSIPDRBIIEG-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccc(CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c(OC(C)(C)C)c1 237783769 MNQUDCJQFVGGCQ-UHFFFAOYSA-N 408.502 4.771 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)o1 237967010 QXLWIRBGMTXWQW-QHCPKHFHSA-N 406.438 4.867 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccccc3Cn3cccn3)s2)cc1 238001516 GJHFGNVLPADRPN-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD CCn1c([C@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(F)F)c2)nc2ccccc21 301952164 IBRVOZKDXILUCG-QGZVFWFLSA-N 402.401 4.907 5 20 HJBD C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C[C@@H](c2ccsc2)O1 302165618 JGXXNLWPHMMPGY-BEFAXECRSA-N 411.483 4.597 5 20 HJBD CC[C@@H](C)[C@H](C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 302954931 FMDMJYGAXGIYTA-SJORKVTESA-N 406.486 4.541 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 426000196 ROWYJYLNRAIBLA-UHFFFAOYSA-N 416.481 4.574 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1ccccc1[N+](=O)[O-] 426090269 RKARYDWKPOFXMG-GFCCVEGCSA-N 401.875 4.593 5 20 HJBD Cc1ccc(OCCCCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436078845 YQKDANVPGIPECA-UHFFFAOYSA-N 418.468 4.718 5 20 HJBD O=C([C@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437095493 LPABVAUCPIBESF-IRXDYDNUSA-N 404.388 4.908 5 20 HJBD COCc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)sc2cccc(F)c12 437119490 VDJMAEYYPIJIDL-UHFFFAOYSA-N 414.458 4.900 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 437478650 SCGRRMSKUIEIHD-BEFAXECRSA-N 422.403 4.820 5 20 HJBD O=C(CCN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1ccccc1C(F)(F)F 441225733 FCYBOFNSTMHAHL-UHFFFAOYSA-N 407.392 4.607 5 20 HJBD Cc1cn2c(n1)[C@@H](CNC(=O)Nc1cccc(Oc3ccc([N+](=O)[O-])cc3)c1)CCC2 443939519 YBHMJOLCYQWJSH-MRXNPFEDSA-N 421.457 4.591 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])ccn2)c1C 443959462 IBTJYUAMKYRDGB-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444038736 QHEHEOOXEROITQ-LFVRLGFBSA-N 420.896 4.841 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Nc2ncccn2)cc1 444626688 AWSNFKSHOJYALX-UHFFFAOYSA-N 409.471 4.881 5 20 HJBD O=C(Cc1noc(/C=C/c2ccccc2[N+](=O)[O-])n1)Nc1c(Cl)cccc1Cl 445387625 XQSBOGQCVBRGDO-CMDGGOBGSA-N 419.224 4.636 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463621244 CUMXCSOPURJZTH-UHFFFAOYSA-N 423.391 4.650 5 20 HJBD COc1cc(CNc2cccc(NC(=O)c3ccccc3)c2C)c([N+](=O)[O-])cc1F 464067302 CGPOFWASKWRESO-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 464071243 PEEUTIOAHQAKRT-LLVKDONJSA-N 405.332 4.760 5 20 HJBD Cn1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2c(Cl)cccc21 464464286 GTYCPYNZXBXSJD-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD CSc1ccc(F)cc1CNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 465212968 HECUFRFFGWQBBB-UHFFFAOYSA-N 403.460 4.509 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3nc(C)co3)ccc2C)cc1SC 470139154 YJFZQNOXCQDDHM-UHFFFAOYSA-N 413.455 4.849 5 20 HJBD Cc1cc(N[C@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)ncc1[N+](=O)[O-] 470866536 NBIMEKBRJXZFFM-OAQYLSRUSA-N 411.845 4.924 5 20 HJBD CCc1ccc(C(=O)N2CC=C(c3c[nH]c4ccc(OC)cc34)CC2)cc1[N+](=O)[O-] 471802924 DZRCMQDTKCWGNL-UHFFFAOYSA-N 405.454 4.577 5 20 HJBD CC[C@@H](NC(=O)N1CCC[C@H](OCc2ccccc2F)C1)c1cccc([N+](=O)[O-])c1 471991740 KLUADMDXXBKXJF-PZJWPPBQSA-N 415.465 4.576 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)c1 472713747 NREOANQMYJENRP-GFCCVEGCSA-N 405.451 4.510 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cc3cccc(F)c3o2)cc1SC 475752950 FVWWKBXUCNSNAY-SNVBAGLBSA-N 404.419 4.702 5 20 HJBD CC[C@H](c1ccccc1)N1CC(=O)N(Cc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C1=O 479178844 QHRNWFIOSNUGAR-QGZVFWFLSA-N 421.375 4.529 5 20 HJBD Cc1c(CNC(=O)N2CCc3sccc3[C@@H]2c2cccs2)cccc1[N+](=O)[O-] 480044540 NEOYFGVYOKAIER-LJQANCHMSA-N 413.524 4.884 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)c2C(C)C)cc1 481866967 OWEYGNHPPZTNTD-UHFFFAOYSA-N 417.469 4.806 5 20 HJBD CCc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 485334997 DZMLGTDHEBFKMF-CYBMUJFWSA-N 413.865 4.879 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486116263 DOUNFLWYYQODHX-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1sc(Br)cc1[N+](=O)[O-] 486179638 XCTXKLAHKHMZIH-UHFFFAOYSA-N 408.233 4.690 5 20 HJBD CC[C@H](C)c1nc([C@H](C)Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])n[nH]1 486794974 BGIIKQMOOXDJQO-GJZGRUSLSA-N 422.489 4.960 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 489968671 YJAMOOWSGJSMIY-MRXNPFEDSA-N 416.909 4.857 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)[C@H]3OCCc4sccc43)o2)c([N+](=O)[O-])c1 492191404 ANHMUOLCCBHVKN-YEJXKQKISA-N 414.483 4.717 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)Cc2ccc(C(C)C)cc2)cc1SC 500166393 HUUQTAIFOHEVFU-AWEZNQCLSA-N 402.516 4.810 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2OC)cc1 502848058 UKCOBPSPNCGHQV-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(NC(=O)CCC)cc1 504086320 BOPSUIXSTUVEAA-UHFFFAOYSA-N 415.515 4.766 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(COc2ccccc2[N+](=O)[O-])n1 508646414 SGQWOTCADVQUQQ-INIZCTEOSA-N 417.849 4.769 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2cc(F)cc([N+](=O)[O-])c2)n1 508686868 PTYGQWYSZWALIL-KRWDZBQOSA-N 405.813 4.996 5 20 HJBD Cc1cc2cc(NC(=O)NCCNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2[nH]1 509940700 FDYODJVUAKDNPO-UHFFFAOYSA-N 421.379 4.637 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2ccc(-c3nnco3)cc2)cc1OC 513585649 HUWAHMKZGVRRSC-AWEZNQCLSA-N 413.430 4.657 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1Oc1cc(C(F)(F)F)nc2ncnn12 517950198 QHODRYMPVSVEBJ-UHFFFAOYSA-N 401.304 4.511 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 521961457 FHNTYDGFOCVZMO-OAQYLSRUSA-N 415.453 4.759 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccc1OCC(F)(F)F 522238211 VPRBKPQMTHLIBW-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2)ccc1OCCC(C)C 522238400 HSLQNTGSAASXCQ-MRXNPFEDSA-N 415.490 4.589 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)o2)cc1 522359063 MQLUCWNKODHWKP-UHFFFAOYSA-N 416.393 4.700 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 522894651 QWHOSGASAHAVJQ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 523877118 HULLPBSMYJYGQU-ZDUSSCGKSA-N 402.834 4.983 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 524767887 BWXOCRKRCKDGNU-VXKWHMMOSA-N 421.541 4.996 5 20 HJBD CCS[C@@H]1CCC[C@@H](NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 530903677 CHAFWWZZIWDALU-ZIAGYGMSSA-N 422.469 4.698 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 532489913 XWFBYKFVKRVUER-ZDUSSCGKSA-N 423.391 4.605 5 20 HJBD O=C(Nc1cccc2c1CCCN2C(=O)c1cccc([N+](=O)[O-])c1)c1ccc(F)cc1 534980018 JPAGQGGSZZLPHI-UHFFFAOYSA-N 419.412 4.579 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 535702378 RYSDZRVZBMGLRX-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 537695493 UWRUUZPFVLGOMD-ZDUSSCGKSA-N 402.476 4.684 5 20 HJBD C[C@@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1ccc([N+](=O)[O-])cc1 539653341 CAMYSMBIVWJBJR-CQSZACIVSA-N 415.291 4.970 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc4c3OCCCO4)o2)c(Cl)c1 540623985 LSTKGPBBFJAIKT-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD COc1ccc([C@@H](NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])C2CC2)cc1 542195157 YNVYNAZYAZBXTK-IBGZPJMESA-N 406.891 4.616 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4ccnc(C5CC5)n4)n3)cc2)c1 547176632 PBNPEQPJNZRJFX-UHFFFAOYSA-N 401.382 4.772 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c1 549301110 WGHUECNSMXHOGH-OAQYLSRUSA-N 418.449 4.914 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3nccc4ccccc34)no2)c1 551054047 SJOYUWAYMJIVRM-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCCC[C@H]3c3ncc(-c4ccccc4)[nH]3)ncnc2s1 557663171 WYWFSUSCYNZBIH-INIZCTEOSA-N 406.471 4.721 5 20 HJBD COCC[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Cl)c(Cl)c1 561319543 IQZUIQKDLDPECD-HNNXBMFYSA-N 424.284 4.976 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1 561746958 PQMNNXOBXMPKJR-UHFFFAOYSA-N 420.450 4.911 5 20 HJBD CCc1ccc([C@H](NCc2cc(C)nc(N3CCCC3)c2)c2ccc([N+](=O)[O-])cc2)o1 566365605 XKIDCNMVFQBHTQ-XMMPIXPASA-N 420.513 4.933 5 20 HJBD COc1c(-c2nc(CCCOc3cccc4ccccc34)no2)cccc1[N+](=O)[O-] 567649104 DOBVLDYSTPECPX-UHFFFAOYSA-N 405.410 4.818 5 20 HJBD O=C(Nc1c(O)cc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1Cl 570705364 FQYJKDNTCLJEJY-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)ccc1N1CCCC1)c1cccc([N+](=O)[O-])c1 580270656 LQABGJFCTVYIJM-ZDUSSCGKSA-N 418.291 4.700 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc([C@@H]4CSc5ccccc5O4)no3)cc12 581455323 FAXBDBWBLYZDJN-IBGZPJMESA-N 413.458 4.957 5 20 HJBD CCOC(=O)c1ccnc(N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1[N+](=O)[O-] 581687906 ACRNZYFZOHCUER-AWEZNQCLSA-N 408.414 4.527 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 603454377 DVRNZNQQKGFMDG-UHFFFAOYSA-N 413.402 4.648 5 20 HJBD CC(C)N(C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cn1 603998932 LZMHMTXEZWITFH-LBPRGKRZSA-N 424.320 4.675 5 20 HJBD Cc1sc2nc3n(c(=O)c2c1C)CC/C3=C/c1cc([N+](=O)[O-])ccc1OC(F)F 604447832 ZWQWXBNMNDWROA-XFFZJAGNSA-N 419.409 4.529 5 20 HJBD COc1ccc([C@H](N[C@@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604478609 BMTBYNGLPMIBGB-BXKMTCNYSA-N 419.481 4.700 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@H](C)c1ccc(Cl)c(Cl)c1 604492972 RANHHHHULXHFMH-LLVKDONJSA-N 412.273 4.542 5 20 HJBD O=[N+]([O-])c1cc(CNCCN2Cc3ccccc3C2)ccc1Oc1ccc(F)cc1 608782124 WHUTVIXHSLAWFC-UHFFFAOYSA-N 407.445 4.632 5 20 HJBD COc1cc(C(=O)N[C@H](C)CCC(C)(C)C)c([N+](=O)[O-])cc1OCc1cscn1 609868595 YMEFVGDHZRONHF-CYBMUJFWSA-N 421.519 4.584 5 20 HJBD CC(C)(C(=O)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1 610061113 RKDHSRXVLKVRMI-UHFFFAOYSA-N 407.445 4.809 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 610295719 RXWPIYFRWDNILF-XNJGSVPQSA-N 406.841 4.764 5 20 HJBD Cc1ccc2c(c1)nc(CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)n2C 613618714 PETVNWLNNYSIFK-UHFFFAOYSA-N 424.526 4.755 5 20 HJBD CC(C)(C)c1ccc(Oc2ccc(CN3CC[C@@H](n4cncn4)C3)cc2[N+](=O)[O-])cc1 614453133 UKPXVKMTBJGHGC-LJQANCHMSA-N 421.501 4.723 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1OCC(F)F 726763130 VCGXMPAMLLUWJU-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 730474083 LPRMNRFHUANBPA-JTQLQIEISA-N 407.304 4.582 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 730643431 BSGRCXGPNZCDJS-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@H](NC1(CNc2nc3ccc([N+](=O)[O-])cc3s2)CCOCC1)c1ccccc1 733304172 FWRBDJSDXMNVGU-HNNXBMFYSA-N 412.515 4.516 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CSc2n[nH]c(COc3ccc(Cl)cc3)n2)c1 735415828 RQZKKPCREUPNBN-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1(c2cccs2)CCCCC1 742408956 JDBHKMTYEVYHOP-UHFFFAOYSA-N 401.488 4.927 5 20 HJBD CC(=O)Nc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(F)c1 742837984 LJFMICORHGZHIT-UHFFFAOYSA-N 410.357 4.704 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)N2c3ccccc3CCc3ccccc32)c1 745388856 JFBVZNMBZVOGOY-UHFFFAOYSA-N 416.433 4.524 5 20 HJBD C[C@H](c1cccs1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749420622 BTIFOYDQNLPHBW-MRVPVSSYSA-N 403.685 4.905 5 20 HJBD CCCN(C(=S)Nc1ccc([N+](=O)[O-])cc1)[C@H]1CCCN(Cc2ccccc2)C1 751023041 FKFZSYBCRRZHQV-NRFANRHFSA-N 412.559 4.668 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 753214941 MLFYWTAARQRASV-CYBMUJFWSA-N 403.360 4.725 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)n1[C@H]1CCC[C@@H](C)C1 758161666 BAMLVUSZRYPEFR-RISCZKNCSA-N 423.926 4.630 5 20 HJBD O=C(Nc1cc(-c2cccc(Cl)c2)[nH]n1)c1cccc([N+](=O)[O-])c1Br 760809985 DDRGODBIQQQXLH-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764170452 YAQBDYWCFVYYKS-CABCVRRESA-N 407.511 4.512 5 20 HJBD O=C(Nc1ccccc1SC[C@@H]1CC1(Cl)Cl)c1cc(O)cc([N+](=O)[O-])c1 772277271 QTTCPWWSMJZWDU-NSHDSACASA-N 413.282 4.839 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C[C@@H](O)c1cc(Cl)cc(Cl)c1 772620832 WGTNKYZDQNRMPP-GOSISDBHSA-N 411.285 4.762 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(N(C)C)cc2)CC1 773402250 MITWAQKIGXBNHV-IBGZPJMESA-N 409.530 4.636 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 774627723 SYIAWZOTDKFHEG-IIBYNOLFSA-N 414.893 4.655 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OC)c(N2CCOC2=O)c1 777107064 BGCHGDOEHIQFIX-CQSZACIVSA-N 417.487 4.543 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cnn1C(CC)CC 791614884 VNPNQJQSGDLUPA-UHFFFAOYSA-N 413.522 4.957 5 20 HJBD CC(C)Oc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1C(N)=O 793380162 XZRILVMULWSBQM-UHFFFAOYSA-N 411.483 4.822 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 797579772 XMRMCPODVSSYQA-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c3c2CCCC3)cc1 800147667 WGYLWQXOBZEHOS-UHFFFAOYSA-N 408.433 4.668 5 20 HJBD CC(C)(C)OC(=O)Nc1ncc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)s1 800507787 YYFJECDEJBSQQM-UHFFFAOYSA-N 404.492 4.563 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(NS(=O)(=O)c2ccc(C(F)(F)F)cc2Cl)c1 800930666 PMSYDOQXBNPKPM-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD CCc1ccc2occ(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 803820007 FLMZBWSJAOOESF-GFCCVEGCSA-N 407.382 4.871 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3ccsc3[nH]2)cc1)c1cccc([N+](=O)[O-])c1 899212670 KZWUHFSTFAWTNE-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD COc1cc2c(cc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)oc1ccccc12 921267558 VSQPIOOKEIYTHR-UHFFFAOYSA-N 410.357 4.659 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc2ccccc2c1 16014839 WHBUVLZMNVCABL-UHFFFAOYSA-N 416.437 4.849 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58233442 ALILCYLKANVLBZ-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 58233885 HCOZKAROWRFDCE-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(F)cc1Br 127460710 DWCOIORHXCZNGK-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOCCCN1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)[C@@H](C)C1 236865420 GJETXLBYGRRUNK-LAUBAEHRSA-N 417.575 4.550 5 20 HJBD C[C@@H](NCc1cc(Br)ccc1OC(F)F)c1cccc([N+](=O)[O-])c1 391878329 SMDNMUJSTLNMFC-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(Cc3ccc(Cl)cc3)s2)cc1[N+](=O)[O-] 426317300 CNBYNAUWGMSGGF-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD C[C@@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)[C@@H]1OCCc2sccc21 426457461 GIXHPVDOQKRGRZ-WYRIXSBYSA-N 410.455 4.529 5 20 HJBD O=C(O)[C@H]1[C@H]2CC[C@@H](C2)[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426836684 UYZIHHVQQAVNFS-WZBLMQSHSA-N 416.861 4.629 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(Cl)c1)c1ccc(Br)cc1[N+](=O)[O-] 429935587 FTEFNRQIKAIXMY-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cn(-c2ccc(Cl)c(Cl)c2)cn1 430334610 VCSBFKZCAJXELP-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(Nc1ccnc2c(Cl)cc(Br)cc12)c1ccccc1[N+](=O)[O-] 431018141 UTNNSZJSTGRSDR-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC=C(c2ccc(Cl)cc2)CC1 434254465 QLOSLISSWSKDJA-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD CN(CCOc1ccccc1Cl)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435941543 KZHDQTWLMFOHFD-UHFFFAOYSA-N 424.859 4.625 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1C 436326680 DKZNGGKEAGSFKI-UHFFFAOYSA-N 422.431 4.549 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 437378882 VNHNKPBXHBRSRK-YCRPNKLZSA-N 406.844 4.760 5 20 HJBD CCCN(C(=O)CSCc1coc(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 441434745 AMKDSPILVUATMP-UHFFFAOYSA-N 411.483 4.926 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc2ccoc2c1)c1ccccc1[N+](=O)[O-] 443383548 UJBYBAFCCDVLIS-KRWDZBQOSA-N 411.414 4.546 5 20 HJBD C[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(Cl)c1 444092411 DFCZVRCYTLWIKG-CYBMUJFWSA-N 424.859 4.671 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444113983 UOFYXSKXTWUPON-ILBGXUMGSA-N 412.489 4.640 5 20 HJBD CCOc1cc(-c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1O 445428213 VEQMNYZJKQWUSH-JTQLQIEISA-N 405.794 4.543 5 20 HJBD COCCCOc1ccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 445771362 BGCGLEBVBHPKCL-CQSZACIVSA-N 415.471 4.913 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@H]3CSc4ccccc4O3)no2)cc(C(F)(F)F)c1 446015274 FOHQFVNFMIZNJB-CYBMUJFWSA-N 409.345 4.889 5 20 HJBD O=C(C[C@@H](Cc1ccccc1)c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446418029 MOHZYNRRXIDQOT-JOCHJYFZSA-N 400.478 4.896 5 20 HJBD Cc1ccc(-n2cc(CNCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c(C(C)(C)C)n2)cc1 446835138 MQOLNMZDJMGVIR-UHFFFAOYSA-N 418.501 4.553 5 20 HJBD Cc1nn(C)c2cc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])ccc12 462376154 IGMSFQJNPRWXKN-UHFFFAOYSA-N 416.437 4.621 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CN(c1nccs1)C2 463970183 GIGCMFYAZOKUSF-UHFFFAOYSA-N 409.471 4.832 5 20 HJBD COc1cc(CNc2cccc(C(=O)N(C)c3ccccc3)c2)c([N+](=O)[O-])cc1F 464099824 YTZGSHAHKOAVED-UHFFFAOYSA-N 409.417 4.631 5 20 HJBD C[C@@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1cccc([N+](=O)[O-])c1 467835185 ZKKYONKKUKEWTO-QGZVFWFLSA-N 406.486 4.565 5 20 HJBD COc1ccc(CN2CCC(CNC/C(C)=C/c3cccc([N+](=O)[O-])c3)CC2)cc1 468643574 QMTMYRTZXFXZEE-XMHGGMMESA-N 409.530 4.509 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 473889417 WRISJYONFHHSJX-HXUWFJFHSA-N 409.530 4.702 5 20 HJBD COC(=O)c1nc([C@@H]2CCCN2Cc2ccc(C(C)C)c([N+](=O)[O-])c2)sc1C 475332998 GSJFLIIQXIKIJQ-INIZCTEOSA-N 403.504 4.607 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 477313958 LHPPTIYBWFIXIB-WBVHZDCISA-N 408.907 4.595 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 478941935 ZHQYHYUYCFBOGB-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCSc2ccc(Cl)cc2)cc1SC 481973361 KIMHQKZSFYUNEZ-UHFFFAOYSA-N 412.920 4.501 5 20 HJBD CCc1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cnn1-c1ccccc1C 482693328 BIWOOCPALCSSKA-UHFFFAOYSA-N 422.488 4.990 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)c1cccc(OC(F)(F)F)c1 484001154 CSXFHRLZZYDQFR-NSHDSACASA-N 400.378 4.637 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCN(c2cc(Cl)ccc2Cl)C1=O 485429301 GHHHUOBAPGWADT-ZDUSSCGKSA-N 422.268 4.510 5 20 HJBD CC(=O)c1ccc(F)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486117534 GDLYRPPAOWJKEP-UHFFFAOYSA-N 408.385 4.768 5 20 HJBD Cc1cc(N2CCC(c3noc(C(C)C)n3)CC2)c(Br)cc1[N+](=O)[O-] 488464144 SLTIMLOANWWRJM-UHFFFAOYSA-N 409.284 4.556 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(C2CCCCC2)no1 489906549 PXVBVKYNVQHPTF-GFCCVEGCSA-N 404.492 4.629 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 490753081 CSFBWXFQILBOPS-UHFFFAOYSA-N 411.433 4.634 5 20 HJBD COc1cc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1F 495365325 BOJGDPGMYQPZCO-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD CC(C)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N1CCCC[C@@H]1C 498083769 CYGIMTCTOJRGIR-HRAATJIYSA-N 423.582 4.604 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCCC[C@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 499160213 XULPPHOZQHONAI-CHWSQXEVSA-N 400.422 4.540 5 20 HJBD O=c1ccccn1C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1 500502793 YNUJDDKJPUGJNO-JOCHJYFZSA-N 415.449 4.548 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OC 501440161 CIXVPTVBRQSNJG-CQSZACIVSA-N 418.515 4.995 5 20 HJBD CC(=O)NCCN(Cc1ccc(C)s1)C(=O)c1cc2ccccc2c2cccnc12 512073274 DAWFGRDONRDXCW-UHFFFAOYSA-N 417.534 4.536 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(Br)cc3)o2)c([N+](=O)[O-])c1 518264796 IDJBDEXLLCTPSE-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD O=C(Nc1cccc(Cl)c1SCC(F)F)c1n[nH]c2ccc([N+](=O)[O-])cc12 522953478 BVZSAPFQBIHFDM-UHFFFAOYSA-N 412.805 4.734 5 20 HJBD COc1ccc(CNc2ccc(SC)cn2)cc1OCc1ccc([N+](=O)[O-])cc1 532677011 PEWCTEJEWLFAFH-UHFFFAOYSA-N 411.483 4.911 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1)CC(F)(F)F 536698535 QCRPVNPOPDOWJN-HNNXBMFYSA-N 411.424 4.561 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC1(c2cccc(Br)c2)CCOCC1 537297260 SZIMOQYGHKQMBX-GQCTYLIASA-N 417.303 4.666 5 20 HJBD C[C@H]1C[C@H](C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCO1 537338175 JXBWFNBMJFOAIL-GXTWGEPZSA-N 422.840 4.611 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 537620600 HCVUQZWUIKFRER-OAHLLOKOSA-N 414.462 4.603 5 20 HJBD COCCC[C@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 538671232 UATBDVOOTSBEHJ-ZDUSSCGKSA-N 424.856 4.859 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1 538792335 OPNMOGVWDQWYFY-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD COc1nc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc2ccccc12 539353778 UQFMJQOTBCOVMN-UHFFFAOYSA-N 420.450 4.552 5 20 HJBD Cc1ccc(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)CN1CCCCC1 539734050 CLUJHHSTDPDCRC-GOSISDBHSA-N 410.518 4.809 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cs1 540480208 KIWKORIIPPWBSP-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(C(F)(F)F)s1 540702641 JPDLJQSGAOGDJZ-UHFFFAOYSA-N 406.411 4.610 5 20 HJBD CC(C)(C)c1ccc(C(=O)N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 541632910 MVGRULPGHDUGLN-XLIONFOSSA-N 400.450 4.682 5 20 HJBD CCCc1c(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(F)c1 541784758 ITGZXWGZEMVGQY-UHFFFAOYSA-N 401.373 4.655 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(Cc3cccc(C(F)(F)F)c3)no2)c1 545552787 BJKNNCVHVJQCPO-UHFFFAOYSA-N 402.332 4.590 5 20 HJBD CO[C@@H](c1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1)c1ccccc1Cl 547227002 XNMQIGHOECYQIY-CQSZACIVSA-N 424.638 4.797 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c2)CC1 554871275 QVFGHTAPRIRUMF-UHFFFAOYSA-N 424.545 4.576 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Sc1nnc(-c2ccc(F)cc2)n1-c1ccccc1 568925072 LPZFCAQUEWJGBK-UHFFFAOYSA-N 424.461 4.658 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F 579845534 UBLFZCZRYXVPAH-GFCCVEGCSA-N 411.380 4.717 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 582444006 OCNRPNCKNLJSPF-GOSISDBHSA-N 414.330 4.702 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3cccc([N+](=O)[O-])c3C)s2)cs1 603645942 LDUWNTLZSWLDIE-UHFFFAOYSA-N 402.501 4.761 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(-c3cn4cccnc4n3)c2)cc1[N+](=O)[O-] 603980780 UIWCKAXKYYBIOJ-UHFFFAOYSA-N 415.453 4.854 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H](c2ccccc2)CC1 608967670 HHYPIROOYAAUFP-FQEVSTJZSA-N 407.514 4.608 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785161 MBVGSPVAOJYLBY-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD Cc1ccc(-c2nc(CC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cs2)cc1 610046922 OTXUQUYKKQVTMW-UHFFFAOYSA-N 409.511 4.708 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1 610059297 NYROCQRYXPKERB-OAHLLOKOSA-N 409.511 4.888 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 725791323 LNSIFHALVRJSRY-SNVBAGLBSA-N 423.819 4.618 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)cc1[N+](=O)[O-] 727760732 RIJHYFAPZAZSBA-NRFANRHFSA-N 406.438 4.522 5 20 HJBD CCc1ccc(OC(=O)c2nc(C)n(-c3c(Cl)cccc3Cl)n2)c([N+](=O)[O-])c1 734740270 XBTQMGZXPORHJT-UHFFFAOYSA-N 421.240 4.572 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCc2ccccc2[N+](=O)[O-])c(Cl)c1 740780232 HUZYSAFVHIXXPZ-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410903 QEMXHPCKXFGEBZ-INIZCTEOSA-N 409.667 4.892 5 20 HJBD CC(C)N(Cc1ccco1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750855757 DXHFBCZCOWYQID-UHFFFAOYSA-N 401.644 4.655 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CCS[C@H]2CCCC[C@H]21 750892328 FANRVMMWXZPMRF-YPMHNXCESA-N 419.728 4.511 5 20 HJBD CCN(CC)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754629328 JEQBBRFKINYPDS-UHFFFAOYSA-N 410.243 4.595 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1c1c(C)nn(CC(C)C)c1Cl 760027099 WILGHWXNWPNEAH-KRWDZBQOSA-N 404.898 4.695 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 763099764 FBAVYBOGJLWZGY-CVEARBPZSA-N 405.495 4.515 5 20 HJBD CCOc1cc(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)ccc1[N+](=O)[O-] 764913681 MQTCZDBBIIQWFA-UHFFFAOYSA-N 410.392 4.500 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 776135950 UWPFQSWVJWHGOT-GOSISDBHSA-N 402.328 4.955 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)cnc1Cl 787952957 QZINTFSSWIXHFY-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1C 790545859 HKQOUCFDRKQCLT-UHFFFAOYSA-N 407.829 4.616 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1ccc([N+](=O)[O-])cc1F 790748747 BARYAEURXWTWJT-UHFFFAOYSA-N 418.421 4.757 5 20 HJBD Cc1c(C(=O)N2CCC(c3cccc(Cl)c3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 794277837 KRZWONALYHSXHN-UHFFFAOYSA-N 417.849 4.793 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)OCc1nc2cc(C(F)(F)F)ccc2o1 795711034 MQKLTCSNWVREHX-UHFFFAOYSA-N 410.304 4.511 5 20 HJBD CC(C)(C)c1csc(C2CCN(c3ncnc4sc([N+](=O)[O-])cc34)CC2)n1 804400860 LOYRTKZOACJRHC-UHFFFAOYSA-N 403.533 4.738 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(OCCc2ccccc2)CC1 812944388 QTHFDGTUQKRROT-UHFFFAOYSA-N 423.296 4.766 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccsc3C(F)(F)F)no2)ccc1N1CCCC1 904926804 MMFGJAZWNNNFCH-UHFFFAOYSA-N 410.377 4.992 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1ccc([N+](=O)[O-])cc1Cl 916443054 VVNVHZVXNHKXQD-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD COc1ccc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)cc1OC 916840156 UIOUPXCDBAWPQV-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(-c2ccco2)nc2c1cnn2C(C)C 917048923 LMIQWPPNHMVLJR-UHFFFAOYSA-N 406.398 4.708 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1OCC 1252191190 QFQZDYGKHZTOOZ-UHFFFAOYSA-N 418.877 4.982 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCO[C@@H](c2ccc(Cl)s2)C1 1318842293 UIDNQTXIFCSMCX-GFCCVEGCSA-N 403.685 4.650 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)c(F)c1 1319883855 HFEJBQSOCMVMAB-SECBINFHSA-N 415.646 4.570 5 20 HJBD Cc1cc([C@@H](C)N[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(C)o1 1320393915 ORLGVQNKIGSBHO-CHWSQXEVSA-N 414.487 4.606 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(NCc3ccc(Oc4ccccc4)nc3)c2c1 1329639587 MNMDENXOFIUHCZ-UHFFFAOYSA-N 407.817 4.991 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)C1CCOCC1 24644049 HGMWMDURKUKNLZ-UHFFFAOYSA-N 409.364 4.722 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1ccccn1 28779673 UXKLSWIBWIKEAB-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD CC[C@H](C)NC(=O)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1CC)C(C)C 31747641 JHRSQCNLLVAWMR-MGPUTAFESA-N 411.571 4.601 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1Cl 55689097 VKOALEGVQQBSRX-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CC(C)c1ccc([C@H]2Nc3ccccc3C(=O)N2Cc2cccnc2)cc1[N+](=O)[O-] 60791330 GSNLAKIXAUVCOP-QFIPXVFZSA-N 402.454 4.880 5 20 HJBD COc1ccc(Cl)cc1C1(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])CCOCC1 63255639 JGDFWQYGZGAXHA-UHFFFAOYSA-N 418.877 4.620 5 20 HJBD O=C(NCc1ccnc(OCc2ccccc2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 117094092 CNOHFIKMIHWWPW-UHFFFAOYSA-N 419.462 4.714 5 20 HJBD CSc1ccc(C(=O)N2CCCc3cc(Br)ccc32)cc1[N+](=O)[O-] 195533688 BAWDNWYRQKZVGD-UHFFFAOYSA-N 407.289 4.672 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc(NC(N)=O)cc1 237406132 XDFIUVZCIJLMGS-CQSZACIVSA-N 424.432 4.868 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 303018825 OGURFRNWNIOYMX-HIFRSBDPSA-N 410.499 4.705 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1OCC(C)C 303378166 NCNLWSPSNUJUPH-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)ccc1[N+](=O)[O-] 303573751 PIOMZXPTTYDAJS-QGZVFWFLSA-N 422.485 4.554 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303946235 ZNUJMOPSTYJWTD-CZUORRHYSA-N 411.458 4.876 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccco1 426785450 XLRHTEWMHOITCL-JOCHJYFZSA-N 421.453 4.660 5 20 HJBD CCCc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 430175683 FWCPZCMGRUJSPW-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD COc1ccc2[nH]cc(C3CCN(Cc4nc5cc([N+](=O)[O-])ccc5o4)CC3)c2c1 434827936 AVCVROVMCYLTHS-UHFFFAOYSA-N 406.442 4.606 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436134395 DZLNTHFQJWQGOY-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccccc1OC(F)F 437925660 JZUWVJOWGCFERT-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD COc1cc(/C=C/c2ccc([N+](=O)[O-])cn2)cc(Br)c1OC(F)F 439508509 CLSLMMLPVOPKGZ-NSCUHMNNSA-N 401.163 4.533 5 20 HJBD Cn1nc(CC(C)(C)C)cc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444014346 ZHPJJUHFJSESPE-UHFFFAOYSA-N 424.451 4.534 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCCC(=O)Nc1cccc([N+](=O)[O-])c1C 444789618 FMZDBVIQDLGTRY-KRWDZBQOSA-N 409.408 4.824 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccccc1Oc1ccccc1 444820790 XEBUCPNEEOPYDZ-UHFFFAOYSA-N 403.398 4.585 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3cccc([N+](=O)[O-])c3)n2)cc1 445277371 VLBMFLGUSHXHKL-CUXKMMBLSA-N 406.442 4.843 5 20 HJBD CC[C@@H](Cc1ccccc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460292707 HIVJMJWNZJJYMV-HNNXBMFYSA-N 410.392 4.629 5 20 HJBD Cc1cc(N(C)Cc2nc([C@@H](C)OCC(C)C)no2)c(C(F)(F)F)cc1[N+](=O)[O-] 461968193 RWVJZGMHOHPKOB-GFCCVEGCSA-N 416.400 4.675 5 20 HJBD Cc1cc(N2CCC[C@H](N(C)Cc3ccccn3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461969498 UMXQTHVWLYDBPX-KRWDZBQOSA-N 422.451 4.808 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCO[C@H]1c1ccc(C)cc1 462641904 OIFLODLCGBPTMQ-NWSQWKLXSA-N 410.514 4.756 5 20 HJBD O=C(c1c(F)cc(C(F)(F)F)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 464139408 HPSLMOSBNMEODL-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(CC(F)(F)F)c1 464418610 GXHMSJNHHUTGGS-JTQLQIEISA-N 402.756 4.759 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(OCC(F)(F)F)cc3)CC2)cc1 464778837 SGCBFMTYRQKZCF-UHFFFAOYSA-N 410.392 4.579 5 20 HJBD CN(C[C@@H]1CCCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467515635 YOIHBEUYKAYRDQ-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 469155068 MRINLUNPSGDDEP-HNNXBMFYSA-N 410.499 4.929 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 474736963 MXDVZGIBSIEEOZ-STQMWFEESA-N 406.866 4.530 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 474752301 VAHBGHPLFRPEHN-CABCVRRESA-N 412.877 4.698 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 479469224 FPXZOXLGISDWJX-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD Cc1c(NC(=O)N2CCc3c(Br)cccc3[C@@H]2C)cccc1[N+](=O)[O-] 479818625 OAQXAYMYNSOYGN-LBPRGKRZSA-N 404.264 4.817 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1F 485273877 PWLWJKCXMMEEIZ-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCN(c2ccc(Cl)cc2Cl)C1 485686473 JRPRCRPHOJEHGP-CQSZACIVSA-N 408.285 4.609 5 20 HJBD CSc1cccc(C(=O)NCCCO[C@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486209603 ILBJTEFFWAZNKG-SFHVURJKSA-N 400.500 4.531 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CCN(Cc2ccccc2)C1 486522445 YDWSWWIENBMBIR-PXNSSMCTSA-N 422.554 4.719 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC2(CCOCC2)C1 488228613 IDSKZYPTCUNEEY-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2cc(C)ccc2C)nnc1-c1ccc([N+](=O)[O-])cc1 489417269 YBPFESRDMDULQE-HNNXBMFYSA-N 410.499 4.854 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(OC(F)(F)F)c1 495019482 SAFJFLRKMQFKHK-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD O=C(c1ccc2c(c1)nc(O)n2C1CCCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497580722 KDJLOPMUXQLJSD-UHFFFAOYSA-N 420.469 4.570 5 20 HJBD COC[C@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 498614424 IEMGKFHQYXQJQJ-INIZCTEOSA-N 400.500 4.553 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C(=O)N2CCCCC2)c1 509236721 SZNMOMTUSANSAT-UHFFFAOYSA-N 424.501 4.663 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 509830175 DIPMOOCFDBKODE-OAQYLSRUSA-N 417.465 4.927 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1nc(O)c2c(-c3ccco3)csc2n1 513411948 ROOOHYNXSDJIBG-UHFFFAOYSA-N 424.482 4.976 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)N[C@H](C)c1ccc(Cl)cc1Cl 516716427 SLQVYDDRXULCJS-NXEZZACHSA-N 400.287 4.655 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(SCCOC)cc1 517228528 VTQAZYDPAIBEDF-CQSZACIVSA-N 403.504 4.778 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(-c2ccc(Cl)cc2)on1 523550756 XGMRKNFCPYUCFP-UHFFFAOYSA-N 409.789 4.546 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1ccc(Br)cc1[N+](=O)[O-] 530710496 XIGRGMXHSZKVDB-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(-c2ccccc2)n1 531863205 QIIQKBCYSUNVIS-CYBMUJFWSA-N 407.455 4.619 5 20 HJBD COc1ccccc1C[C@@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 532504579 TXABPRVXLDEBNF-IBGZPJMESA-N 407.470 4.508 5 20 HJBD O=C(O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 532695945 PJFPSNRSZQODEB-UHFFFAOYSA-N 408.435 4.625 5 20 HJBD CC(C)CN(C(=O)[C@@H]1Cc2ccccc2O1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152787 RVNZZPOIPWQLSS-FQEVSTJZSA-N 423.494 4.711 5 20 HJBD O=C(Nc1ccc(COc2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 538023161 UNDWXYOXLNXRCD-UHFFFAOYSA-N 415.405 4.680 5 20 HJBD CC(C)c1ccc(CN2CCC(n3cc(Br)cn3)CC2)cc1[N+](=O)[O-] 538730011 XHFNSLWDYWUFMF-UHFFFAOYSA-N 407.312 4.514 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc([N+](=O)[O-])cc1Cl 542001232 BIQKKYDICOPPCD-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD C[C@@H](C(=O)NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc([N+](=O)[O-])cc1F 543524113 INSFGTWDJHGZNJ-CQSZACIVSA-N 418.428 4.697 5 20 HJBD C[C@@H](O)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 545946947 YWXSESUEQFNYAP-UKRRQHHQSA-N 420.918 4.632 5 20 HJBD CCC(CC)(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 546771057 AYQRLXSOQKQPCC-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD Cc1cc(N[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc(C)c1Br 552063833 OLDNKXYXKVQCNV-GOSISDBHSA-N 415.291 4.909 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1Cl 559148976 ATSCRJZIWHEXBA-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 564688456 BFCCNYURTCFMDZ-UHFFFAOYSA-N 419.437 4.600 5 20 HJBD O=C(NCCn1ccc2cc(Cl)ccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 573154270 SHQVEAWPEVHRLO-UHFFFAOYSA-N 411.767 4.652 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1)c1cccc([N+](=O)[O-])c1 578933509 LHXASDLYSPZYEZ-AAEUAGOBSA-N 413.421 4.580 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1F 580239356 SDBCPKLIWBIQOV-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CCO[C@@H]1CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 604427958 OGWCJVUHDQURKZ-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 609807894 WSRSBXCYWNPIGE-QGZVFWFLSA-N 420.416 4.631 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 609856693 AJXYDTPNGVQWFN-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3ccc(Cl)c(C)c32)cc1SC 609870636 OXUDAZFTSMUJPU-UHFFFAOYSA-N 406.891 4.880 5 20 HJBD Cn1cc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 611253880 AOARMEYNMGUNMG-QGZVFWFLSA-N 417.469 4.516 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] 729420109 RQPDNTZJKBMDNA-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1ccc2ccn(Cc3ccccc3)c2c1=O 732194590 YIEHSEQEXQAMFR-UHFFFAOYSA-N 417.465 4.595 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC[C@@H]1CCCN(Cc2ccccc2)C1 734298046 GXCMYFXHINCNMJ-IEBWSBKVSA-N 414.527 4.531 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1CC(c2ccccc2)=NO1 735251643 OOSCEBZBKKJHCJ-NRFANRHFSA-N 403.394 4.519 5 20 HJBD Cc1c(C(=O)Oc2ccc(Sc3ccncc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 749532633 OGRRYXHNWDBBOU-UHFFFAOYSA-N 411.395 4.577 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)s1 751634118 IMQATWQINRSNQW-UHFFFAOYSA-N 415.452 4.515 5 20 HJBD CCC[C@@H](Cc1ccccc1)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754997338 RFZXPRSUUJIAOY-AWEZNQCLSA-N 409.255 4.638 5 20 HJBD Cc1nc(C)c(C(=O)COC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)o1 755040888 RUYJOMJURZJRNE-UHFFFAOYSA-N 418.471 4.522 5 20 HJBD O=C(Oc1ccc(Oc2nccs2)cc1)c1cccc([N+](=O)[O-])c1Br 758596584 VEUSKKBPDGHEIP-UHFFFAOYSA-N 421.228 4.825 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4cc5c(nc4O)CCC5)n3)cc2)c1 762310439 XZXWHMPKZYPBAD-UHFFFAOYSA-N 416.393 4.693 5 20 HJBD Cc1ccccc1[C@H](O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762435499 DKFJIZIBXHLFJI-HXUWFJFHSA-N 408.479 4.518 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 787140979 YPPACZKFUWNFKU-ZWKOPEQDSA-N 419.846 4.768 5 20 HJBD CC(C)(C)OC(=O)NC(C)(C)c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 789781541 ADLTYHKAOHFXRC-UHFFFAOYSA-N 404.448 4.619 5 20 HJBD Cc1nc(-c2ccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])cc2)oc1C 790551046 ISOQOSIJFPCJQO-UHFFFAOYSA-N 403.797 4.840 5 20 HJBD CCOCCOC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 807616355 SHMYEQSAQAGENH-SSZFMOIBSA-N 412.467 4.715 5 20 HJBD O=C(Cc1cncn1Cc1ccccc1)OCc1nc2ccccc2c2ccccc12 811327521 YMGSMCINHWMZAZ-UHFFFAOYSA-N 407.473 4.919 5 20 HJBD Cc1cnc(C2CCN(C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)s1 813233335 HZUBLXMLDGHSNC-UHFFFAOYSA-N 400.287 4.686 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(Oc2cnccn2)c1 815211734 FCBRINIHDDYTNQ-UHFFFAOYSA-N 419.315 4.553 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815405921 NXHNGGFOEHMGJC-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1cc(C)c2c3c(sc2n1)C(=O)N[C@@H](c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N3 877845265 RVARKMIGWNVOKO-HNNXBMFYSA-N 422.388 4.694 5 20 HJBD O=C(C=C1CCCC1)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 917986659 VMLQKZXPBSBHIF-FQEVSTJZSA-N 414.845 4.972 5 20 HJBD Cc1c(COC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 918894637 PZQXXQOENRGDSI-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C(F)(F)c1cccc(Cl)c1 921007984 LEZYSFIXXBKOKK-UHFFFAOYSA-N 422.737 4.931 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@@H](O)COCc3ccccc3Cl)sc2c1 921231366 YTUZVABUPMMZJX-ZDUSSCGKSA-N 410.904 4.528 5 20 HJBD COc1ccc(CCc2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 1261426821 DABZMZMFURRGLQ-UHFFFAOYSA-N 408.439 4.562 5 20 HJBD CC(C)CCC[C@H](C)NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 7443778 RYGVTJVOPKSCIJ-INIZCTEOSA-N 411.571 4.510 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 10757637 VVBCCJGECSNOQT-UUFSLDAJSA-N 416.433 4.840 5 20 HJBD O=C(NCc1ccc(Cl)s1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 25519764 UQFANVFJLFNRBI-UHFFFAOYSA-N 401.875 4.852 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 44542734 QCCKWGNLNGRIIL-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD COc1cccc(Oc2ccc(CNc3ccc([N+](=O)[O-])c4cnccc34)cn2)c1 52408947 JHALZIYCALAVSZ-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(C(F)(F)F)c1 53111809 VUUWTTLKMOAQSY-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 108870277 UFNSSBNHVAXXPY-KRWDZBQOSA-N 414.527 4.762 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@@H]1CCO[C@H](c2ccccc2)C1 302161111 HHRUHXNKAHIAPN-CJNGLKHVSA-N 411.336 4.854 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(CCc3ccccc3)Cc3ccccc3)c1)OCOC2 302834771 WRLXJRYQGZGULI-UHFFFAOYSA-N 404.466 4.706 5 20 HJBD CN(CCSc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])Cc1ccccc1 432942522 GSLYPPCBRNWEME-UHFFFAOYSA-N 421.391 4.868 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)c2C)c1 437086097 JJQZYILZFZTDHE-INIZCTEOSA-N 410.499 4.825 5 20 HJBD COc1ccc(OCCSCc2coc(-c3ccc(C)cc3)n2)c([N+](=O)[O-])c1 437262806 MQDPATHAXVZOPZ-UHFFFAOYSA-N 400.456 4.879 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cnn(C)c2C(F)(F)F)cc1[N+](=O)[O-] 446363546 MZCLGRADKNDDIG-UHFFFAOYSA-N 416.425 4.738 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ncoc1-c1ccccc1 447684819 DZJQHVBJDIVBKX-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD CCCCCC(=O)NC1CCN(c2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)CC1 461781074 ZYSGOHUNNUJZDW-UHFFFAOYSA-N 401.429 4.587 5 20 HJBD CN(Cc1ccccc1)CC1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 462808823 WKXROVMXHDMHPM-UHFFFAOYSA-N 405.445 4.545 5 20 HJBD COc1ccc(-c2ncc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)s2)cc1 463141988 OYJADNOUICGSRD-UHFFFAOYSA-N 411.483 4.778 5 20 HJBD CCN(CC1CCN(c2ccccn2)CC1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 464970504 DGEVANJFXQQYCP-UHFFFAOYSA-N 424.545 4.666 5 20 HJBD Cc1ccc([C@@H](NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)C2CC2)cc1 465492932 GVXJVVADLKRLHN-JOCHJYFZSA-N 409.486 4.607 5 20 HJBD CCOc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ncccc12 467296275 BCSJZMVIZSNBBI-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD Cc1coc(-c2cccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 467992525 DVHIWCMCFXCRHX-GFCCVEGCSA-N 401.806 4.618 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1ccc(OC(F)(F)F)cc1 468695035 CWRCEQXPPPXFFP-JTQLQIEISA-N 421.418 4.750 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 470377085 WMXAMFRHADHSBI-LLVKDONJSA-N 404.806 4.660 5 20 HJBD CC[C@H](NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 474503686 XRINVPHIZWPDIG-HZSPNIEDSA-N 424.320 4.725 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 478265534 GQAOMOCDRSEXDB-APWZRJJASA-N 400.453 4.887 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2[C@@H]1C 479762485 IXSQAVDMAGATGE-LBPRGKRZSA-N 404.264 4.817 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 480107476 WYJQLARUERIRKV-PKTZIBPZSA-N 416.477 4.936 5 20 HJBD Cc1c([C@H](C)NCCCOC2CCN(Cc3ccccc3)CC2)cccc1[N+](=O)[O-] 484466323 KCMLYPOSJPPJGM-FQEVSTJZSA-N 411.546 4.625 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nc(-c3cccc(F)c3)no2)nc1-c1ccc(F)cc1 485411732 SDOCVCJMYDIDAB-UHFFFAOYSA-N 409.352 4.597 5 20 HJBD CC(C)(NC(=O)NCc1nc(-c2ccccc2F)cs1)c1ccccc1[N+](=O)[O-] 490365665 IVSOCHAPYDSDFM-UHFFFAOYSA-N 414.462 4.592 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(-c2ccccc2)cn1 490716711 CJFOHZNJUWUFJL-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD O=C(Cc1ccc(NC(=O)c2cc3ccccc3o2)cc1)Nc1ccc([N+](=O)[O-])cc1 512594737 DHTHMSKYKLZXFM-UHFFFAOYSA-N 415.405 4.775 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)c1 515330974 UJDVVCNUOQDWHP-UHFFFAOYSA-N 412.421 4.704 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCCc2ccc(C(C)C)cc2)cc1SC 522582864 WFCPNZJZZPWONW-UHFFFAOYSA-N 402.516 4.811 5 20 HJBD CCCCOCCN(C(=O)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 522867294 SOJGGXQPRAFTLF-UHFFFAOYSA-N 421.291 4.821 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 525561294 SGXUUBLRTAYUPN-CYBMUJFWSA-N 403.866 4.724 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 539445708 DBQWGTONWAFLRO-GOEBONIOSA-N 422.489 4.891 5 20 HJBD O=C(c1cc(N2CCC[C@H](c3ccc(F)cc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 539773148 PZURNXSXPLZNKX-KRWDZBQOSA-N 411.477 4.744 5 20 HJBD CN(C(=O)CCc1cn(C)c2ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451256 FEYPMSIRBLYYAV-UHFFFAOYSA-N 420.494 4.806 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cc2F)c1 540452667 ALSGMWPOFGGSEI-UHFFFAOYSA-N 414.462 4.981 5 20 HJBD COc1cccc(-c2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c1OC 540477553 PCWIPASACBTZER-AWEZNQCLSA-N 413.499 4.895 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc1 540857072 BMJYSXRZAIWEER-UHFFFAOYSA-N 410.336 4.972 5 20 HJBD CCN(c1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 541191353 WVHOXLYZCROBKW-SNVBAGLBSA-N 422.450 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cccc(COc2ccccc2)c1C 541473238 BQEUGMPOUZKSFZ-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 541782109 YLHGFNZGBBXHKA-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)Nc1ccc([N+](=O)[O-])cc1F 542714887 AUXBUVWGNKBKOF-UHFFFAOYSA-N 400.410 4.897 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 543320287 JFGHTMLPZSMVRX-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD COc1cc(Br)ccc1-c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545069279 WDRUZHNBMSUBET-SECBINFHSA-N 422.210 4.707 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(Cl)c4c(c3)OCCCO4)no2)cc1 546953084 XUIMQXFKXSITHH-UHFFFAOYSA-N 423.759 4.600 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1CCCC[C@@]1(C)O 552976768 JWIPBUCWYGZMSR-NNMXDRDESA-N 420.575 4.889 5 20 HJBD O=C(O)c1ccc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cc1 556623766 QDAHAJXMIIXCOA-UHFFFAOYSA-N 412.785 4.991 5 20 HJBD CC(C)(NC(=O)CCc1nc2cc(Cl)ccc2s1)c1ccccc1[N+](=O)[O-] 557974637 KNEWJQLGQZUNQC-UHFFFAOYSA-N 403.891 4.842 5 20 HJBD C[C@@H](C(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 558690515 SUEWQGQCIFGMAC-XIKOKIGWSA-N 409.269 4.605 5 20 HJBD Cc1sc(NC(=O)COc2ccsc2Cl)nc1-c1cccc([N+](=O)[O-])c1 560043041 QOFSAQBKSULHKS-UHFFFAOYSA-N 409.876 4.759 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 561960816 STMUFXXMQSDVJY-ZIAGYGMSSA-N 400.866 4.970 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NCc2cccc(C(C)=O)c2)cc1 568567635 SKONIEJBQHXCKC-UHFFFAOYSA-N 420.421 4.528 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 570845199 HLKXJMPAKVEOSZ-GOSISDBHSA-N 410.474 4.533 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)c1 588930453 VTASUQJBTQCYCT-QGZVFWFLSA-N 421.453 4.737 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H](n4cc(C)cn4)C3)cc2[N+](=O)[O-])cc1 589485776 ZMUPTUHVBWEQGA-GOSISDBHSA-N 422.510 4.647 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@@H]1c1cccs1 603952470 YSUVQCYVIBKMNQ-HXUWFJFHSA-N 414.508 4.661 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Sc3nncs3)cc2)cc1[N+](=O)[O-] 604119772 ZRSCIGGEQQNYLM-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1 609033438 UDCNXBPYTHHPFB-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 609065497 FGHQEPZQWZBDEH-UHFFFAOYSA-N 420.263 4.583 5 20 HJBD Cc1ccc(OC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1C 609193185 SDIZSJVNPRQRJG-UHFFFAOYSA-N 421.497 4.953 5 20 HJBD O=C(CCCc1nnc(-c2ccccc2)o1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609859781 DGQXOOORCNUWTP-UHFFFAOYSA-N 420.469 4.943 5 20 HJBD COc1ccc(C[C@@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)cc1 610215673 SCDJJEXIEVGOHL-IBGZPJMESA-N 421.497 4.756 5 20 HJBD O=C(NCc1ccnc(OCC(F)(F)F)c1)c1cc2ccccc2c2cccnc12 613771923 XHOPKNKCWNIWRE-UHFFFAOYSA-N 411.383 4.654 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1csc(-c2ccccc2)n1 739580182 SHZAQFRWFAAHMS-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c(Cl)c1 740783127 MSJSBCWOCDDUAA-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)c1 745389347 LYRCXDJRQNMLJB-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 747124011 LXSLZRRGOCTPPI-ZDUSSCGKSA-N 424.375 4.723 5 20 HJBD CC(C)[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 750542118 REHGZHTUGTWPHZ-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751617112 FXPVGMVLWSYEKW-GFCCVEGCSA-N 402.790 4.586 5 20 HJBD CC(C)Oc1cc(CN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C2CC2)ccn1 752153258 JCEVNEQLLHCGKY-UHFFFAOYSA-N 404.854 4.627 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CCN2C(=O)OC(C)(C)C 753862263 OESGJNDUZHMOMF-UHFFFAOYSA-N 412.446 4.845 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 754474708 IGBRYTDKSICSCY-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD O=C(Nc1ccc2nc(O)[nH]c2c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754886447 LMVGILGFTZNIPB-UHFFFAOYSA-N 412.471 4.711 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)cc21 754996386 MUCSTYSHWLEMCK-UHFFFAOYSA-N 421.226 4.679 5 20 HJBD O=C(Nc1nc([C@@H]2C[C@H]3CC[C@H]2C3)cs1)c1cccc([N+](=O)[O-])c1Br 759341397 MMNNGHIUWIZHEU-JBLDHEPKSA-N 422.304 4.970 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(C2SCCS2)cc1 759949578 QLFDZUKYLYCSKU-HZPDHXFCSA-N 419.528 4.611 5 20 HJBD C[C@@H](OC(=O)C1CCC(C(F)(F)F)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 769443519 XXPCPUNTFSROQK-QFWMXSHPSA-N 413.352 4.618 5 20 HJBD C[C@H](CCNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 769506737 AMWIAVBNBSBDPS-SNVBAGLBSA-N 402.319 4.815 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(N(C)C)cc2)CC1 773402251 MITWAQKIGXBNHV-LJQANCHMSA-N 409.530 4.636 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@@H]2NC(=O)c1ccc([N+](=O)[O-])s1 778021594 JBZYUJHGMDDUIE-HNNXBMFYSA-N 417.487 4.811 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1cccc([N+](=O)[O-])c1Br 782121583 OWCQLQKZNMRXRQ-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCCN(C(=S)NCc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 799507801 QSFQVJJYUAGEMT-UHFFFAOYSA-N 408.321 4.648 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(c2ccc(Br)cc2)CC1 800122104 VWNCJRLMTVUNGX-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 803058543 CXEHPJGQOULPMF-VHSXEESVSA-N 418.755 4.734 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc2nc(C(F)F)sc2c1 803610838 SFVATEZGFPVYCW-UHFFFAOYSA-N 422.369 4.622 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811376843 VCJGTGLLRKCNOG-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813566877 MRAGMVRBWZCCFF-UHFFFAOYSA-N 410.232 4.885 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(CCc2ccc(O)cc2)CC1 915307639 NQMNELIGSBHSPX-UHFFFAOYSA-N 403.866 4.831 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 916071814 UBXIOZZQLZXJNA-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD COc1ccc(C[C@@H](NCc2cc(F)ccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 917095427 LIQKHHYMDMZKDU-JOCHJYFZSA-N 410.445 4.825 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CCCN1C(=O)Cc1ccccc1 920683815 XOCSZIRVEZYASW-VWLOTQADSA-N 424.500 4.665 5 20 HJBD COc1cc(C(=O)NC[C@@H]2C[C@H](C)CC[C@H]2C(C)C)c([N+](=O)[O-])cc1OC(F)F 1115903342 JYGHSXQATQPVPP-RDBSUJKOSA-N 414.449 4.643 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc([C@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1353963867 CMLLRUJIRRIBDI-GOSISDBHSA-N 424.457 4.876 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11029608 WZGBTLNUQLTGCM-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccc4cn[nH]c34)cc2[N+](=O)[O-])n1 29384645 QANSSUSDXJSVRT-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1nc2c(s1)CCCC2 31303598 OGPPZQLTVRLPMX-UHFFFAOYSA-N 417.434 4.680 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)o2)cc1 56288259 KWOPDCNIHHXPAB-UHFFFAOYSA-N 407.470 4.928 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1cccc(Oc2ccccc2)c1 57570221 WFWGXYOFEKMEOO-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD C[C@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C[C@H](c2ccccc2)O1 109066010 ZLYKMMDJGFIOBJ-GAJHUEQPSA-N 402.450 4.792 5 20 HJBD Cc1nc(N[C@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)ccc1[N+](=O)[O-] 301653167 QXPUJXONKNVEBH-CQSZACIVSA-N 408.433 4.792 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)Nc3cc(C)nc4c([N+](=O)[O-])cccc34)ccc2NC1=O 301998273 IFXICHHGXJLEPI-DJJJIMSYSA-N 406.442 4.734 5 20 HJBD CC(C)[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 302040543 HSAAHJHMTWZUAI-AWEZNQCLSA-N 406.888 4.662 5 20 HJBD CC(C)(CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 302956950 NXWGPXVZXHSGTD-UHFFFAOYSA-N 412.433 4.800 5 20 HJBD O=C(CCSCc1coc(-c2ccc(F)c(F)c2)n1)Nc1ccccc1[N+](=O)[O-] 409845180 VHAQUPQFIZFXJU-UHFFFAOYSA-N 419.409 4.790 5 20 HJBD CC1(c2ccccc2)CC(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 410075127 PJIDPRSSOLBNAF-UHFFFAOYSA-N 418.443 4.810 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 427572144 YPKJYESXQPNSQY-OAHLLOKOSA-N 417.893 4.558 5 20 HJBD CCOCCOCc1cccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1C 428858397 CYYNVZWHFHVEAA-QGZVFWFLSA-N 415.490 4.647 5 20 HJBD Cc1onc(-c2ccncc2)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 429024110 WPGJYPNOFIFEEH-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CC2(CCC2)c2cc(Br)ccc21 433738762 TXZSQQYBCXIKJM-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1CN(C)c1ccccc1 433766242 MNWZXFPZFJOWGL-UHFFFAOYSA-N 404.470 4.895 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NC(=O)c3cc4ccccc4c4cccnc34)n2)c1 437130544 IXKIFZTZPWMITL-OAHLLOKOSA-N 424.460 4.938 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cnn1-c1cccc(Cl)c1 437471488 IGKIXAGMWDJXDW-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437772336 HCRIGJHINVCUDF-UHFFFAOYSA-N 420.473 4.631 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)CCC2 444231913 KWNAIYSBRPLVGV-HXUWFJFHSA-N 417.534 4.850 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(CSc2ccc([N+](=O)[O-])cc2)n1 445658804 SVFYSBHEXNJLPM-UHFFFAOYSA-N 409.471 4.792 5 20 HJBD Cc1cc(Br)c(NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1Cl 445958940 YYQTUJDXGJNCAJ-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCSc2ccc(Cl)cc21 447357825 JBODADNJYDYJEM-UHFFFAOYSA-N 419.709 4.825 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc2c(cc1Cl)OCCCO2 462422866 QFVXUMLZBBHNFF-AWEZNQCLSA-N 404.850 4.617 5 20 HJBD CN(CC(=O)N[C@H](c1ccc(Cl)cc1)c1cccs1)c1ccccc1[N+](=O)[O-] 463136938 NSUWGWNHEHGEAL-HXUWFJFHSA-N 415.902 4.652 5 20 HJBD C[C@H](Nc1ccc(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])cc1)c1ccccc1 463601793 YKGGEJGEJCPLIH-KRWDZBQOSA-N 404.470 4.843 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1 471442892 JMVZERGPGGUGAW-UHFFFAOYSA-N 408.863 4.824 5 20 HJBD O=C(Nc1cc(Cl)cc(C(F)(F)F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 478687060 MBTSCOFEFDNZRP-UHFFFAOYSA-N 411.723 4.773 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)Nc1ccc([N+](=O)[O-])cc1F 479773203 WCWPIYBBYKWHBV-UHFFFAOYSA-N 412.402 4.925 5 20 HJBD Cc1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccccn2)cc1 480572590 DSEOEERPZALHNV-HSZRJFAPSA-N 416.481 4.736 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 480870090 YIRXKHQYLXCJGP-UHFFFAOYSA-N 415.421 4.898 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1cccc(F)c1 482607145 QLOFJPQJVCUFHP-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)c1cccc(OC(F)(F)F)c1 485510620 SGFFSTCSFZAGJM-JTQLQIEISA-N 418.755 4.577 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCCC2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486097887 SLMFIHJSFISKPU-LJQANCHMSA-N 424.497 4.786 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 486988418 QWMMVIIZDXSXCE-QGZVFWFLSA-N 407.392 4.615 5 20 HJBD CN(CCc1ccc(Cl)cc1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 487419895 LJMGAUNWOJAPRB-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)ccc1Cl)C(C)C 487700010 XPVOCADZIOUXPT-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 489797307 QMUCHZTYRMBZFT-IRXDYDNUSA-N 420.469 4.850 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2csc(COc3ccc(F)cc3)n2)C2CC2)c1 490052384 ZKLKOPJNLWGOEX-UHFFFAOYSA-N 413.474 4.934 5 20 HJBD CC(C)(NCc1ncc(-c2cccc(Br)c2)[nH]1)c1ccccc1[N+](=O)[O-] 492228023 SSEVKJHEZWSJMR-UHFFFAOYSA-N 415.291 4.772 5 20 HJBD O=C(NCC1(c2ccc(F)cc2Cl)CC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 494584335 NMOIKCIQZAEBNL-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD C[C@@H](NC(=O)N[C@H]1CSc2ccc(Br)cc21)c1cccc([N+](=O)[O-])c1 494672397 GXHQMJWXEUTJOC-BMIGLBTASA-N 422.304 4.565 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1cccc(F)c1)c1ccccn1 498486892 IIYVBHBPBXROJM-GOSISDBHSA-N 400.797 4.693 5 20 HJBD Cc1c(NC(=O)N2Cc3cc(Br)ccc3C[C@H]2C)cccc1[N+](=O)[O-] 499245193 LADXIJXWKILQFQ-LLVKDONJSA-N 404.264 4.644 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(N(C)c2ccccc2)CC1 503423271 SIRXPIMCXYOYIU-UHFFFAOYSA-N 413.543 4.838 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc(OC)c1C(C)C 510312282 CBIBRAHELJKPFR-UHFFFAOYSA-N 410.373 4.589 5 20 HJBD COc1ccc(NC(=O)c2cc3cc(Br)cc(C)c3o2)c([N+](=O)[O-])c1 512951292 NZOCZDHZLOVRQC-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD Cn1cc(NC(=O)C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)cn1 515206908 LQQPRIBHYNKUKR-XNTDXEJSSA-N 419.466 4.507 5 20 HJBD COc1ccc(CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1F 515626128 SUVKHFGOBSLEIM-UHFFFAOYSA-N 415.446 4.746 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SCC(=O)c3cccc([N+](=O)[O-])c3)n12 517587538 DBDSORHKUQMMNZ-UHFFFAOYSA-N 406.467 4.691 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCC2)c([N+](=O)[O-])cc1OC 520623237 DFBQUWBDKXBYPY-LJQANCHMSA-N 404.850 4.537 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc(Cl)cc([N+](=O)[O-])c1 525626619 CSQPQAMKVJGLOR-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(C(F)(F)F)(C(F)(F)F)C3)co2)cc1 535569173 IDSYSEKIYLMQQW-UHFFFAOYSA-N 409.286 4.567 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](c2n[nH]c(C3CCCCC3)n2)C1 537542609 AXYYHCLTROGELS-HNNXBMFYSA-N 423.473 4.527 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](C)c2ccc(-c3ccncc3)cc2)c1 539530122 JUCRNEIAQVVLKE-KRWDZBQOSA-N 405.454 4.612 5 20 HJBD C[C@@H](C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 544506332 YVVYCNGPMCCKLU-CQSZACIVSA-N 413.449 4.995 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCC(F)F)nc2)no1 545848888 RQWLUCKBPBLXQZ-JTQLQIEISA-N 408.386 4.537 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 546493824 CQTAJMHSXDGISB-QGZVFWFLSA-N 412.471 4.541 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 546558896 DVBNOUYQOHKUMN-HNNXBMFYSA-N 420.469 4.595 5 20 HJBD CC(=O)c1sc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)nc1-c1ccccc1 548276071 RNVLMVPMTLTHJB-UHFFFAOYSA-N 406.423 4.655 5 20 HJBD COc1ccc(-c2csc(NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1F 555967563 PZLOOXOLOOQBPY-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cc(Cl)cc(Cl)c1O 557048920 QLDABHSBSKDZIH-UHFFFAOYSA-N 420.046 4.551 5 20 HJBD COc1cccc2[nH]cc(Cc3noc(C(C)(C)c4ccc([N+](=O)[O-])cc4F)n3)c12 569505514 RRYBCDPWMGECEX-UHFFFAOYSA-N 410.405 4.524 5 20 HJBD CN(CCO)Cc1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)ccc1Cl 580371154 HDBVJDNKBFBCMT-UHFFFAOYSA-N 415.877 4.544 5 20 HJBD NC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1F 590471195 JFELYCNRMPFDRZ-UHFFFAOYSA-N 418.450 4.512 5 20 HJBD CC(=O)N(c1ccc(C)c(C)c1)c1nc(CNCc2ccc([N+](=O)[O-])cc2)cs1 603938442 YKTDTEQKKKPWNX-UHFFFAOYSA-N 410.499 4.643 5 20 HJBD CCOc1ccc([C@@H](NC(=O)CCOc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 603960172 CUIZELWVHGOGDD-DEOSSOPVSA-N 420.465 4.668 5 20 HJBD C[C@@H](NC(=O)CNc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc2ccccc2c1 604006118 DZDAKFXDCLHJDN-CYBMUJFWSA-N 415.396 4.639 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1cccc(C(F)(F)F)c1 604443032 QFJMHHATSNLMMY-MNOVXSKESA-N 416.783 4.912 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nc(-c3ccccc3OCc3ccccc3)no2)c1 609475310 HIEZNZSZXIOONH-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 609505070 JGOJWIYPPSFBCE-IRXDYDNUSA-N 416.359 4.542 5 20 HJBD CCc1ccc(OCc2nc(-c3ccc(Br)s3)no2)c([N+](=O)[O-])c1 609650568 AMVRBTRUBLSURH-UHFFFAOYSA-N 410.249 4.610 5 20 HJBD CC(C)(CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 610039807 AARFCLFODGRNRN-UHFFFAOYSA-N 419.319 4.729 5 20 HJBD CC(C)(C(=O)NCc1ccc(-n2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1 610042549 LXPJZMJKWCNBOC-UHFFFAOYSA-N 414.465 4.528 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610045911 CJFJJWRELJJPMG-DGCLKSJQSA-N 407.239 4.515 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1CCc1ccccc1 610061374 GZOVMOZLPKHHIZ-HNNXBMFYSA-N 403.276 4.595 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 610159222 KKVLCSOIBHMLBN-UHFFFAOYSA-N 422.510 4.743 5 20 HJBD C[C@H](C[C@@H]1CCOC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 610741128 KFUCATIYQYOPEQ-OLZOCXBDSA-N 409.280 4.522 5 20 HJBD CN(C)C(=O)c1cccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 610909775 LFXZTGXUBZTDKL-UHFFFAOYSA-N 404.470 4.677 5 20 HJBD Cc1cc(C)c(OC(=O)CCc2nnc(-c3cc4ccccc4o3)o2)c([N+](=O)[O-])c1 726140106 XARMEPOCVKBETA-UHFFFAOYSA-N 407.382 4.546 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709434 SFMFRJCGUVKXMQ-UHFFFAOYSA-N 408.364 4.523 5 20 HJBD O=C(CCc1cnn(-c2ccccc2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166392 GMOZNMKBEQPELX-UHFFFAOYSA-N 424.888 4.810 5 20 HJBD CC(C)(Cc1ccccc1Cl)NC(=O)c1cc([N+](=O)[O-])ccc1Br 728483184 OLRSWTLVCDCYNI-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2cc([N+](=O)[O-])ccc2Cl)n[nH]1 735414879 HBGADALDAWEPMK-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(c2cccc(Cl)c2)CCOCC1 741936553 PCOOQIAAJNWMHX-UHFFFAOYSA-N 409.269 4.897 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@@H](C)c3cccc(Cl)c3)CC2)ccc1[N+](=O)[O-] 744172930 BWNUKTUANIFKNR-AWEZNQCLSA-N 418.877 4.573 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@H](C)c3cccc(C)c3)CC2)ccc1[N+](=O)[O-] 744203362 HRRAXXPKDAFCBF-QGZVFWFLSA-N 412.486 4.618 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H](C)c2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 745311196 SKSKUEDLTWCKJK-AAEUAGOBSA-N 424.375 4.596 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])s2)cc1 747313826 KHELCUOUGIBSIV-UHFFFAOYSA-N 404.394 4.540 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1csc(-c2ccccc2F)n1 758730409 FIPOGOQRVUYZHH-UHFFFAOYSA-N 411.414 4.625 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(Cl)cc1Br 761282651 JIFHUQHHYFNCSV-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD COc1cc(OC)c(OC)cc1CN(Cc1cc(F)ccc1[N+](=O)[O-])C(C)(C)C 761919757 DVMYMFAEJIHTLL-UHFFFAOYSA-N 406.454 4.560 5 20 HJBD COc1cc(CNc2c(F)cccc2NC(C)C)c([N+](=O)[O-])cc1OCC(F)F 779742120 HTGAFXQNUSAZNF-UHFFFAOYSA-N 413.396 4.819 5 20 HJBD COc1cc(CSCc2ccc3c(c2)COC3)c([N+](=O)[O-])cc1OCC(F)F 779777208 NUQONQRNKPJHPG-UHFFFAOYSA-N 411.426 4.711 5 20 HJBD C[C@H](OC(=O)c1csc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 786350379 SWKAOCQKWCWQOZ-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 794600697 ICUKCYNGGILXAX-UHFFFAOYSA-N 417.874 4.728 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nc3cccc(Br)c3s2)cc1 795553175 NIMVNKBNXYCSCB-CYBMUJFWSA-N 411.302 4.793 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(C2SCCCS2)cc1 801089882 WGQUHPQDAPLBOY-UHFFFAOYSA-N 402.541 4.778 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nccn1-c1ccccc1 803071823 NGVFXVQRJJVLEA-INIZCTEOSA-N 409.445 4.785 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2)c1 813050996 QOOYWPPGRKHBBJ-GFCCVEGCSA-N 408.285 4.993 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C2CC2)o1 915169022 FXCMMLXYQPYTHF-NHYWBVRUSA-N 419.275 4.879 5 20 HJBD CCN(Cc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1)C(=O)OC(C)(C)C 918104940 RKGCARGPHAWJCK-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cnn(Cc3cccnc3)c2)cc1[N+](=O)[O-] 1318629726 KPKCUJLYDGEXGD-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCc1nnc(-c2cc3c(s2)CC[C@H](C(C)(C)C)C3)o1 1322467760 BXOUDLKDWTVYQW-AWEZNQCLSA-N 415.519 4.605 5 20 HJBD COc1cccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1Br 1325691999 PAXIURYQEHUDDL-UHFFFAOYSA-N 422.279 4.510 5 20 HJBD Cc1ccc(OCc2nnc(-c3ccc([N+](=O)[O-])cc3Br)o2)cc1C 1345655256 FRFMCYKFILLFBD-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD C[C@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1ccccc1[N+](=O)[O-] 6112087 QQHQPQKTVHWXSH-NSHDSACASA-N 414.512 4.598 5 20 HJBD CCn1c(S[C@H](C)C(=O)Nc2cccc(C)c2)nc2cc3ccccc3cc2c1=O 7577875 OCTZDUKKGHVKKJ-MRXNPFEDSA-N 417.534 4.997 5 20 HJBD O=C(COC(=O)c1ccc(CSc2ccccc2)cc1)Nc1ccccc1[N+](=O)[O-] 23430733 JLTGRACVYIMGAT-UHFFFAOYSA-N 422.462 4.683 5 20 HJBD COc1ccc2cc(CN(C)C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)ccc2c1 25680671 KIOSHJQCXCVJHU-OAHLLOKOSA-N 410.495 4.896 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)c2ccccc2F)c1 31744122 WUAXXPYEAIWZAI-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CNC(=O)c1ccc(C)c(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 31920821 YCKJFRPNVPGQBR-UHFFFAOYSA-N 415.515 4.653 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3ccc([N+](=O)[O-])cc3F)CC2)cc1 54794948 RXLLIEFYKVZFLV-UHFFFAOYSA-N 412.461 4.668 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1CSc1nc2ccccc2o1 59786215 ILUZTKUHXSWUEV-UHFFFAOYSA-N 419.462 4.958 5 20 HJBD Cc1ccsc1CN(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 60533612 OYOPYBPHQGOVQQ-UHFFFAOYSA-N 404.435 4.734 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)Cc2cccs2)c([N+](=O)[O-])cc1OC 60932011 TUZUHOISNWCCGP-UHFFFAOYSA-N 418.496 4.578 5 20 HJBD CCC[C@H](CCO)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237026577 CJWPFMJABNVKBJ-CYBMUJFWSA-N 411.296 4.506 5 20 HJBD Cc1ccccc1-c1nnc(Sc2cc(C)c([N+](=O)[O-])cn2)n1Cc1ccco1 301413876 ATNZDRAWJZYYPA-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD CC(C)(C)Oc1cc(N2CCC([C@H](O)c3ccc(F)cc3)CC2)ccc1[N+](=O)[O-] 301882715 MZJOMQOHDBHLGJ-OAQYLSRUSA-N 402.466 4.861 5 20 HJBD COc1cccc(OC)c1OC1CCN(c2cc(C)nc3c([N+](=O)[O-])cccc23)CC1 302035265 UJYGHJJXJUCBAM-UHFFFAOYSA-N 423.469 4.516 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(Nc3ccccc3)c2)c([N+](=O)[O-])cc1OC 303587827 TZOILDSAGYXVSM-UHFFFAOYSA-N 407.426 4.998 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426269094 VLQBWPMSMMHCGN-JTQLQIEISA-N 417.334 4.794 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 426892156 UZBDUCQLDDZRPO-IRXDYDNUSA-N 400.500 4.954 5 20 HJBD O=C(C[C@@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(F)cc1 441393242 GCHCMSLRLNOMLO-IBGZPJMESA-N 423.444 4.669 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)[C@@H](C)c1ccccc1 444097959 ORTAWUAAJBOOIA-KGLIPLIRSA-N 406.432 4.882 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1cccc3c(=O)c4cccc(F)c4[nH]c13)[C@@H](C)C2 444300555 HYHNXTFPYNPTBR-QKKBWIMNSA-N 400.453 4.792 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1 445822182 PCBNNYNNBHOQFL-UHFFFAOYSA-N 413.449 4.824 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 446087531 ZPQAZAHIEZZCJM-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CC(C)(C)c1nc2ccc(Nc3ccc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2s1 463081550 KDGLSULFTQCDNT-UHFFFAOYSA-N 405.501 4.649 5 20 HJBD O=C(Nc1n[nH]c(-c2cc(Cl)ccc2Cl)n1)c1ccc([N+](=O)[O-])cc1Cl 463175142 WCCOXYZBPQVXQF-UHFFFAOYSA-N 412.620 4.592 5 20 HJBD C[C@H](NC(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1cccc([N+](=O)[O-])c1 463691815 ALKCSJZEXRGGCR-HNNXBMFYSA-N 405.458 4.677 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OCC(C)C)c(OC)c2)c([N+](=O)[O-])cc1F 464504089 ZQFVSGDKURYEJU-AWEZNQCLSA-N 406.454 4.637 5 20 HJBD C[C@H]1C[C@H](CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466256191 SYOONUYZVBUKFX-UONOGXRCSA-N 420.918 4.944 5 20 HJBD C[C@H](O)C[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467791254 RTEQNAFPKMCMIB-OLZOCXBDSA-N 408.907 4.536 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(F)F)c(C(F)(F)F)c1 470235380 XOPQRCGDXQHZOQ-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD CC(C)CCc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)n[nH]1 471419446 OTYTVPFWBLGCQQ-UHFFFAOYSA-N 410.424 4.524 5 20 HJBD COc1c(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cccc1[N+](=O)[O-] 475586138 XCZYHMVSUFSIBH-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD Cc1coc(-c2cc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2C)n1 478524240 TWEFFTJWVDSAQV-ZDUSSCGKSA-N 415.833 4.926 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccc(F)c3)cs2)cc1SC 481969500 VGNZHPFZCIEDGM-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD O=C(c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)N1CCC(C(F)F)CC1 484725777 IZBYMOAPXKSBRM-UHFFFAOYSA-N 423.847 4.978 5 20 HJBD CCc1cc(N2CCCC[C@H]2c2nccn2CC)nc(-c2ccc([N+](=O)[O-])cc2)n1 485443833 XTNHYNYDOZMYDT-IBGZPJMESA-N 406.490 4.562 5 20 HJBD O=C(Nc1c2c(nn1-c1ccc(Cl)cc1)CCC2)c1ccc([N+](=O)[O-])cc1Cl 485885373 UISKBWDWIPCTDD-UHFFFAOYSA-N 417.252 4.828 5 20 HJBD CC(C)(C)C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(F)(F)F 488004262 FOHMMJYBMQAVHX-INIZCTEOSA-N 417.428 4.515 5 20 HJBD Cc1cc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)ccc1Oc1ccncc1 489354745 AOWVIBWQSCIVDV-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCCOc1cc(NC(=O)c2csc(C3CC3)n2)c([N+](=O)[O-])cc1OCCC 494063370 BVYKFOJXKPRSIV-UHFFFAOYSA-N 405.476 4.759 5 20 HJBD COc1cc(C(=O)NCc2ccc(-c3ccccc3)c(C)c2)c([N+](=O)[O-])cc1OC 494755188 BDRRETFFTFPXHU-UHFFFAOYSA-N 406.438 4.517 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1cccc(OC)c1 505534453 SZTDLGVGDKBKSR-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD CCCCc1nc(-c2ccc(OCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cc2)no1 513313319 UTBXEEJXCAIVIU-UHFFFAOYSA-N 421.413 4.616 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(Oc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 514015041 GCJBUXSCAWUXDL-HNNXBMFYSA-N 417.893 4.871 5 20 HJBD O=C(NC[C@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])[nH]1 515765640 KCBLQCNMGCOQGF-OAHLLOKOSA-N 408.845 4.620 5 20 HJBD C[C@@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)CN1CCCC1 516556067 YLEWDFZIVYBVDA-HNNXBMFYSA-N 417.893 4.502 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(C(C)(C)c3ccccc3)s2)cc1[N+](=O)[O-] 521248625 ZZOAMVHRLGQXFK-UHFFFAOYSA-N 414.512 4.746 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)cccc1[N+](=O)[O-] 523970162 SZQMPHGDIKXJIV-INIZCTEOSA-N 419.437 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1SCC1CCCCC1 524492914 SNDOKGPJYNTYCU-UHFFFAOYSA-N 401.488 4.923 5 20 HJBD CCOc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c(C(F)(F)F)c1 534019213 MMGFPEGRIQFDKG-UHFFFAOYSA-N 407.348 4.675 5 20 HJBD Cc1ccc(/C=C/c2nc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n[nH]2)o1 534056654 CTAJXSLFVCYSLR-CMDGGOBGSA-N 409.427 4.732 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 534959475 JAFXRWLHCXZZNU-UHFFFAOYSA-N 411.421 4.726 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1nc[nH]n1)c1cccc2ccccc12 536102216 DYASVSVKZZSULI-CYBMUJFWSA-N 419.466 4.508 5 20 HJBD CN(C(=O)[C@H]1C[C@H]1c1cccc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150722 ODQOUMCXAAWGIH-KBPBESRZSA-N 415.421 4.763 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nccn1Cc1ccccc1 537153048 ICGVFLANVMSKAG-UHFFFAOYSA-N 424.526 4.612 5 20 HJBD CSc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)ccc1NC(C)=O 541069392 ZRSWUQDNQJSFPE-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD CN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 541248182 AQXSKDTZEYSHTC-MRXNPFEDSA-N 420.309 4.918 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 542223367 LTRQURBWBPYMEJ-GFCCVEGCSA-N 421.906 4.507 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 542954823 IQWZSZWLPFLXMX-KRWDZBQOSA-N 423.391 4.836 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Sc3nnc(-c4cccc5ccccc45)o3)c12 543438301 LMJBWTWFIOAZIO-UHFFFAOYSA-N 401.407 4.892 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@H]2c2cccc(C(F)(F)F)c2)n1 544593413 MADOOXDUBVJBIZ-LSDHHAIUSA-N 418.375 4.922 5 20 HJBD CC[C@H](C)n1ncc2c(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)cc(C)nc21 545145407 HVLPVSSYAKSRFK-AWEZNQCLSA-N 406.446 4.568 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccccc3CN3CCCCC3)no2)c1 545702213 UCSUNWRXXWGNNS-UHFFFAOYSA-N 417.469 4.634 5 20 HJBD COc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1OC(C)C 546160593 DAJISSOYDSTJRE-UHFFFAOYSA-N 410.879 4.775 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 546526042 BEMCVOMSYBXMQV-UHFFFAOYSA-N 410.405 4.541 5 20 HJBD COc1ccccc1[C@H]1CC[C@H](C)CN1C(=O)CSc1ccccc1[N+](=O)[O-] 553221113 MVHPHCKNLKTQSZ-DOTOQJQBSA-N 400.500 4.695 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccccc1Cl)CC1CC1 561089952 ORABCNUAJNFIOB-UHFFFAOYSA-N 411.845 4.554 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 562397428 FXHUKYDKRHMGDA-CYBMUJFWSA-N 417.893 4.909 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1 568583865 DAKTXKKVZJTLQT-MRXNPFEDSA-N 410.474 4.745 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccnc3C(F)(F)F)cc2[N+](=O)[O-])C1 570968909 OPCVGTHEPBBYPN-BETUJISGSA-N 422.407 4.743 5 20 HJBD Cc1c(Cc2noc(-c3ccccc3OCc3ccncc3)n2)cccc1[N+](=O)[O-] 574604970 WEHJNSFRUGCTSQ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD COc1cc(Cc2noc(CCSc3cccc(Cl)c3)n2)ccc1[N+](=O)[O-] 579644237 BHFLPOTZEFUTDQ-UHFFFAOYSA-N 405.863 4.565 5 20 HJBD COc1cccc(Nc2nnc(Sc3ccc([N+](=O)[O-])c4cccnc34)s2)c1 601685958 DRGOTMIFKALGMR-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3nc(C4CC4)cs3)cc2[N+](=O)[O-])C1 603873010 VQOYAUXQACZNQK-CHWSQXEVSA-N 400.504 4.663 5 20 HJBD Cc1c(NC(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)cccc1[N+](=O)[O-] 604229539 RVPMNCSSCAKJND-UHFFFAOYSA-N 401.850 4.683 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccc(Cl)cc2)c2ccccc2)c(C)c1[N+](=O)[O-] 608806480 MNHYAJXQFJGDSL-UHFFFAOYSA-N 412.877 4.685 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1coc(-c2ccc(Cl)cc2)n1 609166956 QZOYPYCOMNGTHC-UHFFFAOYSA-N 413.861 4.883 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c2ccccn2)cc1 609652895 KERDOAIELUSGKV-JOCHJYFZSA-N 416.481 4.586 5 20 HJBD CC(C)(C(=O)NCc1ccc(OCc2cccc(F)c2)cc1)c1ccc([N+](=O)[O-])cc1 610039646 UOPMLSKTJPFAOZ-UHFFFAOYSA-N 422.456 4.907 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)o1 610105445 WCKVQNKJPBNMCA-QGZVFWFLSA-N 401.463 4.586 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610624599 KQWZGNUTSSYDEK-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1OCCc2ccccc21 611470954 YXFXZVBWTHDXLT-LJQANCHMSA-N 400.500 4.531 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 725935506 LSFLRCFBPVJWBF-NWDGAFQWSA-N 406.385 4.571 5 20 HJBD Cc1cc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c(N)c([N+](=O)[O-])c1 727768193 ZHNPNRQLHDSXSH-UHFFFAOYSA-N 416.890 4.501 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(Cc2ccccc2)n1 729117607 GSGQFKTZORIIFU-UHFFFAOYSA-N 412.467 4.786 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)c(N)c([N+](=O)[O-])c1 729539861 TWDDSVKSYSOTBX-LLVKDONJSA-N 414.462 4.547 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 729827804 UATGZYSUHXWSHK-FQEVSTJZSA-N 418.449 4.678 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(-c2noc(C(F)(F)F)n2)cc1 730469945 SMJJFRFURWFCGB-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD COc1ccc(CC(=O)O[C@H](c2ccc(Br)cc2)C2CC2)cc1[N+](=O)[O-] 734727756 PMEHGCJPCQEOHI-IBGZPJMESA-N 420.259 4.603 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 735022218 QQAOJVDJVSFYSW-GDLZYMKVSA-N 422.453 4.707 5 20 HJBD COCc1nc2ccccc2c(C)c1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl 735525167 AZDIEMXMGNEBST-UHFFFAOYSA-N 400.818 4.608 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)OC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)s2)cc1 739298972 HHVDOGDORZQUGD-CQSZACIVSA-N 414.487 4.571 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 743813758 FNSWQSGUCMPPSV-CYBMUJFWSA-N 400.435 4.825 5 20 HJBD Cc1nn(CCC(=O)OCc2cc(Cl)ccc2OC2CCCC2)c(C)c1[N+](=O)[O-] 744343578 RSKRLBYIOOICBA-UHFFFAOYSA-N 421.881 4.516 5 20 HJBD CCCCn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nnc1[C@H](CC)N(C)C 746049067 SHEDZIBSRZDOJL-HNNXBMFYSA-N 411.959 4.945 5 20 HJBD Cc1sc2ncnc(SCC(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])c2c1C 746056421 ISRBLSGIQHKZDX-UHFFFAOYSA-N 423.903 4.705 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1OC 749914439 YGNCIRLINDLKTQ-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1cc(Cl)ccc1[N+](=O)[O-] 754634974 YXPMODGIMUDZLD-GFCCVEGCSA-N 401.633 4.809 5 20 HJBD C[C@H](N[C@@H](C)c1nc2ccccc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754711319 MAEXDUCIDWXWGY-QWRGUYRKSA-N 404.879 4.536 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 763391341 FETPMCDCOPLQRR-RYUDHWBXSA-N 401.806 4.706 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])c(Cl)c1 764212802 ZSBIFWHYJPFGAZ-CYBMUJFWSA-N 411.241 4.712 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765038781 QPKNLQPBYPRMRD-DLBZAZTESA-N 421.592 4.573 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)Cc2cc3ccccc3o2)c1=S 765053952 MOUVXTPMBBZXME-UHFFFAOYSA-N 423.498 4.845 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)c(OCc2cccnc2)c1 767688175 BHMSPIGCUMRHTO-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD CN(CCC1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1)C(=O)OC(C)(C)C 769917322 AUEMOOPXANRBLC-UHFFFAOYSA-N 414.506 4.616 5 20 HJBD COC[C@H](OC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1nccs1 774674019 FNEQXHBRLQGQQX-KRWDZBQOSA-N 416.480 4.747 5 20 HJBD CCc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c2c(CC(C)(C)C)noc2n1 800147801 BCFIZTCLGLIRIO-UHFFFAOYSA-N 414.437 4.982 5 20 HJBD C[C@H](Sc1ccccc1C(=O)OCc1nc2ccccc2c2ccccc12)C(N)=O 801487241 OBLBHGRGQWCAEC-HNNXBMFYSA-N 416.502 4.711 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1 806258397 MKXJJLJDHIDENV-UHFFFAOYSA-N 414.462 4.648 5 20 HJBD Cn1cc([C@@H](NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccc(F)cc2)cn1 809981063 YGHKWEGXGWNLJI-KRWDZBQOSA-N 409.248 4.653 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 811373099 PTFDPCLAPIYMDM-JTQLQIEISA-N 422.224 4.793 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c(COC(C)C)c1 811594421 ZRIRTLHPWHDZJW-UHFFFAOYSA-N 423.263 4.549 5 20 HJBD COc1cc(Br)cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813120361 WMAOFZYZHSGALI-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)nc3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 844131267 MCHRKNBZXUASRH-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CCOc1cc(NC(=O)c2cc3ccccc3c(Cl)n2)c([N+](=O)[O-])cc1OCC 915143282 LYTLBMYDKXVCJG-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD O=C(CN1CCC[C@H](c2cc3ccccc3[nH]2)C1)Nc1cc(Cl)ccc1[N+](=O)[O-] 917134454 UEPVNGKLHXDQAX-HNNXBMFYSA-N 412.877 4.548 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccn(C2CCCCC2)n1 1343970314 KEBQPSBYPACOJY-UHFFFAOYSA-N 411.384 4.637 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)Nc2cccc3ccccc23)cc1[N+](=O)[O-] 4797435 YYKDOCQZNYGCAY-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Cl)cs1 10667664 CEBQDAJROSOFGJ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1C(=O)c1ccccc1 14369610 WIEHZBRIJRIVBR-UHFFFAOYSA-N 408.841 4.751 5 20 HJBD COC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 14787847 KOTPQJYNMDIPSB-UHFFFAOYSA-N 408.435 4.785 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 31045076 NRTBVUZOHJEJIA-UHFFFAOYSA-N 411.408 4.757 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53166434 QXUSJSXXZXIKHH-MGPUTAFESA-N 402.454 4.580 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1C 59017340 IQDRESQDZFKQIV-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CCCCOc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 65864916 RJZWZXGSZKWBBC-UHFFFAOYSA-N 400.475 4.833 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 69420035 AVLNIXVZUIEJID-UHFFFAOYSA-N 414.508 4.990 5 20 HJBD CN(Cc1ccccc1F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 104646197 PBNYYTUYYNJWJG-UHFFFAOYSA-N 402.369 4.503 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3ccc(Br)cc3Cl)o2)cc1 111861268 WOMYMNRKGKSEKQ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)c1 410229887 KIAVFCGSVLGIHR-UHFFFAOYSA-N 414.458 4.919 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccncc2)cc1 431665676 QCHMNPJEYVUWEY-MRXNPFEDSA-N 404.470 4.952 5 20 HJBD CC(=O)Nc1ccc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cn1 433205987 MWSNUICQOZWETB-UHFFFAOYSA-N 422.510 4.698 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(-c2ccccc2)ns1 443877231 MYZLVCSSRIMBDB-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD CCO[C@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(CC)CC 444177828 UCKJFQIRHCSUDA-OALUTQOASA-N 410.489 4.534 5 20 HJBD O=C(NCC1(Cc2ccccc2)CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444243191 AFJQULBUEIMRDQ-UHFFFAOYSA-N 400.453 4.573 5 20 HJBD CC(=O)c1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 444771531 PRGXCBMUASXPLH-UHFFFAOYSA-N 408.816 4.929 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@@H](Oc2cccc(Cl)c2)C1 445140439 SVGHETZPFXSXEI-QGZVFWFLSA-N 418.880 4.628 5 20 HJBD Cc1ccc(NC(=O)Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c(C)c1 445363503 JMFLBQNQOHNCSD-CQSZACIVSA-N 412.471 4.629 5 20 HJBD CCOc1cc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1O 445422859 RPQAHBZGEQQNLF-UHFFFAOYSA-N 407.835 4.695 5 20 HJBD CC(C)Cn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N1CCC[C@H](C(F)(F)F)[C@@H]1C 460629871 NSJDDKPHBULWJN-BBRMVZONSA-N 411.428 4.677 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 461309754 WHSWELAXAIWTJM-PBFPGSCMSA-N 406.841 4.764 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1cnn(-c2ccc(F)cc2)c1C 462425108 AFQBZCMJSHFICK-QAPCUYQASA-N 424.476 4.674 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1ccc(OC)c(OC)c1 462902134 ZZHKBJMYDAEGSV-FXAWDEMLSA-N 412.486 4.544 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463659703 GZZDMWIQONKDIM-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD CCOc1cccc(CNC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1OC(F)F 463969191 INBMMROMKGBKGK-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1C 465059265 JDMAASVMERKPQH-UHFFFAOYSA-N 407.854 4.754 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(SC)cc1 466654891 KKILCEYKSHYBEA-CYBMUJFWSA-N 406.529 4.546 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 470270621 LKZGRQDPQUXKPB-QUCCMNQESA-N 423.494 4.677 5 20 HJBD CCc1ccc(C(=O)N(CC)CC(=O)Nc2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 471304938 UXWXREVNUUMMPS-UHFFFAOYSA-N 424.284 4.565 5 20 HJBD O=C(N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 474334259 YCAXGAYURSJJPA-HOTGVXAUSA-N 422.403 4.677 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1ccc([N+](=O)[O-])cc1Cl 479252997 WZZTWZHCNQALNL-SNVBAGLBSA-N 414.676 4.564 5 20 HJBD O=C(Nc1cccc(Oc2cccnn2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 479818562 UWZXPRFKFWSXFC-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CNC(=O)c1cccc(CCN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 484159860 MCLBLYGDBRABGQ-OAHLLOKOSA-N 409.511 4.576 5 20 HJBD O=C(Nc1cc(F)c(F)cc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485927843 AJOHEVHHLVDOAQ-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD CC(C)(C)c1ccc(-c2ccc(C(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)o2)cc1 487016237 SDKJYJDDTWSPGO-UHFFFAOYSA-N 422.485 4.826 5 20 HJBD Cc1nc(C)c(CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 487766640 OIGVDCNWZBLVIE-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD Cc1ccc(Oc2ccccc2)c(NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c1 488896645 LHPMKKLDJHWSJO-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 490945940 ZZHHUKYIYDFZQS-INIZCTEOSA-N 416.861 4.872 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C)c1ccc(F)cc1 491055715 AOOVNMJOUXQFPN-SFHVURJKSA-N 406.479 4.600 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 491444417 KZDKQDBCIXKXSC-UHFFFAOYSA-N 422.416 4.557 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H](COc1ccccc1)c1ccccc1 502344831 JZCIFDZRUJCZFU-NRFANRHFSA-N 408.479 4.623 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])C2)cc1F 506298627 DPXJOCHMXVXDAB-MQMHXKEQSA-N 424.881 4.550 5 20 HJBD COc1cc(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)ccc1[N+](=O)[O-] 511951443 FRINDNSRGHWFLP-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD C[C@H](NC1(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CCCC1)c1ccccc1 518335451 ITOJBBLAPCEQNC-INIZCTEOSA-N 407.470 4.734 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3ccc(Br)cc3)o2)cc1 519107349 JCOLUKAVTPLRRL-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD O=C(Cc1csc(-c2cccnc2)n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 521074411 FATRVQOYWPLWPF-UHFFFAOYSA-N 408.483 4.632 5 20 HJBD CCCN(Cc1nc(-c2cccc([N+](=O)[O-])c2)no1)Cc1c(F)cccc1Cl 521818643 COTQMBONERCGCB-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD CCOc1cc(C(=O)Nc2sccc2C(=O)C(C)(C)C)c([N+](=O)[O-])cc1OC 522866650 LUHZODIPIMEMKV-UHFFFAOYSA-N 406.460 4.545 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 522959107 PVEWKPDQIUWUDM-DZGCQCFKSA-N 406.841 4.561 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)n(C(C)(C)C)n1 523508154 LHGZIJNQAFZOPO-UHFFFAOYSA-N 423.311 4.859 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(c3cccc(F)c3)CC2)cc1[N+](=O)[O-])c1ccccn1 523513305 XFCLGCWHKUDOIM-HNNXBMFYSA-N 420.444 4.721 5 20 HJBD CN(CC1CCCCC1)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534059895 YXVLDQSKOXDFLB-UHFFFAOYSA-N 403.504 4.522 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(N(C)C)nc2ccccc12 538259715 NAHPDJZBQYOUAD-UHFFFAOYSA-N 424.526 4.640 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(F)cccc2n1C 538560395 YQTTZEDAWYHFSL-UHFFFAOYSA-N 402.451 4.765 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 539152937 BRXCRKQTERICBI-YJBOKZPZSA-N 420.849 4.862 5 20 HJBD Cc1ccc(N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)CN1CCCCC1 539734860 VPEDHOGCRRCQAA-SFHVURJKSA-N 410.518 4.809 5 20 HJBD CCc1ccc([C@H](NCc2ccc3c(c2)OCCCO3)c2ccc([N+](=O)[O-])cc2)o1 541543411 IYQAZFUUTLQKTM-HSZRJFAPSA-N 408.454 4.791 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)Nc1ccc([N+](=O)[O-])cc1F 542713147 NXHKPEYDVMBKRZ-UHFFFAOYSA-N 414.437 4.574 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1F 542762827 ZLYMORZMYVNWTI-OLZOCXBDSA-N 415.396 4.524 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1-c1ncco1 543159848 QEUDEBOUGPNKPY-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD CCC(CC)n1nccc1-c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 545045307 LESGZSZAXJZMSY-UHFFFAOYSA-N 420.267 4.556 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(C(=O)N(C)c4ccccc4)cc3)n2)cc1[N+](=O)[O-] 546986278 FFFAXYWANOVIEP-UHFFFAOYSA-N 414.421 4.897 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ccc(COCC(F)(F)F)cc3)no2)c1 547036628 HFQTZAHGRLIDJG-TWGQIWQCSA-N 405.332 4.894 5 20 HJBD Cc1[nH]nc(C(=O)NCc2cccc(Oc3ccc4ccccc4c3)c2)c1[N+](=O)[O-] 553059279 HPKFJCRONYOSOK-UHFFFAOYSA-N 402.410 4.502 5 20 HJBD Cc1nc2c3ccccc3nc(SCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2n1 555008845 ANRBYCYBPQOVBY-UHFFFAOYSA-N 419.388 4.805 5 20 HJBD Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c(N2CCCC2)n1 556286048 OJMMOMPLPYESBV-UHFFFAOYSA-N 419.363 4.634 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cc([N+](=O)[O-])c1 580227062 MKKDIXOMNGMIOI-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD COc1cc2c(cc1Cl)CN(C(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])CC2 582746243 DHAZCNMZOAZOTE-UHFFFAOYSA-N 423.856 4.517 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 603567878 YWHUICSDSVDXMM-GOSISDBHSA-N 400.500 4.509 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1cc(-c2ccccc2)cs1 603942150 AUFVTTZKFOTGOF-GDNBJRDFSA-N 401.447 4.978 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1C 604010648 KPXRKCAVHQIHHS-UHFFFAOYSA-N 424.501 4.659 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@H](c3cc(F)cc(Br)c3)C3CC3)nc2c1 614227600 IRQULADGXSMWSO-SFHVURJKSA-N 420.238 4.879 5 20 HJBD COC(=O)c1cc(NC(=O)c2oc3ccccc3c2CSC)c(C)c([N+](=O)[O-])c1 616937588 ZSMSRUYGWHUXDB-UHFFFAOYSA-N 414.439 4.551 5 20 HJBD CC(C)(C(=O)O)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 617742293 DVSXXSBVDPDZIV-AWEZNQCLSA-N 420.531 4.593 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 732575369 FWZTULNYWLSMSV-LLVKDONJSA-N 411.683 4.762 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)c2)n1 734350298 IVRBCNUONRAAKJ-UHFFFAOYSA-N 417.469 4.603 5 20 HJBD CCCOc1ccc(O[C@H]2CCCC[C@@H]2NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 735016586 FKRWSGHTLLJTGX-FPOVZHCZSA-N 416.449 4.643 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1F 736877266 VKVVJFHQXPHSMC-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD CCOc1cc(C(=O)O[C@@H](C)c2ncc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OC 741309629 VRWPZEZWIFUITG-ZDUSSCGKSA-N 412.398 4.575 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@@H]3CCCc4ccccc43)CC2)ccc1[N+](=O)[O-] 744205334 YLQHXDBYOOAKKO-OAQYLSRUSA-N 424.497 4.626 5 20 HJBD CC(C)c1cccc(NC(=O)COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 745568696 RALLMUAPCQIZSO-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)o1 750599879 UYFIUBMXQJRGNK-ABAIWWIYSA-N 419.275 4.879 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 751088945 PFDPVGNOIHJIJN-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD C[C@H](C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1ccc([N+](=O)[O-])cc1F 754104033 MQLYJETZWILBPA-NSHDSACASA-N 421.400 4.962 5 20 HJBD Cc1oc(-c2cccs2)nc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 762309139 NRKJQCPDBWFBPZ-UHFFFAOYSA-N 407.411 4.742 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(CC[C@H](O)c2ccccc2)c1 762991285 GWGAZBVTTQQAON-QHCPKHFHSA-N 404.466 4.832 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc3cc(Br)ccc3o1)CC2 773997426 ROGBSLLRXZFHTG-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCOC2=O)cc1 777367724 SSYLIFVEGYDQQH-UHFFFAOYSA-N 415.471 4.696 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 779162338 NKKQLZOWULLCGS-RDJZCZTQSA-N 415.490 4.590 5 20 HJBD C[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 782677071 QBVPKJVMDDKJCN-OAHLLOKOSA-N 421.409 4.573 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)Nc1cccc2c1CCN2C(=O)OC(C)(C)C 782838000 XLYSCCKYTXCALQ-UHFFFAOYSA-N 412.446 4.845 5 20 HJBD COc1ccccc1/C=C(\C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 784972047 GHXYYVVTPZHOFH-QNGOZBTKSA-N 417.417 4.570 5 20 HJBD C[C@H](OC(=O)c1ccc(Sc2nncn2C)c([N+](=O)[O-])c1)c1cc2ccccc2o1 786470069 COPNHSBMRHXPEB-LBPRGKRZSA-N 424.438 4.539 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1c1nc2ccccc2s1 790496665 IDDPKCVOMBJMBR-HNNXBMFYSA-N 419.865 4.903 5 20 HJBD Cc1cc(F)ccc1C1CCN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC1 794553211 DQLXYWRBBHWTDL-UHFFFAOYSA-N 421.266 4.825 5 20 HJBD COCCOc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(-c3ccccc3)cc2)cc1OC 798107187 NCYUNWQFSIOXAT-UHFFFAOYSA-N 423.421 4.515 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1Cl 805432687 WUCRDZXFPGYWTA-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H](O)c1ccccc1 808832582 QGKQNVJNNGYVHC-VFNWGFHPSA-N 407.426 4.631 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(c2noc3cc(F)ccc23)CC1 809917220 CODOTZJXSKCEDK-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc2ccccc2n1C(F)F 809920464 SGGYIFBIIYGIBU-VIFPVBQESA-N 409.780 4.876 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2ccc(-c3nc4ccccc4s3)o2)cc1 812484009 PURRKWBRSIHENS-UHFFFAOYSA-N 410.480 4.951 5 20 HJBD O=C(Nc1cccc(N2CCCCC2=O)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812901334 TYYUZRBRMDIKCD-UHFFFAOYSA-N 408.241 4.671 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] 844170405 MICAOUWIOFLJNH-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD Cc1cc([N+](=O)[O-])c(F)c(C(=O)N2CCC(c3nc4ccccc4s3)CC2)c1F 854244378 BYEIWFCPGHQBRS-UHFFFAOYSA-N 417.437 4.811 5 20 HJBD Cc1c(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 912697801 PVPBLKBGWVSUIE-UHFFFAOYSA-N 417.381 4.607 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc3ccc(C(C)C)cc3[nH]2)cccc1[N+](=O)[O-] 914668148 BMLBLBHINYVQIK-CQSZACIVSA-N 409.442 4.692 5 20 HJBD O=[N+]([O-])c1c(F)cccc1NCC1(c2cccc(Br)c2)CCOCC1 919963426 OJWLKOZILSNUHO-UHFFFAOYSA-N 409.255 4.657 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 921266132 HCTUUOWRGKVMID-MRXNPFEDSA-N 413.232 4.783 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2Br)nnc1-c1ccccc1F 1325772427 FJKKROOCRYJNNX-UHFFFAOYSA-N 423.267 4.584 5 20 HJBD Cc1cc(-c2nnc(-c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2n1 1328196140 FLFRPCYXBSXJFT-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1Cc2ccccc2C2(CCC2)C1 1342134167 DJKGZVHJMWMSAS-UHFFFAOYSA-N 403.385 4.666 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(Cc3cccs3)n2C2CC2)cc1 54915201 ZKZLTWGBTXBNGP-UHFFFAOYSA-N 416.528 4.735 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 55867792 DMIABBMMLRHSFJ-INIZCTEOSA-N 407.392 4.619 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1Cl 63984934 AIUUCYYTMWCLET-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(-c2nc3ccccc3s2)s1 65124132 FPQZGUJZAIUTBI-UHFFFAOYSA-N 409.492 4.906 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(SCc2cn3ccccc3n2)cc1 105572624 OTRJNHFLEIJPJH-UHFFFAOYSA-N 404.451 4.787 5 20 HJBD CC(C)c1ccc(-c2ncc(Cn3cnc4ccc([N+](=O)[O-])cc4c3=O)s2)cc1 301607158 WVECHARTCAIRFT-UHFFFAOYSA-N 406.467 4.600 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C/C(C)=C\c1ccccc1 427563130 KPIXTBYXFHNHAM-SSZFMOIBSA-N 400.500 4.509 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCc1ccccc1[N+](=O)[O-] 436124617 HANZPWQNRPOTLJ-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD COc1ccc(Br)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436301587 MMDPWSWYUFUOGZ-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD C[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@@H]1c1ccc(C(F)(F)F)cc1 437705637 BDJRRFKNQPUQOD-YJYMSZOUSA-N 408.376 4.602 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442676980 HZXQYBFGXQNIBD-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD COc1cc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)ccc1OC(C)C 444323470 KVVLLLXVYUBDAQ-UHFFFAOYSA-N 420.440 4.869 5 20 HJBD CC(C)Oc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c(Cl)c1 444509755 DIYDIAUURPZCEA-UHFFFAOYSA-N 417.893 4.891 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cc(-c4ccccc4Cl)on3)n2)c1 445572180 CZCWJZPLWBPMQT-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD C[C@@H](O)C[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccccc1 447672251 PDIKTWXTKYTGDN-YPMHNXCESA-N 419.350 4.611 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1nc2ccccc2n1CC 462508429 WGAOYCQEHADASM-XMSQKQJNSA-N 420.513 4.897 5 20 HJBD CN(CC(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1)c1ccccc1[N+](=O)[O-] 463286916 MKBFREZKQROOFY-MRXNPFEDSA-N 408.285 4.702 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 463946882 BMZNFGQGNAUHLM-QAPCUYQASA-N 407.829 4.656 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 464669831 PFVIUCGFOAMADP-UHFFFAOYSA-N 400.765 4.889 5 20 HJBD Cc1coc(-c2ccc(C)c(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2)n1 469878700 GFUWNURXVBLWLU-ZDUSSCGKSA-N 414.849 4.959 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2C)c([N+](=O)[O-])cc1OC(F)F 470229562 DFEJEJGGRZRGNK-UHFFFAOYSA-N 420.290 4.784 5 20 HJBD CN(CC(=O)Nc1ccccc1-c1cc(F)c(F)c(F)c1)c1ccccc1[N+](=O)[O-] 474730970 JGGVUPMAASACRY-UHFFFAOYSA-N 415.371 4.754 5 20 HJBD Cc1nc(NC(=O)c2cn(C(C)(C)C)nc2-c2ccccc2Cl)ccc1[N+](=O)[O-] 475298951 CEYRZDWNGUHPSW-UHFFFAOYSA-N 413.865 4.822 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1[C@H]2CC[C@H]1c1ccccc12 478049855 YAAHEUUFMUZOMX-PMACEKPBSA-N 402.400 4.992 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(OC(C)(C)C)c(F)c1 480267104 FKQPIMRCLAOFDV-UHFFFAOYSA-N 407.417 4.757 5 20 HJBD O=C(Nc1c(Cl)ccc2c1CCO2)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 484591159 PJYAQNZNFCGLMF-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(CCc3ccccc3)CC2)cc1SC 484946555 QXHIZEJAUJUTPA-UHFFFAOYSA-N 414.527 4.810 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2cccc(NC(=O)C3CCCC3)c2)cc1[N+](=O)[O-] 489272063 VRTHJMDGMMRXJX-MRXNPFEDSA-N 424.501 4.592 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(OC(F)F)cc1 489835416 IVTUTCKRWDXMRD-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD CCOc1cc(CN[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 491590889 FOFBONVIOLVPEC-INIZCTEOSA-N 420.334 4.988 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC[C@@H]2CCCO2)c1 493235646 IEHNZXHELHODIK-WMZOPIPTSA-N 413.474 4.652 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(OC)c2)c(C)c1 498452934 PAGWQAOYKLDIOY-CQSZACIVSA-N 404.488 4.820 5 20 HJBD Cc1cccc([C@@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 499020175 DTIXQEYDTVUKPH-OAQYLSRUSA-N 404.470 4.622 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2cccc(Br)c2)CC1 500268130 HZUBFIAINTXHPM-UHFFFAOYSA-N 422.254 4.908 5 20 HJBD CC[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 500632263 LLNJZPRUCDOFJA-BLLLJJGKSA-N 410.442 4.944 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)C[C@H]1C=CCC1 500870983 IIGMOFFMIDGLAD-KRWDZBQOSA-N 422.485 4.720 5 20 HJBD CCOc1cc(NC(=O)c2cnn(C3CCCCC3)c2C)c([N+](=O)[O-])cc1OCC 510380618 WLAWAPJXSSYDFQ-UHFFFAOYSA-N 416.478 4.655 5 20 HJBD Cc1cccc([C@@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C(F)(F)F)c1 510465973 WXWFODJELVPNGZ-LJQANCHMSA-N 405.376 4.994 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)N(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 519891905 ZPKIZVKBOWYOIP-NSHDSACASA-N 403.287 4.592 5 20 HJBD C[C@H](C(=O)Nc1ccccc1CN1CCc2ccccc21)c1cccc([N+](=O)[O-])c1 521223502 RHEYNIBYARCSRE-KRWDZBQOSA-N 401.466 4.900 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCc2sc(Cl)cc21 521703480 VBZIXDJNXVHKOF-MRXNPFEDSA-N 408.910 4.673 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1F 523501522 BOTHPJRLVLISJJ-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD CN(CC(=O)Nc1nc(-c2ccco2)c(-c2ccco2)s1)c1ccccc1[N+](=O)[O-] 525284303 MYJUKHQIJXGKCM-UHFFFAOYSA-N 424.438 4.646 5 20 HJBD O=C(Nc1cccc(CSC2CCCC2)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 525380646 DRRWJOUKHDQNDT-UHFFFAOYSA-N 400.456 4.752 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1 530781289 LDOGINGVUURHBI-UHFFFAOYSA-N 411.849 4.575 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)Cc3cccc([N+](=O)[O-])c3C)cn2)c1 533498370 RGTBRGPSJDPAEE-UHFFFAOYSA-N 405.454 4.566 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](C3CCN(C)CC3)C2)cc1[N+](=O)[O-] 533699082 SDIXIQVSMNNZJC-LJQANCHMSA-N 419.591 4.537 5 20 HJBD CCOc1cc(NC(=O)C2(c3ccc(F)cc3F)CCC2)c([N+](=O)[O-])cc1OCC 537396687 MTHZVMQOZSRMIS-UHFFFAOYSA-N 420.412 4.731 5 20 HJBD COc1cc(CN2CCC(C(F)F)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 537635514 YOUFZOCCVPOQEY-UHFFFAOYSA-N 406.429 4.660 5 20 HJBD C[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C[C@@H]1n1ccnc1 537726047 MSHBXZIMJXMPDM-KUHUBIRLSA-N 400.866 4.788 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 539547911 VJPOKLNQOKFOET-BFUOFWGJSA-N 401.463 4.723 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)nn1-c1cccc(Cl)c1 540741702 FBCQOUZLGZMQIT-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc([C@H]4CCOc5ccccc54)no3)cs2)c1 544780644 UUYRWXBFKMOCBP-HNNXBMFYSA-N 406.423 4.683 5 20 HJBD C[C@@H](O)[C@@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 546653203 VHZZDAJMKMTHLT-ZIAGYGMSSA-N 420.918 4.632 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)NCc2ccc(F)cc2)cc1 565292625 NPDDKUYKDYHEBZ-UHFFFAOYSA-N 423.444 4.675 5 20 HJBD Cc1cc(NC(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)ccc1Oc1cccnc1 566944066 VJOKGDYIWSSJJT-UHFFFAOYSA-N 420.425 4.517 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccncc3)c(C)c2)cc1OC 572229404 CQVNJGSUFIKVPN-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2cccc(C(F)(F)F)c2)nn1C)c1cccc([N+](=O)[O-])c1 575419372 IMXGCNLEUVXBJS-LBPRGKRZSA-N 418.375 4.756 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2Nc2ccc(-c3cn4c(n3)CCCC4)cc2)n1 583420995 RXNLOSIWEPQDDC-ICSRJNTNSA-N 406.490 4.587 5 20 HJBD COc1ccc(CSCC(=O)N2CC(C)(C)[C@@H]2c2cccs2)cc1[N+](=O)[O-] 584376159 ALMAFJPPYVZNPW-SFHVURJKSA-N 406.529 4.508 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1c[nH]c2cc([N+](=O)[O-])ccc12 586874734 OIBMNEJXHKWWPJ-UHFFFAOYSA-N 411.215 4.639 5 20 HJBD CCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)[C@@H](C)c1cccc(C(F)(F)F)c1 603529562 JOMFXJXSYUEHHV-NSHDSACASA-N 410.348 4.566 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 609221072 NWUSLJNMWIPGBY-UHFFFAOYSA-N 423.878 4.528 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(Cl)cc1)c1ccccc1[N+](=O)[O-] 609908371 STPBLRTWFWHPNY-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)c1 609961072 FGOPEQBZQDBDHS-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1OCCc2ccccc21 611470953 YXFXZVBWTHDXLT-IBGZPJMESA-N 400.500 4.531 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(OC2CCCC2)cc1)c1ccc([N+](=O)[O-])cc1 611561027 AUTQHHNMIBAEMF-JOCHJYFZSA-N 406.486 4.529 5 20 HJBD Cn1ccnc1[C@@H](NC1CCC(C)(c2ccccc2)CC1)c1ccc([N+](=O)[O-])cc1 611561062 QGXQKEQHMGBFGV-HMXGKDEJSA-N 404.514 4.908 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)cc2)cs1 619503562 JDAXGHNHRIBLMZ-KBPBESRZSA-N 410.499 4.705 5 20 HJBD Cc1cc(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c(N)c([N+](=O)[O-])c1 727765994 ZLGZMHPVKVUHHX-LJQANCHMSA-N 414.465 4.529 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1ccccc1Cl)C1CCCC1 729182050 DPANAHFRDKEHNN-UHFFFAOYSA-N 403.822 4.741 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)CCCC1 731800284 YWDYCPHUKIWMKA-UHFFFAOYSA-N 408.842 4.592 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 738742999 HGVDKNJAAMVBFO-QWHCGFSZSA-N 424.375 4.678 5 20 HJBD O=C(NC[C@H](O)c1ccccc1Cl)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 740932611 PZQQLKFMONDBDP-IBGZPJMESA-N 412.829 4.504 5 20 HJBD COc1ccc(/C=C2/C(=O)Oc3ccccc32)cc1OCc1ccc([N+](=O)[O-])cc1 747162507 XXQXQNDHVQQNRB-XDHOZWIPSA-N 403.390 4.642 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(OC(F)F)c(Cl)c1 747811091 MHIAWLYEZMOTIR-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCSCC2)c(Cl)c1 748661354 LZRRYVCPBATUQL-UHFFFAOYSA-N 423.947 4.532 5 20 HJBD Cc1c(Br)cc(C(=O)O[C@@H](c2ccccn2)C(F)(F)F)cc1[N+](=O)[O-] 753204948 SSTQRSJELLATBE-ZDUSSCGKSA-N 419.153 4.521 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1cc(Cl)ccc1[N+](=O)[O-] 754634975 YXPMODGIMUDZLD-LBPRGKRZSA-N 401.633 4.809 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@H]1c1cccc(F)c1 755023194 AEQMEZKUIBVGAG-HNNXBMFYSA-N 408.227 4.865 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 762262636 GCJKCFSYFVACFE-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD CC(C)[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 763403194 FBDMKSICGSLNPI-ACJLOTCBSA-N 404.850 4.558 5 20 HJBD O=C(NOCc1cccnc1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 767247731 VWEWUVKTYZOCTK-UHFFFAOYSA-N 415.858 4.656 5 20 HJBD COC1CCN(c2ccc(NCc3ccccc3[N+](=O)[O-])cc2C(F)(F)F)CC1 770565835 RDECFKLTZOIRHH-UHFFFAOYSA-N 409.408 4.841 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CNc1ccc(OCc2noc(C3CC3)n2)cc1 770571236 RPAUSRVRYRBIGP-UHFFFAOYSA-N 400.822 4.700 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140228 TVCKXGJIOMYBBL-QMHKHESXSA-N 406.438 4.825 5 20 HJBD Cc1cc(-n2cccn2)ccc1COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781544760 DUEHHJLMPXIYIZ-UHFFFAOYSA-N 409.445 4.532 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1[N+](=O)[O-] 784814481 QOWVLQIGBWYUPM-SNVBAGLBSA-N 419.846 4.938 5 20 HJBD Cc1ccc([C@H]2C[C@@H](C)N(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)cc1 786218337 OPJJLLKROIZBHU-HIFRSBDPSA-N 403.276 4.684 5 20 HJBD CC(=C/c1cccc([N+](=O)[O-])c1)/C=C(\Cl)c1nc(O)c2ccc(C(=O)O)cc2n1 787169971 SLRCPZFSAAOHPE-STMIIKKGSA-N 411.801 4.625 5 20 HJBD Cc1nc(-c2ccc(C(=O)O[C@@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)cc2)cs1 799616685 GAJRCYMXKMOGRS-QZTJIDSGSA-N 412.471 4.564 5 20 HJBD Nc1ccc([N+](=O)[O-])c(NCc2ccc(Oc3ccccc3C(F)(F)F)nc2)c1 800855107 YSKHNNOMVJPGGW-UHFFFAOYSA-N 404.348 4.995 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1-c1nc2ccccc2s1 801805050 ZWQZRPMUPIOHHU-UHFFFAOYSA-N 408.414 4.845 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H](C)CC2CCOCC2)cc1[N+](=O)[O-])c1ccccn1 803010989 NHTZXLKBSBCJJI-CVEARBPZSA-N 413.474 4.525 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3ccc(N)cc3[N+](=O)[O-])CC2)n1 804649094 RDXOYECWAFHRIT-UHFFFAOYSA-N 410.499 4.693 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2c(CNCc3ccc([N+](=O)[O-])cc3F)cccc21 808432199 GGCXCWSNOYCPMP-UHFFFAOYSA-N 415.465 4.711 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)ccc21 812816028 IXHCFWYTYIOJOJ-UHFFFAOYSA-N 411.384 4.674 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 812895544 CTHQPAOWMKQVHF-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1ccccc1CN1CCC(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813412953 FVTQGEUKQADTSN-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(C(F)(F)F)nc1Cl 816761442 BUQLRJXQXPCOPQ-MRVPVSSYSA-N 412.755 4.634 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccccc1C(=O)Nc1cccc(Cl)c1 912605756 PCNIJZJWCXBBAC-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD COC(=O)c1ccc(/C=C\c2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)nc1 917146516 PMYGARPGPSHDEQ-BAQGIRSFSA-N 420.421 4.534 5 20 HJBD Cc1nc([C@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])no1 1116636875 BVLAVDZBQRODHV-JTQLQIEISA-N 418.862 4.582 5 20 HJBD CCOc1cc(-c2nnc(-c3cc(C)nc4ccccc34)o2)c([N+](=O)[O-])cc1OC 1117201250 XFIOFTWRNUXDSH-UHFFFAOYSA-N 406.398 4.576 5 20 HJBD Cc1cccc(NCc2ccc([N+](=O)[O-])cc2Br)c1C(=O)N1CCCC1 1320394145 OPWBUMIFKRGRMT-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)o1 1326971953 ACOZBBPMDVFISW-GFCCVEGCSA-N 420.853 4.873 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@H](c4ccc(Cl)s4)C3)co2)cc1 1341243911 NMWVSSHGEFAIDO-HNNXBMFYSA-N 405.863 4.538 5 20 HJBD CCOC(=O)c1sc2cccc(F)c2c1COc1ccc(C=O)cc1[N+](=O)[O-] 7113791 DWKNEXZVQKXEGY-UHFFFAOYSA-N 403.387 4.517 5 20 HJBD CCn1c(SCC(=O)N[C@H](C)c2ccccc2)nc2cc3ccccc3cc2c1=O 7577789 GKRZVSASXQKMFC-MRXNPFEDSA-N 417.534 4.539 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)Nc1ccccc1Oc1ccc(C)cc1 9751894 FRXMHWGCNRURQB-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2c(C)nn(Cc3ccccc3)c2s1 19159600 CQHCOWABLPWRFM-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)cc1[N+](=O)[O-] 29805529 KCJKTSPMFWRGJM-UHFFFAOYSA-N 402.410 4.561 5 20 HJBD Cc1c(NC(=O)[C@H](C)SCc2ccc(Br)cc2)cccc1[N+](=O)[O-] 32478734 HQYYBNMWWCEWDW-LBPRGKRZSA-N 409.305 4.926 5 20 HJBD COc1ccc(-c2csc(/C=C/c3cc(OC)c(O)c([N+](=O)[O-])c3)n2)cc1OC 38323076 WPVHIWFJXXQIMM-QPJJXVBHSA-N 414.439 4.620 5 20 HJBD COc1ccc(CSCC(=O)N(C)Cc2ccc(C(C)C)cc2)cc1[N+](=O)[O-] 45930647 VJMXMLNTZJJHOR-UHFFFAOYSA-N 402.516 4.619 5 20 HJBD C[C@H](NC(=O)NCc1ccc(COCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 56400049 WJPCVTNZKCGZJB-SFHVURJKSA-N 419.481 4.872 5 20 HJBD CCCOCc1ccccc1CNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64918646 LWTVSOGWDSZXPV-UHFFFAOYSA-N 411.483 4.575 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N(Cc1ccco1)c1ccc(F)cc1F 65736036 OOOCXSXIPIPRMU-UHFFFAOYSA-N 411.364 4.654 5 20 HJBD COc1cc(C(=O)NCc2cccc(-c3cccc(C)c3)c2)c([N+](=O)[O-])cc1OC 72085049 ZPPCSZYCQLOAOX-UHFFFAOYSA-N 406.438 4.517 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 117295311 AAGKWOUNNMYPID-ZDUSSCGKSA-N 411.483 4.724 5 20 HJBD Cc1cc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)cc([N+](=O)[O-])c1 148096468 ADXZAHAYIGPFRD-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c(OC)c1 237247069 BCYSJPANLBCENF-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccc(NC(N)=O)cc1 237421344 UQDXVSCOACYYDU-GFCCVEGCSA-N 414.849 4.850 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCCN(c2ccccc2)CC1 302969317 NSJYRADMOQXFGG-UHFFFAOYSA-N 417.465 4.740 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(c4nc5ccccc5[nH]4)CC3)o2)c1 303434858 DFUVPUHRSYVDLQ-UHFFFAOYSA-N 417.469 4.548 5 20 HJBD CCOc1ccc(C(=O)N(C)C)cc1NC(=O)c1cc2ccccc2c2cccnc12 427806719 IEYZFUOJJJVQMI-UHFFFAOYSA-N 413.477 4.741 5 20 HJBD CCOC1(C)CCN(Cc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)CC1 430097411 AWZQXHBQYJSPBA-UHFFFAOYSA-N 414.502 4.573 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cc(COc2ccc(Cl)cc2Cl)on1 435145860 UNSOUUXBQPYTTQ-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD Cc1c(NC(=O)N[C@H]2CCCc3c(O)cccc32)cc(Br)cc1[N+](=O)[O-] 435330443 STSKNSDMAJHFMD-AWEZNQCLSA-N 420.263 4.570 5 20 HJBD CCc1ccnc(CN[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)c1 435787993 BTPQLLYJBMJFQV-OAQYLSRUSA-N 424.888 4.675 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)o2)cc1 437117559 LMJIZPWCEPMIPI-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)cc1F 441396885 PSGDKOXIQKXSDQ-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC([C@@H]2CCCO2)CC1 442761324 PJKJFJIBTNZFKL-IBGZPJMESA-N 412.511 4.777 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])cc2)o1 444850998 AWUDJFBCBWPFER-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445259734 KZWCLAINAIVOQR-ZETCQYMHSA-N 405.220 4.530 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC3(CCC3)[C@H]2C2CC2)cc1[N+](=O)[O-])c1ccccn1 461195185 QPDHFNUQJLJBBG-QVKFZJNVSA-N 406.486 4.568 5 20 HJBD O=C(NCC(F)F)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 465391095 FPSRASIKORYHSW-UHFFFAOYSA-N 406.332 4.760 5 20 HJBD O=C(NCC1(CCOCc2ccccc2)CC1)Nc1ccc([N+](=O)[O-])cc1Cl 472158078 PGSOKWIHSCSSNG-UHFFFAOYSA-N 403.866 4.757 5 20 HJBD Cc1ccc([C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 472173151 NCHXXAQAMGRYAE-FQEVSTJZSA-N 410.861 4.540 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 472838565 ZNWKVXAVYVISSR-QGZVFWFLSA-N 419.485 4.562 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc([N+](=O)[O-])c(C)c4)CC3)c2c1 473771223 BYUJCASACFETAZ-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD Cc1cc(NC(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 473771606 DVVUBIWAIYMAAK-UHFFFAOYSA-N 401.850 4.683 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 475798471 YITOJLFMOYJFTN-UHFFFAOYSA-N 424.482 4.794 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc([N+](=O)[O-])cc1Br 478223429 FVEVOYCZODAAMW-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD O=C(Nc1ccc(Cc2nnc3n2CCC3)cc1)c1cc2ccccc2c2cccnc12 481088193 GMUNYTZUADCYNV-UHFFFAOYSA-N 419.488 4.769 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)n1 482001204 RHTICHMRKXDZFA-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD CNC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1Cl 488248501 JDXSIDHYLRFLJH-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCC2)C1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 489046410 TUJZWDIMQFXCHM-QGZVFWFLSA-N 412.511 4.968 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccccc2)cs1 489412734 XIDZMFKYVJEXTR-NSHDSACASA-N 419.409 4.811 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc21 492934871 BTWLNDHKYJQEIW-OXQOHEQNSA-N 421.501 4.881 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(CCc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 505018040 DTFDWGXEHKDRTP-MRXNPFEDSA-N 415.453 4.998 5 20 HJBD CN(c1ccccc1Oc1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 509555179 IKWMQSAUGMHWIS-UHFFFAOYSA-N 418.858 4.866 5 20 HJBD COc1ccc(Cl)cc1N(C)C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 510496717 QTERNDSDJVNMHV-UHFFFAOYSA-N 413.655 4.604 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cc1 510593523 VJFVVNORYFHBSP-VXGBXAGGSA-N 417.263 4.819 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)sc2C)cc1 513783647 BZZXNZVWEBHEEC-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1cc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)cc(Cl)c1OC 517631183 QYDXRIGRXKTXNH-GQCTYLIASA-N 405.769 4.625 5 20 HJBD CC[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 517884837 ULIPFBXDZZROJE-RDTXWAMCSA-N 410.499 4.733 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 518933812 PHTNDAYIVVDJFG-UHFFFAOYSA-N 407.426 4.574 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 521303284 ATRQIUXXNVDUDG-UHFFFAOYSA-N 419.407 4.740 5 20 HJBD COc1cccc(C[C@]2(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)C[C@H]3CC[C@H]2C3)c1 522735924 ILFNTHYNKKAYAP-KDLAUNOPSA-N 406.482 4.542 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(C[S@](C)=O)c3C)o2)c([N+](=O)[O-])c1 532897966 UAOGFYHTGGQFNK-LJAQVGFWSA-N 414.483 4.662 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)nc2ccccc21 534256899 YXYQMNJTQDKFRA-FQEVSTJZSA-N 417.469 4.984 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2cccc(C)c2[N+](=O)[O-])nc2ccccc21 540002758 PYKDDYLIPURJKA-UHFFFAOYSA-N 400.438 4.862 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C[C@@H]2CCOC2)c2ccccc2)c1Br 543438164 JEGUBQZNHXFHOJ-DZGCQCFKSA-N 406.280 4.641 5 20 HJBD CCc1ccc([C@H](Nc2cccc(NC(=O)[C@H](C)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 544381058 UNXRSKXBWOLDQX-OYHNWAKOSA-N 423.469 4.925 5 20 HJBD CCC(C)(C)OCc1ccccc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 544409812 PULILSRILGRXID-OAHLLOKOSA-N 415.490 4.748 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 544558356 JOWQUDHAGYPLTD-HZPDHXFCSA-N 410.449 4.517 5 20 HJBD CC(=O)N1CCC[C@H](c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)C1 545757748 PHBVDBRYRWQNNH-KLQCNHIUSA-N 424.482 4.603 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2cn3cccnc3n2)c1 545902897 JGNFSWYUXLESEP-QGZVFWFLSA-N 415.453 4.512 5 20 HJBD COCCOc1cccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c1 547075066 LOIFXRCQTXBBTP-CYBMUJFWSA-N 401.444 4.523 5 20 HJBD O=C(NC1[C@H]2CC[C@H]1Cc1ccccc1C2)c1cc([N+](=O)[O-])ccc1C(F)(F)F 552137647 CFJAWXFILVCRRZ-GJZGRUSLSA-N 404.388 4.537 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555457733 NRPJXFCJHPDFNM-UHFFFAOYSA-N 417.181 4.961 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 556509214 WLOCINBUMSDPBL-FKIZINRSSA-N 418.375 4.555 5 20 HJBD COc1ccc(C(=O)N2CCC(c3ccc(Cl)cc3Cl)CC2)cc1[N+](=O)[O-] 570789117 YNXDXMVMTDURHR-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590196159 AWTFZSHGGYNEIL-DNVCBOLYSA-N 422.403 4.854 5 20 HJBD COc1ccc(CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1Cl 591587829 LNJCWOKEWXZNQC-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD COc1cccc(-c2cc(NC(=O)c3ccccc3[N+](=O)[O-])n(-c3ccccc3)n2)c1 603760153 HHEVHQQGJAJDBB-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD Cn1nccc1NC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 604541268 NPMSIFXQXYOLSC-QINSGFPZSA-N 419.466 4.507 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccccc2)Cc2ccccc2F)cc1[N+](=O)[O-] 608923338 UHCBMDABLBSXGU-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)s1 610961653 GMARUSOLEASVOA-UHFFFAOYSA-N 413.524 4.822 5 20 HJBD Cc1ccnn1-c1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728166559 GQGTXFOCRJHPOC-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD C[C@H](c1ccccc1)c1ccc(OC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)cc1 728853000 UBVKGIKCOCULQS-MRXNPFEDSA-N 414.421 4.547 5 20 HJBD CCCCc1nc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cs1 733475022 WRLKRKSNKQYTTE-UHFFFAOYSA-N 403.485 4.874 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@@H](C)c1ccc(C(F)(F)F)cc1 733521609 DURYHXVAFCTQEG-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc([N+](=O)[O-])ccc1Cl 734803364 ZBGMHWYFRKNPGX-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD CC(C)(C)c1ccccc1OCC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444038 VNMDINDHXJOPOP-UHFFFAOYSA-N 410.426 4.670 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cc(Cl)ccn2)cc1[N+](=O)[O-])c1ccccn1 735888461 XKXPTSSCRZRBLM-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1Br 741592712 GSCXJHNMHWTWEN-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(O)cc2C)cc1[N+](=O)[O-] 743480081 AADQRHALVJOEMP-UHFFFAOYSA-N 422.462 4.814 5 20 HJBD CCOc1ccc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 746242086 ZQXLKFMRVHQSMH-NSHDSACASA-N 417.805 4.615 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(Cl)nc3Cl)n2)cc1[N+](=O)[O-] 747634666 JGZJSBBNGOHODY-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD O=C(COc1ccc(Oc2nccs2)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 749795129 HXXZOJNVBKCCLB-UHFFFAOYSA-N 405.819 4.515 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc(Cl)c1[N+](=O)[O-] 750179914 MYZZZMPTNOPTME-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1cc(COC(=O)c2ccc(F)cc2[N+](=O)[O-])nc(-c2ccc(Cl)cc2)n1 751745096 KTNPQPWMUGGMIB-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD C[C@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc2ccccc2n1C(F)F 758730435 GNRIZUBLJACPRJ-NSHDSACASA-N 414.368 4.668 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@@H]1c1ccc(F)c(F)c1 760838669 YINDYCHIVDDZPT-CQSZACIVSA-N 411.202 4.613 5 20 HJBD C[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@@H](C)c1ccccc1C(F)(F)F 767123854 ULPUYEYTCOKOQA-ZJUUUORDSA-N 402.319 4.814 5 20 HJBD O=[N+]([O-])c1cc(CN(Cc2cccs2)C[C@@H]2CCCO2)ccc1Br 768204443 YYKIZEXEPRMHFE-AWEZNQCLSA-N 411.321 4.600 5 20 HJBD O=C(O[C@H](c1ccccc1)c1cccnc1)c1cc(Br)cc([N+](=O)[O-])c1 770446899 SPMQYKSCJPUYBR-GOSISDBHSA-N 413.227 4.699 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])C1 773185454 METWKUUSQMDKPR-HNNXBMFYSA-N 424.526 4.706 5 20 HJBD CSCC(=O)Nc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775241191 OCRXHIGKFIRDPX-UHFFFAOYSA-N 420.512 4.616 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(F)cc1Cl 776216635 BMPVKWZVPLHDSW-SFHVURJKSA-N 404.756 4.868 5 20 HJBD CON(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@@H]1CCCOc2ccccc21 780924207 JHYHKMQMPLDVQF-JOCHJYFZSA-N 414.461 4.599 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 787911105 BEQPKCHOURMZJG-SZRHCGQDSA-N 402.454 4.752 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)c2ccccc2Br)c1 789015759 OLZBNLNXZRANAA-JTQLQIEISA-N 424.272 4.507 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3ccc(Cl)s3)cn2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 791042598 RTKRZYKQCKZEEI-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CC[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1ccc(OC)cc1 791223419 FDCVWWBAOOWANV-HNNXBMFYSA-N 403.485 4.723 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)cc1 795247839 NZDDSCZBFZJMIH-QGZVFWFLSA-N 422.840 4.529 5 20 HJBD Cc1nc(SCC(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 800145483 VKOHTJDUDWCRKA-UHFFFAOYSA-N 420.491 4.703 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)ccc1Cl 805441995 WEPFMIPMHUWPCM-UHFFFAOYSA-N 415.805 4.552 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccc(-c2noc(C(F)F)n2)cc1 805637034 UAZOKETYULAPTR-NSHDSACASA-N 403.341 4.809 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2cc([N+](=O)[O-])ccc2C(C)=O)cn1 807928371 LIXNXLVJOALQDV-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD CC(=O)c1ccc(OC[C@H]2CC[C@H](CNC(=O)OC(C)(C)C)CC2)c([N+](=O)[O-])c1 809959346 DXVRVXXGNMYTDS-WKILWMFISA-N 406.479 4.507 5 20 HJBD CCOc1cc(COC(=O)c2c(F)cc(C(F)(F)F)cc2F)ccc1[N+](=O)[O-] 811418689 QLODGZOLBAQYDH-UHFFFAOYSA-N 405.275 4.648 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])c(Cl)c(OC)c1 864040678 LNTNJYPIFCGOCW-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 914743463 ADANFEQBYFCQBX-AVTFGLMISA-N 410.314 4.634 5 20 HJBD O=C(Oc1ccccc1C(=O)N1CCCCC1)c1cc2cc([N+](=O)[O-])ccc2s1 916556570 IPRNZVUGELAPLE-UHFFFAOYSA-N 410.451 4.655 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 917835403 DUXBZZOYTQIGGB-UHFFFAOYSA-N 412.229 4.781 5 20 HJBD Cc1ccc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])cc1NC(=O)c1ccccc1 919098366 YNDSZYKHRICYMG-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921136870 STUMLUMMGLATGX-UHFFFAOYSA-N 404.831 4.742 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(-c4cc5ccccc5o4)o3)cc2)c(C)c1[N+](=O)[O-] 1118129230 OQXOWRUSIVUQDG-UHFFFAOYSA-N 415.409 4.920 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nnc(-c2ccc(Br)cc2Cl)o1 1257911165 DNCZYKHVMOEJEB-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD C/C=C(\C)C(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])CCC2)cc1 1791223891 VCFZDFLMGZJDIP-MEQWBTHTSA-N 419.481 4.708 5 20 HJBD O=C(Nc1nc2c(s1)CCCCC2)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 49634226 XXRRYDIDKKVSGT-UHFFFAOYSA-N 415.393 4.514 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)CSc1ccc([N+](=O)[O-])cc1 59920160 YGVWQWXLUNBWTO-UHFFFAOYSA-N 407.495 4.619 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2ccccn2)c(Cl)c1 62413892 WLNFPSGBHWDDBH-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CC(C)CCOc1cccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 64424314 FRODGOFYJPNMIT-UHFFFAOYSA-N 411.502 4.875 5 20 HJBD O=C(NC1CC1)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 107786508 HBZWYTQIBCHZPH-UHFFFAOYSA-N 417.421 4.532 5 20 HJBD C[C@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2F)n1-c1ccc(Cl)cc1)N(C)C 301091090 ORBMWRGUYMCVHV-LLVKDONJSA-N 421.885 4.742 5 20 HJBD C[C@H](C(=O)Nc1cccc2cc(Br)cnc12)c1cccc([N+](=O)[O-])c1 327805972 VOWLEZQIFBBJFG-NSHDSACASA-N 400.232 4.648 5 20 HJBD COc1cc(CNC[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])cc1OCc1ccccc1 430042081 PFJFRIFUIYIFRO-INIZCTEOSA-N 406.429 4.707 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1c(Cl)ccc2c1CCO2 433809498 VNIUKVOBLRUBKS-UHFFFAOYSA-N 415.755 4.524 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Br)cc1 435826917 NSXBZQOUPRDGBG-ZDUSSCGKSA-N 421.294 4.935 5 20 HJBD Cc1ccc(SCCNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 435830747 JFSRASOIONAUDK-UHFFFAOYSA-N 424.472 4.790 5 20 HJBD O=C(NC[C@@H]1CCCc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435876303 IDVIPNPGMPTOEL-INIZCTEOSA-N 400.453 4.670 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 436213772 YSPZXDQDJULYNG-MNOVXSKESA-N 412.309 4.849 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)cc1 437279912 ZDMBYGVFDNXNSE-UHFFFAOYSA-N 408.376 4.606 5 20 HJBD O=C(NCCCCOc1ccc(Cl)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437924208 CVRHUBMWZVFMOD-UHFFFAOYSA-N 417.893 4.781 5 20 HJBD O=C1[C@H](Nc2ccc(COCc3ccccc3)cc2)CCN1c1cccc([N+](=O)[O-])c1 439653960 YEPOSQMOCOEQJA-HSZRJFAPSA-N 417.465 4.529 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)n1 444176901 RFPYXMOSAWWGPF-UHFFFAOYSA-N 424.460 4.854 5 20 HJBD Cn1nc(C2CCCC2)cc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444305404 SWKIQSUIGQOLOX-UHFFFAOYSA-N 422.435 4.603 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1)Nc1cccc(Cl)c1Cl 445365443 KSQXMHNTRSMBTN-RMKNXTFCSA-N 419.224 4.636 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1csc(-c2ccco2)n1 447683908 VCFXRBFAJUFMEH-UHFFFAOYSA-N 420.319 4.973 5 20 HJBD O=C(c1cc(N2CCC(=Cc3cccc(F)c3)CC2)ccc1[N+](=O)[O-])N1CCCC1 464738819 ZXSUQZHKRODEKF-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD Cc1cccc(C(=O)NCc2cc(Cl)cc(Cl)c2OC(F)F)c1[N+](=O)[O-] 465017505 TUQBXCVCERSDHF-UHFFFAOYSA-N 405.184 4.741 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1CCN1Cc1ccccc1 469540780 VZQMBYGDNPMOJJ-QFIPXVFZSA-N 403.438 4.600 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccccc2)cc1 471297794 UOUWTSNZGUDOBK-UHFFFAOYSA-N 410.813 4.762 5 20 HJBD O=C(c1ccc2nc(C(F)(F)F)cn2c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 471837849 LPUJJKBCBVZYOQ-UHFFFAOYSA-N 418.375 4.851 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)[C@@H](C)CC)c1 480402043 FPVIVMLGWAAWQO-AWEZNQCLSA-N 415.515 4.621 5 20 HJBD CCCCN(CC(F)(F)F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 486117241 HDVHPXAGKFKOEZ-UHFFFAOYSA-N 418.359 4.897 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486189489 NHZMIWUZUJOOPS-LLVKDONJSA-N 415.231 4.660 5 20 HJBD COC(=O)C[C@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1ccc2ccccc2c1 486587504 BLSKHFIAGOLCTA-SFHVURJKSA-N 424.478 4.504 5 20 HJBD O=C(Nc1ccn(-c2ccncc2)n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 486804973 MWMOWGUTLONAOK-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(OC(C)C)c1 488436793 VJHAMPVDZCNJNO-UHFFFAOYSA-N 404.488 4.681 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1ccc([N+](=O)[O-])cc1Cl 498467704 SELNUXZALJCRBB-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD O=C(NCCOc1ccccc1-c1ccccc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 501703821 RHQRMAWDCPDSOX-UHFFFAOYSA-N 401.422 4.552 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1OC(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 501754727 IOQYQJVJXQRZTK-HZPDHXFCSA-N 408.426 4.636 5 20 HJBD Cc1c(NC(=O)N[C@@H](C)CCc2ccc(Br)cc2)cccc1[N+](=O)[O-] 506281842 PIJKFDOWODBXDQ-LBPRGKRZSA-N 406.280 4.809 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N(CCc1cccc(F)c1)C1CC1 506781886 RCJNKHLTCDRRCQ-UHFFFAOYSA-N 409.364 4.574 5 20 HJBD Cc1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])ccc1Br 507918809 HQPRDRCXSWFINQ-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 510818802 YBIBKZFURUMGLG-KRWDZBQOSA-N 421.400 4.964 5 20 HJBD C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCc1ccccc1[N+](=O)[O-] 511093137 CHNFMEXFLOHCHB-GOSISDBHSA-N 403.482 4.763 5 20 HJBD O=C(Nc1nc2c(ccc3ccccc32)s1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512137639 IRMCMKIQUNPQTM-UHFFFAOYSA-N 415.434 4.796 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 514539631 JRBNMNQGEOLXJD-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 518826574 JKPZFLSDGICKHE-GFCCVEGCSA-N 412.273 4.683 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccccc2Cn2ccnc2)nc1-c1ccc(F)cc1 521317800 XPCCTIBVESPHFD-UHFFFAOYSA-N 403.417 4.653 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)Sc1ccc(Br)cc1 521860548 VLFAAGVZSPFTLE-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD C[C@H]1CN(Cc2ccccc2)CC[C@@H]1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 530676118 PBCPTLYSVCWMBT-JTSKRJEESA-N 422.554 4.719 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3ccc([N+](=O)[O-])c4cccnc34)CC2)cc1 534286255 VWULZAPQMQSHHQ-UHFFFAOYSA-N 419.481 4.950 5 20 HJBD Cc1ccccc1C1CCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC1 542588189 BZNFUDVUGACMSR-UHFFFAOYSA-N 407.514 4.526 5 20 HJBD COc1cc(/C=C\c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)ccc1OC(F)F 544734484 YEHDIFWDPXWACB-YWEYNIOJSA-N 403.341 4.734 5 20 HJBD Cc1cccc(OCCCc2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)c1C 555106545 ZOKSUMVBOPXIAC-UHFFFAOYSA-N 403.385 4.746 5 20 HJBD CC(C)CN(C(=O)c1ccc2cncn2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 556428049 YKYNRXUCRMIRPN-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 604492803 VAUKSPVMZIOPKE-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1cccnc1 608879958 JDJWLLORHMITQM-GOSISDBHSA-N 421.522 4.742 5 20 HJBD Cc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1nc2c(Cl)c(Cl)ccc2s1 608927620 AGYZCBIZPRFLLC-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 609090558 FBEAERJHTMPLFM-SFHVURJKSA-N 410.861 4.931 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 609090709 DUWWMUBZZYLCHG-UHFFFAOYSA-N 411.421 4.549 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)cc2)n1 609491197 QMNFIKYUOHMRHP-UHFFFAOYSA-N 411.487 4.934 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CN[C@@H]3CCN4CCC[C@H]4C3)cc2F)c(Cl)c1 609616142 WZFRQZWVSFOWOV-CVEARBPZSA-N 419.884 4.896 5 20 HJBD CN(Cc1nccn1C(F)F)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609773039 NHBIYJYHCCAPAF-UHFFFAOYSA-N 417.372 4.643 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 609874274 ZRVSFCDJBSTJFW-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 609935578 FTKAUHCXTYKNFC-YWZLYKJASA-N 419.909 4.944 5 20 HJBD CC(C)(C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1 610042632 UUXZWPPDGXFBNN-SFHVURJKSA-N 404.413 4.668 5 20 HJBD CC1CCN(c2cccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)CC1 610048820 HFAZPQMFNHBANW-OAHLLOKOSA-N 417.893 4.891 5 20 HJBD COc1cccc([C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2Cl)c1 610180827 HWRJEJXDBHRQID-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)[C@@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 610198871 QZSDTWDKLGJSMB-GFCCVEGCSA-N 421.906 4.506 5 20 HJBD CO[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 610271524 WSEAOZXJUREANS-GOSISDBHSA-N 403.891 4.849 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@H](Cn3cnc4ccccc43)C2)cc1[N+](=O)[O-] 619544561 OOKAIDGCCGCOBL-KRWDZBQOSA-N 406.486 4.620 5 20 HJBD Cc1ccc2c(CC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c[nH]c2c1 726142128 NKOBWZFSEDRKFH-UHFFFAOYSA-N 405.376 4.518 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1)c1ccsc1 728886139 FLHXAWJCZJKLES-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)OCc1cc([N+](=O)[O-])ccc1Cl 734779060 AAVIPJPSGSQVAV-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1ccc(Br)c([N+](=O)[O-])c1 743836686 BBILGAWUUYDRMX-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD CCN(CC1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1)C(=O)OC(C)(C)C 745973816 KIAVPEGFHGRICY-UHFFFAOYSA-N 414.506 4.616 5 20 HJBD Cc1c(CO)cc([N+](=O)[O-])c(NCc2ccc(OC3CCCCC3)cc2)c1[N+](=O)[O-] 749891205 DCCKQHISQDRPGI-UHFFFAOYSA-N 415.446 4.627 5 20 HJBD O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750842976 FWYMIBDCQUMFIQ-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1Br 753835987 ISLZSILLNGPBGP-BMLIUANNSA-N 407.239 4.550 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC(F)(F)C1 754120015 RLXAKJMIPLKZRS-INIZCTEOSA-N 424.787 4.517 5 20 HJBD CC(C)(C)Oc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755014525 UNXYJXGLTCKGSE-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD COc1ccccc1[C@@H](OC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1ccccn1 759605201 TZGAKDZNQIFANM-HXUWFJFHSA-N 410.451 4.667 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760452514 YEFDFOLOYAZNGB-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD CCc1nc(C)c([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)s1 764261804 RLWSVDVWQBULRN-GFCCVEGCSA-N 410.517 4.579 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767197364 YTFWAAMJKIXPFN-CYBMUJFWSA-N 404.344 4.990 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 769999307 UXVQZGFJPBCRCR-LBPRGKRZSA-N 404.854 4.555 5 20 HJBD C[C@@H](C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cn(C)c2ccccc12 774103223 MNHQDVKVVHQXEQ-CQSZACIVSA-N 405.410 4.588 5 20 HJBD CCCn1c(SC[C@H](O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(Cl)cc1 776270626 DVEYNEZCAGNNNX-KRWDZBQOSA-N 418.906 4.742 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 777921527 ASRHIUSNBXREGL-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C2CC2)cs1 781181978 FEZIZUONFVEEFI-SNVBAGLBSA-N 401.469 4.970 5 20 HJBD C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 790553663 HTMDCWCJLQKZQH-LLVKDONJSA-N 419.865 4.931 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)c(Cl)cc2F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 798578291 QRYLSBZOIPZJHG-UHFFFAOYSA-N 402.165 4.818 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1ccc(C(F)(F)F)nc1 803065523 YYXLKHQLHHGYCH-GHMZBOCLSA-N 400.378 4.794 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(C(F)(F)F)nc1 803066611 VCTVAOUVPZFJEV-MRVPVSSYSA-N 408.254 4.945 5 20 HJBD COCCCOc1cc(C)ccc1CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811484072 OYFSZEMVNOQAPI-UHFFFAOYSA-N 413.301 4.915 5 20 HJBD COc1ccc(CSCc2nnc(-c3cc4c(s3)CCC4)o2)cc1[N+](=O)[O-] 1117215177 IEPJOTHVALAIIQ-UHFFFAOYSA-N 403.485 4.637 5 20 HJBD COc1ccc(Br)cc1NCc1c(Br)cccc1[N+](=O)[O-] 1120342871 BDPMBHARIIHQMX-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD Cc1ccc(OC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c2c1[C@H](C)CC2=O 1317757815 FSQZPIMNFPODFW-SNVBAGLBSA-N 404.216 4.575 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 1330552372 NOGLMRPBVBLCOD-AYHJJNSGSA-N 413.905 4.564 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OC)c1Br 1338189443 IXCQBNBMGXOHPV-CYBMUJFWSA-N 407.264 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1cnc(-c2ccccc2)s1 1341928110 YBXMODFYLNVHQX-AWEZNQCLSA-N 402.497 4.703 5 20 HJBD CCOc1cc(NC(=O)COc2cccc3ccccc23)c([N+](=O)[O-])cc1OCC 10962398 IWPQVSAXZJRALC-UHFFFAOYSA-N 410.426 4.563 5 20 HJBD COc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc(OC)c1OC 16643257 UNJGIZNQOBZOFZ-UHFFFAOYSA-N 423.425 4.617 5 20 HJBD Cc1cccc(Nc2nnc(SCCC(=O)Nc3ccccc3[N+](=O)[O-])s2)c1 26365777 RIUBQEYLKIVZDB-UHFFFAOYSA-N 415.500 4.619 5 20 HJBD C[C@H](Sc1ccc(O)cc1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 47884443 PPOBNLQPUFHCKJ-NSHDSACASA-N 401.469 4.543 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1c1cccc(F)c1 55863958 NTDBLHLERIFOMV-NRFANRHFSA-N 400.453 4.658 5 20 HJBD O=C(Nc1ccccc1Oc1cccc(F)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 59920346 MDEIDZBVPFBUID-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Oc2cccc(Cl)c2)cc1 61261006 IYBMIVZQELWROM-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(OCCC(C)C)c2)cc1OC 64424729 QQUXJBIYUOEWKI-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64866801 HUTDDAZSCGGUQW-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H]1c1cccs1 105002869 BESPIZSKUIMFMU-CQSZACIVSA-N 413.421 4.841 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2oc(C3CC3)nc2c1 138429595 QQVJWAMFNUCFRL-SNVBAGLBSA-N 401.806 4.673 5 20 HJBD C[C@H]1C[C@@H](C)CN(CCCCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 237680905 CRSBLGUBBXCYNB-CALCHBBNSA-N 402.564 4.566 5 20 HJBD C[C@@H](c1nnc(Sc2ccc(F)cc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 301089103 MDWHZRWYEFPCHS-NSHDSACASA-N 421.885 4.742 5 20 HJBD O=C(CNc1cccc([N+](=O)[O-])c1)N1N=C(c2ccc(Cl)cc2)C[C@H]1c1ccco1 301237493 DRVDMHWYVUIYNU-IBGZPJMESA-N 424.844 4.631 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@@H]2c2ccc(C)o2)cc1[N+](=O)[O-] 303781270 SNJAEUOYAQOLGX-QGZVFWFLSA-N 418.515 4.882 5 20 HJBD COc1ccc(Br)c(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 429071237 XSCJQDWHPAKEJB-LLVKDONJSA-N 408.252 4.557 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCC[C@H](c2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 432088959 MIYOJDJZUPGAIE-RHSMWYFYSA-N 409.408 5.000 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2c(C)cc(C)cc2Br)cc1OC 432862967 BOPUYXWQRYFWPP-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD COc1cc([C@H](C)N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(OC)c1OC 433138118 WODJZGFBNGLBNM-SCLBCKFNSA-N 400.475 4.694 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 436352762 OMVLAGBLTSNRKW-OAHLLOKOSA-N 418.443 4.898 5 20 HJBD Cc1ccc(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc1[N+](=O)[O-] 437018781 IBXHOBZJXDXDDO-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C2CCCCC2)no1 437021873 SUHRISLGFYLZDN-SNVBAGLBSA-N 412.368 4.535 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 437386912 GYKXQGJRGDUOAC-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443945244 IQJFKSWUMTXEND-HNNXBMFYSA-N 403.504 4.833 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)n1 444229980 XZIKQOGPGPVKMK-UHFFFAOYSA-N 422.444 4.824 5 20 HJBD C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292596 RYCDVAAVOMRTOC-HUUCEWRRSA-N 400.453 4.968 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCc2ccc(COCc3ccccc3)cc2)n1 447549956 LYBDIPYIGMOGRM-GOTSBHOMSA-N 420.513 4.782 5 20 HJBD COc1cc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1C(F)(F)F 448066400 LBPYMUYFFVAGKP-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD CNc1c(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 462905306 IGILLDUNQJKMFA-UHFFFAOYSA-N 414.437 4.547 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCCSC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283244 CZLOYMJWRBVBBW-CQSZACIVSA-N 405.545 4.851 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H]1C[C@H]1c1ccccc1OC(F)(F)F 466296323 GIVGBFPQPDFMGK-UONOGXRCSA-N 414.767 4.567 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 466877556 MZIJCBVMJYRKSX-AWEZNQCLSA-N 424.432 4.587 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 467264915 RMFCXRGMEFPUDF-UHFFFAOYSA-N 412.467 4.793 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)N1CCc2ccc([N+](=O)[O-])cc2C1 474516497 MFAHLQPWUUHFQG-OAQYLSRUSA-N 422.872 4.501 5 20 HJBD COc1cc(C(=O)N[C@@H](CC2CCC2)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 479375490 MOMPQSBITJACEC-INIZCTEOSA-N 420.412 4.866 5 20 HJBD Cc1c(CNC(=O)N[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)cccc1[N+](=O)[O-] 479721727 QUMTYIHATPHYBT-FQEVSTJZSA-N 424.888 4.735 5 20 HJBD CCn1c(SCc2cc(Cl)ccc2OC)nnc1-c1ccc([N+](=O)[O-])cc1 481338695 LJVOTDXXXHXVAP-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD C[C@@]1(c2ccc(F)cc2)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 483037975 SQYAASVNUOXWKW-RUZDIDTESA-N 418.443 4.763 5 20 HJBD Cc1ccc(-c2nc(CS(=O)(=O)[C@H](C)c3cc([N+](=O)[O-])ccc3C)co2)cc1 485370017 AMTHWMLCCDFLAP-OAHLLOKOSA-N 400.456 4.543 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(C)(C)c1ccccc1 485964524 DWLRTRPAJPTCKW-INIZCTEOSA-N 416.543 4.820 5 20 HJBD CC(C)(C)OCc1ccccc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486710695 KJKAGLLWJCXZPZ-UHFFFAOYSA-N 410.392 4.859 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCc2ncc(-c3ccc(F)cc3F)o2)cc1[N+](=O)[O-] 488064219 SCPXRYGUCRFCPV-CYBMUJFWSA-N 415.396 4.646 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1cc([N+](=O)[O-])ccc1OC(F)F 497794905 HDVTYOSUDTWUNZ-ZDUSSCGKSA-N 404.291 4.620 5 20 HJBD Cc1csc(-c2cccc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2)n1 498152362 ICBMHBKPZQJOIR-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 498166366 MJXVSARSDBSDEU-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1 498991472 VAJRMMMFCAWSMG-GJZGRUSLSA-N 415.515 4.610 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(O)c4c5c(sc4n3)CCCC5)cs2)c1 500254891 JUGLTPHNPBWGOD-UHFFFAOYSA-N 410.480 4.974 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccc(F)cc4o3)C2)c1 502147254 SFKDIAIXGQKKJF-GFCCVEGCSA-N 415.446 4.617 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)CSc1ccccc1[N+](=O)[O-] 503654545 LBKHUWQBYNOSCG-LBPRGKRZSA-N 409.305 4.669 5 20 HJBD COc1cc(C(=O)N[C@H]2CC(C)(C)Cc3oc(C)cc32)c([N+](=O)[O-])cc1OC(F)F 506302564 VVFTZPNVBZIFRY-ZDUSSCGKSA-N 424.400 4.550 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccccc2OC)cc1 506673584 JPDBVXOVAYYIAK-JOCHJYFZSA-N 421.453 4.832 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C/c2ccco2)s1 507094899 OQYZCUAOTPBKNS-SOFGYWHQSA-N 416.484 4.964 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3c4ccccc4C[C@@H]3O)cc2[N+](=O)[O-])cc1 509607028 FFCGKLCFGRKAKV-JTHBVZDNSA-N 406.507 4.802 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCCCC[C@H]2c2ccncc2)cc1[N+](=O)[O-] 510604869 FHMHIBNERHRBMF-KRWDZBQOSA-N 418.291 4.818 5 20 HJBD CC1CCN(c2ccc(C(=O)N(Cc3cccs3)C(C)C)cc2[N+](=O)[O-])CC1 512688702 CEQGTCSRTBDXFD-UHFFFAOYSA-N 401.532 4.943 5 20 HJBD CC[C@H](C)N(CCc1ccc([N+](=O)[O-])cc1)CC(=O)Nc1ccccc1C(F)(F)F 512741162 VUIBPYTYYQUUPH-HNNXBMFYSA-N 423.435 4.895 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)N(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 521774577 WNAOLHANIQIREL-NSHDSACASA-N 403.287 4.592 5 20 HJBD O=C1CCCN1c1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cc1 522033070 PQXIUAHUFBHCFP-UHFFFAOYSA-N 422.444 4.525 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)c1 524067609 CUXYITJCFOARCA-UHFFFAOYSA-N 413.421 4.917 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nc(-c3ccncc3)cs2)o1 530633471 CJFVXQVIGHBJCU-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(COCC(F)(F)C(F)F)c1 531504498 HKAOSMNMYGPXDW-UHFFFAOYSA-N 414.355 4.583 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)c1 537332257 TYWRXBAIDPVMCP-HXUWFJFHSA-N 407.470 4.907 5 20 HJBD C[C@H](N[C@H](C)c1ncc(-c2ccccc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 542568347 GLBWASCANWNILD-KGLIPLIRSA-N 405.414 4.712 5 20 HJBD O=C(Nc1ccc(F)cc1-n1cccc1)c1ccc([N+](=O)[O-])cc1Br 544698694 VEGJGRWKJVRALT-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cccc(C(F)F)c3)n2)c(Br)c1 545006726 HHSGQBMCBIASCL-UHFFFAOYSA-N 410.174 4.936 5 20 HJBD Cc1cc(C(=O)CCc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c(C)s1 545785568 KLPOHXCMZWARNL-UHFFFAOYSA-N 410.455 4.544 5 20 HJBD CN(C(=O)c1ccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1)c1ccccc1 546226161 FQOVBRFLOCOGDX-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1NC(=O)c1ccco1 546490018 RESKSNFJILVDDX-UHFFFAOYSA-N 418.409 4.698 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 557442483 OCSLREYYSSIXHL-UHFFFAOYSA-N 418.428 4.783 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 557496423 BLHJHWTZAPYGMN-OTWHNJEPSA-N 415.287 4.766 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 557631686 KKIQPBQHJOVUDB-LJQANCHMSA-N 421.375 4.573 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccc(Cn4cccn4)cc3)no2)c1 559934711 NOLKDIQPCKXGHN-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD Cc1cncc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 567434375 VDQLVYKAPYMQSL-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD COc1cc(Br)ccc1-c1noc([C@@H](C)c2cccc([N+](=O)[O-])c2)n1 574317643 OTACIVGTGZMGPL-JTQLQIEISA-N 404.220 4.568 5 20 HJBD COc1ccc(CSCc2nc(Cc3cc4ccccc4o3)no2)cc1[N+](=O)[O-] 582374852 JJBBZADGWOEMOT-UHFFFAOYSA-N 411.439 4.757 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)Nc1cc([N+](=O)[O-])ccc1OC(F)F 604487892 FYTWGNRKJJQBSE-UHFFFAOYSA-N 424.791 4.695 5 20 HJBD CC(C)c1nc(CCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cs1 608819553 PVYYROUAEHCIIX-UHFFFAOYSA-N 424.526 4.759 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1N1CCCCC1 608874327 LGPXMDSAIPVKPL-CYBMUJFWSA-N 421.856 4.784 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3c(C)cc(C)cc3C)cs2)cc1[N+](=O)[O-] 609009289 MOHYIUUABVCGRN-UHFFFAOYSA-N 411.483 4.833 5 20 HJBD COc1ccc(CC(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 609011786 JOIPOZXSSODRSC-INIZCTEOSA-N 406.438 4.816 5 20 HJBD O=C(NC1(C(F)(F)F)CCCCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609754807 GNFVSWACGVECTA-UHFFFAOYSA-N 414.302 4.531 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610036236 RWRYGGAIEZJTKJ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610046305 AUOZRTGPTLCQHP-OAHLLOKOSA-N 408.420 4.931 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1C2CCCC1CCC2 610236070 PAVHGQKRNDMYTH-UHFFFAOYSA-N 409.273 4.635 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NCC1(c2cccc(C(F)(F)F)c2)CCC1 610401392 QPHXGDUGLYYVGW-UHFFFAOYSA-N 407.392 4.535 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 619285355 DONOIJFICMDBGU-UHFFFAOYSA-N 404.291 4.900 5 20 HJBD CS(=O)(=O)c1ccc(Cl)c(NC(=O)c2cc3ccccc3c3cccnc23)c1 643109238 PKUSIELCSQDFGS-UHFFFAOYSA-N 410.882 4.697 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(N2CCOC2=O)cc1 726606709 KRYQPVSWABOCOQ-HNNXBMFYSA-N 407.426 4.662 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](O)c3ccc(Cl)cc3Cl)o2)c1 732230420 PACCLFXSNVOOFK-GOSISDBHSA-N 407.253 4.985 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)Cc1csc(Cc2ccccc2)n1 735644042 VAEKCCUWSFSFFI-UHFFFAOYSA-N 402.859 4.752 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COc1ccc(Oc2ccccn2)cc1 736160696 RJWYMJAGRFHDFN-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 736865887 GBGSPXVQDNDGMQ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 742465035 ZXPVWPSKAWBHEQ-HNAYVOBHSA-N 413.474 4.744 5 20 HJBD COc1ccc(-c2ncc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)s2)cc1 742502233 GBFXECCBDHIKAD-UHFFFAOYSA-N 417.874 4.653 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 743233393 RTBNVIZNGPYZTF-CQSZACIVSA-N 414.462 4.502 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 746058669 OVNJYFHGGVBSBJ-JTQLQIEISA-N 419.846 4.938 5 20 HJBD CC(C)n1ncc(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)c1-c1ccccc1 753617724 POPGEIQKCQTIDP-UHFFFAOYSA-N 406.398 4.537 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 755064973 NWCXPCHSQNBUQT-QWHCGFSZSA-N 422.840 4.678 5 20 HJBD Cc1cccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c1Cl 755135844 BTQINCLTQREIMP-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD CC(C)c1nccn1CCNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755314795 ALGOSPPABRJXTB-UHFFFAOYSA-N 416.547 4.627 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764218449 WTRXRQYLILNOCQ-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc([N+](=O)[O-])c2cccnc12 766231039 JXKGVXCJPLSGFT-UHFFFAOYSA-N 417.299 4.660 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccc(Cl)c([N+](=O)[O-])c1 769631665 KYZRPMDXUGJTDW-MQVJKMGUSA-N 409.914 4.658 5 20 HJBD COc1cc(Cl)ccc1NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-] 774369350 OGZZILLGDOAMRG-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD O=C(CCCOc1ccccc1F)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134506 BYIKKZAGEJEZCE-QFIPXVFZSA-N 410.401 4.621 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1([C@@H](O)c2ccccc2)CC1 777424460 IRKXBQWIEJGJSU-FQEVSTJZSA-N 414.527 4.731 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2csc([N+](=O)[O-])c2)on1 778106578 XSYPCIOJUVZVDO-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2nc(-c3cc([N+](=O)[O-])c[nH]3)cs2)cc1 783688704 ZEWHJNVXZIDICR-UHFFFAOYSA-N 400.460 4.984 5 20 HJBD O=C(Nc1cc(Cl)ccc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccco1 789668067 NHQGNARIQFFCLW-UHFFFAOYSA-N 416.777 4.614 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCO)ccc1-c1ccccc1 792835992 LDINUEHLGYFWGR-UHFFFAOYSA-N 408.479 4.907 5 20 HJBD CCC(=O)c1ccc(Oc2cc(Br)c(C=O)cc2OC)c([N+](=O)[O-])c1 795081619 USEJDNBLEZGJCN-UHFFFAOYSA-N 408.204 4.563 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)CC1CCOCC1 809916901 XPQATJVIVZGIRW-UHFFFAOYSA-N 403.866 4.709 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccnc(N2CCCCCC2)c1 811479952 OBINDYWLPGEHNE-UHFFFAOYSA-N 409.317 4.967 5 20 HJBD CCOC(=O)c1cc(C(F)(F)F)sc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 813377140 AYQGMFLAZZFWBJ-UHFFFAOYSA-N 422.768 4.758 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@H]1SCCc2sccc21 815213014 BPWMFIXSWUVNGS-AWEZNQCLSA-N 403.403 4.749 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4ocnc4C)no3)cc2[N+](=O)[O-])n1 820897752 RFJFSMGPYIPOLQ-UHFFFAOYSA-N 401.429 4.524 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccccc2)Cc2ccccc2F)cc1[N+](=O)[O-] 914512372 NKUMVTLFMWKQKD-UHFFFAOYSA-N 423.400 4.793 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914796601 HFXKKSYXSNTQCQ-UHFFFAOYSA-N 419.393 4.581 5 20 HJBD CCOc1c(Cl)cc(/C=C2/C(=O)Nc3cc(Cl)c([N+](=O)[O-])cc32)cc1OC 915114676 OWBXGEPPVIOHIY-NYYWCZLTSA-N 409.225 4.802 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(Oc4ccc(F)cc4F)CC3)c2c1 917467165 JLTKUEAQFVEJBZ-UHFFFAOYSA-N 421.425 4.651 5 20 HJBD O=C(Nc1ccccc1COCc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318811688 OFRSGWPXFGQONV-UHFFFAOYSA-N 403.438 4.859 5 20 HJBD CN(C(=O)Cc1csc(-c2c(Cl)cccc2Cl)n1)c1ccc([N+](=O)[O-])nc1 1323488678 HPSQHSFHTBSTBQ-UHFFFAOYSA-N 423.281 4.626 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(-c4cc5c(s4)CCC5)o3)cc2)c(C)c1[N+](=O)[O-] 1325762822 HELIIZDSZKNKQW-UHFFFAOYSA-N 421.482 4.724 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(C)c3c2C(=O)C[C@@H]3C)cc1SC 1326270859 VAWUHXVYWHPODQ-NSHDSACASA-N 401.440 4.543 5 20 HJBD CCc1nnc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)s1 6634217 WBEJDVBQLQYKQT-UHFFFAOYSA-N 400.485 4.721 5 20 HJBD COc1ccc2cc(CN(C)[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)ccc2c1 7805787 OGRVQPYUVGEYKU-HNNXBMFYSA-N 418.453 5.000 5 20 HJBD CSc1ccc(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)cc1[N+](=O)[O-] 14585606 MGLWEESVFQQSFE-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD Cc1ccc2nc(NC(=O)c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)sc2c1 28818216 CMYDZABIBYFGAA-UHFFFAOYSA-N 421.482 4.627 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCCC[C@H]1c1ccncc1 49764152 LHGXZVJOLIPLMD-QFIPXVFZSA-N 411.505 4.694 5 20 HJBD CCn1c(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)nnc1-c1cccc(C)c1 97402643 SWNVLXVTIMGCFV-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 247992775 NLYMGMOHQOISLR-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1 301731545 DXHAGZZEOHJBPR-UHFFFAOYSA-N 417.874 4.502 5 20 HJBD O=C(Nc1nc2c(ccc3ccccc32)s1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 302994507 YBJAYMKMECJMIH-UHFFFAOYSA-N 415.434 4.796 5 20 HJBD Cc1ccc(CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C2CC2)cc1C 303857135 OZKKVTHPBLVNOQ-UHFFFAOYSA-N 410.517 4.963 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc2ccccc2s1 410293707 LHBARXLOQJSXGV-HNNXBMFYSA-N 409.511 4.903 5 20 HJBD CC(C)OCc1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])ccc1O 426230362 LFLQDUGDAXCIMF-UHFFFAOYSA-N 407.426 4.540 5 20 HJBD CC(C)(c1nc(CCc2ccc(OC(F)F)cc2)no1)c1ccccc1[N+](=O)[O-] 426435867 FLGAVMZLOGOTOU-UHFFFAOYSA-N 403.385 4.690 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)c1ccc(Br)cc1[N+](=O)[O-] 429781520 GLNMDEMFKKQZNB-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)c1C 432174664 DLDVJDYJNVQQHL-IBGZPJMESA-N 405.454 4.502 5 20 HJBD C[C@H](CC(=O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 433937311 SFSWKSAXQNDYNQ-CQSZACIVSA-N 410.451 4.730 5 20 HJBD Cc1nc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])sc1Cc1cccc(Cl)c1 433986506 UXGGCCFKHGCTOS-UHFFFAOYSA-N 419.894 4.703 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])n2)cc1 435657642 VRMYUJAFCVVRPU-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC(Oc2ccccc2Cl)CC1 436388221 MJSJCKJLNQZEMJ-UHFFFAOYSA-N 401.772 4.710 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437241767 UCIXYLPDUDHDBS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437972704 SDXJVLRXAUBOQX-IBGZPJMESA-N 408.483 4.515 5 20 HJBD COc1cccc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1OC1CCCC1 443949123 RSQUSRRROKWJCU-UHFFFAOYSA-N 413.474 4.863 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444088977 ORJYCBMNDIYILQ-UHFFFAOYSA-N 405.457 4.673 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444128842 ZNHYUMYTSPMFCF-QHCPKHFHSA-N 402.469 4.818 5 20 HJBD CCOc1ccc(-c2nc(Cc3nc(-c4ccc([N+](=O)[O-])s4)no3)cs2)cc1 445470199 KAJHQRIANYOEDM-UHFFFAOYSA-N 414.468 4.819 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCOc2ccc(Br)cc21 446021530 SDSMNKXLFAOIMC-MRXNPFEDSA-N 420.263 4.653 5 20 HJBD CC(=O)c1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c(C)nc1C 446423394 FIXLQVUJMPOIIG-UHFFFAOYSA-N 419.437 4.641 5 20 HJBD Cc1ccc(C)c([C@H](C)N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 447023388 MGKWJZKYWKRLHB-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(F)c1 460151853 QUDNGBZWGNJXTH-NRFANRHFSA-N 411.408 4.828 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(F)(F)F)ccc1NC(C)=O 462492273 QEJNLZASQBHWPM-CQSZACIVSA-N 423.391 4.779 5 20 HJBD CN(CC[C@@H]1CCCO1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 467040508 KOHCOBGLPDOVPP-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD C[C@H](c1nccs1)N1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 468549938 XSRPJEXKRICIPD-OAHLLOKOSA-N 414.556 4.659 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(-n2ncc3ccccc32)cc1Cl 469249878 XDTKPSGOVADBOD-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)cc1NC1CC1 469935150 KLOMNRPBQLEVLH-UHFFFAOYSA-N 401.441 4.507 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 471604653 YRBDHHHJDBZVML-SNVBAGLBSA-N 419.800 4.628 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 472872006 UIMLEETYTOBCAZ-LLVKDONJSA-N 411.393 4.544 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 479198833 XUGTVLBILCGBPE-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(O)(Cc3ccc(F)cc3)CC2)o1 482290752 XHYQGPRVLILOBQ-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 482374401 XFBTZVKSJFCFPV-AWEZNQCLSA-N 421.297 4.716 5 20 HJBD CCc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 485316675 BQFQDAORVGPCKP-ZDUSSCGKSA-N 413.865 4.879 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486324502 IIAHOSHGFYTSCO-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD CCCN(C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C)[C@H]1CCc2ccccc21 486859937 QPUGWDDTHGHZSL-IBGZPJMESA-N 411.458 4.621 5 20 HJBD Cc1ccc(SC2CCCC2)c(C(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c1 487082642 HRZVCRRQQMXPQF-UHFFFAOYSA-N 414.531 4.612 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc2oc(C3CC3)nc2c1 487866400 BMHGXHCSEWXFOQ-UHFFFAOYSA-N 420.347 4.954 5 20 HJBD Cc1cc(F)ccc1C1CCN(c2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CC1 488458451 CHFUKCXUCRGYOK-UHFFFAOYSA-N 411.477 4.662 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CN(Cc1ccco1)c1cccc(Cl)c1 489198620 WUDMNVYDZXMVNH-UHFFFAOYSA-N 413.861 4.506 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])cc1Br 489635545 FZFUDQNOKIJEOX-GJZGRUSLSA-N 403.276 4.814 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1Br 494662702 COFPGYUBPQESBZ-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)[C@@H]1c1ccccc1 495348588 NOJWLBAVDOARNB-KUHUBIRLSA-N 400.862 4.769 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(NCc2nc3cc(Br)cnc3[nH]2)c(Cl)c1 498662257 YGKFQPNXQOZAQJ-UHFFFAOYSA-N 417.050 4.548 5 20 HJBD O=C(NCc1cc2ccccc2s1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 502021722 FDFLFVGQHPNPIQ-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(n2cc(Cl)cn2)CC1 504838633 LRVNPFUMSRIPFO-UHFFFAOYSA-N 422.938 4.814 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)c1cc(C)cc([N+](=O)[O-])c1 504863999 OBPKYAXTLIWHJP-QGZVFWFLSA-N 419.275 4.652 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1OCC(F)(F)F 505328980 LEOHLYUHVWYDIF-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD COc1cc(C(=O)N[C@@H]2CC(C)(C)Cc3oc(C)cc32)c([N+](=O)[O-])cc1OC(F)F 506302563 VVFTZPNVBZIFRY-CYBMUJFWSA-N 424.400 4.550 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2cc3ccccc3s2)cc1SC 506676224 DMRJGKVCCMXUDJ-UHFFFAOYSA-N 402.497 4.513 5 20 HJBD Cc1noc([C@@H]2CCCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)C2)n1 509356346 HYPOFGZQOABHLK-MRXNPFEDSA-N 412.421 4.597 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCCC(=O)c1ccc(Cl)c(Cl)c1 513811150 NAAWXLRFDCSUTN-UHFFFAOYSA-N 409.269 4.913 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1OC 515787341 DESYILNYGBAYNJ-AWEZNQCLSA-N 404.438 4.803 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nc(-c4c(Cl)cccc4Cl)no3)n2)c1 518855240 MRXDKCVRLVCWBO-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 536216176 RNCGNNOUBZDZKJ-UHFFFAOYSA-N 400.263 4.680 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 538227688 BWPUMNBBJOUGEE-HUUCEWRRSA-N 415.465 4.509 5 20 HJBD Cc1nc([C@@H](NCc2ccc(C(C)C)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 538878156 IVXZRUMZGSGJJC-IBGZPJMESA-N 400.866 4.942 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)c1 540689154 QMCAYFBWAHOCQK-UHFFFAOYSA-N 420.469 4.511 5 20 HJBD C[C@@H](C(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1ccc([N+](=O)[O-])cc1F 543440744 KHZJFDUXHUJOAV-LLVKDONJSA-N 422.338 4.681 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544412392 IMAIHJUZJXBYOY-LLVKDONJSA-N 407.829 4.868 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)cc1 544486569 KSRHDPUPSLHQMN-HNNXBMFYSA-N 424.526 4.933 5 20 HJBD O=C(Nc1cccc(-c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)c1)c1ccco1 545617751 ZNAHVCMHMVZVAS-UHFFFAOYSA-N 424.800 4.734 5 20 HJBD COc1cc(Cc2noc(-c3sc(-c4ccccc4)nc3C)n2)ccc1[N+](=O)[O-] 545778985 FODJSWITRVSFHK-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD COc1ccc(Br)cc1-c1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 547044196 DMZLSJMDFHKCNG-UHFFFAOYSA-N 415.203 4.564 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@H](C)C[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 553337662 RFCNSUXMCYLWCB-OPAMFIHVSA-N 418.497 4.680 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)c1 554870574 AXERIUPPNBOSBS-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD Cc1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cccc1-c1ncco1 557056371 VHNRRJSYEJDVEQ-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3cc(C(F)F)nc4ccc(Cl)cc34)C2)nc1 566758808 NSRAFOYHDOWFLL-GFCCVEGCSA-N 419.819 4.820 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H](Sc2cccc(Cl)c2)C1 567134316 LDORUCHNEHYVOT-CQSZACIVSA-N 401.875 4.736 5 20 HJBD CSc1ccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C)cc1[N+](=O)[O-] 569851486 RIPRNUAOEUPOJF-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1c([N+](=O)[O-])cccc1C(F)(F)F 572324969 OONWQEXWZQPCGV-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@@H](C)c3cc([N+](=O)[O-])ccc3C)c2)n1 577799996 FLFHISRPLJBCNA-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD Cc1ccc(-c2noc(-c3cccnc3OCc3ccccc3F)n2)cc1[N+](=O)[O-] 578398622 JWBGOPLGODLQFZ-UHFFFAOYSA-N 406.373 4.733 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(Cn5cccn5)cc4)no3)cc12 580125045 PGIIYNBOXGPDCR-UHFFFAOYSA-N 419.444 4.643 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1ccc(OCc2ccccn2)cc1 603870332 QNVXMCXDBDLDAN-UHFFFAOYSA-N 414.259 4.943 5 20 HJBD Cc1cc(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)ccc1[N+](=O)[O-] 603905784 SNUUYXGKNVTCAL-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 608778375 UDMNERMTVCOPCX-UHFFFAOYSA-N 422.416 4.575 5 20 HJBD O=C(c1cccc(-n2nccc2-c2ccccc2)c1)N1CCc2c1cccc2[N+](=O)[O-] 609972693 ISLZHUOIZLLIQL-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD C[C@@H](Cc1cccc(O)c1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611213441 CXPGJJMJHKVNGM-AWEZNQCLSA-N 400.500 4.696 5 20 HJBD COc1cc(C(F)(F)F)ncc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 616206088 YOMCKEPXOVRZPZ-UHFFFAOYSA-N 410.377 4.753 5 20 HJBD Cc1cc(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)ccc1[N+](=O)[O-] 726633702 YJLKKMMFEUOOMU-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD COc1ccc(CC(=O)O[C@@H](c2ccc(Cl)cc2)c2cccnc2)cc1[N+](=O)[O-] 730431502 WBEKRWZWDBPYBF-NRFANRHFSA-N 412.829 4.527 5 20 HJBD C[C@H](NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 730480973 DNEWRVCXHJQMAH-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1ccc(Br)c([N+](=O)[O-])c1 741821924 TYLRAVUFMDXZLD-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1 746077148 IWYVILAGRXAILG-UHFFFAOYSA-N 418.877 4.723 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H]1CCCCC1(C)C 752747561 YNGRVRMLFWTYSN-KRWDZBQOSA-N 414.506 4.988 5 20 HJBD C[C@H](C(=O)Oc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 754466447 RTSLDHXERTZHEC-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD C[C@H](OC(=O)CN(C(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 761106225 OVMNWBIOYXQLNF-HNNXBMFYSA-N 400.431 4.641 5 20 HJBD Cc1[nH]c2ccc(-c3noc([C@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)n3)cc2c1C 761777526 XTLRTQDTZNYBCC-LJQANCHMSA-N 403.398 4.609 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccccc2Br)no1)c1cccc([N+](=O)[O-])c1 762864406 GANFKTCYBDWQSA-LLVKDONJSA-N 416.231 4.755 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 763398832 MGJWOUZRMSWEPP-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCCCC1 763426837 NPBVGISBBAWERA-UHFFFAOYSA-N 417.412 4.530 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 768596694 FBCQWDPQNJZMML-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD COc1ccc(-c2ncc(C(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])s2)cc1OC 774066657 ZAGRRKDEXRBUDP-LBPRGKRZSA-N 414.439 4.654 5 20 HJBD Cc1oc2cc(OC[C@H](O)c3ccc([N+](=O)[O-])cc3)ccc2c(=O)c1-c1ccccc1 776279820 ZMXKJABEZQQULL-NRFANRHFSA-N 417.417 4.789 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(OCC3CCOCC3)cc2)cc1[N+](=O)[O-] 777035183 AHKLJIHYBUSNPY-MRXNPFEDSA-N 400.475 4.972 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc(N2CCC(N(C)C)CC2)c(F)c1 786818625 KFNWOBCDAIGTKM-LGMDPLHJSA-N 412.509 4.780 5 20 HJBD C[C@@H](CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 797757450 JSVLZMNEFJQVPR-INIZCTEOSA-N 424.504 4.595 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)c1 803417127 ASVXWHORVNCCKP-UHFFFAOYSA-N 422.384 4.506 5 20 HJBD CC(C)(C)c1nc(CC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 811218589 ABTOLLHHUWMTNZ-UHFFFAOYSA-N 417.512 4.758 5 20 HJBD Cc1ccc(Cn2nccc2NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812840786 HSLYMBVHDCAFDO-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2ccccc2C(C)(C)C)cc1[N+](=O)[O-] 815407234 LWIJWGLQYJWZPO-UHFFFAOYSA-N 404.850 4.650 5 20 HJBD CC(C)(C)OC(=O)N1CCC(Nc2nc(-c3ccc([N+](=O)[O-])cc3)cs2)CC1 821198191 LDUKREZKTCVUPX-UHFFFAOYSA-N 404.492 4.530 5 20 HJBD C[C@H](c1nnc(S/C=C/c2ccc([N+](=O)[O-])o2)n1-c1ccc(Cl)cc1)N(C)C 825139414 QYVXZRCEKHWZKE-HCRIHEDKSA-N 419.894 4.808 5 20 HJBD CCCCSCC(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 914461273 BUUQUPKHKZCHEC-UHFFFAOYSA-N 413.451 4.807 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 914498912 XLMVTKVJFAPYKI-UHFFFAOYSA-N 409.398 4.555 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc([C@@H]3Cc4ccccc4CN3Cc3ccccc3)o2)cc1 1341613319 XBZVYPUILZPHFU-QFIPXVFZSA-N 412.449 4.944 5 20 HJBD COc1ccc(OC(=O)c2cc(-c3cccnc3)nc3ccc(C)cc23)c([N+](=O)[O-])c1 1523833987 IIOPJLGNJOUOQE-UHFFFAOYSA-N 415.405 4.741 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)C1CCCC1 5507581 JZXRQSLZPLLUFU-SFHVURJKSA-N 402.834 4.661 5 20 HJBD COc1ccc2ccc(OC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2c1 22137008 APFRGHWJHMFDDA-UHFFFAOYSA-N 409.441 4.922 5 20 HJBD Cn1cc(C(=O)Nc2nc3ccc(Cl)cc3s2)c(-c2ccc([N+](=O)[O-])cc2)n1 52938657 XWTZLYPYJXOORS-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD O=C(c1csc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 59253226 JERLEQABCMRPII-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD COc1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1OCCC(C)C 107638486 XCWGCPCOWUVPBP-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD Cc1ccc(-c2nc(CC(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)n[nH]3)cs2)cc1 116637642 IVLUKJBICNDYDE-UHFFFAOYSA-N 419.466 4.598 5 20 HJBD Cc1nn(C)cc1[C@@H](C)NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237405541 XJCHWSQUOFAOKR-CYBMUJFWSA-N 400.866 4.933 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(OC2CCCCC2)CC1 261378579 BKDNKLQDZXQEBE-HNNXBMFYSA-N 400.479 4.520 5 20 HJBD COc1ccc(-c2ccc(CNc3cc4ncnc(O)c4cc3[N+](=O)[O-])cc2)cc1 301467981 RAJVQLBFHMTDQL-UHFFFAOYSA-N 402.410 4.531 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3c[nH]c4ncccc34)CC2)c(Br)c1 302004075 YVTNBKCLJQCJQR-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD CCc1nn(C)c(N[C@@H]2CCCc3cc(NC(=O)OC(C)(C)C)ccc32)c1[N+](=O)[O-] 302485380 XORYQQAQXWASOR-QGZVFWFLSA-N 415.494 4.727 5 20 HJBD C[C@H]1C[C@@H](CO)CCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 426152926 IVHJCHGAMPEQAC-KBPBESRZSA-N 420.918 4.632 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nc(C(F)(F)F)nc4ccccc34)nc2c1 434802226 JYTDEPPKCXKNAX-UHFFFAOYSA-N 406.345 4.990 5 20 HJBD CC(C)c1nc(-c2ccccc2NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n[nH]1 437919616 YZQZROWMEMKEJD-UHFFFAOYSA-N 419.363 4.774 5 20 HJBD Cc1cn2c(n1)[C@H](CNC(=O)Nc1cccc(Oc3ccc([N+](=O)[O-])cc3)c1)CCC2 443939518 YBHMJOLCYQWJSH-INIZCTEOSA-N 421.457 4.591 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccc(O)cc4Cl)no3)cs2)c1 445452243 BFCKZLZWMZKIAX-UHFFFAOYSA-N 400.803 4.794 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@H]1c1ncc[nH]1 447063398 LTXOJLWYSVYANR-IBGZPJMESA-N 407.430 4.869 5 20 HJBD O=C(Nc1cccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c1)C1CC1 447666621 BAYXKTXHVMHRQE-UHFFFAOYSA-N 416.306 4.736 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2cc(F)ccc2OC)cs1 470838171 ZTZMCSRDIIJTBA-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 470962180 VMIJOYCKZWMSDP-NRFANRHFSA-N 404.470 4.671 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cccc1NC(=O)N(C)C 471326929 KEKVBDKJMAPIJI-UHFFFAOYSA-N 416.503 4.750 5 20 HJBD COc1ccc(OC)c([C@H]2C[C@H]2C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 472802109 JAVYGQJCOHHWPD-TZIWHRDSSA-N 410.470 4.691 5 20 HJBD C[C@@H](c1cccc(O)c1)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 473931601 ITDIGZSIHMAROQ-CVEARBPZSA-N 420.469 4.702 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3F)o2)cc1 476467835 OYWAXSYZDKHWPD-UHFFFAOYSA-N 402.451 4.922 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1C1CC1 477267753 IOJZVELXCLEWIL-INIZCTEOSA-N 414.509 4.838 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 478716901 IGDVZTKDXPWHOE-UHFFFAOYSA-N 405.204 4.755 5 20 HJBD COCC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C)c1ccc(C)o1 479744976 HNEYJRUFZPXYSF-KRWDZBQOSA-N 406.504 4.752 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(Cc2ccccc2)c1 483180483 HPMWGFMTUQGNJL-UHFFFAOYSA-N 410.499 4.840 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2cc(Cl)cc(Cl)c2)cc1SC 483380925 PXPSYPOTAFLAJE-UHFFFAOYSA-N 415.298 4.605 5 20 HJBD CCO[C@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccccc1 486583247 CHLLROGBMSDEPP-HSZRJFAPSA-N 420.465 4.681 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ncc(-c2ccccc2)o1 487353277 NPCUTHDLDGYWFM-HNNXBMFYSA-N 406.442 4.685 5 20 HJBD CCc1nccn1[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)C1 488853250 MAEHUZMWTRMDTR-KRWDZBQOSA-N 416.547 4.579 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489179219 BQYRHYDMDUWFKN-HZPDHXFCSA-N 417.531 4.763 5 20 HJBD O=[N+]([O-])c1cccc(CN(c2cc(C(F)(F)F)nc(-c3ccncc3)n2)C2CC2)c1 493873995 NWKRGDNHIMQHNO-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1CN1CCCCCC1)c1cccc([N+](=O)[O-])c1 494653456 ZWMFILZJRCVFBE-SFHVURJKSA-N 410.518 4.531 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)nc1)c1ccc([N+](=O)[O-])c2cccnc12 497911086 QSYDVZXMXDSDPD-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD Cc1c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cnn1CC(C)C 501344474 QTPNTDRDJOCYMB-OAHLLOKOSA-N 422.489 4.576 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 504696418 YHLXTKRZZRIPSS-INIZCTEOSA-N 413.543 4.801 5 20 HJBD Cc1nc(CN(C)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cs1 506673134 FLVYFUPPHATKID-UHFFFAOYSA-N 419.510 4.844 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 521153698 IARIUZSLGVMUIH-HNNXBMFYSA-N 402.497 4.608 5 20 HJBD CC[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(F)ccc1F 524898273 IXIZDFPTEJMYCC-ZDUSSCGKSA-N 401.316 4.747 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 525701083 AFTLCCFMKNDSMY-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD Cc1ccc(/C=C\c2nc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n[nH]2)o1 534056655 CTAJXSLFVCYSLR-HJWRWDBZSA-N 409.427 4.732 5 20 HJBD Cc1ncc2c(n1)CCN(Cc1ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c1)C2 535075128 IGWKCCPQGAONCS-UHFFFAOYSA-N 410.861 4.697 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 537094826 YBSMSOYZSKEATI-AWEZNQCLSA-N 418.515 4.994 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2O)cnn1-c1ccc(Cl)cc1 538871075 MHOWFZIFXKXEFG-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 539151024 DDDJCDCYSLDSCP-NBHSMZAVSA-N 424.881 4.514 5 20 HJBD CN(C(=O)c1ccc(-n2cccc2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539357346 YCMJQMLBDTVUPU-UHFFFAOYSA-N 404.451 4.786 5 20 HJBD CC[C@H](NCCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccccc1OC(F)F 540354306 KNLUAZYYVPFAFH-INIZCTEOSA-N 407.417 4.574 5 20 HJBD CC(C)CN(C(=O)CC[C@H]1CCCO1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540450752 UMQBCXUWIOMPDB-OAHLLOKOSA-N 403.504 4.667 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 540530013 BDTUZSSNJBTDEO-MRXNPFEDSA-N 405.454 4.589 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1)c1ccc([N+](=O)[O-])cc1F 541612576 BGDYRPQYNKQCLC-JKSUJKDBSA-N 423.444 4.689 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)cc1[N+](=O)[O-] 542964314 GDFZLAYUBPFUFY-OAHLLOKOSA-N 415.837 4.502 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1ccccn1 544045941 QFYPSBSPABKWAL-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 545123011 QWONTYGWPQKCRP-GDLZYMKVSA-N 420.506 4.697 5 20 HJBD Cc1ccc([C@@H](C)NCCc2cn3cc(Br)cc(C)c3n2)cc1[N+](=O)[O-] 546229925 IMNAWTHZGQLWAM-CQSZACIVSA-N 417.307 4.515 5 20 HJBD C[C@H]1CCCN(c2ccc(-c3nc([C@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])C1 547127109 MYDSLKOHYVTHKN-BTYIYWSLSA-N 420.469 4.543 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 554876892 PAFPAVMUFHYCIY-UHFFFAOYSA-N 410.352 4.747 5 20 HJBD COc1ccc(C(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 560725935 ODTRBCOEXSBDFM-HNNXBMFYSA-N 408.376 4.642 5 20 HJBD O=C(NC1CC(C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 565912799 YEHZSLQXLNIZPK-UHFFFAOYSA-N 402.438 4.948 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)Cc1cccc([N+](=O)[O-])c1 571801810 MUDOQRIVUKEURB-UHFFFAOYSA-N 410.451 4.600 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1Cc1cccc2ccccc12)c1cccc([N+](=O)[O-])c1 571955245 QEBZRPPCLYLPSX-MRXNPFEDSA-N 400.438 4.735 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC(C3CCCCC3)C2)cc1[N+](=O)[O-])c1ccccn1 576973688 RIXAXMKTMSKZDX-MRXNPFEDSA-N 408.502 4.815 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccc(Cl)c5ncccc45)n3)c2c1 589136524 BBJFZVNOZCORAD-UHFFFAOYSA-N 405.801 4.919 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)cs1 603643196 YLJQKRFHGORPNT-UHFFFAOYSA-N 402.501 4.761 5 20 HJBD COc1ccc(-c2ccc([C@H]3Nc4cc(Cl)ccc4C(=O)N3C)o2)c([N+](=O)[O-])c1 603937898 CGHHJBSUBNLEMF-IBGZPJMESA-N 413.817 4.713 5 20 HJBD COc1ccccc1OCCNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 604476802 UWYXFAOUBKCZNW-UHFFFAOYSA-N 412.417 4.703 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 609978769 LRLLGHIOJYZIDO-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=C(N[C@H](CCCc1ccccc1)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 618939911 PDTKWGJYGZVMAP-HXUWFJFHSA-N 418.449 4.818 5 20 HJBD COc1ccc(CN(C(=O)c2cccc([N+](=O)[O-])c2)c2ccc3nc(C)[nH]c3c2)cc1 726878366 NEGDJFCWBAJCTC-UHFFFAOYSA-N 416.437 4.635 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@@H]1c1ccc2ccccc2c1)Nc1ccc([N+](=O)[O-])cc1Cl 731277077 PJVRBGMIOFVVEE-QZTJIDSGSA-N 424.840 4.687 5 20 HJBD O=C(Oc1cccc(NC(=O)c2cccs2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 736021063 GOZDFAWYFPVXJN-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3ccccc3SC)CC2)ccc1[N+](=O)[O-] 744202701 BOYDWHJNRHWIGG-UHFFFAOYSA-N 416.499 4.541 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCSCC3)c(Cl)c2)c1 748660032 SHTCEQYZBMZNMY-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(CC(C)C)C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 753034870 DGVGWSWTURUBAC-HRAATJIYSA-N 417.481 4.505 5 20 HJBD COc1ccccc1[C@@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 755760892 WBJILNUTHOWINP-LHSJRXKWSA-N 410.401 4.574 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1cccc([N+](=O)[O-])c1Br 757973722 VREYZNBSSWFLDF-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(Br)ccc1F 760473061 GQGZVEHMEJRXLU-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@@H](OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 762067310 AUDBGMVWXYERBF-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(NC(=O)OCC(F)(F)F)cc2)n1 762069805 DOIZWKQAAHSNJS-UHFFFAOYSA-N 422.319 4.731 5 20 HJBD O=C(NC[C@H](O)c1ccsc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 762501114 QAIWFEMKMGCVJA-KRWDZBQOSA-N 418.858 4.565 5 20 HJBD Cc1coc(-c2cc(NS(=O)(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)ccc2C)n1 763299530 CTGMWSZSEDRLLD-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 764350649 OPKATEIOIAPOOG-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD C[C@@H](NCc1ccc(-n2ccnc2)nc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 764816473 CGJBQHXBZWIYMH-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2Cl)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 768381968 YUAZAVOISGRNKA-VIFPVBQESA-N 422.825 4.557 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2CCCC2=O)c(Cl)c1 769639999 RRUHTMXHTCGHIY-UHFFFAOYSA-N 401.850 4.553 5 20 HJBD Cc1ccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 771489863 UGGBNDGORQPEBE-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1c[nH]c3cc([N+](=O)[O-])ccc13)CC2 775297750 UMKXPHQCUHDQEH-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nn1C1CCCCC1 776435358 GGPYMRUNAAMTSE-UHFFFAOYSA-N 410.430 4.617 5 20 HJBD CN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(-c2ccccc2)cc1 781686767 VODGOXGGRBZCLB-UHFFFAOYSA-N 405.410 4.766 5 20 HJBD CO[C@H](COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 801830387 UCIPBZAZPAEONU-OPAMFIHVSA-N 421.453 4.707 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 801929112 FKSDZTZAMOWPFS-UHFFFAOYSA-N 424.413 4.551 5 20 HJBD O=C(N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1)c1cc(F)c([N+](=O)[O-])cc1F 804364024 NNPHESZIQGYXAD-MRXNPFEDSA-N 416.302 4.653 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(C4CCN(C5CC5)CC4)n3)cc2)cc1 809364767 YKMFYVDVUQUILS-UHFFFAOYSA-N 406.442 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)Cc1sc(C)nc1-c1ccc(C)cc1 809625305 BCLDGUGGIFHMGW-UHFFFAOYSA-N 412.467 4.630 5 20 HJBD Cc1cc(Cl)c(C(=O)OCCCC(=O)Nc2c(C)cccc2C)cc1[N+](=O)[O-] 815406620 ONUCSZAHXDLFAP-UHFFFAOYSA-N 404.850 4.749 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(C(N)=O)c1-c1ccccc1 913193224 DXPYOVVELIREKI-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD O=C(NCc1ccc(COCC(F)(F)F)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 915307579 LORSFYKHZKGLIY-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)s2)c1 916672043 LNPBEUPGCMJGOV-CYBMUJFWSA-N 412.471 4.533 5 20 HJBD C[C@H](C1CC1)N(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 917680608 FKPNCHVDQLHKNN-LLVKDONJSA-N 423.347 4.967 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1cc(C2CC2)nc2c1cnn2C(C)C 918531944 IYBLVNCGECECLP-UHFFFAOYSA-N 424.457 4.554 5 20 HJBD O=[N+]([O-])c1cccc(/C(O)=C(/C(=S)Nc2ccc3c(c2)CCC3)[n+]2ccccc2)c1 920396117 SKCYGVXZNHYINS-UHFFFAOYSA-O 418.498 4.694 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@@H]2CCCC[C@@H]2C1 1318612588 VAWOOZQQPCHBIA-QWHCGFSZSA-N 423.300 4.740 5 20 HJBD CC[C@H](C)c1nc([C@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n[nH]1 1326296945 XXBUKSDDZCCJOY-RYUDHWBXSA-N 403.870 4.991 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)[C@H]2CC[C@H](SC)C2)cc1 1329776816 XPNVEQWHPJFRAM-KSSFIOAISA-N 416.499 4.752 5 20 HJBD O=C(CC(c1ccccc1)c1ccccc1)OCc1cc([N+](=O)[O-])cc2c1OCOC2 3444898 UGIPLNOJADPEEW-UHFFFAOYSA-N 419.433 4.727 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 16779173 GOSSTKNIZHQOCQ-IBGZPJMESA-N 424.526 4.668 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1cc(C)n(C2CCCCC2)c1C 17941214 RLTFXNIXDWVRLM-UHFFFAOYSA-N 413.474 4.622 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)cc1 31296575 VSDKBFPQIYMLJC-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCc2c(Cl)cccc21 55712694 KQHAFOJNXCTCRT-HXUWFJFHSA-N 402.881 4.612 5 20 HJBD Cc1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C(C)C)s1 57400693 XNUARVBNFYHQNG-UHFFFAOYSA-N 401.532 4.618 5 20 HJBD C[C@@H]1CCc2nc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)sc2C1 64897024 WTTYVEMPHIVURV-SNVBAGLBSA-N 400.485 4.552 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1ccc(C(=O)Nc2ccccc2)cc1 211539887 LGZYQSOCLUSPRI-GDNBJRDFSA-N 402.406 4.604 5 20 HJBD Cc1ccc(NC(=O)c2ccc3c(c2)C[C@H](c2ccccc2)OC3=O)cc1[N+](=O)[O-] 302109833 SHCCTNWQNNEZRW-OAQYLSRUSA-N 402.406 4.610 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 426022310 LMIPTNOEYQJZTP-KUHUBIRLSA-N 419.913 4.579 5 20 HJBD CCC[C@]1(CO)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 426134041 QIHFVXYPYOQLOA-NRFANRHFSA-N 418.877 4.665 5 20 HJBD O=C(N[C@H]1CCC[C@H]1CCO)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 428818175 OVEIKYIMAPHOIM-UGSOOPFHSA-N 420.918 4.680 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)c1ccc(Br)cc1[N+](=O)[O-] 430935012 RHVAOGJUKDFIMC-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 435940722 JLENTXSHEGYLOB-UHFFFAOYSA-N 413.477 4.918 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435942006 NGBFQVIPECSACC-UHFFFAOYSA-N 416.330 4.971 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437474280 OHQPVDFZHPICMW-UHFFFAOYSA-N 415.877 4.648 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444080841 JECLJCXOZAVACB-INIZCTEOSA-N 400.478 4.614 5 20 HJBD CCC1(CC)CN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)CCS1 444327914 RRUPXVNKPSGPFC-UHFFFAOYSA-N 416.493 4.707 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N(CC(F)(F)F)[C@H]1CCCOC1 446197763 PNYDRNMIKALATF-INIZCTEOSA-N 423.391 4.522 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2C[C@H]2c2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCC 463639221 AVRYMIPJJVTVNJ-NWDGAFQWSA-N 424.375 4.552 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1sc(C)nc1-c1ccc(C)cc1 466499425 MEQQOTMTGQYCIC-UHFFFAOYSA-N 411.483 4.915 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c(-n2cc(Cl)cn2)n1 468592893 XQTABXOXYNMGDZ-UHFFFAOYSA-N 423.860 4.687 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(SC)c(Cl)cc2Cl)cc([N+](=O)[O-])c1 472230690 HFNZJLOVJPLXCG-UHFFFAOYSA-N 415.254 4.662 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)CC1)CC(C)C 475564096 KZOZONDTTBKYKR-UHFFFAOYSA-N 404.555 4.824 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CC=C(c2ccccc2C(F)(F)F)CC1 477827715 UZNWWNALGPXQDA-UHFFFAOYSA-N 405.376 4.613 5 20 HJBD COc1cc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cc([N+](=O)[O-])c1C 478336871 HCSBEJIGRLYEBT-UHFFFAOYSA-N 420.918 4.962 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCc2ccccc2)CC1 481970836 BOTDDJSLSSJBSA-UHFFFAOYSA-N 400.500 4.528 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N2CCCCCC2)cc1SC 482464961 TXFMUWXYCQYDOS-UHFFFAOYSA-N 415.515 4.958 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482504862 NOXOZJWFFQBIQZ-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD Cc1cc2n(n1)[C@@H](C(F)(F)F)CCN2C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 485484158 ZZVDXCWFQOWFSO-OAHLLOKOSA-N 410.396 4.551 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 487468017 FAUNTCWPQCWJSG-UHFFFAOYSA-N 419.466 4.897 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1nc(C(C)(C)C)cs1 487807146 MUIFLJZVOLABQF-ZDUSSCGKSA-N 402.520 4.784 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1C1CC1 490266487 CPTXRGCUWGZNCD-UHFFFAOYSA-N 418.472 4.803 5 20 HJBD O=C(c1cc(F)cc(C(F)(F)F)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498153230 NJKPDRXFNNWRPB-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD Cc1cccc([C@@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)c1 498231411 KWEFAOYLBBLWMK-HTAPYJJXSA-N 404.470 4.756 5 20 HJBD CCOc1ccc(N(CC)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 500748186 HVZWXKRIFQNAMS-UHFFFAOYSA-N 422.485 4.522 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 504912367 VEXORYIRYAQAOX-VBKZILBWSA-N 414.893 4.821 5 20 HJBD O=C(c1ccc(CCC(F)(F)F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 505364704 UJYPQBXYQOUHDD-UHFFFAOYSA-N 422.403 4.773 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)s1 514465502 DLCDUNISGXGJDE-JTQLQIEISA-N 420.541 4.911 5 20 HJBD COc1cccc([C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)N2CCCC2)c1 518272429 BOERJSKCMHJOCC-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1 521909554 ADCCHKXFNPZULY-UHFFFAOYSA-N 420.450 4.911 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 524312425 PXEKWLKXWUHZIF-AWEZNQCLSA-N 419.478 4.845 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 525599245 HXYLUAJXYYAVRC-UHFFFAOYSA-N 400.438 4.800 5 20 HJBD O=C(Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 535736533 IMGSYNOSOOLHCA-UHFFFAOYSA-N 409.405 4.710 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cnoc1-c1ccc(F)cc1Cl 537266207 YUXCLZWJKZCTTE-UHFFFAOYSA-N 412.160 4.654 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](c1oc2ccccc2c1C)C(C)C 538160039 OUPAIAYZEVJNJC-NRFANRHFSA-N 411.458 4.583 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1nc(-c2ccc(F)cc2)cs1 539279446 IDEHAYMPQDHQCH-CHWSQXEVSA-N 414.462 4.535 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCCc2nc(-c3ccc(F)cc3)no2)o1 541378707 PYTKWGDAJPRSPJ-UHFFFAOYSA-N 422.416 4.766 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1F 541604694 PCPPEUCIFYLWCT-OAHLLOKOSA-N 418.428 4.874 5 20 HJBD Cc1cnc([C@H](C)CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 541702307 GOPLBKROCIOWGG-CQSZACIVSA-N 410.499 4.505 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)cc(Br)c1O)c1ccc([N+](=O)[O-])cc1F 544552352 RHPJGHIWBPQRKF-SSDOTTSWSA-N 417.618 4.598 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OC3CCOCC3)cc2)no1 545793165 TZCSMIAVVNXJNB-UHFFFAOYSA-N 409.442 4.529 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C(=O)c3ccco3)oc3ccccc23)c1 548958889 KBSSRDLYLJOBMF-UHFFFAOYSA-N 420.377 4.816 5 20 HJBD CC(C)COc1ccc(C(C)(C)NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 553282633 CYDXFCKTTFRMPZ-UHFFFAOYSA-N 410.474 4.705 5 20 HJBD C[C@@H](C(=O)Nc1cnn(-c2ccc(F)cc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 558673910 CMTSODQJACMUQA-LLVKDONJSA-N 422.338 4.681 5 20 HJBD COc1cc(CNCCc2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC(F)(F)F 562064788 NNXBWIUZVXUEFU-UHFFFAOYSA-N 424.375 4.623 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c(F)cc1Br 578097698 JIFNILXHUUUMHS-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@@H](c1cccc(F)c1)c1cnn(C)c1 590161214 QQAILDDADVOBLW-IBGZPJMESA-N 422.382 4.708 5 20 HJBD COc1ccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1Cc1ccccc1 603652951 PYCAZVXVMNTQJF-UHFFFAOYSA-N 405.454 4.858 5 20 HJBD CCOc1cc(C(=O)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c([N+](=O)[O-])cc1OC 604243049 BTYQRHUGXQNNLQ-MRXNPFEDSA-N 423.469 4.503 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1Cl 608875506 QELGMHCLFOZYHX-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)OC(C)C)ccc2Cl)c1 608897691 QSXZUVNVYHQGMT-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cc([N+](=O)[O-])c1 609021475 DSNBPDURZWXURQ-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609281839 XKSFRDAHKQFFPL-INIZCTEOSA-N 421.400 4.964 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 609855572 GMNUVAVJKPWRLV-IUCAKERBSA-N 418.721 4.888 5 20 HJBD CCc1sc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)nc1-c1ccccc1 610171507 NIUILFYJVSTVPP-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NC1CCN(Cc2coc(-c3ccccc3)n2)CC1 610808941 JBGBMJCYNWYCCP-GOSISDBHSA-N 420.513 4.873 5 20 HJBD CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCCO1 611207227 QKTYOIVCYYKCBH-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD COc1cc(C=O)ccc1Oc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 727431259 NAZNLDSABYYZHF-UHFFFAOYSA-N 406.394 4.769 5 20 HJBD CCSc1ccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cn1 727786890 FSWDBNKXKMSHPW-UHFFFAOYSA-N 423.450 4.573 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728165380 IHSDWWYUGSHAIS-UHFFFAOYSA-N 415.789 4.959 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1cc(F)c(Cl)nc1Cl 729119911 PMNGPPRAORITQH-UHFFFAOYSA-N 403.193 4.580 5 20 HJBD O=C(CCC1CC1)O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734614764 UCILGNVFOAVDEN-IBGZPJMESA-N 402.834 4.661 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc2c(c1)c(C)nn2-c1ccccc1 736158583 NLLJCGKSOVXLIW-UHFFFAOYSA-N 421.844 4.851 5 20 HJBD CCC[C@H](C(=O)OC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1)c1ccccc1 739384276 HELNBCBPZKWUQA-FQEVSTJZSA-N 412.486 4.699 5 20 HJBD CC(C)c1ccc(-c2noc(COC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 740394945 PZHVAKNVDPERJW-UHFFFAOYSA-N 409.442 4.789 5 20 HJBD Cc1cccc(NCc2cc(F)ccc2[N+](=O)[O-])c1C(=O)NCCc1ccccc1 742261794 VFYXLNNWNGNFTR-UHFFFAOYSA-N 407.445 4.627 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(Cl)cc1C(F)(F)F 747811109 NTABPVLDSXOHGL-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD C[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc2ccccc2c1 753521265 FXVYDYZNAZFUKY-ZDUSSCGKSA-N 412.829 4.687 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c2c1N(C(=O)c1cccc([N+](=O)[O-])c1)CCC2 753719247 DCJMVWXIDMEDPR-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(CCCc1csc2ccccc12)OCc1cc([N+](=O)[O-])cc2c1OCOC2 756650395 DKYRGQZHWRXLFN-UHFFFAOYSA-N 413.451 4.742 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1cccc([N+](=O)[O-])c1Br 758085513 CGLCXFLAOIKBGQ-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(-c3ccc(-n4cccn4)cc3)cs2)c1[N+](=O)[O-] 758482465 NFKZPXRTNNYFCJ-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3cccc([N+](=O)[O-])c3Br)CC2)c1 758965717 UUIRGROSGCCUPV-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCc2c1cccc2C(F)(F)F 759315134 ANFSTVIYDRPXPO-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1cc(Cl)ccc1[N+](=O)[O-] 759742656 QTUULHAIVALUBE-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(CC[C@H](O)c2ccccc2)cc1 762996494 DTDFVQBGTKHQEG-QFIPXVFZSA-N 420.465 4.669 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c1[N+](=O)[O-] 772238431 VAHFPNDKDCZDHL-JTQLQIEISA-N 417.384 4.537 5 20 HJBD COc1cccc([C@H](C)CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135275 SJYANUYSWDAJJM-WAIKUNEKSA-N 406.438 4.825 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778170163 QTIYQDWYVNMFBN-CQSZACIVSA-N 420.412 4.689 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 779337758 DFSDYZIHWIYDJJ-UHFFFAOYSA-N 402.309 4.603 5 20 HJBD Cc1ccc(C(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)cc1[N+](=O)[O-] 779463953 IQMNFPQHRNJCNH-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)CC[C@@H](NC(=O)OC(C)(C)C)C(C)C 789428505 MVFNKPLSOGHQGR-MRXNPFEDSA-N 422.526 4.615 5 20 HJBD COc1cc(C2SCCS2)ccc1OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 789574865 GFAMCPCHXQZFOL-UHFFFAOYSA-N 408.457 4.569 5 20 HJBD CC(C)c1ccoc1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 792615581 MBZOUNBTXRZZDX-JTQLQIEISA-N 414.336 4.514 5 20 HJBD O=C(C[C@@H]1CCCOC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 796533305 KJQXLDYORGYWPB-HNNXBMFYSA-N 404.850 4.582 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 809280183 KYSIHAFZICOMMV-HNNXBMFYSA-N 407.264 4.589 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813065526 DSLIEENJLPXMTI-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1cccc2ncccc12 827005688 JAXXKVXFZAURMU-NSHDSACASA-N 410.480 4.872 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NN1CCCCC1 857764356 AISFNMBISJKGMO-VKAVYKQESA-N 422.510 4.652 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NCc2ccc(Cl)cc2[N+](=O)[O-])C1 864003427 ACWKZQWRBHXTAO-GJZGRUSLSA-N 401.894 4.978 5 20 HJBD Cc1ccc(OC(=O)c2nc(-c3cccs3)n(-c3ccc(F)cc3)n2)c([N+](=O)[O-])c1 917027900 KBWPXAAVAGQBHV-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD Cc1cc(F)c2c(c1)CCCN2C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 917692258 CNDJDBFIIAXCHP-UHFFFAOYSA-N 412.339 4.576 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc2ccccc12 919215171 XTCLQPSAJNWUFX-CYBMUJFWSA-N 404.378 4.678 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@@H](O)CO[C@@H]3CCCc4ccccc43)sc2c1 921231228 PKGONFCNORHGPQ-MAUKXSAKSA-N 416.524 4.752 5 20 HJBD Cc1cccc(OCc2nnc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)o2)c1 1261567204 AAAVMAUZWUPQFT-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(C)c1 1318105035 HSUSPTLGFRWSLW-UHFFFAOYSA-N 406.394 4.734 5 20 HJBD CC(C)[C@H](Oc1cccc(Cl)c1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1328232146 MVZAKRFZJVTWLU-SFHVURJKSA-N 403.822 4.986 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)c1ccccc1 25870844 NNRVEGUNKWOFBC-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 39517904 UEICSBVTGJXHEX-NSHDSACASA-N 412.364 4.512 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 51237616 JOQNFAQVZAAVGU-KXBFYZLASA-N 403.866 4.880 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 57300115 WURFZSHCGNEZJB-QGZVFWFLSA-N 400.478 4.971 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3cccc([N+](=O)[O-])c3C)n2C)cc1 59124245 HLVJDERCNWSSJY-UHFFFAOYSA-N 411.531 4.837 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1Cl 64692368 XSJQDYKAZNJEGM-NSHDSACASA-N 419.268 4.793 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)ccc21 72059469 YHVLQKUUCUELQF-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccccc1OC1CCCC1 108010035 KIPPBZRFRUEIGY-HNNXBMFYSA-N 400.500 4.713 5 20 HJBD CC(C)N(C)Cc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 236669693 RCSAYOHQQCWNAW-UHFFFAOYSA-N 410.543 4.848 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 409966832 YUHAEOLPWFJSQA-UHFFFAOYSA-N 422.865 4.891 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1csc([N+](=O)[O-])c1 427644600 JUKKOTJSUGNKLF-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(c1ccccc1F)N1CCC(Nc2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 429207251 WMFADCBIRURMKP-UHFFFAOYSA-N 412.248 4.757 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)ccc2n1 432663255 GMZHOCSLEPUWMG-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@H](c1ccc(C)cc1)c1cccnc1 435876593 YZOWZCNDZIUEDK-OAQYLSRUSA-N 405.454 4.559 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1C 436327049 FYHKAXPAHLJTMK-UHFFFAOYSA-N 422.431 4.549 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1 443808542 YUPOJGLJNHCOPL-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C/c3cnc(C(C)(C)C)s3)n2)c1 445574547 MERLEAWMMIZRTH-SOFGYWHQSA-N 400.460 4.502 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1ccc(-c2nc3ccccc3s2)o1 445715398 DFMALDYBQZBMAO-UHFFFAOYSA-N 407.451 4.714 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 446082823 RLZTUMMHNHZUTC-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCc2c(Cl)ccc(F)c21 447417975 LIYKAMCEKIVJRI-UHFFFAOYSA-N 419.659 4.804 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(OC(C)C)c(OC)c1 462420338 VCMJBZQKSOIVTB-FUHWJXTLSA-N 414.502 4.837 5 20 HJBD O=C1CCCCc2cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)ccc21 463428956 MDQDXHNJUDINFK-UHFFFAOYSA-N 420.387 4.709 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)C(=O)c2cc3ccccc3c3cccnc23)n1 465207643 QTDKSWRADMIIGX-INIZCTEOSA-N 418.497 4.777 5 20 HJBD O=C(NCc1csc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465544229 IOGWASKDBLWRAI-UHFFFAOYSA-N 411.483 4.563 5 20 HJBD CC[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(F)cc1F 465960898 TXAFAMMOCCGSSK-FQEVSTJZSA-N 419.428 4.577 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC(=Cc4ccc(F)c(F)c4)CC3)n2)c1 469445413 BOMJEHLWTHHUHQ-UHFFFAOYSA-N 412.396 4.602 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1csc2ccc(Br)cc12 470803793 RVKIBWXLUPTQMT-UHFFFAOYSA-N 419.300 4.773 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nc(-c2cccc(Br)c2)no1 471287566 NYMZXGCJHBBKPR-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@@H]2CN(Cc3ccccc3)C[C@@H]2C1 471387733 IYLNAHCZCLRDOW-SZPZYZBQSA-N 407.517 4.592 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCc3ccco3)s2)c(Br)c1 478200928 UVOGSMJGHIHSRH-UHFFFAOYSA-N 413.278 4.565 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1OC 480436949 PMDXYARGCPYVJV-CQSZACIVSA-N 418.515 4.851 5 20 HJBD Cc1c(CC(=O)N(C)Cc2ccc(Br)cc2Cl)cccc1[N+](=O)[O-] 481688416 NLAQXOBHRLGGJU-UHFFFAOYSA-N 411.683 4.520 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1C 482804337 ZUKFQLGFQGEMQT-NSHDSACASA-N 410.424 4.688 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCC(C)C)c1 483096212 CPWVNXQWXWOUHV-UHFFFAOYSA-N 404.488 4.620 5 20 HJBD CSc1cccc(C(=O)N[C@H]2CCCc3cc(OC(F)F)ccc32)c1[N+](=O)[O-] 486227065 FHMFZLLHMUMUTQ-HNNXBMFYSA-N 408.426 4.726 5 20 HJBD O=C(Nc1ccccc1SC1CCCC1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487882389 ULRNIDBWZGAIDT-UHFFFAOYSA-N 415.519 4.695 5 20 HJBD CC(C)n1ncc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)c1-c1ccccc1 489016935 SHBLSIFGKZPSCN-UHFFFAOYSA-N 416.441 4.682 5 20 HJBD CCN(Cc1ccccn1)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 489357173 MBEDGKIMPKTIDN-NRFANRHFSA-N 424.888 4.845 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc(OC(F)F)c([N+](=O)[O-])c1 490946767 CVYIIUNMFBRZEX-CYBMUJFWSA-N 418.318 4.662 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](c2cccc(F)c2)c2ccccn2)cc1[N+](=O)[O-] 498231989 RTPQEFOAZQAMBI-VFNWGFHPSA-N 408.433 4.587 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c([N+](=O)[O-])cc1OC 501637900 LBGWFCIGDPKXNY-UHFFFAOYSA-N 423.425 4.787 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2ncccc2Cl)CC1 507291171 VEXUZLDWHGLKHZ-UHFFFAOYSA-N 413.783 4.682 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](c1cccc2ccccc12)C(F)(F)F 510453721 BDCLJEHJHPUJMM-HXUWFJFHSA-N 417.387 4.888 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)c(Br)cc1F)c1cccc([N+](=O)[O-])c1 511123057 SKSPUMPSVPSCIJ-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)[nH]1 512618306 ITBZUBOMJWXHBF-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD C[C@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCOc2ccccc21 517991635 ISHPMQUNVZURPA-OXJNMPFZSA-N 418.449 4.669 5 20 HJBD Cc1cc(NC(=O)c2ccc(-c3ccsc3)nc2C)n(-c2ccc([N+](=O)[O-])cc2)n1 518902886 IXPJCSNGQWFUMJ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1NCC(F)(F)F 522154177 PRXJXIADAWZBKQ-JTQLQIEISA-N 417.771 4.628 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)cc1)c1ccccc1 524140887 WHRVUKIHDCQJKA-AWEZNQCLSA-N 405.410 4.749 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1cccc2ccccc12 525113377 SDQBRMZJVBDMIV-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD COc1ccc(-c2csc(CNC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c2)cc1 533927254 ADUZXANPUUIQGF-UHFFFAOYSA-N 421.478 4.672 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 535200645 JKYJJIPFQGEQKI-OAHLLOKOSA-N 404.536 4.895 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(CSc2ccccn2)c1 537246700 ORTQBIPWOKQCAR-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD COc1ccc(CSCC(=O)N2[C@H](C)Cc3cc(F)ccc3[C@H]2C)cc1[N+](=O)[O-] 537697742 UQAUZBTVRRGSSY-ZIAGYGMSSA-N 418.490 4.510 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 540472345 XDFCKQKJYSRRNU-UHFFFAOYSA-N 401.532 4.991 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542540830 RBBGLZDARBPSKH-SNVBAGLBSA-N 400.328 4.894 5 20 HJBD Cc1c(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cccc1[N+](=O)[O-] 545619531 INCQXUCUQFPJMZ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 553140054 LXODQXGDPMXEMK-ZDUSSCGKSA-N 418.375 4.510 5 20 HJBD CC(=O)N[C@@H](CC(C)C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 554818731 KWXKBMMCSVJAAM-IBGZPJMESA-N 410.430 4.660 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2N(C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560681696 ASTSZQRSBKNIQF-NRFANRHFSA-N 407.470 4.810 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@@](=O)c4ccccc4)c3)ncnc2s1 561227675 HHFIJGQJSWUGHM-MUUNZHRXSA-N 410.480 4.651 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCCSC3)o2)c(Br)c1 574599981 DWTKIFCLIQWFDB-GFCCVEGCSA-N 411.321 4.850 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2cccc(F)c2F)CC1 575230139 PZYRSHBJQKJISH-CYBMUJFWSA-N 414.412 4.864 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1ccc(OC(F)(F)F)cc1 608940568 MWRQALHFZOKVNP-UHFFFAOYSA-N 410.392 4.863 5 20 HJBD COc1cccc(CO[C@@H](C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609756117 WRLXHRRCTMHJDJ-INIZCTEOSA-N 422.437 4.940 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H](C[C@@H]2CCOC2)C1 611355625 FKQWQNDEZXNYRL-MSOLQXFVSA-N 409.486 4.617 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1ccc(F)cc1 727710482 VERQYCSJFPLVNU-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CN(CCc1ccccc1F)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 731655243 FCMCGFUKDQOQBZ-UHFFFAOYSA-N 407.445 4.661 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(Cc2ccccc2F)n1 735596081 MIIDWJRXGDWCIN-UHFFFAOYSA-N 416.430 4.537 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])c(Cl)c1 742007413 IVZQPCHMGBUMRG-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD C[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 744078578 RKJRFBXRUCZBHF-BEFAXECRSA-N 414.458 4.540 5 20 HJBD O=C(OCc1cc(Br)ccc1Cl)c1c(F)ccc([N+](=O)[O-])c1F 752561781 LBFSIYAXYVIBNT-UHFFFAOYSA-N 406.566 4.646 5 20 HJBD CC(C)(NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1 754996155 MBCWXDRSFMQGDP-UHFFFAOYSA-N 415.646 4.815 5 20 HJBD CC(C)(Oc1cccc(Cl)c1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760522514 RGSJJXGIBLZQBZ-UHFFFAOYSA-N 416.817 4.804 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)cc1 763385818 HHAUCWHLYOTLAP-UHFFFAOYSA-N 415.515 4.576 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccco2)c1 765060853 LEJFZDBJHLIULQ-INIZCTEOSA-N 408.410 4.614 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(CCCO)Cc1ccc(Cl)c(Cl)c1 768725710 OVBWCSKXNVAZMR-UHFFFAOYSA-N 417.676 4.580 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1csc([N+](=O)[O-])c1 768836580 WRFJDZISRRHXDT-ZDUSSCGKSA-N 408.526 4.670 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2C[C@H](O)c2ccc(F)cc2)ccc1Br 770325479 IOCWEYHEGLRNAD-APWZRJJASA-N 423.282 4.585 5 20 HJBD O=C(CCCc1nc(-c2ccc(F)cc2)no1)OCc1ccc([N+](=O)[O-])cc1Cl 778093479 YYRPHJVSNUHXIF-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1ccc2ccccc2c1 780497931 WPJOYJAZEQBQBI-FQEVSTJZSA-N 410.495 4.714 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781630479 UGQRAFHPOTXWDN-CQSZACIVSA-N 417.487 4.814 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2cc(C)on2)cc1OC 782032550 LZPLSBIBJSBERN-KBXCAEBGSA-N 403.479 4.662 5 20 HJBD Cc1cccc(Nc2nn(CN(C)CCc3ccccc3[N+](=O)[O-])c(=S)s2)c1 795643570 FJJQCOHHQXDOPG-UHFFFAOYSA-N 415.544 4.766 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H]2CCC(F)(F)C2)c2ccccc2)c([N+](=O)[O-])c1 796373050 IEIUKJDCJRKHTM-CRAIPNDOSA-N 418.396 4.562 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cnc2c(F)cccc2c1 806085248 NWADBNCFFQUCPQ-UHFFFAOYSA-N 412.420 4.585 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 806121573 UXZHQOBQTCLSPF-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 809219166 FEVZGCWNGSHJBU-MRXNPFEDSA-N 418.453 4.645 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)n1 809489185 ZOEFZKXVEKFDQW-HXUWFJFHSA-N 408.458 4.761 5 20 HJBD O=[N+]([O-])c1ccc(CN2[C@H]3CC[C@H]2CC(O)(Cc2ccc(F)cc2F)C3)cc1Cl 809767824 VHIDZUQELITMNL-IRXDYDNUSA-N 422.859 4.627 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812811653 ZCLKLVZFEIHIBN-UHFFFAOYSA-N 411.245 4.995 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@H](c2c(F)cccc2F)C1 813175163 MHOSZOIMTAFVRN-VIFPVBQESA-N 401.196 4.810 5 20 HJBD CCCc1nc(C)c(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 814077776 YRTBXQVXEQTTLP-CQSZACIVSA-N 424.457 4.769 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)o2)cc1 815404450 JSEBCSGESICBNH-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD CCc1ccc([C@H](Nc2ccc3c(c2)CN(C(C)=O)C3)c2ccc([N+](=O)[O-])cc2)o1 1320084589 BWYSMBCEIVVGFG-HSZRJFAPSA-N 405.454 4.814 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2c(Cl)cc(C)c3ncccc23)cc1C 1321983415 MBPCPSNCWQMAKE-UHFFFAOYSA-N 405.863 4.522 5 20 HJBD CCOc1cc(-c2nnc(-c3cc(F)ccc3[N+](=O)[O-])o2)cc(OCC)c1OCC 1322366995 CCEWWFGRZZHPQO-UHFFFAOYSA-N 417.393 4.647 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2o1 1326124708 SNUXACRKIPZWKQ-UHFFFAOYSA-N 401.216 4.568 5 20 HJBD Cc1cc(C(=O)Nc2ccnn2Cc2c(Cl)cccc2Cl)ccc1[N+](=O)[O-] 16718303 RPFIUMCPXLESAG-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1nc(CSc2ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc2)cs1 29888826 FEGWHJHDVHKKMQ-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc4c(c3)OC(C)(C)O4)cc2[N+](=O)[O-])CC1 60713903 RVPXRJLNDNJNLR-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD CCCC(=O)Nc1cccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1C 63642288 BAANLDNYCKCKDX-ZDUSSCGKSA-N 418.881 4.734 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 108477810 KNIXXYFJQNOSOL-UHFFFAOYSA-N 410.861 4.502 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nnc(-c3ccccc3)o2)s1 237958967 SHMGEMHFLILWSJ-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD COc1ccc(CSCC(=O)N2CCCC[C@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 303847736 JNYRZGVDHGMNGJ-IBGZPJMESA-N 414.527 4.899 5 20 HJBD CC(C)(C)CC[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)C(=O)O 426760958 WIBQBGKXMSFOMI-QGZVFWFLSA-N 404.438 4.895 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 428015502 SLBYQUONAKRTQJ-UHFFFAOYSA-N 422.210 4.629 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCC(O)(C(F)F)CC2)cc1 428481899 GEFDICQIABYPBB-UHFFFAOYSA-N 412.820 4.633 5 20 HJBD Cc1ccc(SCCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 435830684 IOKFYXBFPZQRDI-UHFFFAOYSA-N 406.482 4.651 5 20 HJBD O=C(NCCOc1ccc2ccccc2c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435937928 POZGZRNSOLDJAP-UHFFFAOYSA-N 408.457 4.643 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 440815712 VXJWDEUNPCEIEW-AWEZNQCLSA-N 410.455 4.605 5 20 HJBD CC(=O)c1ccc(OCC(=O)N[C@H](c2ccccc2)C2CCCCC2)c([N+](=O)[O-])c1 440820156 FHMWBBVFAPCXNJ-HSZRJFAPSA-N 410.470 4.614 5 20 HJBD COc1ccc(CS(=O)(=O)[C@H]2CCc3c(Cl)cc(Cl)cc32)cc1[N+](=O)[O-] 443447460 WIIJDHUCVDWHLX-KRWDZBQOSA-N 416.282 4.513 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3csc(-c4ccc(F)cc4)n3)n2)c1 445575235 QZKFOQTZBZVPHQ-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(CO)(Cc4ccccc4)CC3)cs2)c1 446841440 PRLABRVJHYBRIB-UHFFFAOYSA-N 423.538 4.536 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 460426209 YJGHNYYWNSAIPS-LJQANCHMSA-N 406.486 4.572 5 20 HJBD Cc1ccccc1CN(C1CC1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462529320 PUDVDHAHWVXODE-UHFFFAOYSA-N 415.298 4.563 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1 462931478 NECARCDSSASCFE-HNNXBMFYSA-N 411.889 4.883 5 20 HJBD CN(C[C@@H]1CCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466542452 RAEPAWZASLFNPT-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc(F)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 473590387 VXENMNXRONSKEN-MRXNPFEDSA-N 402.422 4.667 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(Br)cn1 477770129 VBEIYFRWDJSTCO-NSHDSACASA-N 424.320 4.744 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccccc2OC2CCCC2)cc1SC 482841749 OKUODLFSJAVXAO-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD O=C(NCCCOC1CCCCC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486349302 YJSBCTDCERIROI-UHFFFAOYSA-N 412.486 4.643 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(OCc3ccncc3)cc2)cc(C(F)(F)F)c1 487173660 OZIYXEQYKKIAJX-UHFFFAOYSA-N 417.387 4.877 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487188173 OFQJKMJAFZGQEJ-UHFFFAOYSA-N 404.392 4.706 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488016835 WBOQEHYDGSWSSN-LBPRGKRZSA-N 418.906 4.803 5 20 HJBD CCCC(C)(C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 497346750 HEHVXSHJTPFIBU-UHFFFAOYSA-N 406.486 4.685 5 20 HJBD C[C@@]1(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C[C@H]1c1ccccc1 501710744 BXGJPYYUPMBVOL-CPJSRVTESA-N 418.443 4.883 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 503895635 XBGUYRRFGNSEQA-HXUWFJFHSA-N 424.888 4.545 5 20 HJBD C[C@@H]1C[C@]1(NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 505743378 GTGLPCZUCOICFX-JBEBIEQOSA-N 402.881 5.000 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](c2ccc(OC)c(F)c2)C1 506325524 GNBOFAPVMFNUBW-HDJSIYSDSA-N 404.463 4.531 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 514768860 FXPKHLNGNXPHDW-UHFFFAOYSA-N 408.907 4.539 5 20 HJBD Cc1ccc(NC(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 517314888 DKWFQUMTHHABFB-UHFFFAOYSA-N 401.850 4.683 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3nn(-c4ccccc4)c4c3CCC4)o2)cc1 518760583 CXBCJTZLBYJTMP-UHFFFAOYSA-N 414.465 4.819 5 20 HJBD CCc1sc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)nc1-c1ccc(OC)cc1 518788247 XWTUUCPOCWOUEJ-UHFFFAOYSA-N 401.419 4.681 5 20 HJBD CC(C)c1c(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)cnn1-c1ccccc1 520570651 XTGIATOXVAOWDM-UHFFFAOYSA-N 416.441 4.546 5 20 HJBD CC[C@H](NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 521539388 LQLFFKIDGZEPRY-KRWDZBQOSA-N 415.456 4.771 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 522671247 ATPMLRDKXWJHNJ-OAHLLOKOSA-N 405.292 4.585 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc3c2CN(CCC(C)C)C3)cccc1[N+](=O)[O-] 524406512 IEWWAYFNDWTNGR-QGZVFWFLSA-N 410.518 4.704 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C(F)(F)F)c(C)c2)cc1SC 525285436 CKJZHCQSUCKIQL-UHFFFAOYSA-N 400.378 4.905 5 20 HJBD C[C@H]1CCCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)[C@@H]1C 525549588 PBXCGDUSTIZFON-ZWKOTPCHSA-N 418.497 4.637 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)s2)cc1 531477525 UDKYOFNYLYKORS-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)Cc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 537621024 QKKJVYGGGKBIGM-KGLIPLIRSA-N 422.469 4.651 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 540828064 SUYIJTIZISNGHY-IAGOWNOFSA-N 419.547 4.684 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 541783200 BBJAHUNVQOMKNM-HXUWFJFHSA-N 413.380 4.793 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2n1C 545904162 MHSPGNFLIIECON-PGRDOPGGSA-N 420.513 4.804 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cn3cccn3)cc2)no1 547027353 AVNXVSHALXONOC-CQSZACIVSA-N 407.455 4.743 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3cccc(C)c3)s2)c1 554877261 SQMBTPQLHPWRDG-UHFFFAOYSA-N 411.483 4.910 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)CCC2 559567755 PZVCUVIAIKVNCT-OAHLLOKOSA-N 424.320 4.580 5 20 HJBD CCCOc1ccc(Cl)cc1-c1nc(Cc2ccc([N+](=O)[O-])c(OC)c2)no1 564267792 LELPNJGUJHFAGN-UHFFFAOYSA-N 403.822 4.686 5 20 HJBD O=C(N[C@@H](c1c(Cl)cccc1Cl)C1CC1)c1cc2c(cc1[N+](=O)[O-])OCO2 565279470 OQTBGACTOVBGDK-QGZVFWFLSA-N 409.225 4.511 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 575937395 IBWNOGBQFWCIMH-UHFFFAOYSA-N 405.454 4.977 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCc1cccc([N+](=O)[O-])c1 578189701 KMXGMBSYCOOWIX-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Br)cc2)no1 581911531 ZGMYLEKZAUIWBR-NEPJUHHUSA-N 417.263 4.819 5 20 HJBD CCCn1c(SCc2ccc(OC)c([N+](=O)[O-])c2)nc2cc(Cl)ccc2c1=O 603722119 IOIGBIWSUORYGM-UHFFFAOYSA-N 419.890 4.669 5 20 HJBD C[C@@H](Oc1ccccc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603823848 CPPUODJGTVHKFZ-LLVKDONJSA-N 403.847 4.778 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)CC1 608964757 XPDJQWMOGGDSCG-UHFFFAOYSA-N 423.557 4.877 5 20 HJBD Cc1ccnc(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)c1[N+](=O)[O-] 609670357 CCPUMTRVNHEYNJ-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD NC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1Cl 611204517 FEAUWLSJFGQUEV-UHFFFAOYSA-N 419.890 4.634 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc(C(C)(C)C)no1 611206387 INZPHIUKVPLYAM-GFCCVEGCSA-N 418.519 4.801 5 20 HJBD Cc1nc([C@@H]2CCCCN2Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)no1 611263303 RFAFBIKMIIZITP-SFHVURJKSA-N 412.421 4.945 5 20 HJBD C[C@@H](OC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 729892517 AODSFHIBNSYPSL-MRXNPFEDSA-N 404.422 4.789 5 20 HJBD O=C(NC[C@@H]1COc2ccccc2C1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368402 PJMYMHUSOCQYKK-MRXNPFEDSA-N 419.437 4.760 5 20 HJBD O=C(OCc1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 740421482 GHVCAEDNORALKE-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)n1 742515105 IZKZTLRFYYEFRK-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(N(C)C(=O)OC(C)(C)C)cc2)c1 742766273 ZAOCXADZHJJZAK-UHFFFAOYSA-N 418.471 4.907 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745064838 WEHBFXGNVZFYKC-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD C[C@@H]1CCC[C@H](OCc2cccc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)c2)C1 745089691 CZODKBSLTLFGBT-IERDGZPVSA-N 422.485 4.679 5 20 HJBD CCOC(=O)Nc1ccc2c(COc3cc([N+](=O)[O-])ccc3Cl)cc(=O)oc2c1 747812353 WRLCVDCFRIIXPJ-UHFFFAOYSA-N 418.789 4.502 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])sc2C)cc1 750563031 YVGHMPHWUIUBEY-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1 750844124 VONNJWVVJDZOTJ-VIFPVBQESA-N 413.655 4.510 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(NC(=O)c2cccs2)cc1 752985026 VUCKGERDFXQGTK-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1ccncc1/C=C/C(=O)O[C@@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-] 753471039 CBHRMYJIQJEYOK-YOCVDRLZSA-N 419.275 4.506 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2ccccc2CCC(F)(F)F)cccc1[N+](=O)[O-] 758924847 FIJSHDSLUQQBGS-CYBMUJFWSA-N 424.375 4.582 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2c(C(C)C)noc2n1 760502320 GFPIMKMPJGSVGT-UHFFFAOYSA-N 422.397 4.575 5 20 HJBD C[C@@H](OC[C@H]1CCCO1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761856741 DERHFNKZRPRQNT-AUUYWEPGSA-N 411.414 4.694 5 20 HJBD C[C@@H](Oc1cccc2ccccc12)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 766709718 FSTSFENOLSFLLF-OAHLLOKOSA-N 418.405 4.914 5 20 HJBD CCNc1ccc(C(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767192526 ABANPOVGSGUYPN-HNNXBMFYSA-N 407.392 4.675 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(OCc4ccccc4F)CC3)c2c1 768477394 JPFHTAQNYVADJH-UHFFFAOYSA-N 417.462 4.650 5 20 HJBD CC(C)(C)OC(=O)N(Cc1nc(-c2ccc([N+](=O)[O-])s2)no1)c1ccccc1 771215332 GUFYETHWZGQMHB-UHFFFAOYSA-N 402.432 4.648 5 20 HJBD Cc1cccc(C(=O)Oc2cc(F)cc([N+](=O)[O-])c2)c1NC(=O)c1ccccc1F 771652051 JPOABCDTBBWOMV-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2F)on1 776131972 CETRRNPPPJCRMA-OAQYLSRUSA-N 419.368 4.730 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 776570567 CMWROCDVADVURH-HNNXBMFYSA-N 412.877 4.638 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)[C@@H](C)c1c(C)nn(CC(F)(F)F)c1C 778844112 TYMNEFSHWUUHDF-FZMZJTMJSA-N 413.396 4.687 5 20 HJBD C[C@H]1CN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)Cc2ccccc21 780186961 AUGUGLMFEMVQCB-ZDUSSCGKSA-N 404.416 4.719 5 20 HJBD CON(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H]1CCCOc2ccccc21 780924208 JHYHKMQMPLDVQF-QFIPXVFZSA-N 414.461 4.599 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781477798 GPEUQDSORWUZGT-UHFFFAOYSA-N 408.797 4.600 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1cccc([N+](=O)[O-])c1Br 781674246 FIIZYCHDOOOROR-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 783719153 GMVJDHSVAUJFLO-UHFFFAOYSA-N 415.490 4.734 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccc(Br)c([N+](=O)[O-])c1 784165551 TYJBBCPGNGTSJG-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 784256044 ASMWREOJPSBKAV-GFCCVEGCSA-N 424.375 4.921 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)c1F 788288716 RUVABJUSWNFRNE-HNNXBMFYSA-N 409.417 4.507 5 20 HJBD COc1cc(CNc2ccc(Cl)nc2C)c([N+](=O)[O-])cc1OCC(F)(F)F 788403615 FAIUBPXDRHDYPR-UHFFFAOYSA-N 405.760 4.513 5 20 HJBD CN(C(=O)/C=C\c1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])nc1 808794217 DACHFRANCQTBRB-DJWKRKHSSA-N 419.281 4.704 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nc2ccccc2cc1Br 808809818 VFHZUOVBKYQBNK-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(OC(F)F)cc1 812874769 GQHQLBRDNJNBLR-QMMMGPOBSA-N 405.184 4.994 5 20 HJBD CC(C)c1ccc(C(=O)N[C@@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 814350780 RFFZXSQBSWFUOM-HNNXBMFYSA-N 411.458 4.556 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1csc(-c2ccc(Cl)s2)n1 920022318 ALWFKPPSEKQAIB-UHFFFAOYSA-N 401.803 4.964 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])n2)c1 920307469 IBKKUYBTLKOOJC-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD Cc1sc(NC(=O)c2csc(-c3ccco3)n2)nc1-c1cccc([N+](=O)[O-])c1 1115863792 GKECNQUMGJVIDU-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD CC(C)CCOc1ccc(-c2nnc(COc3ccc([N+](=O)[O-])c(F)c3)o2)cc1 1330051586 KOMTWRBBZZYUPV-UHFFFAOYSA-N 401.394 4.788 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(c2c[nH]c3ccccc23)CC1 9896869 VMNMPSSUPJOYKR-UHFFFAOYSA-N 404.470 4.670 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c(OC)cc1Cl 15970153 NRENSOBOMLOFJW-UHFFFAOYSA-N 419.865 4.508 5 20 HJBD CCOC(=O)c1sc2nc(C)nc(Oc3ccc(Cl)cc3[N+](=O)[O-])c2c1C 22804850 MZFOUEZEUSDEFE-UHFFFAOYSA-N 407.835 4.839 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 44623434 LIQJWVPKJVBDGS-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 47255554 FFDMMBCQUDAXPB-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(SCc2cccnc2)cc1 105328817 IDAPVJVJKFTABO-UHFFFAOYSA-N 408.483 4.600 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccnc2Br)nc1OCc1ccccc1 302183678 RYHKXAIDWABFGF-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3ccc(C(=O)O)c(C)n3)cc2[N+](=O)[O-])cc1 426664008 CBYBYCVLXCGOSN-UHFFFAOYSA-N 423.494 4.746 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CCN[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 433300123 SDNUAFTZXARSDA-SFHVURJKSA-N 417.893 4.598 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@H](c2ccc(F)cc2)C(C)C)c(C)c([N+](=O)[O-])c1 433835089 GHPPHECKGJORPI-IBGZPJMESA-N 417.437 4.690 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cccc(OC)c2[N+](=O)[O-])cc1F 435541475 YZXKHIYUZCGGKG-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1ccc([N+](=O)[O-])cc1Br 438418818 IYIPDFLYPIKDJW-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 439139069 LKVLMOITRIAXFV-UHFFFAOYSA-N 409.364 4.948 5 20 HJBD CCOc1ccc(Cl)cc1CCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444235338 GLTILVSAKHWISH-UHFFFAOYSA-N 420.896 4.706 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 447862183 SMLBAPAAJODKIP-CYBMUJFWSA-N 400.460 4.752 5 20 HJBD CN(CC[C@H]1CCCCN1C)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 461264850 OXDSJQAQIGNNEE-QGZVFWFLSA-N 413.543 4.692 5 20 HJBD C[C@H](C(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 470152828 UQQCEKVUQFSECQ-AWEZNQCLSA-N 416.449 4.750 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)c(OC)c1 478084627 NKQUNRLWINVGGX-UHFFFAOYSA-N 418.471 4.535 5 20 HJBD Cc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nn1-c1ccc(Cl)cc1 479098501 BBICVHUNDSMFTH-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD Cc1csc(CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)n1 482056212 ZJSCESQCOBLSCA-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD CC(C)c1c(NC(=O)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 482738546 CTARRHKTQIXYMC-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CCOc1cccc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 485757292 UGDKOKUPINSWRJ-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Br)ccc2Cl)c1[N+](=O)[O-] 486240303 ABUOMCZBTBPUAL-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)C(C)C)cc1 487866767 GMZHQDVLRXVENE-QHCPKHFHSA-N 421.497 4.547 5 20 HJBD C[C@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(C(F)(F)F)cc1 490395892 GLZXYCWDKWSLNP-LLVKDONJSA-N 419.363 4.531 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccccc1OC(F)F)C(F)(F)F 491259043 HNCVFAYMQKNDPZ-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C 495370295 FMVNAVWKWCQKLW-NARAOEGZSA-N 423.582 4.508 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3ccc(C)s3)cc2[N+](=O)[O-])n1 499844208 VDCCPMPGPKYGBX-UHFFFAOYSA-N 405.526 4.811 5 20 HJBD C[C@@H]1CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC[C@H]1C 501631338 VHQCHFALGCHUEJ-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2cccnn2)c1 503433258 SMDOTBPYYIRSRK-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C)c(C)c2)s1 505883443 RJAWNHWSNCMRBN-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506709130 YDCCWKSPKQFSQU-AWEZNQCLSA-N 407.499 4.798 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1Cc1ccc(OC)c([N+](=O)[O-])c1 507201115 DSCNNOAQOZAYJY-INIZCTEOSA-N 421.291 4.712 5 20 HJBD C[C@H](COCC(F)(F)F)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 507932646 PQMKAEHNMWTKNA-GFCCVEGCSA-N 402.344 4.583 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@H](C)Oc3ncccc32)cc1[N+](=O)[O-] 508266514 NTLMNDQMOJSMFE-AWEZNQCLSA-N 401.488 4.556 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@]1(C(F)(F)F)CCN(Cc2ccccc2)C1 508781474 YTRLKFFJNJOAEZ-FQEVSTJZSA-N 421.419 4.605 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCc2cc([N+](=O)[O-])ccc21 512983107 MESSFZNMBGHHOI-UHFFFAOYSA-N 405.888 4.565 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512984935 LUMKIOCZNLVJHI-UHFFFAOYSA-N 417.824 4.665 5 20 HJBD COc1cc(F)ccc1NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 513577219 RHXRDIFZAZICNQ-UHFFFAOYSA-N 412.417 4.942 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cn1 515412829 UOKJLNXDYSAZEN-UHFFFAOYSA-N 422.441 4.763 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C[C@H]1c1cccc(Br)c1 518082996 GLXGIPAINFQSSJ-WFASDCNBSA-N 410.655 4.688 5 20 HJBD O=C(Nc1ccc(COC2CCCC2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 523530188 DYPKHEWLBMVVPU-UHFFFAOYSA-N 409.486 4.907 5 20 HJBD COc1cc(C(=O)N(C)c2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1OC 525025159 VJLPXPJROYWLRV-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD CN(C(=O)CCOc1ccc(Cl)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152368 QSODUNCDKNVWAE-UHFFFAOYSA-N 417.874 4.804 5 20 HJBD Cc1oc(CN(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1C(=O)O 538506218 KLZONIMKAYOIJQ-UHFFFAOYSA-N 420.487 4.605 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3 539278507 RJWXTLLALXIGLZ-UPJWGTAASA-N 412.515 4.842 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cnn(-c2ncc(Cl)cc2Cl)c1 539864729 NLNLPEKQHFLDIX-UHFFFAOYSA-N 420.256 4.660 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(Br)s1 541128253 VVCVIZUULXKLIW-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 541432850 VIPUVYZQJWUGTR-QGZVFWFLSA-N 419.934 4.770 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])cc2)cs1 543123676 CMGOVNVPSOKOBW-ZDUSSCGKSA-N 413.524 4.996 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Cl 544431727 WFZFTRZHSJXPGR-GFCCVEGCSA-N 421.856 4.612 5 20 HJBD COc1ccc(S[C@@H](C)c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 545078261 FKWPENJPHZRTFA-LBPRGKRZSA-N 410.455 4.912 5 20 HJBD C[C@H](N(C)C(=O)c1cc(C(=O)N(C)[C@@H](C)C(C)(C)C)cc([N+](=O)[O-])c1)C(C)(C)C 547307696 FGVXJMIDJWKHDK-GJZGRUSLSA-N 405.539 4.608 5 20 HJBD CCOC(=O)C(C)(C)C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 557440867 OIPSBBHYFZISFI-UHFFFAOYSA-N 422.547 4.537 5 20 HJBD CC(C)c1scnc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 558341099 NYISGMJPIXXMIN-UHFFFAOYSA-N 402.398 4.634 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 561859131 ZRUPPYFQKZDKBZ-KRWDZBQOSA-N 412.833 4.584 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1 574553653 IUUIZCMYWVNMDJ-UHFFFAOYSA-N 417.874 4.700 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(C)c2ccccc21 589115398 ZTRQLYHLUHFASN-UHFFFAOYSA-N 400.500 4.661 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603184164 YSGLMSGQXCQLQJ-UHFFFAOYSA-N 422.529 4.830 5 20 HJBD CCc1sc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)nc1-c1ccccc1 603928027 KIMCFZAYKVCSHA-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC3CCCCC3)C3CC3)cc2)c(C)c1[N+](=O)[O-] 604004171 PGLPSAQPUVORJX-UHFFFAOYSA-N 410.518 4.641 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2ccc(F)c(Br)c2)cc1[N+](=O)[O-] 609374961 MLXLNHJNIJUPFI-SECBINFHSA-N 413.268 4.709 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(OC(F)F)c(Cl)c1 609449781 DSHGBXOIBQAHPA-YRNVUSSQSA-N 419.771 4.504 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609729981 FWPSXORSQSPRMZ-UHFFFAOYSA-N 404.875 4.890 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1ccc([N+](=O)[O-])cc1Br 610036966 ZISSFQMBBQIMGT-UHFFFAOYSA-N 403.685 4.735 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 610045023 OIEIUWXURPQGSH-UHFFFAOYSA-N 406.486 4.879 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)NCCc3ccccc3[N+](=O)[O-])cs2)cc1 610237227 LQYIQYIINKQRIG-UHFFFAOYSA-N 409.511 4.743 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610661515 WBIGRNXWKPBXNO-PHIMTYICSA-N 413.318 4.806 5 20 HJBD COc1cccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611200967 UYLPSCQLTDBDFO-UHFFFAOYSA-N 400.500 4.611 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccccc2)Cc2ccccc2)c([N+](=O)[O-])cc1OC 612526286 YBTRQBRRTYBLKQ-UHFFFAOYSA-N 420.465 4.845 5 20 HJBD O=C(O)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 617725490 ZOWDRGBWHXHRCY-UHFFFAOYSA-N 414.483 4.899 5 20 HJBD Cc1cc(C)c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c(Br)c1 619789889 AOXRTRHQKMFBJX-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1csc(COc2ccc(F)cc2)n1 727710010 DGGDFTFBRKDXPV-UHFFFAOYSA-N 407.810 4.675 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 729215657 LTXUTNFAPLEAEH-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 731529481 UANQLIYOYKPNTG-CQSZACIVSA-N 408.385 4.904 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-] 731973118 XNSCOBHDGMZBFP-LBPRGKRZSA-N 414.430 4.551 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@@H](c1ccccc1)[C@H]1CCCO1 733792921 XHYGBGWSZRDQFZ-CVEARBPZSA-N 411.336 4.854 5 20 HJBD COc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 736003859 ZPNXMSUWWZZAGX-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736863919 OTOPRFCKENLLLY-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Br)co2)cc1 740423153 YBUJVXVYQHUYPF-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1nc2cc(Br)ccc2s1 744035439 MAFZOQYIXHEBLV-UHFFFAOYSA-N 421.272 4.814 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(=O)c1ccc2c(c1)CCC2 745568128 ZQKXLMADGFQLLH-LLVKDONJSA-N 407.344 4.531 5 20 HJBD Cc1c(CC(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cccc1[N+](=O)[O-] 747841531 BZRUSEUUTWFURI-UHFFFAOYSA-N 407.495 4.709 5 20 HJBD CCc1ccccc1N1C(=O)/C(=C/c2ccc(-c3ccccc3[N+](=O)[O-])o2)NC1=S 747992809 XSOCHCDMZZDMLQ-LGMDPLHJSA-N 419.462 4.679 5 20 HJBD C[C@@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750546763 AENSXQJMNPZKHC-VHSXEESVSA-N 407.835 4.768 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(C)c(Cc3ccccc3F)s2)c1[N+](=O)[O-] 753092584 DMXVSEQSGQVRSY-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755067187 UITBMWWMEVGGND-SOUVJXGZSA-N 416.449 4.921 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)co2)cc1 773168870 AAOAETLEEUMZLC-UHFFFAOYSA-N 410.426 4.670 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774939897 PRNAABJLWCKQOP-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD CCCOc1cc(NC(=O)NCc2cc(Cl)ccn2)c([N+](=O)[O-])cc1OCCC 775292999 VOWJOGGRSOLZHT-UHFFFAOYSA-N 422.869 4.543 5 20 HJBD C[C@@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCC1=O 775473732 CKTBXVVDWATYBF-HIFRSBDPSA-N 416.861 4.771 5 20 HJBD CC[C@@H](Oc1cccc(C)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217464 IKGISGYISVLNKF-YADHBBJMSA-N 406.438 4.788 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)c1cccc([N+](=O)[O-])c1N1CCOCC1 776302751 UGCROZYYEJECPT-UHFFFAOYSA-N 417.465 4.659 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1cccc([N+](=O)[O-])c1Br 782121948 ZRXUSVNFXNMLOX-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nnc(-c2cccc(Cl)c2)o1 784695705 JTPJUJWTUGPYKP-MNOVXSKESA-N 419.796 4.845 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 793535217 VMXLDDFVAUUUBG-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CC(C)(O)[C@H](Oc1ccccc1)C(=O)OCc1nc2ccccc2c2ccccc12 795765858 XQIBXPCAJPXNCR-HSZRJFAPSA-N 401.462 4.650 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 798129580 SBXDKKJDDWHITB-HRAFRWSSSA-N 420.396 4.670 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)c(F)c1 799407247 PMBULSNLGRRGCK-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD O=C(OCc1cccc(-c2ccccn2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 800975684 IBEBOLJVWRKCAA-UHFFFAOYSA-N 417.465 4.617 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812837174 NOZLNSXZZUANMI-UHFFFAOYSA-N 419.268 4.634 5 20 HJBD Cc1ccccc1[C@H]([C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)C 813206545 FLLNBWWKTAFLIR-SJCJKPOMSA-N 410.301 4.631 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1Cl 813369402 NGWDUVCZMBPSKK-HNNXBMFYSA-N 416.692 4.588 5 20 HJBD CC(C)c1noc([C@@H](C)OC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 914893820 WYJZTWPZUQHPHG-CQSZACIVSA-N 410.430 4.631 5 20 HJBD Cc1cccc(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)c1[N+](=O)[O-] 915366518 QCBYOSDZLRIEMS-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD COc1ccc(CNC(=O)/C=C/c2cc(C(F)(F)F)ccc2Cl)cc1[N+](=O)[O-] 917846236 MWKDOTLCHDGZEQ-XVNBXDOJSA-N 414.767 4.605 5 20 HJBD Cc1ccccc1Nc1nc(COC(=O)CCOc2ccccc2[N+](=O)[O-])cs1 919491207 FYSTZBZIQPQMMU-UHFFFAOYSA-N 413.455 4.616 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] 920008180 CKPGCZPPTCGQFR-ZDUSSCGKSA-N 411.241 4.712 5 20 HJBD Cc1c(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)oc2c(C)cccc12 920560370 YRBMDDQQBCXXDZ-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nnc(-c3cccc4ncccc34)o2)c1Br 1322268891 YKZFMIUDXBSGNZ-UHFFFAOYSA-N 415.178 4.762 5 20 HJBD COc1ccc(NC(=O)c2nc(-c3ccc(F)cc3)n3c2CCCCC3)c([N+](=O)[O-])c1 1337323478 WBTBDZAAYYWSAA-UHFFFAOYSA-N 424.432 4.585 5 20 HJBD COc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cc1 6152177 QKPFMTOFQKTMEN-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1ccc([N+](=O)[O-])c(C)c1 15648006 HSVKJHOAASIXNT-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=c1c2cc3ccccc3cc2ncn1C[C@H](O)Cn1c2ccccc2c2ccccc21 25233573 PIJDZQWVWRKRCL-FQEVSTJZSA-N 419.484 4.719 5 20 HJBD C[C@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1 36802233 BPHYITSVROFMCF-NSHDSACASA-N 418.525 4.691 5 20 HJBD COc1ccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1Br 43148718 RCODQCHCFXVNKQ-LLVKDONJSA-N 408.252 4.557 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2cc(F)cc(F)c21 50332613 LIWKGWAPYZBJPK-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD CCN(Cc1cccs1)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 61442963 AVJVRFRMMILEKF-UHFFFAOYSA-N 401.410 4.526 5 20 HJBD COc1ccc(C2(CNc3ccc(Br)cc3[N+](=O)[O-])CCOCC2)cc1 108931270 DQVXSCSPIOYNQG-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD O=[N+]([O-])c1cc(CNCc2nccn2C(F)F)ccc1Oc1ccccc1Cl 237680640 MGAMEFUPTTZNOX-UHFFFAOYSA-N 408.792 4.922 5 20 HJBD O=C(CCCSc1ccc(Br)cc1)NCCc1ccc([N+](=O)[O-])cc1 409463429 KMFCUDFGCUHPNQ-UHFFFAOYSA-N 423.332 4.589 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2ccc(Br)cc2)CC1 410411033 KMHVNMFFSGIRSN-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(c4ncc5n4CCCC5)CC3)o2)c1 435059851 QKLCZQBBLCNMGZ-UHFFFAOYSA-N 406.486 4.767 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)[C@@H](C)C2)cc1SC 460647057 FYFOORPHHJQKLJ-GOEBONIOSA-N 400.500 4.591 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H](c2ccccc2)[C@@H](C)C1 460648825 RWIAMBAQKCLPQY-RLFYNMQTSA-N 402.878 4.668 5 20 HJBD CSCC[C@@H](C)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 461519640 MZPKCEIBAWEGPJ-CQSZACIVSA-N 417.560 4.541 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463604502 HXEPSYUKJLJNRN-GXTWGEPZSA-N 418.293 4.918 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc([S@](C)=O)cc2)cc1[N+](=O)[O-] 464012474 DAKSDQPCAUOJOM-MHZLTWQESA-N 406.529 4.723 5 20 HJBD C[C@H]1COc2ccccc2N1C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 467305941 VMCLMUHYHIGKKP-JKSUJKDBSA-N 418.453 4.591 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 470270619 LKZGRQDPQUXKPB-AZUAARDMSA-N 423.494 4.677 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCCCC3)c(Cl)c2)cc1[N+](=O)[O-] 471921726 WVXIAQTYAKQJJG-UHFFFAOYSA-N 415.877 4.689 5 20 HJBD Cc1ccc([C@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 472495630 GOAOTJHKFWPYBF-NRFANRHFSA-N 404.470 4.622 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ccc([N+](=O)[O-])cc3COC)ccn2)cc1 474147849 ROUJUQHCMVDJEX-UHFFFAOYSA-N 409.442 4.939 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCC1(c2cccc(Cl)c2)CCOCC1 475576967 BWQYBRNELPJADL-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 480107474 WYJQLARUERIRKV-GOTSBHOMSA-N 416.477 4.936 5 20 HJBD Cc1noc(C[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccccc2)n1 485407016 MDGVWMDOBQDFDO-SFHVURJKSA-N 419.416 4.883 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)cc(Br)c2)cc1SC 485649148 GZJMZFBDXWHUOT-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cl)cc2Br)c1[N+](=O)[O-] 486416618 FFZSJUGLVIMOKW-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1C 486826349 PCHDYTJJLNCMFD-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@H]2c2cccc(Cl)c2)c(C)c([N+](=O)[O-])c1 486888721 SVJMWJCRBKIWRC-KRWDZBQOSA-N 417.849 4.712 5 20 HJBD COc1cc(CNc2ccc(N3CCCC3)cc2C)c([N+](=O)[O-])cc1OCC(F)F 490403229 ROTZJVWPDBCCEV-UHFFFAOYSA-N 421.444 4.768 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H](c4nnc5n4CCCCC5)C3)o2)cc1 506654398 OIPNLVJRNFFNKB-GOSISDBHSA-N 421.501 4.552 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1)C(C)(C)CO 507289214 FCTFNDWWQXCTRO-LJQANCHMSA-N 416.543 4.629 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1ccc(-n2cnc3ccccc32)cc1 507947723 ZPGAJUGHMWFEBP-UHFFFAOYSA-N 402.454 4.622 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1ccc2ccccc2c1)C1CC1 509046333 CVBQZBOBOHRFGK-UHFFFAOYSA-N 412.449 4.739 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCc3cc([N+](=O)[O-])cc4c3OCOC4)cc2)cs1 509591821 KVRWNWGEZFLNLN-CYBMUJFWSA-N 411.483 4.744 5 20 HJBD CC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(OC)c(OC)c1 512898992 MSUNYBMJWRWOMF-GOSISDBHSA-N 413.499 4.976 5 20 HJBD CC(=O)N1CCc2cc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)ccc21 513587850 BRCFZICMGURZRJ-UHFFFAOYSA-N 416.481 4.884 5 20 HJBD Cn1ccnc1[C@H](NCCCCOc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 513703071 PZEJMDXLIWPFCF-HXUWFJFHSA-N 414.893 4.520 5 20 HJBD CCc1ccc(NC(=O)c2nnc(CSCc3ccc([N+](=O)[O-])cc3)s2)cc1 513814528 ASSINGNGSVOKHW-UHFFFAOYSA-N 414.512 4.694 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)Cc1ccccc1F 517121363 SOAXIXLPDYVWCR-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)c1C 521773345 SVSLWALLXYRFFI-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@@H](c1ccccc1)c1ccc(F)cc1 524671345 JRIFISXSHFCEQW-QFIPXVFZSA-N 408.429 4.994 5 20 HJBD CC(C)Cn1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C(F)F)n1 535642972 WSYWSZGLNWTIIV-UHFFFAOYSA-N 421.429 4.761 5 20 HJBD C[C@@H](Nc1ccc2c(c1)n(C)c(=O)n2C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 539654923 NIZIQOLXIUUEIU-CYBMUJFWSA-N 408.483 4.687 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)CCOc2cc(C)ccc2[N+](=O)[O-])c1 540613177 CVBOWRFKISJBAW-UHFFFAOYSA-N 419.481 4.605 5 20 HJBD CC(C)(C)c1cc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)n(-c2ccccn2)n1 542726240 AXRKTEQOHGRMRH-UHFFFAOYSA-N 422.489 4.530 5 20 HJBD COc1cc(CN[C@@H](C)c2nc(C(C)(C)C)cs2)c([N+](=O)[O-])cc1OC(F)F 543515758 LATWBAXFTUIHIU-JTQLQIEISA-N 415.462 4.810 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cccc(CSC(C)C)c1C 544278560 AEZXZMBWFDCZSZ-UHFFFAOYSA-N 403.504 4.875 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 566373555 KILJNHPJYRXJIJ-VQIMIIECSA-N 410.392 4.687 5 20 HJBD CCCCc1ccc([C@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 569934009 YBZLJURKVGRXAU-SFHVURJKSA-N 410.499 4.694 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C(C)C)no1 572443316 CKWBEDPYTJJILP-XLIONFOSSA-N 422.485 4.841 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2OC)c2ccccc2)cc1 603643021 RHNPYOIAKPLMIZ-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 609980495 KZVKFQQDTUIXJV-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD O=C(c1ccccc1)c1ccc(N[C@@H](Cn2cccn2)c2ccccc2)c([N+](=O)[O-])c1 610160279 IUTPFYDNTIVNBC-QFIPXVFZSA-N 412.449 4.876 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1nc(-c2cccc(Cl)c2)no1 610219206 MOOHTBVXNRUNCS-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611203265 MEXZDOVKONZZGI-UHFFFAOYSA-N 400.456 4.668 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc2c(Cl)cccc2s1 619214578 YZBLQKZRDVZOKN-UHFFFAOYSA-N 404.831 4.877 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2nc(-c3ccccc3)oc2C)cc1SC 728651036 PFCWBACOTOCDEG-UHFFFAOYSA-N 414.439 4.646 5 20 HJBD O=Cc1ccc(OCC(=O)N[C@@H](CC2CCCCC2)c2ccccc2)c([N+](=O)[O-])c1 731626099 GIAYGRIPYOTXPX-FQEVSTJZSA-N 410.470 4.614 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2ccccc2)[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732389105 ORZZEXOHLNHYLB-ZDUSSCGKSA-N 404.382 4.558 5 20 HJBD C[C@@H](OC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccc(Cl)cc2[nH]1 732517200 DHGSWSOFLGPHSP-GFCCVEGCSA-N 402.838 4.621 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)OCc1cc([N+](=O)[O-])ccc1Cl 734782212 OWIIAIKLOFRVCW-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)[C@@H](C)c1ccc(Br)s1 744057102 NNQJJNRGQNSUMB-JTQLQIEISA-N 414.277 4.664 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 749912529 TVFBMALZVHDXJY-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1c(C(=O)N2C[C@H](C)CSc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 754371174 JLELDIOAFVOQSX-NSHDSACASA-N 401.444 4.508 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1F 755052928 FHOVKKVIORVRIN-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1Br)C12CC3CC(CC(C3)C1)C2 757790622 HWIHNZCYVFTICS-UHFFFAOYSA-N 407.308 4.788 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OCc3cn4ccccc4n3)cc2)c1[N+](=O)[O-] 758471999 JNZSMJIHWAPUPW-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD COc1ccc(Cc2nc(-c3ccc(SC(C)(C)C)nc3)no2)cc1[N+](=O)[O-] 762348379 IOYHXFYMYFLSJB-UHFFFAOYSA-N 400.460 4.530 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(-n2ncc3ccccc32)cc1Cl 766366222 FMFQUGSEPAGMGH-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@H](OCCCc2ccccc2)C1 769998700 SDPCTUKTGXLWSG-KRWDZBQOSA-N 403.866 4.504 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135633 HPUDZTQMJCIMGV-QFIPXVFZSA-N 424.840 4.939 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cccc4c3CN(c3ccccn3)C4)CC2)cc1 777263600 CREFTMNBSWSOAJ-UHFFFAOYSA-N 415.497 4.591 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)C1CCCC1 781619998 NJEZJHZYAVCZQK-NRFANRHFSA-N 415.421 4.622 5 20 HJBD Cc1cc(C(=O)CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)s1 783427285 ADKFJHKBQAHZNP-QFIPXVFZSA-N 424.478 4.964 5 20 HJBD COc1ccccc1-c1csc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])n1 790538541 HMARNKSFKGCGHG-UHFFFAOYSA-N 421.837 4.701 5 20 HJBD O=C(Cc1cccc(C(F)F)c1)OCc1ccc(Br)c([N+](=O)[O-])c1 790706997 ASHFEPRPKYMZEX-UHFFFAOYSA-N 400.175 4.581 5 20 HJBD O=C(N[C@H](CCO)c1cccs1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799696234 QATBERJWZZCKHL-OAHLLOKOSA-N 414.508 4.661 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800146066 MHZDFCXBQXKFFC-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD COc1cc(OC)c(C2CCN(c3cc(Cl)ccc3[N+](=O)[O-])CC2)c(OC)c1 804342047 TYJHIILWBAHFHW-UHFFFAOYSA-N 406.866 4.658 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@@H](OCCCc2ccccc2)C1 813043202 HEPNFRJUZGGJSV-MRXNPFEDSA-N 423.296 4.766 5 20 HJBD Cn1c(SCc2cccc(Br)n2)nc2cc3ccccc3cc2c1=O 813567881 BVWFTOUOJXWSAV-UHFFFAOYSA-N 412.312 4.537 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCCCN1c2ccccc2Sc2ccccc21 917104279 UYNWKFDPXZWBNT-UHFFFAOYSA-N 419.506 4.947 5 20 HJBD COc1ccc(C2=N/C(=C/c3cc(-c4ccccc4)cs3)C(=O)O2)cc1[N+](=O)[O-] 917414163 CKTKTDUDRBYSNG-GZTJUZNOSA-N 406.419 4.676 5 20 HJBD O=C(Oc1cccc([N+](=O)[O-])c1)c1csc(-c2cc(Br)cs2)n1 918480389 KUNGZTCNKNZVPL-UHFFFAOYSA-N 411.258 4.762 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 921268340 NMSVBSPVVHYSKI-UHFFFAOYSA-N 416.327 4.559 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@H](C)c3ccc(F)c(F)c3)cc2)c(C)c1[N+](=O)[O-] 1251196728 GYCMIUGETNZKHS-CQSZACIVSA-N 415.396 4.653 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(CN(C)c2ccccc2[N+](=O)[O-])o1 1257718721 PSMFNWSZQIKOMI-UHFFFAOYSA-N 416.865 4.588 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nnc(-c3cccc(OC(F)F)c3)o2)c1 1327307071 XHVMDPSPMQMTDD-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD COc1ccccc1-c1nn(-c2ccccc2)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 7915879 MESLOPOWCYKINZ-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=C(COC(=O)[C@@H](Sc1ccccc1)c1ccccc1)Nc1cccc([N+](=O)[O-])c1 10589703 DLTHBYKYNXZZKK-NRFANRHFSA-N 422.462 4.610 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 15094597 WJUQRJSSZWIHFP-OAHLLOKOSA-N 424.526 4.641 5 20 HJBD C[C@H](NCC1(c2ccccc2)CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 25898013 BYAATLOFPOMSDS-HNNXBMFYSA-N 401.894 4.677 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2)nc2scc(-c3ccccc3)c2c1=O 29738840 LVJCXFWKKXUEPB-UHFFFAOYSA-N 409.492 4.863 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](c2ccccc2Cl)N2CCCC2)c1 41816328 FLOMBOWKOVIJMG-LJQANCHMSA-N 419.934 4.537 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nncn1-c1cccc(Cl)c1 303200760 LUEKBIAUBDRLQG-UHFFFAOYSA-N 404.879 4.908 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Cl)cc1Nc1ccc(F)cc1 426381132 VNVDZQIDBOZTBW-UHFFFAOYSA-N 417.828 4.665 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cccc(-c2ccccc2)c1 426732881 KQNHLIZZJJALPV-HSZRJFAPSA-N 415.449 4.529 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[nH]1 427186796 OFIWJAQLYKOUMO-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD CC(C)(NC(=O)Cc1csc2nc(-c3ccccc3)cn12)c1ccc([N+](=O)[O-])cc1 430550712 ZNCWHRANFMHRCD-UHFFFAOYSA-N 420.494 4.565 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCCC(=O)O)cc1 431797775 FQVZWHOREFHFJN-UHFFFAOYSA-N 418.471 4.591 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccccc1[N+](=O)[O-] 432869865 BIWDEDQNJYDWCE-UHFFFAOYSA-N 409.486 4.574 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCCc1ccc([N+](=O)[O-])cc1 433413760 XPNHVBISIWGYHW-UHFFFAOYSA-N 415.902 4.638 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccnc(Oc2ccc(F)cc2F)c1 433732757 ZDASBXDUWQNMJY-UHFFFAOYSA-N 414.368 4.724 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(OC)c2[N+](=O)[O-])ccc1OCCC(C)C 435560229 LXEVCHSUIIHEKW-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD COc1cccc(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)c1[N+](=O)[O-] 435985016 RUJCYAWTYXVZPC-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD O=C(NCc1ccc(F)c(Cl)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436358584 GATOEBHKARHVIJ-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccncc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437298439 JALMBNIMCUXHPF-SFHVURJKSA-N 401.344 4.528 5 20 HJBD CCCN(C(=O)c1cc(NC(=O)C(C)(C)C)ccc1F)c1cccc([N+](=O)[O-])c1 441445443 IYJMNUOEEYDBAG-UHFFFAOYSA-N 401.438 4.775 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443804804 LXUGPGAZGRPNBT-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 443957221 KTIOUUHZMHQNCJ-PXNSSMCTSA-N 410.518 4.728 5 20 HJBD Cc1cccc([C@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444078672 FEFTUSXBFXHFQI-CQSZACIVSA-N 406.432 4.801 5 20 HJBD CSc1cc(C)ccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444232058 MOJGJMNTPSKWSG-UHFFFAOYSA-N 406.482 4.781 5 20 HJBD Cc1c([C@H](NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)C(C)C)oc2ccccc12 445091849 LDHQZUVDMYGABM-HXUWFJFHSA-N 421.457 4.722 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cccc(-c4csc(C)n4)c3)n2)c1 445580013 ODSVPYFKXXRMJX-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(Cl)c(Br)c3)n2)c1 447346096 UHPSTGIPVKHUKB-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 462441666 ZEZBDYVNHAOTOT-UKRRQHHQSA-N 416.428 4.786 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@@H]1CCOC(C)(C)C1 464690334 LZIUPNORFNEMGS-LJQANCHMSA-N 411.502 4.627 5 20 HJBD C[C@@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1ccccc1[N+](=O)[O-] 467835456 UCIZACQUZWFKEG-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 468185668 CKVBXGBZVDSMQL-GFCCVEGCSA-N 420.849 4.564 5 20 HJBD Cn1c([C@@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 470151099 ZHTSLYZIUIPKGP-FQEVSTJZSA-N 419.416 4.532 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 474736962 MXDVZGIBSIEEOZ-QWHCGFSZSA-N 406.866 4.530 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C2CC2)c(C)c1 479288940 PDKZEHNIZNHLTD-UHFFFAOYSA-N 414.483 4.688 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc(SC)c3[N+](=O)[O-])n2)cc1F 485760805 UDMQTPULAKRGGS-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD CSc1cccc(C(=O)NC[C@H](c2cccs2)N2CCC(C)CC2)c1[N+](=O)[O-] 485766228 JFVZDERJXKOOMO-MRXNPFEDSA-N 419.572 4.581 5 20 HJBD CC(C)(NC(=O)NCc1csc(CCc2ccccc2)n1)c1ccccc1[N+](=O)[O-] 489583206 MLTHXQRJSLDMLX-UHFFFAOYSA-N 424.526 4.571 5 20 HJBD CC(C)(NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(C(F)(F)F)on1 492195937 XPLBOGCDZMBUKH-UHFFFAOYSA-N 412.393 4.750 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc4c(c3)cc(C)c(=O)n4C)o2)c([N+](=O)[O-])c1 492360055 ZWUMFXONVBZFAA-UHFFFAOYSA-N 419.437 4.636 5 20 HJBD CCn1c(S[C@H](C)C(=O)N[C@H]2CCCC[C@H]2C)nc2cc3ccccc3cc2c1=O 495295619 ZAWPLTZMBLSDFU-QINHECLXSA-N 423.582 4.745 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H](C)Oc3cccc(F)c3)n2)cc1[N+](=O)[O-] 498784605 JHRBSTYWXGTCQV-GFCCVEGCSA-N 401.419 4.572 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 498821380 BLERAYLUPIUFQD-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD COc1cc(Br)cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 500108542 KSGRMWBBNCWHHI-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 502115047 CCZYEGOXCBWQBN-NSHDSACASA-N 403.797 4.548 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511690844 JISOKOANBIIHBQ-INIZCTEOSA-N 418.318 4.549 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1OCC(C)C 515172098 PTENDTVCIQXMNZ-AWEZNQCLSA-N 412.442 4.875 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 516716473 XCIRUNQUOLPMCC-UHFFFAOYSA-N 402.882 4.921 5 20 HJBD CCO[C@H]1C[C@@H](N(C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C12CCCCC2 517208110 UQLSOZJLPADHNF-MOPGFXCFSA-N 422.547 4.525 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3C[C@H](c4ccccc4)[C@H]4COCC[C@H]43)cs2)c1 519792446 PUBNSLJTJGXNSD-YPAWHYETSA-N 421.522 4.723 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)c1 520394702 YWHUNVLSJSAFIA-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD Cc1cccc(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1Cl 524796122 MVQBAVZRZXKGQQ-MRXNPFEDSA-N 419.865 4.761 5 20 HJBD CCO[C@H](C)c1nc(CSc2nc3cc4ccccc4cc3c(=O)n2C)cs1 525625049 NHGPOUMHNYDBQL-CYBMUJFWSA-N 411.552 4.933 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](CO)c3ccccc3C)c([N+](=O)[O-])c2)cc1 531334378 WJHFEFLHZREUFM-OAQYLSRUSA-N 405.454 4.609 5 20 HJBD COC(=O)c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 532367457 QAFKMDQJUMVINL-UHFFFAOYSA-N 414.464 4.846 5 20 HJBD CN(C(=O)CCc1nc2cc(Br)ccc2s1)c1cccc([N+](=O)[O-])c1 532827710 RFXPYMFMODGFHP-UHFFFAOYSA-N 420.288 4.563 5 20 HJBD C[C@@H](c1ncc(-c2ccccc2)o1)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534796766 RYVDGKQDQOHTEQ-NGFNCXNUSA-N 423.450 4.915 5 20 HJBD O=C(CCCCc1nc2ccccc2s1)NCCc1ccc(Cl)cc1[N+](=O)[O-] 537592526 KCSRKGZPUVXNPT-UHFFFAOYSA-N 417.918 4.930 5 20 HJBD CS(=O)(=O)Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 537718647 OSHYMCIFHPDBDV-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1OC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 538145123 RVPBCLNYGNAQFX-VXGBXAGGSA-N 404.373 4.658 5 20 HJBD C[C@@H]1C[C@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCN1Cc1ccccc1 538425617 PEUXBRRLZREMHG-YLJYHZDGSA-N 422.554 4.861 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(Oc2ccccc2C(N)=O)cc1 538774657 HSCDTZQMKAYIRC-UHFFFAOYSA-N 419.437 4.664 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccsc1)N(C)C 539686435 VBGVKCXGRTZKBE-QGZVFWFLSA-N 407.561 4.581 5 20 HJBD C[C@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)C(=O)c1ccc(Cl)cc1 540785850 AOMKXENPFNITJU-CQSZACIVSA-N 400.862 4.648 5 20 HJBD COc1cc(CNc2cccc3c2OCO3)ccc1OCc1ccc([N+](=O)[O-])cc1 542012569 IDCZSFLSMKWJHC-UHFFFAOYSA-N 408.410 4.523 5 20 HJBD C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C[C@H](c2ccsc2)O1 542514141 XDCFYJFFFNHODW-MEBBXXQBSA-N 415.393 4.669 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)CC1 543519809 STFHPRHGPIQQFD-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1ccc(-c2noc(Cc3ccc(OCc4ccccn4)cc3)n2)cc1[N+](=O)[O-] 544965364 HNLOLSVWFVAGLK-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1ccc2nc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)ccc2c1 551035084 DMSHBPTWKBRLEU-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1OCC(F)F)c1ccc([N+](=O)[O-])cc1F 554644208 YYGDFMURRQMDJL-VIFPVBQESA-N 402.756 4.773 5 20 HJBD COc1ccc(CCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 557569448 FNPUNEOEKOHYLQ-UHFFFAOYSA-N 411.483 4.713 5 20 HJBD CCc1cccc(C)c1NC(=O)COc1c(C)cc(Br)cc1[N+](=O)[O-] 557569711 PNINEUSBFLQNAL-UHFFFAOYSA-N 407.264 4.554 5 20 HJBD COCC1(c2noc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)n2)CCCCC1 558517472 CXICEKKIAUHCJZ-UHFFFAOYSA-N 410.268 4.564 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)c1 563455708 FBTNJQWYOYDIKG-UHFFFAOYSA-N 402.410 4.561 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H]1CCc2ccccc21 600815727 XOQOMEUXKOYELY-QGZVFWFLSA-N 407.392 4.562 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(NC(=O)c3cccs3)c2)cccc1[N+](=O)[O-] 602386398 MGUDHITZCWCLFI-AWEZNQCLSA-N 424.482 4.656 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)ccc1C 603774516 YHFIUTSHLUBMIL-GASCZTMLSA-N 424.501 4.596 5 20 HJBD O=C(NCc1ccc2c(c1)CCO2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 604569620 XELIINJLEGXCNW-UHFFFAOYSA-N 406.463 4.611 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)cc1[N+](=O)[O-] 609064781 OQCJLEVACDIBNJ-UHFFFAOYSA-N 424.428 4.811 5 20 HJBD CCc1cc(N2CCCO[C@@H](c3ccccc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 609233141 QUYUBYRHYBUPON-OAQYLSRUSA-N 404.470 4.582 5 20 HJBD COc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(OC)c1OC 609755134 GMSDVZCAVAFTKO-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 609857477 KGSGAFHRBXAACG-KRWDZBQOSA-N 412.833 4.666 5 20 HJBD COc1cc(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)cc([N+](=O)[O-])c1OC 610170071 TYDSHUDDJWDRKK-UHFFFAOYSA-N 406.438 4.570 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(c3cccc(F)c3F)CC2)cc1[N+](=O)[O-] 613729670 WVBAHPLRTKCVDX-CQSZACIVSA-N 403.429 4.832 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 726902929 PZAPZBJAZQIDHB-UHFFFAOYSA-N 417.531 5.000 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 727926289 CEHQVKKGOCJTRQ-LSDHHAIUSA-N 424.236 4.615 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(Sc2nncs2)c(Cl)c1 728184152 GKGBPPKEDPBWDQ-BAQGIRSFSA-N 418.887 4.903 5 20 HJBD COc1cccc(C2(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)CCCC2)c1 731164520 IBZOVTXCTPBECC-UHFFFAOYSA-N 402.878 4.727 5 20 HJBD Cc1cc(Sc2ccccc2)ccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 731618668 TZBUEICUBLKLSC-UHFFFAOYSA-N 422.462 4.884 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N[C@@H](c1ccc(F)cc1)C1CCC1 732037003 BDZZQTACFMUOEZ-QGZVFWFLSA-N 414.405 4.684 5 20 HJBD O=C(OCc1ccc(OCc2ccncc2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 733380003 JXEPJTVGHCLPCX-UHFFFAOYSA-N 419.437 4.500 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1cc(Cl)ccc1[N+](=O)[O-] 734805227 JSVPZHADQOHACP-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cc(C)nc21 734899598 QZQVDPYYQBCOKQ-LBPRGKRZSA-N 402.838 4.629 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(C(C)C)nn(C)c3Cl)n2)cc1[N+](=O)[O-] 741523865 NLCFAIBDXJUNQM-UHFFFAOYSA-N 419.894 4.789 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@H](O)c2ccccc2C(F)(F)F)c(Br)c1 742396668 BOQBQLKUXCCJFL-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1cccc(F)c1)c1ccccn1 750629954 BCCRIBLCAVJKMR-VLIAUNLRSA-N 412.442 4.942 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc3ccc(OC(F)(F)F)cc3s2)c1[N+](=O)[O-] 753076104 JMIGVLKWFYQHBE-UHFFFAOYSA-N 415.324 4.803 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F)C(=O)Nc1ccc2ccccc2c1 754990885 VBPRZOQGHHXXFY-NSHDSACASA-N 416.792 4.725 5 20 HJBD COc1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 755160736 RTRSFEGYUAUIKC-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2nnc3n2CCCCCC3)cc1)c1cccc([N+](=O)[O-])c1 761440059 OXITYEAYSIAKOR-INIZCTEOSA-N 419.485 4.712 5 20 HJBD COc1cc(COC(=O)c2csc(-c3ccccc3Cl)n2)c([N+](=O)[O-])cc1F 766197087 VHERNPSCLIYOKI-UHFFFAOYSA-N 422.821 4.876 5 20 HJBD Cc1ccc(NC(=O)[C@H](SC2=N[C@@H](C)CS2)c2ccccc2)c([N+](=O)[O-])c1 766293948 OEXYMYYLRZXCEJ-SUMWQHHRSA-N 401.513 4.808 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2c(Cl)nc3ccccn23)no1 771334649 WGAXYVVBXWXFAM-VIFPVBQESA-N 420.212 4.739 5 20 HJBD C[C@H](NCC1CN(C(=O)OC(C)(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 773142121 ZAHJDTZQGHVYKB-AWEZNQCLSA-N 417.531 4.841 5 20 HJBD C[C@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCC1=O 775473736 CKTBXVVDWATYBF-ZFWWWQNUSA-N 416.861 4.771 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@H]1/C=C\c1ccccc1 780292679 ODJKQGRHACLHTG-YHWKNFMLSA-N 401.260 4.675 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc2[nH]c3ccccc3c(=O)c2c1 781259516 MZDPBRWZTPYUDG-UHFFFAOYSA-N 408.797 4.600 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nccc(-c3ccccc3)n2)CC1 787054213 XVXZDNWPEZOXRG-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cnn1-c1ccc(F)cc1 791036514 UWXMTJMCFBBAMQ-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD CC[C@H]1CCCC[C@H]1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 796532742 UUJQMLOZTXZYJQ-MAUKXSAKSA-N 400.479 4.598 5 20 HJBD CC(C)NC(=O)Nc1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811486820 OGCOXSURRNNUIR-UHFFFAOYSA-N 411.289 4.721 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)c1ccoc1 812955095 ANNHXYUUWASEMV-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813167062 TWKALHPVYJCDPQ-CZUORRHYSA-N 413.232 4.691 5 20 HJBD C[C@@H](N[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1)c1ccc(N)c([N+](=O)[O-])c1 815574716 AOVRHGUOVFGOET-QVKFZJNVSA-N 419.460 4.758 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(Cc1cccc(Br)c1)C1CC1 914486334 RPEFBZLZYHPRQX-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD CC(C)c1ccc(/C=C2/NC(=S)N(c3ccccc3Cl)C2=O)cc1[N+](=O)[O-] 917096397 OIYQZPWTYLMURX-OQLLNIDSSA-N 401.875 4.634 5 20 HJBD COc1ccc(CNC(=O)/C=C\c2cc(C(F)(F)F)ccc2Cl)cc1[N+](=O)[O-] 917846234 MWKDOTLCHDGZEQ-CLTKARDFSA-N 414.767 4.605 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1c(Cl)ccc2cccnc12 920777771 IFFXABCVSOUVFC-LLVKDONJSA-N 421.236 4.961 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 921153199 FNEIWADQHCNRAQ-YJJOXIQCSA-N 407.470 4.570 5 20 HJBD CCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccccc1Cl 1321880350 QRHHWFFGUQQHAC-UHFFFAOYSA-N 411.849 4.544 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1 1322736880 AFAPAMRVWZGJPX-UHFFFAOYSA-N 406.442 4.857 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2nc3ccccc3o2)CC1 1324253911 NKZLUHQPNZQOKC-UHFFFAOYSA-N 419.359 4.775 5 20 HJBD CCc1ccc([C@H](NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)c2cccc(F)c2)cc1 1325968768 KOMDMQNKGORLKW-DEOSSOPVSA-N 422.456 4.753 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1ccc([N+](=O)[O-])s1 3496637 STQOFOFPBYZAAX-UHFFFAOYSA-N 412.448 4.643 5 20 HJBD CC(C)CCOc1ccc(/C=C2\CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1 7700540 LQTCNCQETLOXFM-GHRIWEEISA-N 405.454 4.674 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 11103485 IXUHPMFGYXNZER-ORAYPTAESA-N 405.882 4.571 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)CC(c1ccccc1)c1ccccc1 11398480 AFGBDWJUHLVQNL-UHFFFAOYSA-N 418.449 4.607 5 20 HJBD CCOc1ccccc1NC(=O)COc1ccc([N+](=O)[O-])cc1Cc1ccccc1 16458680 YEEDDQGAJUAVEX-UHFFFAOYSA-N 406.438 4.602 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccncc1 27271450 RWXPDNAGAXRNLA-OAQYLSRUSA-N 402.454 4.579 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2N2CCCCCC2)c([N+](=O)[O-])cc1OC 29847894 XBCSHDMKYVWJII-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3ccccc3o2)C1 43342298 NNKJSKXDDVYEQR-LBPRGKRZSA-N 400.822 4.801 5 20 HJBD C[C@H](Sc1ccc(Br)cn1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 52914797 WKALIHSXMPPDMT-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 97542888 WVVKFWYUFPAXLH-SFHVURJKSA-N 422.485 4.885 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc3c(c2)OCCCO3)ccc1Oc1ccc(F)cc1 237882927 LPKFFPYKUZRREW-UHFFFAOYSA-N 424.428 4.977 5 20 HJBD O=C(c1cc(N2CCc3[nH]c4ccc(Cl)cc4c3C2)ccc1[N+](=O)[O-])N1CCCC1 301078509 FMNGMDFMARAALQ-UHFFFAOYSA-N 424.888 4.528 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)s1 303925994 PRLDKKIEONGWQU-CQSZACIVSA-N 400.504 4.813 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1cc(Br)cs1 409868109 MEVJGPNZQIXBST-UHFFFAOYSA-N 411.321 4.789 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1c[nH]c2cc(Br)ccc12 428328096 WMNLJYDSEHWBKQ-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3ccc([N+](=O)[O-])cc3O)s2)cc1 436991706 SMTUQDNDXWBEFO-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 438539757 IQXAXIZJSHFMCW-HZPDHXFCSA-N 416.443 4.640 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443944111 GDCQEOXMAANBNC-CYBMUJFWSA-N 403.504 4.770 5 20 HJBD O=C1CCCN1c1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc(C(F)(F)F)c1 445473197 QZUDKOLJQYSNOT-UHFFFAOYSA-N 424.360 4.519 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 460424962 KZBOPXIFVWMCLS-HXUWFJFHSA-N 406.486 4.572 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(Oc3cc(C)ccn3)c2)cc([N+](=O)[O-])c1OC 460491231 RTDWOCRQZOGMPZ-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD C[C@H]1CN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])CC[C@H]1c1ccccc1 460663442 LBNBVSDBTIHGPK-MAUKXSAKSA-N 402.878 4.669 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2c3ccccc3CCC[C@@H]2C)cc1SC 462579420 PGNORRGSFIBRFU-RNODOKPDSA-N 400.500 4.769 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1 462640828 NMNPENVAJFCDFR-LBEKAKSKSA-N 416.543 4.849 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2N[C@H]2C[C@H](c3ccc(Br)cc3)C2)n1 462810078 TUSLEVRKZMAVOR-VDHUWJSZSA-N 419.323 4.573 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cccc(NC(=O)[C@@H](C)n2cccn2)c1 468640726 BUSXPUITNFPUFI-NWNNTGRJSA-N 405.458 4.506 5 20 HJBD CC[C@H](Cc1ccccc1)N(CC)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 469449645 FESUTPXIKIDSQL-OAQYLSRUSA-N 409.530 4.681 5 20 HJBD CCc1ccc(C(=O)Nc2cc(-c3nnc4n3CCCCC4)ccc2C)cc1[N+](=O)[O-] 471299742 CTIWYDHCDIAACD-UHFFFAOYSA-N 419.485 4.703 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cccc([N+](=O)[O-])c4OC)CC3)c[nH]c12 475017095 WEHHIUHSJMPUFJ-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@H](CO)c3ccccc3OC(F)(F)F)o2)c1 475550300 HQJLFANJZWKSPN-QGZVFWFLSA-N 422.359 4.577 5 20 HJBD CCc1cnc(CN(C)[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)s1 477000333 JCLOBKXTDPFEBI-NRFANRHFSA-N 424.526 4.734 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Oc2ccncc2)c(Cl)c1 477072335 OFIVYLPZNDVJTR-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)cc1OC 479355809 WXSZZURMVHDRPH-QGZVFWFLSA-N 414.433 4.507 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1O 479559234 ZXQQDNLHGLHDRZ-UHFFFAOYSA-N 402.472 4.844 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1-c1ncco1 481882710 MKTUQSKKXMJTDL-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1ccccc1COc1cccc(NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 484672415 VZPWZZLHHKDHRF-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])o1 488814594 IJKOZUJPPHCRQL-ZFWWWQNUSA-N 412.471 4.639 5 20 HJBD CC(C)Cn1ncc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 490279131 NASOQNLCZLLNHP-UHFFFAOYSA-N 408.911 4.709 5 20 HJBD CC[C@H](NC(=O)Nc1cc(F)c(OC(F)F)cc1F)c1cccc([N+](=O)[O-])c1 491417175 SYAXNWJYORNJGA-ZDUSSCGKSA-N 401.316 4.747 5 20 HJBD COc1cc(CNCc2oc3ccc(F)cc3c2C)c([N+](=O)[O-])cc1OCC(F)F 492142153 JYFQYDFPDPQWIV-UHFFFAOYSA-N 424.375 4.731 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492889623 KQVHPPBVWIPZDA-OALUTQOASA-N 415.287 4.652 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc2c(F)cc(Br)cc2[nH]1 497481819 NETRQDHANCGBDL-UHFFFAOYSA-N 406.211 4.847 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1cccc(OC(F)(F)F)c1 498643969 MSEOOPYWBVZDSS-LLVKDONJSA-N 405.332 4.533 5 20 HJBD COc1ccc(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1[N+](=O)[O-] 505380449 SFUIJGARNLULDU-CQSZACIVSA-N 422.281 4.656 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)[S@@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 507759079 GMKJBFQPIDXYCQ-LJZMDLFKSA-N 415.298 4.740 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1Br 514559333 WONJQXCQNWKAKN-JTQLQIEISA-N 419.231 4.603 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCC3=O)cc2)no1 516113713 KQGBAODRHUXCOM-INIZCTEOSA-N 406.442 4.508 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(Cn4cccn4)cc3)s2)cc1 517544588 SLNOUGFFZJZLPP-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2nn(-c3ccccc3)c3c2CCC3)o1 518760663 KNQZGJMMTCYGCH-UHFFFAOYSA-N 414.465 4.819 5 20 HJBD COc1cc(OCC(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-] 519048710 ZOCCBHYDSUMYOE-LLVKDONJSA-N 413.257 4.509 5 20 HJBD C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522875946 IBACLFIRGZHJAW-BDJLRTHQSA-N 411.289 4.738 5 20 HJBD CC(C)n1cc2cc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2n1 522925434 WLCWODURISUPPO-LBPRGKRZSA-N 402.838 4.585 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 523902004 CKWRGJRBDKCZNG-HNNXBMFYSA-N 410.499 4.630 5 20 HJBD Cn1c(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)nc2ccccc21 532861340 ALRWZNBRTBQNRW-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535346849 RNAUFSMMNPNUEX-UHFFFAOYSA-N 414.465 4.551 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1ccc(F)cc1F 536304133 PGIJYGMARWVDPB-NSHDSACASA-N 419.409 4.788 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccccn1 536305673 VKDXAHQEGGCVAN-IBGZPJMESA-N 401.369 4.801 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537211225 QRCWHMDFIHCRJH-QGZVFWFLSA-N 409.829 4.564 5 20 HJBD O=[N+]([O-])c1cc(CN2C[C@@H]3[C@@H](C2)[C@H]2CC[C@H]3O2)ccc1Oc1ccccc1Cl 538737654 UCWYYWQLKZSIRY-OHDICMOHSA-N 400.862 4.650 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)COCc1ccccc1 539291168 CTIRQOLTSVPUDX-INIZCTEOSA-N 402.516 4.678 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(-c4csnn4)cc3)o2)c([N+](=O)[O-])c1 539935987 QCBIVDNEYQRSIQ-UHFFFAOYSA-N 408.439 4.994 5 20 HJBD COc1cc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc(Br)c1OC 540176642 UKNQWINXZVALOW-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(Cl)cc1 541283709 KVEXWOCMJXQRBP-UHFFFAOYSA-N 413.886 4.969 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)c1sc(-c2ccccc2)nc1C 541659807 SECMEUGNZFFPCG-CQSZACIVSA-N 410.499 4.624 5 20 HJBD CSc1cccc(C(=O)NC[C@H](c2ccccc2Cl)N2CCCC2)c1[N+](=O)[O-] 548602955 BODRJKURSKEOQE-QGZVFWFLSA-N 419.934 4.537 5 20 HJBD CCCC(C)(C)N(Cc1cccs1)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 549324263 GRRUOUKEFPJKJX-UHFFFAOYSA-N 406.504 4.895 5 20 HJBD COc1ccc([C@H](CN(C)C)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 551227633 PNJIBKAIFXJKFC-FQEVSTJZSA-N 411.527 4.724 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)NCc1cccc([N+](=O)[O-])c1 564142046 BHNBAKLMRWRWTQ-UHFFFAOYSA-N 407.474 4.708 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc2oc3ccccc3c2c1 570672751 ILVYEZZSXGZAQT-UHFFFAOYSA-N 401.382 4.692 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)sc1NC(=O)c1ccc([N+](=O)[O-])s1 603444692 WQHZNDRLSARQMI-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD Cc1ccc(-n2nc(C)c(CC(=O)Nc3cc([N+](=O)[O-])ccc3C)c2C)cc1Cl 603730741 AHIHIISYADDLGN-UHFFFAOYSA-N 412.877 4.849 5 20 HJBD C[C@H](NC(=O)CCc1nc2ccccc2n1-c1ccccc1)c1cccc([N+](=O)[O-])c1 603966371 NQFURVTUUJORKL-KRWDZBQOSA-N 414.465 4.744 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1Cl 604004671 OSPPEYCKGHJPAK-UHFFFAOYSA-N 415.833 4.633 5 20 HJBD Cc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cccc1OCC(F)(F)F 609039813 DRGMUBXQJLTJMT-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD COc1ccc(OCCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609773195 PIWVBQSFXGYGKL-UHFFFAOYSA-N 423.425 4.596 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCOC2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 610051744 GQDYPXFHANRVQD-FQEVSTJZSA-N 420.421 4.807 5 20 HJBD Cn1ccc2c(C(=O)Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)cccc21 726933545 QDJLXSQECQURFR-UHFFFAOYSA-N 415.405 4.558 5 20 HJBD C[C@H](OC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 729650397 DBTLSCQTVYGRBB-INIZCTEOSA-N 404.422 4.789 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NC(Cc1ccccc1)Cc1ccccc1 742397979 OTCFGYHATXOMMQ-UHFFFAOYSA-N 417.465 4.817 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCC[C@H](O)c3ccccc3)c([N+](=O)[O-])c2)cc1 742427220 FYGGUVZGXFBFOQ-QFIPXVFZSA-N 405.454 4.691 5 20 HJBD COc1ccc(COC(=O)c2cc([N+](=O)[O-])ccc2OC)cc1SC(F)(F)F 744518152 KXHYITNRQZLRRH-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD C[C@@H]1CCC[C@@H](OCc2cccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c2)C1 745776233 UYTFEDPGPHOJRC-CRAIPNDOSA-N 416.449 4.847 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])o2)cc1 746066857 IXYMRWPQSQIUCP-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccco1 752271443 UOUUSLSENAADSV-GOSISDBHSA-N 415.833 4.609 5 20 HJBD CCC(C)(C)CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 760556398 QYGRDTPVFWFDRI-UHFFFAOYSA-N 403.866 4.667 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n(-c2ccc(F)cc2)n1 763404079 QPJPKBPJNZQPHX-UHFFFAOYSA-N 418.375 4.748 5 20 HJBD C[C@H](NC(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 767301500 BBNPWWBXUVEHFD-XJKSGUPXSA-N 407.392 4.874 5 20 HJBD COc1ccc(Cc2nnc(SCc3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1OC 768396881 JRJVYYJDUBLGPT-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD COCCc1nc(C)c([C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)s1 773924307 VHKCKHYEAUSLRJ-LLVKDONJSA-N 406.485 4.681 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(Oc3ccccc3Cl)nc1)CC2 773990479 CMPBDIOBZHIWNO-UHFFFAOYSA-N 409.829 4.947 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136471 KAQFPKXZOCNRPU-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD CC(C)N(CCCOC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)CC(F)(F)F 781544189 KSIJSXZZXQMOHS-UHFFFAOYSA-N 420.431 4.501 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782523406 VGXYKLWRNADDFX-ZDUSSCGKSA-N 408.499 4.644 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1OCCC(C)C 784981048 TXVUBTZIGTWDNG-UHFFFAOYSA-N 419.865 4.559 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c1F 790934669 WDEHZJNYLYKVKM-CQSZACIVSA-N 422.281 4.677 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](COc2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 792875780 JYHXGAPRKPVEJQ-LJQANCHMSA-N 411.389 4.552 5 20 HJBD CSC1(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)CCC1 799689376 IVRWIVSPSSNELO-AWEZNQCLSA-N 401.488 4.605 5 20 HJBD COc1ccc(C[C@H](NCc2ccc([N+](=O)[O-])c(F)c2)c2ccc(OC)cc2)cc1 801519373 BJTVADVCXSDKRV-QFIPXVFZSA-N 410.445 4.825 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H]1CCN(Cc2ccccc2)C1 813153404 UPNWLKHZVZRTAG-DZGCQCFKSA-N 422.312 4.542 5 20 HJBD Cc1ccc(C(=O)CCC(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)s1 815180803 CUWXKQALMPKYJS-UHFFFAOYSA-N 401.362 4.690 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)c2ccc(OC(F)F)cc2)cc1[N+](=O)[O-] 815406503 KDAGMGWIMQDPRS-JTQLQIEISA-N 413.760 4.586 5 20 HJBD O=C(CCCOc1ccc(Cl)cc1Cl)Nc1cc([N+](=O)[O-])c(F)cc1F 825482577 BISXYPJJPGDUPF-UHFFFAOYSA-N 405.184 4.978 5 20 HJBD COc1cc(Br)cc(NCc2c(Br)cccc2[N+](=O)[O-])c1 864030594 NJILIXPUKNPVCQ-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cn1 916481677 WYRIQUHOPUJLFK-LCYFTJDESA-N 419.437 4.510 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=S)Nc3ccc([N+](=O)[O-])cc3)c2)cc1 917588983 ABRUJWSJOAYXAU-UHFFFAOYSA-N 420.494 4.642 5 20 HJBD C[C@H](NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 917700934 MHTSKMZKGRCAHU-OYHNWAKOSA-N 416.433 4.540 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 921287872 GREAOCVBPUSUII-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD Nc1c(C(=O)Nc2ccccc2OC2CCCC2)cc(Br)cc1[N+](=O)[O-] 1116949410 WIQCXKAEQWBPRI-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD Cc1nc(C2(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)CCCC2)no1 1318630604 WSIRWBPDSAWUAR-UHFFFAOYSA-N 402.838 4.759 5 20 HJBD COc1ccc([C@H](NCc2ccc([N+](=O)[O-])cc2Br)C(F)(F)F)cc1 1342952455 XPADFBVBCBSTNN-HNNXBMFYSA-N 419.197 4.759 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)ccc2OC)cc1 1345188775 SWYDNBBXJSTEBE-UHFFFAOYSA-N 410.401 4.979 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5044241 VYRAYYNRLAPRGW-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3c(c2)Cc2ccccc2-3)cc1OC 15760675 IDAOERNDGGITDT-UHFFFAOYSA-N 404.422 4.826 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)c2cccs2)c1 24028326 WYWSZLYWTIKYAY-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 29227091 HIGOSVPPXANMFB-XMMPIXPASA-N 415.493 4.961 5 20 HJBD COCCOc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1OC 49695742 QNSPMAFIZWPXAR-UHFFFAOYSA-N 402.450 4.674 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1 60989886 IWGRZXSCINXMIQ-NRFANRHFSA-N 411.408 4.656 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61332389 PVXGCRATGBLYSI-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2cccc(F)c2)c([N+](=O)[O-])cc1OC 65807321 YWXQUTILSQHHLL-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CC(C)(NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1nccs1 236704749 DYYHWHVYCTWDGJ-UHFFFAOYSA-N 419.510 4.929 5 20 HJBD Cc1nc(-c2cccnc2)nc(Oc2ccc(Br)cc2[N+](=O)[O-])c1C 301598892 UEYPAICZQKHABL-UHFFFAOYSA-N 401.220 4.618 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])cc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 301734382 ZIFSLMWYXFIHCF-UHFFFAOYSA-N 405.823 4.586 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1Cl 426701236 ZDBFAXVXBTVVGS-INIZCTEOSA-N 414.845 4.541 5 20 HJBD CCn1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1C1CC1 427467002 YKLQUJSOHPQHHD-UHFFFAOYSA-N 408.483 5.092 5 20 HJBD COc1cccc(C)c1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 436220175 MRMBMPABRWUTSW-OAHLLOKOSA-N 406.442 4.732 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436311576 UASWNQVOYKHXPZ-UHFFFAOYSA-N 419.893 4.874 5 20 HJBD CCN(CC)[C@@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 437185669 AWQRAKYFJGNGMT-QGZVFWFLSA-N 417.893 4.597 5 20 HJBD Cc1oc(-c2ccco2)nc1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440603371 QVGPQBGZVZXKOJ-UHFFFAOYSA-N 417.421 4.927 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 441028052 LBOLCADTBHZDFP-NSHDSACASA-N 413.421 4.896 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 445273163 HFWKTPKKAZTWST-GFCCVEGCSA-N 414.849 4.993 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CSc3ccccc3O2)no1 446014931 BNNYLAUWCAPQSQ-MEBBXXQBSA-N 419.846 4.997 5 20 HJBD COC1(c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)CCCC1 460809618 ATPQVIVNZLNYNF-AWEZNQCLSA-N 409.446 4.629 5 20 HJBD CCC(CC)C(=O)N1CCC(Nc2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)CC1 461788456 XSVDDUKYCUGYCQ-UHFFFAOYSA-N 401.429 4.761 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1CC(=O)c1ccc(F)cc1 462772861 JOVMPVMQMGQZLY-LAUBAEHRSA-N 412.461 4.567 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3ncnc4sc5c(c34)CCCC5)CC2)cc1 462949298 CMMPMNDUVBBVKA-UHFFFAOYSA-N 410.499 4.526 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(O)(Cc2ccc(F)cc2)CC1 479235473 GDLWRVQVHFSXIL-UHFFFAOYSA-N 414.480 4.737 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2N1 479937807 DCQCWDSCOBQVTF-KRWDZBQOSA-N 408.483 4.815 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc21 480230311 LDXFQTWHPPLIOJ-PKTZIBPZSA-N 414.461 4.867 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482076220 LUHHAGDETQIUJS-UHFFFAOYSA-N 408.458 4.656 5 20 HJBD CC[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 485509713 ZXTIVBUJKZQJGU-SNVBAGLBSA-N 405.220 4.578 5 20 HJBD COc1cc(C(=O)Nc2c(C)cccc2-c2nc(C(C)C)no2)cc([N+](=O)[O-])c1C 485521872 YMZMVDICMDOTBZ-UHFFFAOYSA-N 410.430 4.646 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCc2c1cccc2C(F)(F)F 486113317 CIALOXOIXOZXBF-UHFFFAOYSA-N 421.194 4.641 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cccc(SC)c3[N+](=O)[O-])s2)cc1 486427654 BKKKIJULKTYLKU-UHFFFAOYSA-N 414.508 4.984 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])o2)c(C)c1 488780546 HIJRFRFFZGVCGR-CQSZACIVSA-N 412.471 4.689 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489879524 DJBHODMLCSHZHC-JKSUJKDBSA-N 415.490 4.822 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 490732355 KXASRGIYSJLAIP-MRXNPFEDSA-N 410.396 4.711 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)CC3 498718139 BORAGKPMQIYUJH-KRWDZBQOSA-N 418.497 4.616 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)[C@@H]3CCCOC3)cc2[N+](=O)[O-])cc1 503204630 XBTMYIDWDMRUSI-DOTOQJQBSA-N 400.500 4.599 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2ccc(NC(=O)NC3CC3)cc2)cc1[N+](=O)[O-] 504906476 YRLKYAXYKQMVQM-CABCVRRESA-N 412.490 4.689 5 20 HJBD Cc1c(Br)cc(C(=O)N2CC=C(c3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 509637697 QQDSUKUVNZKUTB-UHFFFAOYSA-N 419.250 4.734 5 20 HJBD Cc1cccc(-n2ncc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2C(F)(F)F)c1 515681610 LTONJAABOHLEHG-ZDUSSCGKSA-N 418.375 4.599 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](c2ccccc2)CC1 524197952 CDGDQPVBYJJBCM-KRWDZBQOSA-N 402.878 4.813 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1ccc(Br)cc1[N+](=O)[O-] 530950289 MYJMSGIEZTVUNE-UHFFFAOYSA-N 415.247 4.753 5 20 HJBD COc1ccccc1COC1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)CC1 538786525 YAKXAJGGRFTGRG-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 540327008 OHUNBIUYRRQEOZ-UHFFFAOYSA-N 404.470 4.751 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2F)C(C)C)cc1OC 542860356 WBFANJCJDKWTKA-LJQANCHMSA-N 424.856 4.922 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 543471232 DXGGTUPPMJXONH-HOTGVXAUSA-N 402.466 4.762 5 20 HJBD COc1cccc([C@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2ccccc2[N+](=O)[O-])c1 544250151 DQPXXHNYJIHNNS-RDGATRHJSA-N 416.477 4.903 5 20 HJBD COc1cc(-c2noc(COc3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1OC(C)C 546229428 IEPXFMUKSHDLDN-UHFFFAOYSA-N 419.821 4.673 5 20 HJBD Cc1cccnc1S[C@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 553498853 WAFOJAVUJQANIS-GFCCVEGCSA-N 400.485 4.541 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 558597995 BIMZUWQBTCRRBI-NSHDSACASA-N 400.328 4.807 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)[C@H](C)C2CC2)c(OC)c1 558708833 IMSPCVNVLRLEHZ-HZPDHXFCSA-N 412.486 4.543 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 566397538 CUJDBYLNIWNARN-INIZCTEOSA-N 424.526 4.972 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)Cc1cc([N+](=O)[O-])cc2c1OCOC2 569136142 YFKAWQUMOLBCCI-ZDUSSCGKSA-N 410.392 4.543 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC=C(c2ccncc2)C1 575066586 YNMVMQJJOYVSSA-UHFFFAOYSA-N 414.465 4.531 5 20 HJBD Cn1ccnc1[C@@H](Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1 576137178 IYRDMVYHGDLVBJ-FQEVSTJZSA-N 421.482 4.565 5 20 HJBD C[C@H](Cc1cccs1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603573605 YQORFBDGGFEWQQ-SNVBAGLBSA-N 404.435 4.515 5 20 HJBD Cc1nc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccc(F)cc2)cs1 603774328 RLDAIVZEZRVHMX-UHFFFAOYSA-N 405.838 4.999 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 604163191 JKIKATCVLHJJFF-UHFFFAOYSA-N 423.469 4.589 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469851 LJCRECZRIMHXNW-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD CC1(C)CCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 608882704 KZJSKYUGQBLNOJ-UHFFFAOYSA-N 418.497 4.639 5 20 HJBD C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N(C)C1CC1 609026011 DBXMRSFWZHUAKY-CYBMUJFWSA-N 419.934 4.612 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 609263023 GLPFZIKQLNBUJO-RHSMWYFYSA-N 418.877 4.778 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 609665339 JFQJYTSIDHCMBO-UHFFFAOYSA-N 409.486 4.816 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)s2)cs1 609873670 NQDJXVOTVJCWBH-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD CCCc1n[nH]c(C(=O)Nc2ccc(-c3nc4c(Cl)cccc4[nH]3)cc2)c1[N+](=O)[O-] 609988714 INYZSRWBEUYJDF-UHFFFAOYSA-N 424.848 4.719 5 20 HJBD O=C(c1cnc(-c2ccccc2F)s1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610209796 FJGGTQMDQZONEM-LJQANCHMSA-N 415.471 4.745 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])ccc21 726546471 SYTZOLKLPUINRS-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728082788 LSDIVEVHPPJFPG-OAHLLOKOSA-N 423.347 4.808 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc([N+](=O)[O-])ccc1F 729658854 HTTHFLCZNWGGQG-MRXNPFEDSA-N 415.421 4.804 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCCc3nc(-c4ccc(Cl)cc4)no3)sc2c1 731662805 FRHGJPKRZHVSCR-UHFFFAOYSA-N 401.835 4.563 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 732390108 CSCDKOZDWOQCEP-CHWSQXEVSA-N 422.890 4.547 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc2ccccc2s1 734110429 DOEFXDJQLIJPOQ-OAHLLOKOSA-N 413.502 4.642 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)no1 735644426 OOCFPROMGYJXAV-UHFFFAOYSA-N 419.846 4.760 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(F)cc2F)c1C 736158597 NSBZLXIWEIKNCA-UHFFFAOYSA-N 406.776 4.581 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OS(=O)(=O)c1c(Cl)cccc1C(F)(F)F 739949202 NBEVIXGBISPELM-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD CSc1ccc(C(=O)OCc2ccccc2NC(=O)c2ccco2)cc1[N+](=O)[O-] 744250860 RRUZIUAIWZLUTN-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD O=C(Nc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1)OCC(F)(F)F 744360966 MXTKVWCPOSXPOI-UHFFFAOYSA-N 417.727 4.611 5 20 HJBD C[C@H](OC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cnccn1 747741251 UXZWMMFMYVJUFG-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD C[C@@H](OC(=O)c1ccc(Oc2ccccc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748908870 APIXEEMOTBYIKP-CYBMUJFWSA-N 421.365 4.948 5 20 HJBD O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750844147 WATGHCAAKHMZFC-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCCC[C@@H]3C[C@H](O)c3ccccc3)o2)cc1 751558610 CVYXFSPXYRDFPG-RTWAWAEBSA-N 422.485 4.513 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751710214 JCEVMODNEHFFEK-CCHVVGMOSA-N 401.356 4.926 5 20 HJBD Cc1nc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)oc1-c1ccc(Cl)cc1 757089785 DWXISTFLFQOLGX-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1Br)c1cc(Cl)cc(Cl)c1 758338762 QILMCMGUKIPOHE-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD COc1cc(CSc2nnc3n(C(C)C)c4ccccc4n23)c([N+](=O)[O-])cc1F 766058128 CKOJYNNGDAZBFZ-UHFFFAOYSA-N 415.450 4.613 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCC[C@@H]3C(C)C)c(F)c2)c1 777837868 WIBHFNAMHJQCCH-GOSISDBHSA-N 401.438 4.620 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)c1 784522316 VOZWZUJPDJYVEX-ZDUSSCGKSA-N 410.417 4.965 5 20 HJBD O=[N+]([O-])c1ccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c(-c2cccs2)c1 789589891 SJKIIFQVWCGGEW-UHFFFAOYSA-N 401.356 4.719 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc(COC3CCCC3)cc2)cc1[N+](=O)[O-] 791369414 JBWCCIPBVIHPGU-UHFFFAOYSA-N 401.438 4.511 5 20 HJBD C[C@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H]1OCCc2sccc21 796493603 LIBXONIULDRDKE-XXFAHNHDSA-N 416.282 4.956 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H]2C[C@H](O)c2cccs2)nc1-c1ccc(F)cc1 797436044 VGQVSZFMJWVVLG-AEFFLSMTSA-N 413.474 4.950 5 20 HJBD COC(=O)c1cc(Cl)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812919422 KYOIRGKKVADGBA-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cc1[N+](=O)[O-] 813878227 LVXRXDJQGAQGMJ-SJLPKXTDSA-N 403.523 4.876 5 20 HJBD O=[N+]([O-])c1nn(CN2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc1Br 917616701 QDCPBDCDHREPNO-CYBMUJFWSA-N 420.094 4.655 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(-c3ccccn3)ccc2Cl)o1 1257769331 NDNDQFDECOZZOI-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD COc1ccc(-c2csc3ncnc(N4Cc5ccc([N+](=O)[O-])cc5C4)c23)cc1 1319112247 HTIBUQUQLFXOSP-UHFFFAOYSA-N 404.451 4.795 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)Nc3cccc([N+](=O)[O-])c3Cl)n2)cc1 1321293132 ULYSIZWZEREOGM-UHFFFAOYSA-N 414.849 4.993 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(Cc2ccc([N+](=O)[O-])cc2Br)CC1 1322287491 VCBXGKYQGHFYKH-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Cn2cccc2)cc1 1516750746 ROBGCODJYUBKFT-QHCPKHFHSA-N 413.433 4.786 5 20 HJBD O=C(CCC1CCCC1)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])[nH]3)CCC2)cc1 1787618602 SPHLFCODJOSMJZ-UHFFFAOYSA-N 424.501 4.641 5 20 HJBD CCn1c(SCC(=O)c2cc(F)ccc2F)nc2cc3ccccc3cc2c1=O 7577848 PJWDRNPXKUQNJQ-UHFFFAOYSA-N 410.445 4.823 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=C(c2c[nH]c3ccccc23)CC1 11074728 LVWMWPWVMCJPLN-OAHLLOKOSA-N 407.495 4.873 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2ncccc12 15980003 WBSUPWJVKYYDSE-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD COc1ccc([C@@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 21830745 ARKHIZVSOHMVDV-QFIPXVFZSA-N 408.479 4.601 5 20 HJBD Cc1ccc(Oc2ccc(Cl)cc2NC(=O)Cn2nc(C)c([N+](=O)[O-])c2C)cc1 23021093 AMKLHTNXFXTUIH-UHFFFAOYSA-N 414.849 4.801 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(NC3CCCCC3)s2)cccc1[N+](=O)[O-] 23379157 RTSTVCYYQRIVHX-LBPRGKRZSA-N 421.548 4.619 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 58476646 PYSURLHXBFANIR-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 71835337 XWQUZACVDRMENL-UHFFFAOYSA-N 404.835 4.581 5 20 HJBD Cc1ccc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cc1[N+](=O)[O-] 110599163 LKIMFBPACSSIJH-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 110635622 CJHMDNCSVHXCTP-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD Cc1ccnc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c1[N+](=O)[O-] 301291635 NVXMISWVDRVLEM-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 301877197 SQGJOOLUIKCZLQ-UHFFFAOYSA-N 404.470 4.739 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccc(F)cc1)CC1CC1 303585740 GDUNBIHJKOMCHM-UHFFFAOYSA-N 411.458 4.910 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](c1ccccc1OC(F)F)C(F)(F)F 430216190 ICNMNSIMPPPEMP-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD C[C@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccccc1[N+](=O)[O-] 430449495 RIUFCHATYGCFOH-KRWDZBQOSA-N 415.449 4.567 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3occc3Br)n2)cc1[N+](=O)[O-] 433530766 QUCDFSKKFUBLFA-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(c4ncc5n4CCCC5)CC3)o2)cc1 435061957 VUQDENOLCLJIAP-UHFFFAOYSA-N 406.486 4.767 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437020084 CKXFUVCAWWABTN-UHFFFAOYSA-N 424.375 4.750 5 20 HJBD O=C(c1csc(-c2c(F)cccc2F)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437091039 JJUMNJRAPYAFIF-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@@H](C)c2cccc(O)c2)c([N+](=O)[O-])cc1OCC 437631102 YVAXGECSUPFZCS-AWEZNQCLSA-N 417.462 4.713 5 20 HJBD CSCCc1ccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 438762792 DTNJEZUUYPGBER-UHFFFAOYSA-N 421.327 4.608 5 20 HJBD CCOCc1ccc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 440443075 MORVSGDEVHQZPZ-UHFFFAOYSA-N 411.380 4.591 5 20 HJBD CCOc1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1CO 442638118 REDPZHNLGOOEOT-UHFFFAOYSA-N 424.478 4.889 5 20 HJBD C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 461307409 IYAGBBLVLGGAOY-APPDUMDISA-N 407.239 4.720 5 20 HJBD Cc1ccc([C@@H](C)N(C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462528811 FHZQCKRVBJWXFH-LLVKDONJSA-N 403.287 4.592 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(OCC2CC2)c(F)c1)c1cccc([N+](=O)[O-])c1 469223104 DHXCFGPLVHSUOB-UONOGXRCSA-N 401.438 4.644 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Br)ccc1NC1CC1 471141524 YZNJKKVVQXVDBG-UHFFFAOYSA-N 422.304 4.662 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)cccc1[N+](=O)[O-] 481424427 YAUUYZVMRHBJLZ-CQSZACIVSA-N 404.392 4.959 5 20 HJBD CCn1nc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C1CC1 486184494 NUROGZMSRWMLNW-UHFFFAOYSA-N 406.442 4.520 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc2cc(Br)cnc12 486922286 ZVVARBYIWCYBSC-UHFFFAOYSA-N 415.247 4.569 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ncc(-c2ccccc2)o1 487353280 NPCUTHDLDGYWFM-OAHLLOKOSA-N 406.442 4.685 5 20 HJBD C[C@H]1CSCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 489507814 CQYOOWKKMBAZKL-CQSZACIVSA-N 419.934 4.685 5 20 HJBD Cc1cn(-c2ccccc2Cl)nc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 499612505 XIGIERUJUGSYEG-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD CN(C)CCCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CC1 501628723 NVHIWUBIXXLAFQ-UHFFFAOYSA-N 411.502 4.581 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnn1-c1ccc(Cl)cc1 501997877 HDUNRCGNJBPUTF-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)Cc2ccccc2-c2ccccc2)cc([N+](=O)[O-])c1 506526624 VQOBFVDDYVAPHC-UHFFFAOYSA-N 418.449 4.711 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 510054939 KIFIURIDSQGMNE-SCLBCKFNSA-N 408.376 4.511 5 20 HJBD CCc1nocc1CN(C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 512098485 RCRORMNBIOLGOS-UHFFFAOYSA-N 415.833 4.863 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 515589491 GNTUVJDORWDSGN-CQSZACIVSA-N 410.861 4.800 5 20 HJBD C[C@H](NC(=O)COc1cc(Cl)c(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 515681353 NBSDLEZZDHQTEN-VIFPVBQESA-N 403.649 4.811 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(Br)cn1 516129000 MSZLHCNOFAZSQX-SECBINFHSA-N 404.186 4.622 5 20 HJBD COc1c(Cl)cccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 517650878 AZGVPGAJFGQAJX-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD COc1cc(CNCc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 518780984 KINJYNYUIDLMHF-UHFFFAOYSA-N 412.408 4.958 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc2nc(C3CC3)sc2c1 520077163 CBTBNJPTGXOICI-NSHDSACASA-N 412.471 4.530 5 20 HJBD CN(Cc1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1)Cc1cscn1 520318669 ATWHTYVIWVRCOW-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD COc1ccc(CNC(=O)C[C@H](Cc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 525650181 LEKWCOKOAJAEAP-NRFANRHFSA-N 404.466 4.636 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3nnc(-c4ccccc4)s3)cs2)c1 532104498 NOKCZUSGVLHFML-UHFFFAOYSA-N 409.496 4.527 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](N2[C@@H](C)CC[C@@H]2C)C1 537170816 ZICDBNNTFXWIIR-BQFCYCMXSA-N 405.564 4.573 5 20 HJBD CC(C)CO[C@@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355707 OIKSBUSPAMCAFM-HNNXBMFYSA-N 405.520 4.768 5 20 HJBD O=C(c1c2c(nc3ccccc13)/C(=C\c1cccc([N+](=O)[O-])c1)CCC2)N1CC(F)C1 542429790 QNLOUQQVRKYRKQ-WJDWOHSUSA-N 417.440 4.814 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)cc1[N+](=O)[O-] 542957481 OJTMJXJNKUTOTD-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD C[C@H](C(=O)Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc([N+](=O)[O-])cc1F 543437883 AHZGSMGKSUIDSQ-LBPRGKRZSA-N 414.462 4.686 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](Cc3ccccc3)c3cccs3)n2)cc1 543455511 VCHURQGSECUDKZ-SFHVURJKSA-N 406.467 4.780 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccc1 543524227 KELCDRVNYLYEGF-NYHFZMIOSA-N 408.429 4.752 5 20 HJBD CC(C)(C)c1nc(Cc2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)cs1 545803842 NHGPGAJYJKRZRD-UHFFFAOYSA-N 423.292 4.752 5 20 HJBD COc1ccc(Br)cc1-c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 547044082 ISFRLZAHEGEKLQ-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 547855019 FRNJWVCHBIKFCE-CQSZACIVSA-N 421.297 4.716 5 20 HJBD O=[N+]([O-])c1cccc(OCCN[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)c1 551424767 CBTVJKPAJHVRJJ-FQEVSTJZSA-N 424.419 4.750 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 555719550 CXLRBPFYICIDET-SECBINFHSA-N 413.655 4.762 5 20 HJBD COc1cccc(C2(CNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CCCC2)c1 555958484 KWTVULXFBZDBAB-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)c2ccccc12 558003923 NTKAUNPYRTWHND-GFCCVEGCSA-N 405.385 4.632 5 20 HJBD COc1cnc2ccccc2c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 581773855 TXTULRCVDRGUNU-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]3C[C@@H]32)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 586890101 KHHHQHMBPBFVKS-DOMZBBRYSA-N 402.834 4.674 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 588190131 BJTYDMKWIBDYBE-SAABIXHNSA-N 421.419 4.744 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c(F)c3F)cc2[N+](=O)[O-])C1 603470270 DAAIHCPRAXRBEM-VXGBXAGGSA-N 407.392 4.747 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccc(F)cc3)[C@H]3CCCO3)cs2)c1 609598635 FXGIOBXUHPDKIE-UXHICEINSA-N 413.474 4.867 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])ccc21 609763598 DNFDDLADIUTTCN-UHFFFAOYSA-N 422.363 4.719 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCCCOc1cccc(Cl)c1 609769206 DHOXSOSVFKDKGJ-UHFFFAOYSA-N 422.890 4.749 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(OC)c1 609772941 KHAUQIJHLMAEAZ-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD Cc1ccc(C)c(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609855784 CVSNEEIEABHNNV-UHFFFAOYSA-N 419.268 4.981 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610039464 KBGCVSVQJGWRHE-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1ccccc1[N+](=O)[O-] 610341293 QBWQNGXWUUPHDJ-KRWDZBQOSA-N 401.466 4.900 5 20 HJBD Cc1ccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)[nH]c2c1 611206361 BSYVFDHJEAQPIU-UHFFFAOYSA-N 410.499 4.744 5 20 HJBD Cc1ccc(-c2nc(C(=O)OCc3cc([N+](=O)[O-])ccc3OC(C)C)cs2)o1 729117375 BDTYDJRFEYGUFK-UHFFFAOYSA-N 402.428 4.764 5 20 HJBD O=C(OCCc1cccs1)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 733387846 FWMGFTYWQMXWTK-UHFFFAOYSA-N 415.854 4.940 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c2Cl)cc1 736019297 SWQPQNBONCXWQB-UHFFFAOYSA-N 424.888 4.703 5 20 HJBD COc1cc(C(=O)OCc2ncc(C)o2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 744552986 XCCPEKPPNOLGBI-UHFFFAOYSA-N 418.789 4.703 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1ccc([N+](=O)[O-])cc1F 744833391 SBZIIBRBQZGIDL-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747123895 JNZFECSWHOEYME-UHFFFAOYSA-N 424.375 4.806 5 20 HJBD O=C(NO[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 749623699 RBAITNVBZFAMRG-KRWDZBQOSA-N 408.863 4.587 5 20 HJBD O=C(OCc1coc(-c2c(F)cccc2F)n1)c1cc2cc([N+](=O)[O-])ccc2o1 751682208 WTJMAZUDCGXVRO-UHFFFAOYSA-N 400.293 4.631 5 20 HJBD C[C@H](C(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 754432425 ZAMYZUDRZYYNRE-JSGCOSHPSA-N 410.367 4.872 5 20 HJBD Cc1c([C@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)oc2ccccc12 754624126 DUFNGWJIAVSQTK-STQMWFEESA-N 401.850 4.981 5 20 HJBD O=C(NCCc1ccc2ccccc2c1)c1cc(F)cc([N+](=O)[O-])c1Br 754983156 YSJCGMUSFMOWQM-UHFFFAOYSA-N 417.234 4.622 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)s2)o1 755371525 XMNUTLZYTUONQK-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD Cc1ccc(-c2noc(Cn3c(SC(F)F)nc4ccccc43)n2)cc1[N+](=O)[O-] 761637883 GXAIPPLIOSMBSX-UHFFFAOYSA-N 417.397 4.666 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 768743038 PAZDMVRLZHPTTQ-HNNXBMFYSA-N 419.762 4.880 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 769941402 JYJYGWYTZIXEQD-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD CCc1ccc(C(=O)N2CCC[C@@H](n3c(CC)nc4ccccc43)C2)cc1[N+](=O)[O-] 773181758 DFXJJOIMJWQVKE-GOSISDBHSA-N 406.486 4.547 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N3CCc4cc(C)c([N+](=O)[O-])cc43)c(C)c2c1 773989097 DQOAYFXEQFMRDL-UHFFFAOYSA-N 406.438 4.682 5 20 HJBD Cc1cccc(C)c1OCCC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134286 AKJDUEZLEFDWAF-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)c1ccccc1C(F)(F)F 789091809 WPJQMJZRVUDWRJ-MNOVXSKESA-N 413.323 4.671 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CC(C)(C)CC(=O)c1ccccc1 799536266 PPFHUQWNZIUSNK-UHFFFAOYSA-N 402.878 4.896 5 20 HJBD C[C@@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 805543030 YAFVGTDAXKYORN-OAHLLOKOSA-N 411.461 4.980 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)C1(c2ccccc2)CC1 808539161 UJCWTNRJWUDCMT-INIZCTEOSA-N 411.457 4.528 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)C1CCN(c2nc3ccccc3s2)CC1 813242384 RGFVNLUTUKPSID-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD COC(=O)c1cc(NCc2c(Br)cccc2[N+](=O)[O-])cc(Cl)c1F 864012188 WPUUNMXZLUDIQS-UHFFFAOYSA-N 417.618 4.549 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc(Cl)c([N+](=O)[O-])c1 916476329 BRDXASKYOMIZPY-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@@H](c2ccc(F)cc2)C1 917051799 KRJDWVYMZGQHGO-MRXNPFEDSA-N 404.747 4.984 5 20 HJBD C[C@H](OC(=O)[C@H]1CCN(c2cc(Cl)ccc2Cl)C1=O)c1cccc([N+](=O)[O-])c1 920160575 XTYVQDXJEODPOA-NHYWBVRUSA-N 423.252 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1cccc(NC(=O)OC(C)(C)C)n1 1321288374 ITTRWFVLYWXWBT-ZDUSSCGKSA-N 401.419 4.563 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 10235614 MOOWGOFOVMLUST-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1ccc(Br)c([N+](=O)[O-])c1 37286151 JDTBMOYKQSKLAF-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 55467037 IDTDHIBQNWISJE-CQSZACIVSA-N 411.483 4.537 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](c2ccccc2)C(C)(C)C)cc1[N+](=O)[O-] 106216878 MGGZXILSXTUFIW-HXUWFJFHSA-N 402.516 4.740 5 20 HJBD O=C(Nc1cccc(N2CCCC2=O)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107773796 KOTOGYJXMVTKLQ-UHFFFAOYSA-N 417.421 4.766 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3nc4sccn4c3[N+](=O)[O-])C2)nc2ccccc21 301355565 XXHFNQCCNUARTL-AWEZNQCLSA-N 410.503 4.619 5 20 HJBD CCS(=O)(=O)c1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 302075785 XVIYWTIIYXFRSA-UHFFFAOYSA-N 422.812 4.673 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccc(C)c(C)c2)C2CC2)cc1[N+](=O)[O-] 303936422 XXLRNZFNUONYAZ-UHFFFAOYSA-N 414.527 4.645 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2nc3ccccc3s2)nc1-c1ccccc1 409672264 GMBONVOACZRZHL-GOSISDBHSA-N 418.478 4.844 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cncnc2)cn1 410155411 AXGAZWQPAPTFHV-UHFFFAOYSA-N 409.471 4.591 5 20 HJBD COc1ccc([C@H](CCC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 426760558 SFUHAZZGFPTLBW-FQEVSTJZSA-N 410.426 4.559 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](c3cc(F)ccc3F)C(F)F)o2)c1 434670926 SHMSSGLGPUSNGS-SFHVURJKSA-N 409.339 4.667 5 20 HJBD CCCCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C1CC1 435508601 WRQCQVRMRLBZPG-UHFFFAOYSA-N 419.528 4.537 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 436340785 VAZCNHYKLAQBPZ-SFHVURJKSA-N 418.375 4.512 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 438828002 VIEJVGVTAUPYOZ-IBGZPJMESA-N 420.513 4.783 5 20 HJBD COc1cccc(Oc2ccc(CNC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cn2)c1 443969148 GFTGDASQKNHGNJ-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 444856943 KLGDXMIJJRCSHU-ZETCQYMHSA-N 421.219 4.511 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1OCCCO 462955926 XUVLKXJMYIMVBY-KGLIPLIRSA-N 423.307 4.530 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 467760375 SLACAZLKONXCEP-OJWCNPDFSA-N 424.497 4.789 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1C(F)(F)F 471829219 AHTWSXRUUVYOLH-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)N[C@H](C)c1ccccc1[N+](=O)[O-] 476557863 CVEFLFZPLLFEGT-LLVKDONJSA-N 415.833 4.711 5 20 HJBD CCC[C@@H](N[C@H](c1cc(OC)cc(OC)c1)c1nccn1C)c1cccc([N+](=O)[O-])c1 480341991 KSUCATZTVOIMFK-FGZHOGPDSA-N 424.501 4.566 5 20 HJBD CCOc1ccccc1[C@H](CC)Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-] 480507737 COEYLVGROXVCCY-KRWDZBQOSA-N 408.458 4.521 5 20 HJBD CCn1c(SCc2ccc(SC)c(OC)c2)nnc1-c1ccc([N+](=O)[O-])cc1 481345751 VTNHYJRTKDJFMY-UHFFFAOYSA-N 416.528 4.896 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(Br)c2C)cc1SC 483702810 VNSFTBVDZXKOJH-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2c(F)cccc2F)CC1 485563041 VQRQCYDICMSKHN-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n(-c2ccccc2C)n1 486426435 NFXRYVLQTHPLCB-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD Cc1nc(NC(=O)c2ccccc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1F 487584980 SHDUSNXQPMQJGW-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 488478885 AFVWIUFRFUDLTG-UHFFFAOYSA-N 417.535 4.610 5 20 HJBD Cc1cc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)ccc1NC(=O)c1ccco1 490141489 FNEHHNDPUDNZTM-UHFFFAOYSA-N 420.425 4.575 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccccc1Cl 498038686 LRFNZAFXHYHAHL-KRWDZBQOSA-N 410.301 4.715 5 20 HJBD Cc1nn(Cc2nnc(-c3c(Cl)cccc3Cl)o2)c2ccc([N+](=O)[O-])cc12 502848433 UZRXGEZJOFSGAQ-UHFFFAOYSA-N 404.213 4.658 5 20 HJBD CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)Cc1c(F)cc([N+](=O)[O-])cc1F 509007152 PACAGKPSHTVDLJ-UHFFFAOYSA-N 422.819 4.987 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cc3)nc2)c([N+](=O)[O-])c1 509590202 LOKIDSJYWPFGFQ-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccccc2)CC2CCC2)cc1[N+](=O)[O-] 512806493 WVPUQOJVLSEEDF-UHFFFAOYSA-N 414.527 4.666 5 20 HJBD O=C(Nc1ccc(NC2CCOCC2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 513074717 KJJGPXLZXGIXGB-UHFFFAOYSA-N 424.482 4.562 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1C 518654310 SGYHVYLPZINWSZ-CQSZACIVSA-N 401.488 4.761 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 521922946 UMPGLWUKNBVCLU-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD COc1ccc(Br)cc1SCc1nc(-c2cccc([N+](=O)[O-])c2)no1 525003409 FOFXQTPDUQNSML-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(NC(C)=O)c1 537399416 YVYWBLYZQQLGKQ-CQSZACIVSA-N 415.515 4.936 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(-c2ccncc2)cc1 538238122 BNIFOSABTYOQDL-KRWDZBQOSA-N 404.470 4.645 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)c1cccc(OCc2ccccn2)c1 539842063 RPGXXMSTCXVGCB-SFHVURJKSA-N 419.481 4.985 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)[C@@H](C)C2CC2)cc1 541586343 VZWVOWFOFZLHSR-CABCVRRESA-N 400.450 4.673 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1)c1ccc([N+](=O)[O-])cc1F 542513311 PDSVYASJEUJAGP-SECBINFHSA-N 407.373 4.878 5 20 HJBD C[S@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 543481752 ZKCIDKAZDFLXLU-NDEPHWFRSA-N 418.540 4.867 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(OC(F)F)cc1OC(F)F 544386360 NWUULVXSHQQXBT-SNVBAGLBSA-N 418.343 4.657 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 544428384 ANFLRJRIRAMNBM-GFCCVEGCSA-N 410.829 4.545 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(Cc2nc3ccccc3n2C(F)F)no1 545616208 SYPULAFPKHGOEY-UHFFFAOYSA-N 405.748 4.634 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551309082 ZARIHEBQRFNATF-QLJPJBMISA-N 416.783 4.773 5 20 HJBD Cc1c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cccc1-c1ncco1 552709149 WLMGQZJZCWUJAG-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=[N+]([O-])c1cc2c(N3CC[C@H](Oc4ccc(Cl)c(Cl)c4)C3)ncnc2s1 553483394 XUBFRRYJOYKJPL-JTQLQIEISA-N 411.270 4.564 5 20 HJBD COc1cc(CN2CCCn3c(cc4ccccc43)C2)c([N+](=O)[O-])cc1OC(F)F 553980835 MYPGMOFPUJOPDF-UHFFFAOYSA-N 417.412 4.565 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1ccccc1[N+](=O)[O-] 559334082 HYRMCSGQQLFRHD-HNNXBMFYSA-N 409.511 4.888 5 20 HJBD O=C(NC1[C@H]2CC[C@H]1Cc1ccccc1C2)c1ccc2[nH]c3ccccc3c(=O)c2c1 561691986 UPVHDIKXYYUEKZ-OALUTQOASA-N 408.501 4.605 5 20 HJBD O=[N+]([O-])c1c(N2CCO[C@@H](c3cccc(OC(F)(F)F)c3)C2)ccc2ncccc12 561702418 VKLQMJQTPPXWER-GOSISDBHSA-N 419.359 4.620 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 561819320 JWTZYOYKEISNAC-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1c([N+](=O)[O-])cccc1C(F)(F)F 578470065 MUVZKAIUGPTHIC-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(-c3ncn(C)n3)c2)cc1[N+](=O)[O-] 600850344 YAWIDNZVIYPTRE-UHFFFAOYSA-N 414.469 4.770 5 20 HJBD COc1cc([C@H](C)Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)ccc1OCC(C)C 603852025 GWVFPXDHFDENOX-AWEZNQCLSA-N 413.474 4.696 5 20 HJBD CC[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccccc1OC(F)F 603877427 CNGVIJXHGCPNDW-MRXNPFEDSA-N 419.428 4.678 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@H](c2cc3ccccc3[nH]2)C1 603890241 VSSUSDDDUPZCAV-SFHVURJKSA-N 406.486 4.593 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2ccc(Cl)cc2[N+](=O)[O-])n[nH]1 609692807 JRUFWJRCNPJFPT-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD Cc1nc(-c2ccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cc2)oc1C 609725061 APSUWFOJBYWUOH-UHFFFAOYSA-N 415.833 4.928 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3c[nH]c4c(C)cccc34)n2)cc1[N+](=O)[O-] 610154421 VJFWWJGRXXJFRJ-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD CCOc1cc(NC(=O)N2C[C@H](C(F)(F)F)CC[C@@H]2C)c([N+](=O)[O-])cc1OCC 611555812 DYTLFVVXBASGSS-NWDGAFQWSA-N 419.400 4.587 5 20 HJBD O=C(OCc1nc(Cl)ccc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 726389579 VDFHHNPXUNJIMN-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD CC(C)(C)OC(=O)N1CCC(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 726606258 XWAOAFVATDYSHX-UHFFFAOYSA-N 415.490 4.592 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)/C=C\Sc1ccccc1 728083895 WIXIZHWKJGQCTP-QXMHVHEDSA-N 424.482 4.688 5 20 HJBD CCN(CC)Cc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)o1 728165644 NIHWPBOGASIAIQ-UHFFFAOYSA-N 405.882 4.740 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1C(F)(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729756929 RICLLGBVNGKKJE-NSHDSACASA-N 421.331 4.511 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2nc(-c3ccc(F)cc3)ns2)cc1[N+](=O)[O-] 732943918 ZOIVSFOACBCTHD-UHFFFAOYSA-N 400.435 4.802 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Cl)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442956 MQXGHEGGLFOKTM-LLVKDONJSA-N 420.780 4.553 5 20 HJBD COc1cc(COC(=O)C(C)(C)Cc2ccccc2)c([N+](=O)[O-])cc1OC(F)F 735560131 LZCRTSLQQMSTRY-UHFFFAOYSA-N 409.385 4.517 5 20 HJBD Cc1ccc(NC(=O)[C@H](NC(=O)OCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 737764008 YKOPFJBEIXPXDC-OAQYLSRUSA-N 419.437 4.509 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 739550877 OMIVTRXCMLZSBY-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(=O)c1ccc2c(c1)CCC2 745568141 ZQKXLMADGFQLLH-NSHDSACASA-N 407.344 4.531 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746080091 UKVRGNPKYVSNQJ-HNNXBMFYSA-N 404.633 4.722 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)Oc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])s1 749063046 VFSXPZGGMVPGTH-AWEZNQCLSA-N 412.467 4.959 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(OCc2ccncc2)cc1 757220233 HCMOYYREUZRMIH-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@H](NC(=O)OC(C)(C)C)c1ccccc1 760370689 ADMHGFMDFFSMGY-KRWDZBQOSA-N 413.474 4.806 5 20 HJBD CC(C)N(CCS[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 762198928 INRKLYLMCTUVOJ-CYBMUJFWSA-N 421.485 4.793 5 20 HJBD O=C(NCCCCCCO)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 775866460 BTSMKNSSXCYVIO-UHFFFAOYSA-N 408.907 4.682 5 20 HJBD CC(C)(Oc1ccc(F)cc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776216688 BXTGWGRSLPTFND-HXUWFJFHSA-N 410.401 4.619 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778758441 TUXIDZBEUOUFCG-HZPDHXFCSA-N 416.499 4.576 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)CC3 779186057 UPGVGUQFWDANSX-GOSISDBHSA-N 404.514 4.500 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H](C(F)(F)F)CC[C@@H]2C)CC1 780277387 QCDOISNIPUBAMA-GJZGRUSLSA-N 413.440 4.634 5 20 HJBD C[C@H]1CSc2ccc(Cl)cc2N(C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 784749613 XTMMFEHXCDASJR-SNVBAGLBSA-N 407.835 4.545 5 20 HJBD COc1cccc([C@@H]2[C@@H](c3ccccc3)CCN2C(=O)c2cc([N+](=O)[O-])ccc2N)c1 787263389 MAQRJRBTDLQEKS-NFBKMPQASA-N 417.465 4.557 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1cc([N+](=O)[O-])ccc1Cl 791320393 LJQJSNLEEIIHRF-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCc2[nH]c3c(Br)cccc3c2C1 804371358 DGONMBFWBQSXIZ-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H](c1ccc(F)cc1)c1cccs1 808057131 RPUPKAIYHAVDOY-IBGZPJMESA-N 420.849 4.733 5 20 HJBD O=C(COc1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)N1CCCC1 811469056 BHXKXXIDJMINHT-UHFFFAOYSA-N 424.284 4.515 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1noc(-c2ccc(Cl)cc2)n1 913387014 IJOJTGHSQVCXAC-LLVKDONJSA-N 419.846 4.522 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)C(=O)N(C)c1ccccc1 919191750 BQERTJSERKGLEM-INIZCTEOSA-N 420.421 4.595 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(OCC(F)(F)F)cc1 920285619 NNBXFRYMTMKJAF-JTQLQIEISA-N 402.756 4.680 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)o2)cc1 1117211310 INQFAFGWEYKBQB-CQSZACIVSA-N 417.425 4.889 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 1261247482 YVTFCRSRGWXFJD-AYHJJNSGSA-N 413.905 4.564 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc12 1319132785 IMXDGFIOMWDDFY-UHFFFAOYSA-N 422.441 4.599 5 20 HJBD O=C(c1nc(Cl)c(Cl)c(Cl)c1Cl)N1CCc2ccc([N+](=O)[O-])cc2C1 1323734308 ASOBVYPHULVLSC-UHFFFAOYSA-N 421.067 4.802 5 20 HJBD CCOC(=O)c1cc(-c2nnc([C@H](C)Oc3cccc(Cl)c3)o2)cc([N+](=O)[O-])c1 1326376159 JXBGYFVKJAKCHC-NSHDSACASA-N 417.805 4.615 5 20 HJBD COc1cc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 1328243832 VLXDNSPWRSSHNO-SNVBAGLBSA-N 420.219 4.556 5 20 HJBD CCn1c(S[C@H](C)C(=O)N(C)c2ccccc2)nc2cc3ccccc3cc2c1=O 7577869 VQBPGPXVXBHDNU-MRXNPFEDSA-N 417.534 4.713 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3ccc(Cl)cc3[N+](=O)[O-])c2)cs1 18777792 HSYYUXFUWZRCEJ-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD CC(=O)Nc1ccc(C(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cc1 24132725 PBERHRBASNLIRU-JTQLQIEISA-N 401.469 4.526 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](c1ccccc1)N(C)Cc1ccccc1[N+](=O)[O-] 26346379 ZSLYEDQCQDMLPQ-HSZRJFAPSA-N 419.481 4.724 5 20 HJBD O=C(NCCc1csc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1Cl 38736268 IRPXWKUVTNAAHS-UHFFFAOYSA-N 422.293 4.998 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccccc3F)s2)c1 46038157 XKCXBFHCJIFGTA-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H](c1ccccc1)c1ccccn1 47864722 LQNCGNDSRRSINW-GOSISDBHSA-N 414.368 4.502 5 20 HJBD CCCC(C)(C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 50498645 MZAMUJKYSFLHML-UHFFFAOYSA-N 401.429 4.525 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)c2ccccc2)c1 58371495 MKGMKPDNLUZKKD-UHFFFAOYSA-N 418.453 4.678 5 20 HJBD CN(C)S(=O)(=O)c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1 61045231 QTAKWAAFCFQRIL-UHFFFAOYSA-N 404.535 4.533 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OC(F)F)cc1 64906832 KTMPGSZLXFYEDC-LLVKDONJSA-N 419.409 4.811 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1cccs1 65869067 WUZAQRLBRQQFPC-LJQANCHMSA-N 401.875 4.627 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc(OC(F)F)c3)n2)cc1[N+](=O)[O-] 71814881 ISSWCTIPKTZKSI-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1)c1cc2ccccc2o1 195720558 PIZQYKNELCWXKA-JOCHJYFZSA-N 401.422 4.930 5 20 HJBD Cc1cc(N2CCC(c3c[nH]c4ncccc34)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301127866 VOICALYSKUXWPD-UHFFFAOYSA-N 414.469 4.621 5 20 HJBD Cc1ccc([C@@H](C)Nc2cc(C(F)(F)F)nc(-c3ccncc3)n2)cc1[N+](=O)[O-] 301572962 LMPRXTWCJXYWIH-GFCCVEGCSA-N 403.364 4.947 5 20 HJBD CC(C)(C)Oc1cc(NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)ccc1[N+](=O)[O-] 301897902 WEOYVZRUNKKVNT-IBGZPJMESA-N 413.474 4.502 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(Cl)cc1OCC(F)(F)F 303600855 NTCAHVFYMQXRPD-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD COc1cc(CN(C)Cc2cccc3[nH]ccc23)c([N+](=O)[O-])cc1OCC(F)(F)F 426389024 CELMLSQYQWJAPI-UHFFFAOYSA-N 423.391 4.658 5 20 HJBD CC(=O)Nc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 431066196 RTLLLIULIAJYJZ-UHFFFAOYSA-N 424.379 4.629 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1 432082342 ZRSBCQBFJPHJFA-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@H](C)c3ccccc3[N+](=O)[O-])CC2)n1 433156134 ADDVOAAJSYKRRG-OAHLLOKOSA-N 408.458 4.594 5 20 HJBD CCN(C(=O)c1ccc(O)c([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1ccccn1 436966535 VKSZTTQLWDQJJV-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD Cc1ccc(CN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccc(F)cc2)o1 440312915 FDCCDGOCCSQOLO-UHFFFAOYSA-N 408.389 4.892 5 20 HJBD CC1(C)CC(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC(C)(C)N1 443170395 SHUFDLSNWPRAEZ-UHFFFAOYSA-N 413.543 4.785 5 20 HJBD C[C@@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444096570 KNUHVNQVWYIEED-AEFFLSMTSA-N 414.480 4.914 5 20 HJBD CC(C)N(Cc1cccc(F)c1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 446109144 RRTSOBUWFUPGKA-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 460461481 MDBHYEAPSYSZAB-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 460859996 RFRPFBBLTJQCHL-LJQANCHMSA-N 423.435 4.735 5 20 HJBD C=Cc1ccc(CCNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 462382255 YCDFJNAZZRVNJE-KRWDZBQOSA-N 416.481 4.778 5 20 HJBD C[S@@](=O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1 462790924 WJCISEAATRPIHT-HMILPKGGSA-N 418.902 4.718 5 20 HJBD CCCN(C(=O)c1ccccc1NCc1cc(OC)c(F)cc1[N+](=O)[O-])C(C)C 464092745 BNYXLNVKRNEMAG-UHFFFAOYSA-N 403.454 4.615 5 20 HJBD Cc1nc(COc2ccccc2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cs1 466280399 KSSTUNSFDSQWCS-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD CCc1c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1 468200662 TZBTZRAZAULZSK-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1 470845809 WMADXBGOFHPJQR-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 472009802 VPPOJBGYJICQAF-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1cc(N2CCC3(CC2)OCCc2ccsc23)c(Br)cc1[N+](=O)[O-] 473531582 ZMLTXVBPZUOUPQ-UHFFFAOYSA-N 423.332 4.796 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cnn(-c2ccc(Cl)cc2Cl)c1 474981029 NQVLNIWBHSIKEA-UHFFFAOYSA-N 420.256 4.751 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2ccc(F)cc2)C1 478241716 QTXUCNAXEOBYEE-HNNXBMFYSA-N 404.416 4.589 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1ccccc1[N+](=O)[O-] 481482912 QJZMATUSHSYTRJ-OAHLLOKOSA-N 413.543 4.614 5 20 HJBD O=c1cc(/C=C/c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)[nH]c(-c2ccncc2)n1 486004025 LMEXHKORTZDZFI-QPJJXVBHSA-N 412.405 4.703 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)o2)cc1 486090942 AEZVAELULBQKOV-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)CCc2ccc(Br)cc2)c1[N+](=O)[O-] 486252235 JDXMGMKHKUDQLQ-GFCCVEGCSA-N 423.332 4.830 5 20 HJBD CC(C)(NCc1cnn(-c2ccc(Br)cc2)c1)c1ccccc1[N+](=O)[O-] 492192867 KMMFHFPTXXWSBC-UHFFFAOYSA-N 415.291 4.568 5 20 HJBD O=C(Nc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1)c1ccco1 494249699 CDESFASMTLGVAP-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nc(-c3ccc(Cn4cnc5ccccc54)cc3)no2)c1 504801538 DLKAAYWLHLTFRR-UHFFFAOYSA-N 415.384 4.849 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC[C@@H]1/C=C\c1ccccc1 505365354 CFXQTCOLSWAKBW-SIJIIQCFSA-N 401.260 4.675 5 20 HJBD CSc1ccc(C(=O)NCCCO[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 505538482 OQPYJQXXXBOTQU-LJQANCHMSA-N 400.500 4.531 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)CSc2cccc([N+](=O)[O-])c2)cc1 509648586 JDYDVESZHOWODL-FQEVSTJZSA-N 400.500 4.839 5 20 HJBD Cc1ccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1NC(=O)c1ccccc1 513840117 XETHKGRMCGPRIR-UHFFFAOYSA-N 415.449 4.749 5 20 HJBD CC[C@@H](C)[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 518279095 BAOYNEGZGXAMQP-UZUQRXQVSA-N 424.545 4.646 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 519876351 XCOMFRUWQMRXNY-ZDUSSCGKSA-N 424.906 4.783 5 20 HJBD CCn1c(SCCOc2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(Cl)cc1 520602110 VHKKWHJOJLIFPN-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 524212340 SAGAWSGFFAJHSM-JTQLQIEISA-N 420.252 4.989 5 20 HJBD O=C(Nc1cc(Cl)cc2cccnc12)c1ccc(Br)cc1[N+](=O)[O-] 531425931 DVMYPUKEROWXMS-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1cc(CNc2ccnn2CC(C)C)ccc1OCc1ccc([N+](=O)[O-])cc1 532171636 DCCQASLERMDQKW-UHFFFAOYSA-N 410.474 4.647 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 534432660 JRCKUTJHFLCJKO-HNNXBMFYSA-N 416.547 4.917 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCc2sccc2[C@H]1c1cccs1 534990707 SOZZTYYEWIBDON-IBGZPJMESA-N 409.492 4.987 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2cn3ccsc3n2)cc1 537862858 IGTKHJZHJXPGAA-UHFFFAOYSA-N 408.439 4.622 5 20 HJBD CC(C)[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 537921119 GDLQKMPBWSCSAZ-KXBFYZLASA-N 400.500 4.679 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCO[C@@H](c2ccccc2Cl)C1 538346018 ZXJFHYUMMPVRCY-LJQANCHMSA-N 423.856 4.524 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539381803 TZKKERJVZOBCKY-QGZVFWFLSA-N 409.442 4.763 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](CC(F)(F)F)c2ccccc2C)c1 540687545 DBBADIVTVUAYMH-INIZCTEOSA-N 410.392 4.790 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 543465045 VPZYXJJEQSAPHE-UONOGXRCSA-N 404.438 4.511 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)c1 544644973 QSHQMDHGHPTKHB-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545122163 XXKXQHZZLSGGDB-UHFFFAOYSA-N 404.438 4.838 5 20 HJBD COc1ccc(CC(=O)N2CCCC[C@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 547426802 UXPYUOOAIPYWQC-KRWDZBQOSA-N 422.403 4.919 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555377524 PBHRPFCZMUQEBL-UHFFFAOYSA-N 408.376 4.865 5 20 HJBD COCc1cc(NC(=O)c2cc3ccccc3c3cccnc23)cc(C(=O)OC)c1 557814453 JNWZOZQNCIIJJX-UHFFFAOYSA-N 400.434 4.573 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccc(Cl)cc1 558326978 GGKZLJHERGIYJN-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 567489032 JQQFZTFIKFSWNA-UHFFFAOYSA-N 419.865 4.520 5 20 HJBD COc1ccc(CN(C)Cc2cc([N+](=O)[O-])ccc2OC(C)C)cc1OC(F)F 570470631 QGRLPZPLAYAOQI-UHFFFAOYSA-N 410.417 4.624 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)C[C@H]1CCN(Cc2ccccc2)C1 575010346 NHKRLZVXTBCOEG-LJQANCHMSA-N 422.554 4.672 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1Cl 590171915 FPUIVGLHYBIESZ-UHFFFAOYSA-N 416.739 4.696 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1csc([N+](=O)[O-])c1 603755793 ATEBXXBBZMICTL-TXEJJXNPSA-N 421.906 4.965 5 20 HJBD O=C(CCCc1cccs1)Nc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2)c1 604470498 CTQCHRBALWGTMI-UHFFFAOYSA-N 423.494 4.548 5 20 HJBD COc1ccc(CC(=O)N[C@@H](Cc2ccc(Cl)cc2)c2ccccc2)cc1[N+](=O)[O-] 609011094 ZKKZVIMUINHAAX-FQEVSTJZSA-N 424.884 4.900 5 20 HJBD COc1cc(/C=C/c2nc3cc(Cl)ccc3s2)c([N+](=O)[O-])cc1OCC(=O)O 609322548 AVWMCOUXMSAUQE-GORDUTHDSA-N 420.830 4.500 5 20 HJBD CCCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](CC)c1ccccc1 609637417 LBBHNZUOFUXJCU-LJQANCHMSA-N 417.893 4.967 5 20 HJBD COc1cccc(C[C@]2(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])C[C@H]3CC[C@H]2C3)c1 609784129 AQJUFLVCLSJPHC-WXVUKLJWSA-N 408.498 4.611 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccc(Br)cc1 610047014 WSRDHGWULGPGBM-RBUKOAKNSA-N 417.303 4.600 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 642078242 CYIWRKIKQHBYTG-UHFFFAOYSA-N 414.505 4.853 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3c3cc(C)on3)c([N+](=O)[O-])c2)cc1 727431566 SDTZALGVJXWQLW-IBGZPJMESA-N 406.442 4.793 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccc(N2CCCCC2)cc1 729048119 ZQYSQOARSGVRQA-UHFFFAOYSA-N 424.545 4.748 5 20 HJBD COC(=O)C1CCC(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 730107601 NQUJQHYURWGTPD-UHFFFAOYSA-N 418.877 4.862 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H]1c1ccc(F)c(F)c1 732973664 ZUSUEUUGCFUUQT-BDJLRTHQSA-N 410.804 4.657 5 20 HJBD CCc1ccc(OC(=O)C[C@@H](NC(=O)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 734736588 CNWCTKOOWDHVKC-HXUWFJFHSA-N 418.449 4.624 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(-c2cccs2)n1 735148541 NLDKZKQXDNOCHC-UHFFFAOYSA-N 424.887 4.946 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1cccc(COc2ccccc2)c1 742396125 IRWHXPPMZGLNSE-UHFFFAOYSA-N 419.437 4.743 5 20 HJBD CN(CC(=O)Nc1cccc(Cl)c1Oc1cccnc1)c1ccccc1[N+](=O)[O-] 742573966 JNIKIAXVJFCJNW-UHFFFAOYSA-N 412.833 4.510 5 20 HJBD Cc1ccc(C(=O)OCCc2ccc([N+](=O)[O-])cc2)cc1NC(=O)OC(C)(C)C 745455612 KBBPMPXWPIQDNZ-UHFFFAOYSA-N 400.431 4.650 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nnc(-c2cccc(Cl)c2)o1 745893019 YYSWSMPOFXYJKB-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 746058670 OVNJYFHGGVBSBJ-SNVBAGLBSA-N 419.846 4.938 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749918165 GGGCKACKCPTNED-NSHDSACASA-N 411.683 4.762 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 756742007 RSTBIRUFXCNISM-CYBMUJFWSA-N 420.491 4.841 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])s1 758486472 OBURUUVEBQNKQU-AWEZNQCLSA-N 400.435 4.688 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)C[C@H](O)c3ccco3)c([N+](=O)[O-])c2)cc1 759246709 NFERZCAPMVAWPH-YWZLYKJASA-N 409.442 4.673 5 20 HJBD Cc1sc(NC(=O)/C=C\c2ccc(Cl)nc2)nc1-c1cccc([N+](=O)[O-])c1 759845977 RLAIESGPZPLERH-VURMDHGXSA-N 400.847 4.727 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 759869706 BTEPFYZZQNBZPY-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](C(=O)Oc1ccc(N(C)C(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 761966269 XBMUFFKLKJTDLS-AWEZNQCLSA-N 400.431 4.675 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cc([N+](=O)[O-])ccc1Cl 767255992 PVORRBMBFJVZGX-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD Cc1cc(NC(=O)c2ccc3c(c2)C[C@H](c2ccccc2)OC3=O)ccc1[N+](=O)[O-] 777129214 GYJFKTIDBUMPSZ-OAQYLSRUSA-N 402.406 4.610 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1sc(NC(=O)OC(C)(C)C)nc1C 782219414 KPNGGCUEJNSRHB-UHFFFAOYSA-N 406.464 4.576 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c(Cl)c1 790140717 VLOQFXIYETWMGI-MRXNPFEDSA-N 408.838 4.708 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3ccc(Cl)cc3-n3cncn3)sc2c1 794418846 ILRFPKHSKWWXLN-UHFFFAOYSA-N 403.876 4.731 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Oc2cccnc2)c(C)c1)c1ccc([N+](=O)[O-])cn1 794949260 LRAPTKULDNKKFL-UNOMPAQXSA-N 405.410 4.589 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)c1nc2ccccc2o1 801282426 FAJGBCAONNIULG-MRVPVSSYSA-N 409.167 4.556 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2ccc(Cl)c([N+](=O)[O-])c2)cs1 808056878 FKWSEXOPOBKOHX-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 808703031 RKPHNJMZSLDJAT-KRWDZBQOSA-N 418.236 4.946 5 20 HJBD C[C@H](c1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)N1CCOCC1 812976328 ABOYSMQOLVVGAN-GFCCVEGCSA-N 424.284 4.547 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)Cn1cc(Br)c([N+](=O)[O-])n1 917616024 BKUUKPCQLNQPCG-UHFFFAOYSA-N 422.110 4.729 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 917854576 GQEGKTWRHCXDJB-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)Nc1cc([N+](=O)[O-])c(F)cc1F 920022387 BFYJTMMMZFVJPL-UHFFFAOYSA-N 415.830 4.893 5 20 HJBD O=C(COCc1nc2ccccc2s1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920329598 LRZHHOBSKQZOTH-UHFFFAOYSA-N 420.446 4.994 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 5672465 AGGNWRUYQAMERM-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD C[C@@H]1CCc2sc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2C1 7188295 ZUVRWLAFGRGUFX-VXGBXAGGSA-N 413.455 4.749 5 20 HJBD CC(=O)N(c1nc(Cn2nc(C)c([N+](=O)[O-])c2C)cs1)c1c(C)cc(C)cc1C 7391591 NVCHBUADULOTRA-UHFFFAOYSA-N 413.503 4.523 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1ccc(Br)c([N+](=O)[O-])c1 11175144 LLXPFANMUVMYTH-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD CCCc1cc(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)sc1C 12175391 QKFZCPFAJZQIAA-SNVBAGLBSA-N 410.879 4.755 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1C[C@@H]1CCCO1 15503578 QHMMJPXYGAAMOJ-HTAPYJJXSA-N 419.437 4.600 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3ccccc3F)cc2[N+](=O)[O-])n1 17064091 RHBUUQZVQPROOQ-UHFFFAOYSA-N 403.460 4.580 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3ccc(NC)c([N+](=O)[O-])c3)CC2)cc1 22131078 FKUDLNQNYIVQDI-UHFFFAOYSA-N 411.502 4.520 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccccc3SCC(F)(F)F)n2)c1 55832235 QBQDIQXLRBRYKC-UHFFFAOYSA-N 410.377 4.911 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(Cl)ccc1F 61440971 FTIBUWPZQKXZRV-UHFFFAOYSA-N 405.735 4.847 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1nnc2ccccn12 96971486 QIMFXCBMAINAGE-AWEZNQCLSA-N 423.860 4.934 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCC(c3ccccc3)CC2)CC1 105721222 NUUPXEVVGGHHES-UHFFFAOYSA-N 407.514 4.851 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@H]2/C=C\c2ccccc2[N+](=O)[O-])cc1 213252110 JGOBKKAZJOGBLW-KBIMCKIRSA-N 401.422 4.715 5 20 HJBD C[C@H](c1ccncc1)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 301857866 JKAKGXYTGUMMNI-QGZVFWFLSA-N 403.486 4.689 5 20 HJBD C[C@@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 409972374 VTMDLHSLBDNNOP-CXAGYDPISA-N 408.376 4.602 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1 429178977 GDSDKBWCUOWEHQ-UHFFFAOYSA-N 410.474 4.778 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c(-c2nc(C3CC3)no2)c1 432985747 OLYMMTVQBQQFQA-UHFFFAOYSA-N 421.457 4.887 5 20 HJBD COc1cccc(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)c1[N+](=O)[O-] 435669577 NYCWCJOMJQIUCM-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD CC(C)n1c(CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 444037282 MKQOBBTYFUISED-UHFFFAOYSA-N 424.504 4.584 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444250744 KSZRKYSWXNVYEH-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD CC(C)Cn1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(C(F)F)n1 444292130 RGUJRCIMJIPUCX-UHFFFAOYSA-N 410.424 4.724 5 20 HJBD CC(C)(C)OCc1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])no1 447697666 YNWBJZHFXLERGH-UHFFFAOYSA-N 424.326 4.559 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 460534227 ZSYPNGPGYXBCSL-AWEZNQCLSA-N 413.861 4.513 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(-c2cccc(F)c2)no1 462758815 XSNSKUZMIRJMPS-ZDUSSCGKSA-N 408.389 4.895 5 20 HJBD O=C(NCc1cn(-c2ccccc2)nc1-c1ccccc1)c1ccc(F)cc1[N+](=O)[O-] 466400580 UZLVTOYWSLYWFX-UHFFFAOYSA-N 416.412 4.517 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)s1 468174969 VOQFKTQRKAYPOF-DGCLKSJQSA-N 411.321 4.865 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1c(Cl)cccc1[N+](=O)[O-] 471284835 AXYWVVCXUBRUCJ-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD CC(=O)N(c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1)c1ccc(C)cc1C 481745335 CQSZVAFTNUTZDL-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 482781633 MPVBGFURHOBORY-HUUCEWRRSA-N 422.489 4.891 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 486445235 YOHPYYWCNHAMTA-ZDUSSCGKSA-N 414.512 4.566 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](COc2ccccc2F)C(C)(C)C)c1 486446977 NNLGUGIBNJTMCR-SFHVURJKSA-N 406.479 4.679 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCc2cc(OC(F)(F)F)ccc21 487176551 BUTFKSBAUPVTHE-UHFFFAOYSA-N 412.389 4.565 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2cccc(Cl)c2)[nH]s1 488726638 OWHKHZUEWUNQGV-UHFFFAOYSA-N 420.903 4.553 5 20 HJBD CC(C)(C)Oc1cc(N[C@@H](CO)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 489008279 HUIVXTWBDRQQLT-HNNXBMFYSA-N 409.280 4.680 5 20 HJBD Cc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1C(=O)N(C)C(C)C 489513115 GGHZLMRYTXIJQT-UHFFFAOYSA-N 424.501 4.580 5 20 HJBD COc1cc(CNc2cccc(Br)c2)c([N+](=O)[O-])cc1OCC(F)F 490399095 KYEYUICNHYSUNT-UHFFFAOYSA-N 417.206 4.622 5 20 HJBD COc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1OC1CCCC1 493076975 HOEQQDZGNNNFQW-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@H]2CCN(Cc3ccccc3)[C@H](C)C2)cc1[N+](=O)[O-] 493312446 YKKDGKHBDCGFEX-DXIQSLLYSA-N 424.545 4.659 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccnc(SCC(F)(F)F)c1 494133188 OMYCIEHGMVVJQV-UHFFFAOYSA-N 413.421 4.695 5 20 HJBD COc1ccc(F)cc1[C@H](C)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 494415001 XRLWXFDRZMOIHD-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD Cc1cccc([C@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 499020173 DTIXQEYDTVUKPH-NRFANRHFSA-N 404.470 4.622 5 20 HJBD Cc1ccc(Cn2nccc2NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)o1 503154118 HNKRUFNBMANIOD-UHFFFAOYSA-N 417.425 4.737 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 503595290 XGVBMXYDNXQNBJ-NSHDSACASA-N 411.683 4.773 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccc(C)cc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 504919609 LSNPQLUOYDSKQO-XLIONFOSSA-N 414.502 4.711 5 20 HJBD O=C(c1cccc(OCc2c(F)cccc2[N+](=O)[O-])c1)N1CCc2ccccc2C1 505607195 WCPKUQZQXMBEHX-UHFFFAOYSA-N 406.413 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(-c2cccc(C(F)(F)F)c2)n1 508776651 CZDZOVXNBITIOD-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CN(CCC(F)(F)F)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 511765269 OMDHTJDNSPKIKE-UHFFFAOYSA-N 404.392 4.832 5 20 HJBD CCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)c1cc2ccccc2o1 517823230 BYOTWWKBINGOFM-LBPRGKRZSA-N 415.833 4.543 5 20 HJBD O=C1[C@@H](Nc2ccccc2COCc2ccccc2)CCN1c1cccc([N+](=O)[O-])c1 518283596 IVEWESIVIDNRRN-QHCPKHFHSA-N 417.465 4.529 5 20 HJBD Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(OC[C@H]2CCCO2)c1 518523744 SEYLJEBOKCBBOM-CQSZACIVSA-N 405.838 4.758 5 20 HJBD C[C@H](SCC(=O)N(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OC(F)(F)F)cc1 519948221 APTSIOUMNXTTRD-LBPRGKRZSA-N 414.405 4.951 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 520011803 OOHSVTIAUNIHPH-HNNXBMFYSA-N 421.453 4.916 5 20 HJBD O=C(NCc1cnc2ccccc2c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 521013368 DUFDIOMKQIBIIL-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc([N+](=O)[O-])s1 523126215 KIKLAATUGAFHMS-UHFFFAOYSA-N 410.430 4.910 5 20 HJBD CCCc1ccc([C@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C(C)C)cc1 524212232 LMCXUHUEBRQSQX-JOCHJYFZSA-N 407.470 4.928 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(Br)s1 531857973 REUVQCLLTABAGT-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(Cl)cccc1Cl 535004806 URJCDPLYARMTAN-UHFFFAOYSA-N 415.298 4.570 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC(CCO)CC1 536221625 SRGANQQWHXZZQA-UHFFFAOYSA-N 420.918 4.634 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1noc(-c2ccccc2)n1 538257533 YYVLYSJIBLHDFD-ZDUSSCGKSA-N 412.471 4.636 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1C(F)(F)F 539360804 SXSUSMNRYBNJSN-JTQLQIEISA-N 401.772 4.556 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 540413975 LVKPECVQGKXFPH-MNOVXSKESA-N 412.273 4.588 5 20 HJBD CCC[C@@H](Nc1cccc(NC(=O)[C@H](C)n2cccn2)c1)c1cccc([N+](=O)[O-])c1 540737315 VHARUGRIXRMOOT-HRAATJIYSA-N 407.474 4.944 5 20 HJBD COc1ccccc1-c1csc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 542536970 UJDRCXNKCPLEQR-NSHDSACASA-N 401.419 4.608 5 20 HJBD CCN(CC)CCCC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 542946224 HPSKJKZAGYHMMS-UHFFFAOYSA-N 400.523 4.584 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)ccc1Cl)c1ccc([N+](=O)[O-])cc1F 543465059 VZIIMCQRAKQYCT-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Oc3cc(C)ccc3Cl)n2)ccc1[N+](=O)[O-] 545786437 PMEJIXJPSWWMPG-GFCCVEGCSA-N 403.822 4.679 5 20 HJBD CC(C)Oc1cc(-c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccn1 547093224 NOGOBWAKSAVZAN-NSHDSACASA-N 404.810 4.620 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1NC1CC1 550273628 NZRAADIKIMDWAY-UHFFFAOYSA-N 408.227 4.631 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550287223 UXGOBFZNGIWDPM-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)o2)cc1 561496446 OHNYMOPSABGKPQ-SFHVURJKSA-N 424.482 4.666 5 20 HJBD O=C1[C@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1Cc1cccc([N+](=O)[O-])c1 566597971 FIMNCDUUXVZPPU-KRWDZBQOSA-N 420.252 4.570 5 20 HJBD CC1(C)CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)[C@H]1c1cccs1 567642200 WNMIUKPJJNTTOK-QHCPKHFHSA-N 402.519 4.826 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1cccc(Br)c1 572940512 QFNNHZULPBXOHJ-MZPVMMEZSA-N 421.316 4.510 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 573078007 VYWKIYUEJGCLKA-UHFFFAOYSA-N 403.866 4.508 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Oc2cccnc2)cc1 573570734 QWVZKTDSJRLLFD-AWEZNQCLSA-N 402.410 4.754 5 20 HJBD C[C@@H](Cc1ccc(Br)cc1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 603759748 JDRLEPCNXMTNCL-QWHCGFSZSA-N 423.332 4.585 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 603872776 LHCHPJUQLPCVHT-JOCHJYFZSA-N 422.481 4.694 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cn(-c2ccccc2)nc1-c1ccccc1 603873072 GOINPTFQALSBNP-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(Cl)cc1 603998318 IODNJENVTSYIRU-UHFFFAOYSA-N 411.845 4.646 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1ccc([N+](=O)[O-])cc1Cl 604570462 XTIXVVJONJGXRF-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD Cc1[nH]nc(C(=O)N(Cc2ccc(-c3cccc(F)c3)s2)C(C)C)c1[N+](=O)[O-] 609167341 RPWPMCANRLBUAI-UHFFFAOYSA-N 402.451 4.545 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)c1 609853255 HZTQKXCZPPMXAC-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(CC1(CCc2ccccc2)CCCC1)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 609881204 FVFANWQHSBPMIP-HXUWFJFHSA-N 422.529 4.586 5 20 HJBD COc1ccc(COCCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 610365327 QJWCOUDEGAMQJO-UHFFFAOYSA-N 420.465 4.744 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccc2c(c1)OCO2)C1CCCC1 610661465 SDFFTJSCMOHDPN-UHFFFAOYSA-N 411.458 4.917 5 20 HJBD Cc1cc(OCc2cccc(Cl)c2)ccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 728029397 RVLOWDTUNZSULO-UHFFFAOYSA-N 411.845 4.970 5 20 HJBD CC[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 729016080 HLUXWYFKULFHDL-KGLIPLIRSA-N 404.850 4.702 5 20 HJBD CCCOc1cc(NC(=O)/C=C\c2cnc(C)s2)c([N+](=O)[O-])cc1OCCC 732729880 FBGNPBZHDIETJI-SREVYHEPSA-N 405.476 4.589 5 20 HJBD O=C(CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Nc1cccc2ccccc12 733328597 WGGFTOSIKCOLSW-UHFFFAOYSA-N 418.478 4.600 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1cc(Cl)cc([N+](=O)[O-])c1 735990779 HKMQZWCBBAVXSL-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD COc1ccccc1-c1ccc(/C=C2\N=C(c3cccc([N+](=O)[O-])c3)OC2=O)cc1 741514132 VOTREMWYVPXUKA-MOSHPQCFSA-N 400.390 4.615 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccccc2)no1 745567405 JAOXRGYUDOENNY-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD O=C(Oc1ccc(Sc2ccncc2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 749527454 RYXIKARFLYTSFW-UHFFFAOYSA-N 418.434 4.546 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 750539284 AONQMGKFLAECHQ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)o1 750599880 UYFIUBMXQJRGNK-IAQYHMDHSA-N 419.275 4.879 5 20 HJBD CSc1cccc(C(=O)N[C@@H]2CCOc3c2ccc(Cl)c3Cl)c1[N+](=O)[O-] 754486377 QVJHJQAEYJTNMW-GFCCVEGCSA-N 413.282 4.877 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 756547892 VCKUPBBJQLHKIJ-LLVKDONJSA-N 416.459 4.526 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(=Cc2ccccc2F)CC1 758657859 CTFYJKJURRLONF-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD O=C(c1nc(Cl)c(Cl)c(Cl)c1Cl)N1CCc2cc([N+](=O)[O-])ccc21 758719431 XECKSQFZCJTKSW-UHFFFAOYSA-N 407.040 4.806 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(CC4CCOCC4)no3)cc2[N+](=O)[O-])n1 761975544 BHRVVESIJSCKJE-UHFFFAOYSA-N 418.500 4.530 5 20 HJBD Cc1cc(C(=O)[C@H](C)OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)n1Cc1ccco1 763400019 BTMOTQJADHPTMH-WBVHZDCISA-N 424.453 4.573 5 20 HJBD CCC[C@H](N[C@@H](C)c1cc(F)ccc1N1CCC(O)CC1)c1cccc([N+](=O)[O-])c1 765990297 FCAYNEJOYWVJJB-AOMKIAJQSA-N 415.509 4.887 5 20 HJBD C[C@H](Oc1ccccc1)c1nc2ccccc2n1C[C@@H](O)c1ccc([N+](=O)[O-])cc1 776277210 PTWSBBRZRHFRIV-KSFYIVLOSA-N 403.438 4.818 5 20 HJBD O=C(Nc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccccc1 777946555 IHAVNIWKOUDGBJ-UHFFFAOYSA-N 411.364 4.578 5 20 HJBD C[C@@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 780068610 LIVFNBAFJCJAIC-MRVPVSSYSA-N 424.196 4.575 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)cc1Cl 783604258 BEKLHOXAJXLWDC-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(F)c1Cl 789067945 FDCACBLXUAISCJ-VIFPVBQESA-N 415.204 4.533 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccccn2)c1 790558582 HIFHJLLMFQSVFX-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD COc1ccc(Cl)c(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 791314112 KHZYJVBSJVITOS-UHFFFAOYSA-N 407.853 4.560 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](C)c1ccc(C(F)(F)F)cn1 798667542 SOGXNGKNZTURAY-UWVGGRQHSA-N 418.755 4.734 5 20 HJBD Nc1ccc([N+](=O)[O-])c(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)c1 800849695 IUGHWXRGKHWAMW-UHFFFAOYSA-N 421.432 4.523 5 20 HJBD O=c1oc2cc(Oc3ncnc4sc([N+](=O)[O-])cc34)ccc2c2c1CCCCC2 804322656 KXPHPTBZPALSDL-UHFFFAOYSA-N 409.423 4.767 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)ccc1Cl 805437675 MEBOWKKANHQYBR-UHFFFAOYSA-N 418.862 4.756 5 20 HJBD Cc1c(Cc2noc(-c3cccc(CNC(=O)OC(C)(C)C)c3)n2)cccc1[N+](=O)[O-] 809435804 PMCCWTIVAYHPSE-UHFFFAOYSA-N 424.457 4.569 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)N2CCC(c3ccsc3)CC2)c(Cl)cc1Cl 809834016 UXRSWNBNQNIHAE-UHFFFAOYSA-N 421.327 4.531 5 20 HJBD Cc1noc(C[C@@H](NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccccc2)n1 809988310 GPABGRAVLAGVTK-MRXNPFEDSA-N 407.257 4.667 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc2c(OC(F)F)cccc2[nH]1 825198540 PMBOCIMSBPDGBE-UHFFFAOYSA-N 409.776 4.603 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(S/C=C/c3ccc([N+](=O)[O-])o3)s2)c1 913398957 WEXAZMJICNXAEA-BQYQJAHWSA-N 403.445 4.504 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1)N1C2CCC1CC2 918563811 XITISMZKUYYMNN-UHFFFAOYSA-N 419.525 4.821 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 918572976 FXFVTSYGTYEDMO-CQSZACIVSA-N 423.856 4.992 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(F)cc1OC(F)F 1117624182 KRIDCOMYKOHQMW-QMMMGPOBSA-N 403.744 4.871 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)o1 1118127970 UYFDDBPGDOKZPE-UHFFFAOYSA-N 424.438 4.746 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(N4CCC(C)CC4)c([N+](=O)[O-])c3)o2)cc1OC 1261834092 WWBJGZQHWWVEHV-UHFFFAOYSA-N 424.457 4.565 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(Sc3nnc(C4CC4)n3-c3ccccc3)c2c1 1323600864 YYLGPAONEUBBPR-UHFFFAOYSA-N 424.873 4.801 5 20 HJBD O=C(CSc1nc2ccccc2s1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 10964920 RCERWXJNDFIPCD-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD COc1ccc2cc(CN(C)[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)ccc2c1 27260963 GLWDTMZYNJLJGZ-MRXNPFEDSA-N 407.470 4.524 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cc1ccccc1 56196010 UYWIHGBIDWCNQZ-QZTJIDSGSA-N 403.482 4.863 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)cc1[N+](=O)[O-] 58522157 WRSQVGVQVUFCCZ-UHFFFAOYSA-N 415.471 4.844 5 20 HJBD Cc1ccc([C@@H](Nc2cc(C)ccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301591154 ZBZPAQHXMFESRE-JOCHJYFZSA-N 410.495 4.817 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]2[C@@H]2CCC[C@@H]32)cc1[N+](=O)[O-])c1ccccn1 302983698 KCFHWHVAAMVGAD-ISKKNFKBSA-N 420.513 4.717 5 20 HJBD C[C@H](NC(=O)c1cc(C(F)(F)F)ccc1Br)c1cccc([N+](=O)[O-])c1 326989158 XSJDGHKMPAAGAW-VIFPVBQESA-N 417.181 4.867 5 20 HJBD C[C@@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 426331552 OTZXHZUBOSNIBR-MRXNPFEDSA-N 411.483 4.710 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426708233 HZCMJORKZBGXBW-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD O=C(Nc1cc(F)cc(Cl)c1O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427214210 XCDRAMIHVZCJGM-UHFFFAOYSA-N 416.792 4.924 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(CC(F)(F)F)C3CCC3)cc2[N+](=O)[O-])C1 427920857 CNSMRYVWEOYTQV-OKILXGFUSA-N 413.440 4.634 5 20 HJBD C[C@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@H](c2cccc(C(F)(F)F)c2)O1 428937501 UOAKZJMAKXZJNJ-KPZWWZAWSA-N 421.375 4.717 5 20 HJBD CC(C)(NCC[S@@](=O)c1cc(Cl)ccc1Cl)c1ccccc1[N+](=O)[O-] 429238854 SDENLBCYUHNKHE-RUZDIDTESA-N 401.315 4.534 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436056281 CMNCTSRXBLSBSV-AWEZNQCLSA-N 406.432 4.629 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(-c2ccccc2)o1 437662046 KSCUJYXKAFGRGB-LLVKDONJSA-N 405.332 4.760 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc(CCC(F)(F)F)cc2)c([N+](=O)[O-])c1 439530803 ULXXRFGXEGASFC-UHFFFAOYSA-N 411.380 4.539 5 20 HJBD CCCN(C(=O)c1cc2sc(N3CCCC3)nc2s1)c1cccc([N+](=O)[O-])c1 441442632 SVRNGKJZEJTNCW-UHFFFAOYSA-N 416.528 4.923 5 20 HJBD C[C@H](CCO)C1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCC1 442889514 HJQHYFLVDWWISU-MRXNPFEDSA-N 414.527 4.665 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccc(F)c1F 444298082 LZUFFNKJMAGJSL-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H]([C@H](O)C(F)(F)F)C3)o2)c(Cl)c1 446976406 WKLGSFKZPXXZKV-DIFFPNOSSA-N 418.799 4.643 5 20 HJBD CCOC(=O)[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Cl)c1 447398768 SYXUNIYFSXYDBK-MRXNPFEDSA-N 416.783 4.661 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1nccn1C 462503428 QBPQKXPYKSITFE-INIZCTEOSA-N 410.499 4.687 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1ccccc1)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 468292948 WWQBAKXNSWKIHA-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cccs1 471292774 PBQOYOFAVVCSTJ-GOSISDBHSA-N 420.849 4.733 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1 475571009 GAYQVJRWTDIDFP-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2OC)cc1 476121541 OAOYNVMYJGQJPZ-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(OC(F)F)cc1OC(F)F 478941154 SYTFYMUHHOEVAW-UHFFFAOYSA-N 420.340 4.528 5 20 HJBD C[C@H](N[C@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 480602286 AIDDQBBGRSHURE-IFXJQAMLSA-N 421.419 4.628 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)c2ccc(F)cc2O1 484869524 UAONSLZTRUFQTP-OAHLLOKOSA-N 423.238 4.529 5 20 HJBD C[C@@H](CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1c[nH]c2ccccc12 486709657 LSQLEHYUFCTRCX-LBPRGKRZSA-N 405.376 4.905 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1OC 487812541 QXTMVEGTXUZQPA-HNNXBMFYSA-N 415.490 4.688 5 20 HJBD COc1ccc2c(c1)CCCCN2C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 498742680 PMMQUSSKSRMIBC-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD Cc1c(CNC(=O)N(Cc2cccs2)C2Cc3ccccc3C2)cccc1[N+](=O)[O-] 503112659 RXXNRZJUNUQUNY-UHFFFAOYSA-N 421.522 4.844 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCN3CCC[C@H]3C2)ccc1Oc1ccccc1Cl 509572301 VVWMIOWSHUCOEA-IRXDYDNUSA-N 401.894 4.757 5 20 HJBD CCNc1ccc(C(=O)N(C)c2ccc(Br)cc2Cl)cc1[N+](=O)[O-] 511748767 TZOXQGZMZSDPET-UHFFFAOYSA-N 412.671 4.719 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 514561239 RCIOBSQHMXJUTN-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(Br)cc1F 514886198 GDRFCOVQDROGMU-SNVBAGLBSA-N 418.222 4.536 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1N1CCCCC1 515639842 FKCOVWJOFIZWEB-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD O=C(c1cc(NCc2nc3cc(Cl)ccc3s2)ccc1[N+](=O)[O-])N1CCCC1 518793350 WAWUGJGGKAEIEY-UHFFFAOYSA-N 416.890 4.706 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 520634439 LKJOZPFIXRMUOI-UHFFFAOYSA-N 408.863 4.772 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 521837528 XMCFOLZIRUNPAH-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCN1C 525616576 SWEQABGRNBETOS-UKRRQHHQSA-N 419.934 4.612 5 20 HJBD Cc1ccc(NC(=O)COc2c(C)cc(Br)cc2[N+](=O)[O-])c(Cl)c1 531243499 SNPVNBDVJPSULO-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 532625452 SQEQFLBGKRTHRO-HOTGVXAUSA-N 424.526 4.720 5 20 HJBD Cc1ccc(N[C@@H](C)CCc2ccccc2[N+](=O)[O-])cc1NC(=O)CN1CCCCC1 538634383 OOFHUOQFZNLSLK-IBGZPJMESA-N 424.545 4.761 5 20 HJBD COc1ccc(-c2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c(OC)c1 539378223 WCGOSWFFGCQQLF-AWEZNQCLSA-N 413.499 4.895 5 20 HJBD COc1ccc2cc(CNC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])ccc2c1 540171851 CXPDTNMZYTUBNB-UHFFFAOYSA-N 413.433 4.749 5 20 HJBD CC[C@@H](NCc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1F 540386746 YNSLPMLOEGBHPY-CQSZACIVSA-N 402.344 4.724 5 20 HJBD COc1ccc(NC(=O)NCc2ccc(-c3nc4ccccc4s3)o2)cc1[N+](=O)[O-] 541102397 DLVSUOXPEVPKRA-UHFFFAOYSA-N 424.438 4.795 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)c1 541610560 OICNOAKSRFIXNE-INIZCTEOSA-N 400.450 4.524 5 20 HJBD O=C(Nc1ccc(OCCO)cc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543584060 XNCRNFDGHHLQGX-UHFFFAOYSA-N 416.499 4.501 5 20 HJBD COc1ccc(Cc2noc(-c3ccc(Cl)cc3[N+](=O)[O-])n2)c(Cl)c1OC 547064346 JUACQZHQKNNZKU-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD CN(C)C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 549269149 KKBDQBPWBBJBNN-IBGZPJMESA-N 416.934 4.764 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cccc1-c1ncco1 553056289 YNDSFUSLFRQJEN-GFCCVEGCSA-N 401.806 4.618 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1nc2ccccc2n1CC 568334350 ZOOCSLRUTNNDKK-HXUWFJFHSA-N 407.474 4.896 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@@]2(CCCO2)[C@@H]1C1CC1 568908998 LGKLLZHBQWIPOD-UNMCSNQZSA-N 410.495 4.530 5 20 HJBD Cc1cc(-c2cc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 603966604 ATYAPWLZRQUQMB-LBPRGKRZSA-N 420.425 4.807 5 20 HJBD CCc1cc(N2CCCC[C@@H]2c2noc(C3CC3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604303687 QBFWBBFKJICEET-GOSISDBHSA-N 420.473 4.606 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC[C@H]1CCc2ccccc21 609506529 BQONRNNVTBEKBH-CYBMUJFWSA-N 410.417 4.552 5 20 HJBD O=C(NC1(c2ccccc2)CCOCC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 609567003 JSOFSNUWLJLCEB-UHFFFAOYSA-N 417.465 4.774 5 20 HJBD Cc1c(C(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cccc1[N+](=O)[O-] 609836161 PNYFIXXMOXICEI-IBGZPJMESA-N 410.861 4.924 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCC(c4nc5cc(Cl)ccc5o4)CC3)c2c1 611700074 BCAORDMYUGCLBT-UHFFFAOYSA-N 409.833 4.717 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)c(N)c([N+](=O)[O-])c1 729532154 ZOJPEIILFDSTBF-UHFFFAOYSA-N 422.485 4.625 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 730474084 LPRMNRFHUANBPA-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1cc(C)cc(C2=N/C(=C\c3csc(-c4cccc([N+](=O)[O-])c4)n3)C(=O)O2)c1 733086377 JLOCRQSCEQUYNY-ZDLGFXPLSA-N 405.435 4.680 5 20 HJBD Cc1c(C(=O)O[C@H](C)c2ncc(-c3ccccc3)o2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 741318778 FGSLABVQTXZNAM-CYBMUJFWSA-N 411.370 4.693 5 20 HJBD CC(C)NC(=O)CN(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746103146 HUBFAGMDTVPRIH-UHFFFAOYSA-N 414.505 4.530 5 20 HJBD CCC[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccccn1 750864696 RXQULBGPTZLOOD-CYBMUJFWSA-N 412.671 4.677 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(CC1CCCCC1)c1ccccn1 752857759 JXXMDPPGIMZXRK-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c1[N+](=O)[O-] 754126126 SMQWACKZBUGVCI-CQSZACIVSA-N 406.841 4.627 5 20 HJBD Cc1ccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 754409129 JAROKOOSDLGNGN-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(SC(F)F)c1 755280124 AFFGTPCCIGMHLU-UHFFFAOYSA-N 417.231 4.853 5 20 HJBD O=C(COC(=O)c1ccc2c(c1)-c1ccccc1C2)Nc1cc([N+](=O)[O-])ccc1Cl 755858310 HKEAMZAGSNQZOG-UHFFFAOYSA-N 422.824 4.615 5 20 HJBD COc1ccc(CSCc2nc(Cc3cccc(F)c3Cl)no2)cc1[N+](=O)[O-] 762132730 UOXGCSAAQHHDTL-UHFFFAOYSA-N 423.853 4.803 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2ccccc2Cl)o1 764232726 JRLRMNBIPBWTPF-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)NCc2cccc(COCc3ccccc3)c2)c1F 764271656 GLSVHIHGIMUCBP-UHFFFAOYSA-N 408.429 4.689 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 764390003 DSDSWCDRTGEJPI-OXQOHEQNSA-N 402.878 4.757 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(N(C)Cc2ccccn2)c(F)c1 777327160 QNXCPRYSZFMXBT-MRXNPFEDSA-N 423.444 4.612 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)o1 778888344 VGMYTRHMAPNQCQ-UHFFFAOYSA-N 404.325 4.517 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@H](Cc1ccc(F)cc1)C(F)(F)F 782803621 UNJWFZWHTAYPKX-OAHLLOKOSA-N 403.353 4.543 5 20 HJBD CCCc1c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cnn1C1CCCC1 783875505 LQYACMHANCTODE-UHFFFAOYSA-N 404.898 4.785 5 20 HJBD CCOc1cc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c([N+](=O)[O-])cc1OCC 784041774 YOGQBQCVPRNAKV-UHFFFAOYSA-N 417.418 4.676 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 786924411 ALBPRCYAUAISLS-NSHDSACASA-N 413.352 4.771 5 20 HJBD Cc1cc(N[C@@H](C)c2ccc(N3CCOC3=O)cc2)c(Br)cc1[N+](=O)[O-] 788838382 PSRIGAYBTPRJFP-LBPRGKRZSA-N 420.263 4.795 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cnc(Br)c(Cl)c1 790921244 NVTXLXIHFZAWJC-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=C(OCc1nc(-c2ccccn2)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 800471856 XVPQAQWCZRDDDN-UHFFFAOYSA-N 413.458 4.557 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cccc(-c2ccccn2)c1 800977297 ZCRQYLYVPJGDDS-UHFFFAOYSA-N 420.468 4.960 5 20 HJBD C[C@H](Cn1ccc2ccccc21)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803796445 ROGBAJKVIJULKS-HUUCEWRRSA-N 420.425 4.540 5 20 HJBD CO[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813024089 GGOSWNMGFNJGSC-CQSZACIVSA-N 403.649 4.673 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815407041 FFYHVKDIXALZFL-CYBMUJFWSA-N 418.877 4.865 5 20 HJBD C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nnc(-c2cccc(Cl)c2)o1 917332033 WWRFMDUZDFKUBP-JTQLQIEISA-N 412.789 4.698 5 20 HJBD C[C@H](NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 917700933 MHTSKMZKGRCAHU-NYHFZMIOSA-N 416.433 4.540 5 20 HJBD CN1CCC(N(C)c2ccc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)cc2)CC1 917794194 LEDKFDQRCHELQW-UHFFFAOYSA-N 424.545 4.675 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 918137916 BOBUFBGGMBAPEW-UHFFFAOYSA-N 420.425 4.638 5 20 HJBD Cn1cnnc1-c1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1116448516 ZMZHTHDWEKLDGJ-UHFFFAOYSA-N 409.833 4.916 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1Cc1ccccc1 1318750450 AKKGRPGONLOCAN-UHFFFAOYSA-N 403.438 4.742 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 1319504853 IRFVDLAEKFRHAB-UHFFFAOYSA-N 411.502 4.689 5 20 HJBD CC(C)(C)OC(=O)NCc1nnc(-c2cc([N+](=O)[O-])ccc2SC2CCCC2)o1 1322415849 KRFJKRWJXUGWMP-UHFFFAOYSA-N 420.491 4.704 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc2ccc(C(F)(F)F)cc2[nH]1 1337050925 ZBNULVCHJQXWOX-UHFFFAOYSA-N 405.288 4.593 5 20 HJBD CCN1C/C(=C\c2ccc(C)c([N+](=O)[O-])c2)c2nc3ccccc3c(C(=O)O)c2C1 13344032 WMMWJTNDBNCZHD-MHWRWJLKSA-N 403.438 4.526 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)s2)cs1 16718672 DKQWCVAOOCUTSO-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2ccc(Cl)cc2[N+](=O)[O-])cs1 19046829 RNHFRJKRWHEELR-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 31128192 JDWUDTZBAJZJNS-LSDHHAIUSA-N 411.483 4.623 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OC(C)C 31513475 GTFWHVLZIDQVQK-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD Cc1ccn2c(=O)cc(CSc3nc4ccccc4c4nc5ccccc5n34)nc2c1 36186818 DLYLHQNXYFGXES-UHFFFAOYSA-N 423.501 4.644 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4cccc([N+](=O)[O-])c4C)CC3)c2c1 56530725 YBRMIYJZKNAQCJ-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1ccc(Br)cc1F 62882742 QBZQJBMTYPAGCI-UHFFFAOYSA-N 423.282 4.866 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)cc2)n1 108732624 XRWQDIXTBGJFMX-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1N1CCCCC1 110488974 ZFRRXOGNMBYNLW-AWEZNQCLSA-N 403.479 4.844 5 20 HJBD Cc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3ccc([N+](=O)[O-])cc3)s2)cc1 210232593 ULEQQIBGEVAVJT-XNTDXEJSSA-N 400.847 4.744 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 237669062 HSJRHXXTUYNUHA-GBXCKJPGSA-N 420.513 4.561 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(N2CCC[C@@H](c3nnc4n3CCCCC4)C2)c(Cl)c1 302046517 UZUUEBCMZZVXOZ-GFCCVEGCSA-N 410.305 4.604 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-n2cccn2)c(Cl)c1 303163390 POZBKAMLVZJWPA-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1OC 409501935 NOHZOEPFFWZPMW-CQSZACIVSA-N 417.462 4.674 5 20 HJBD CC(C)(C(=O)N1CCC(c2nc3ccccc3s2)CC1)c1ccccc1[N+](=O)[O-] 430902056 XNOJTAFEEBJPKW-UHFFFAOYSA-N 409.511 4.888 5 20 HJBD COc1cccc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)c1[N+](=O)[O-] 436020093 DYYIVHFZPPRYNX-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD CN(C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C 441286164 HAYHRYPXJSVECT-UHFFFAOYSA-N 418.478 4.909 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444287049 CRUQVCQGUMVSEP-QFIPXVFZSA-N 414.509 4.779 5 20 HJBD CCCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 444478033 KFIWVHHNCKGDGX-UHFFFAOYSA-N 415.456 4.867 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 444858350 MIWUXCKHEYQNAO-SNVBAGLBSA-N 413.293 4.697 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@@H]3c3ccccc3C(F)(F)F)n2)c1 445579946 RLKRHODMDZRGPA-HUUCEWRRSA-N 419.359 4.867 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(CSCc2ccc(OC)c([N+](=O)[O-])c2)n1 445784033 RDYHSDQUDOTVEJ-LJQANCHMSA-N 415.471 4.546 5 20 HJBD C[C@@H](NCc1ccc(Cl)cc1I)c1ccc([N+](=O)[O-])cc1 447019908 ZEDXYJXGFYNLBU-SNVBAGLBSA-N 416.646 4.704 5 20 HJBD Cn1c(SCc2noc(C3CCCCC3)n2)nc2cc3ccccc3cc2c1=O 448109366 USBNDUXKZXUCLH-UHFFFAOYSA-N 406.511 4.810 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@@H]1c1ccccc1 462438236 CKRIVNGWISYCPE-CVDCTZTESA-N 403.482 4.597 5 20 HJBD COc1ccc([N+](=O)[O-])c(NCC2(c3cccc(C(F)(F)F)c3)CCOCC2)c1 462793347 ZYINHXQGAGTFLB-UHFFFAOYSA-N 410.392 4.783 5 20 HJBD O=C(C[C@@H]1CCCCCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 467530109 AKUPGKBJVDZTIW-KRWDZBQOSA-N 405.454 4.734 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 472187584 HUXLFJIHEPKKOH-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1cc2cccc(F)c2o1 475096241 SYQBBMRAHWMWKT-SNVBAGLBSA-N 421.222 4.663 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@H](C)c2cccnc2)c([N+](=O)[O-])cc1OCCC 479998642 AXODTZPHEFOQLI-OAHLLOKOSA-N 416.478 4.792 5 20 HJBD CCn1c(SCCOc2ccc(SC)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 481339082 CLTMKSOKYUBOOE-UHFFFAOYSA-N 416.528 4.766 5 20 HJBD C[C@H](NC[C@H]1CCN(CC(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 481339632 QSTDNPBVJCYKEU-UONOGXRCSA-N 413.465 4.858 5 20 HJBD COc1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc(Cl)c1OC 487189898 QKMBXIQTMGEQSX-UHFFFAOYSA-N 404.772 4.574 5 20 HJBD CC[C@@H](NC(=O)c1cccc(OCc2c(C)noc2C)c1)c1cccc([N+](=O)[O-])c1 487905043 HGNARQFBSKUIAT-OAQYLSRUSA-N 409.442 4.660 5 20 HJBD CCn1c(SCC(=O)c2cc(C)c(F)c(C)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489416480 UCJVTWYCZPIYLJ-UHFFFAOYSA-N 414.462 4.604 5 20 HJBD O=C(CCN(Cc1cccc([N+](=O)[O-])c1)C1CC1)Nc1c(Cl)cccc1Cl 490187146 KOJPFUBKCZZDNQ-UHFFFAOYSA-N 408.285 4.895 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccccc2)c(Br)s1 494658052 WKJLFLNTGICJJE-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD O=C(Nc1cccc(-n2ccnc2)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 500746807 LBVLZMFVCZYVAM-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD O=C(CC1CCCCC1)N1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 505712916 FLYBOXSLJHPWQD-UHFFFAOYSA-N 415.537 4.643 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](C[S@@](C)=O)c2ccccc2)cc1[N+](=O)[O-] 508689398 GOISALACIZLZRO-URAOTHONSA-N 423.538 4.572 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc([N+](=O)[O-])cc1Cl 510176760 QDNOELNNAIITFI-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4cc([N+](=O)[O-])ccc4OC)CC3)c2c1 510382111 SZCSQYVEEMTWDD-UHFFFAOYSA-N 424.457 4.505 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)CCc1ncc(-c2ccccc2Cl)o1 513038582 RQMAQWBBSDTFCA-UHFFFAOYSA-N 413.861 4.537 5 20 HJBD O=C(c1csc(-c2ncccc2F)n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 513236762 OGGDKXRFGFSDJV-UHFFFAOYSA-N 412.446 4.842 5 20 HJBD Cn1ccnc1[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 513712369 BKOAIKOKVAYBKR-IBGZPJMESA-N 418.419 4.659 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 515156772 DAIWDCQCKRQWOU-UHFFFAOYSA-N 403.232 4.766 5 20 HJBD C[C@@H](C(=O)Nc1c(N2CCCC2)cccc1N1CCCC1)c1cccc([N+](=O)[O-])c1 516616794 DMDPETWMXNTYOY-QGZVFWFLSA-N 408.502 4.537 5 20 HJBD COc1cc(C(=O)N(CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 519080874 WONSBQVPIGEWLK-UHFFFAOYSA-N 406.438 4.502 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3cccc(C[S@@](=O)c4ccccc4)c3)cc2N1 522403623 BTHSSOTTZSJILD-SSEXGKCCSA-N 421.478 4.531 5 20 HJBD CCOC(=O)c1[nH]c(C)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1C 522677373 OWNTZIOSVHEBKG-UHFFFAOYSA-N 423.425 4.761 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(O)cc1)Cc1ccco1 523871198 ZRXWLVLYURKHHB-OAHLLOKOSA-N 412.467 4.603 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 534722019 NWVGZNCMOXZWEK-GFCCVEGCSA-N 416.275 4.601 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 538222811 BKRMVQYGCUWECN-HNNXBMFYSA-N 415.465 4.511 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])[C@@H](C)CO1 539431692 UNRJESMCYLEZCX-LAUBAEHRSA-N 417.465 4.567 5 20 HJBD COc1ccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1OC(C)C 539465775 IOJHFYNOOKAFOT-UHFFFAOYSA-N 407.426 4.705 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 541101506 QDOGQVWQJKAEEJ-LJQANCHMSA-N 412.833 4.563 5 20 HJBD C[C@H](C(=O)N1Cc2cc(Br)ccc2C[C@@H]1C)c1ccc([N+](=O)[O-])cc1F 544410881 DSOZTQODDOYVFC-RYUDHWBXSA-N 421.266 4.573 5 20 HJBD CCOCc1ccc(-c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 546341352 MYWSEMZWODGZQI-LBPRGKRZSA-N 403.822 4.975 5 20 HJBD COc1cccc(C(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)c1[N+](=O)[O-] 553061951 WKIRJAQLZWYEQM-UHFFFAOYSA-N 408.376 4.675 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(Cl)c3OCC(F)F)n2)cc1 554782415 OVNRGXMBDDTWHP-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(OC(C)C)cc1 560101400 NWHQLMURLSAYHM-UHFFFAOYSA-N 407.264 4.730 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cccc(F)c2F)CC1 569522080 TZRSUBSXJDXVMD-INIZCTEOSA-N 402.441 4.848 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 583228612 LEXVGEVEVUQVCE-UHFFFAOYSA-N 418.755 4.561 5 20 HJBD COCc1cncc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)c1 589136679 MNOHTXYGHNCLRV-UHFFFAOYSA-N 404.382 4.646 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2CCN3CCC[C@@H]3C2)cc1 603682253 TUQRSDUPLOPYKN-QZTJIDSGSA-N 401.894 4.757 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C 604363542 DURWTAOBZWTFSP-INIZCTEOSA-N 421.501 4.608 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](Cc1cccc(F)c1)c1cccc(F)c1 604508692 GETJTXKDWPRJOQ-HXUWFJFHSA-N 411.408 4.656 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1 610043784 MTYZCSQDQQPLKF-UHFFFAOYSA-N 420.347 4.580 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)OCc1cccc([N+](=O)[O-])c1 730487065 NGLBZZSZFDHALM-UHFFFAOYSA-N 410.373 4.899 5 20 HJBD O=C(O)c1cc([N+](=O)[O-])cnc1/C=C\c1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 730585939 VAWWMZTXMHPKAW-DJWKRKHSSA-N 415.745 4.680 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1 746154804 UAUZYLRFLZLACC-KBPBESRZSA-N 413.455 4.738 5 20 HJBD CCc1cc(N2CCC(NC(=O)c3c4ccccc4cc4ccccc34)CC2)ncn1 748687181 YMYITOHZWOLFAT-UHFFFAOYSA-N 410.521 4.744 5 20 HJBD CCCc1nc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])nn1-c1ccccc1Cl 749054415 DYKGKCTVVKQXCS-UHFFFAOYSA-N 421.240 4.654 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)ccc2s1 754229868 NTYCQYFUSXLSBV-UHFFFAOYSA-N 406.366 4.517 5 20 HJBD Cc1cc(CNC(=O)c2cccc([N+](=O)[O-])c2Br)c2[nH]c(C)c(C)c2c1 758151695 LGPKABZVWFEYIY-UHFFFAOYSA-N 416.275 4.694 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(O)(c4ccccc4C(F)(F)F)CC3)ccc2c1 764914306 UZNLXLZDYUDAPI-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)CC1CCOCC1 770011266 OVLNIHQTGODFET-UHFFFAOYSA-N 403.866 4.709 5 20 HJBD O=C(CCc1cc(Cl)cs1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131241 FGKYLGBZWMLBCQ-LJQANCHMSA-N 402.859 4.970 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139928 TVCKXGJIOMYBBL-HJPURHCSSA-N 406.438 4.825 5 20 HJBD Cc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])nn1C1CCCCC1 776349478 CJXIXAUCXUVISE-QFIPXVFZSA-N 420.469 4.946 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 782230889 IXTAWHVEWWAOHK-NSHDSACASA-N 407.448 4.625 5 20 HJBD CCOC(=O)/C(=C/c1ccc(-c2ccccc2OC)cc1)c1ccc([N+](=O)[O-])cn1 794951806 ZPDCWUWXQGKYQK-XSFVSMFZSA-N 404.422 4.769 5 20 HJBD CCC(=O)c1ccc(N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])c1 795092073 ABUDGXVAVVCKTK-NRFANRHFSA-N 402.878 4.791 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(Cc3ccc4ccccc4n3)no2)c1 809298380 LASNTNVCXUOPKM-UHFFFAOYSA-N 415.453 4.774 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)n1ccccc1=Nc1ccccc1 836797001 HZGJUQOMEVYQQF-UHFFFAOYSA-N 405.457 4.529 5 20 HJBD COC1(c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)CCCC1 904833920 JMLAMRCCDKIZNH-UHFFFAOYSA-N 424.244 4.553 5 20 HJBD CC(C)c1ccc2cc(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3Cl)[nH]c2c1 914669001 QFHZTFLJHAADIH-UHFFFAOYSA-N 415.833 4.648 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(C)C)c1C 919210245 HAUQNYZKASZEED-HXUWFJFHSA-N 410.426 4.778 5 20 HJBD O=C(COC(=O)c1ccc(C2SCCCS2)cc1)c1ccc([N+](=O)[O-])cc1 921176502 KDVHPIFJPSNHIC-UHFFFAOYSA-N 403.481 4.503 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(Nc2ccccc2Cl)CC1 1319520579 UQHICCWODPYGHC-UHFFFAOYSA-N 402.882 4.973 5 20 HJBD CN(C)CCNC(=O)c1ccc(NC(=O)c2c3ccccc3cc3ccccc23)cc1 1349531491 MSQBEFQQBHVZKY-UHFFFAOYSA-N 411.505 4.537 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C\c1ccc(-c2ccc([N+](=O)[O-])cc2)s1 23580378 FQTDQQUXOASMRW-BOPFTXTBSA-N 421.390 4.576 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H](c1ccccc1)c1ccccn1 47864719 LQNCGNDSRRSINW-SFHVURJKSA-N 414.368 4.502 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)/C=C\c2ccccc2[N+](=O)[O-])c1 98006869 CRNVLZIMUNYQSP-FLIBITNWSA-N 401.394 4.733 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(N2CCCC2)c(F)c1 108111241 HSCHWBIKEXHPHN-LBPRGKRZSA-N 407.442 4.593 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 237111093 ZXMMQDNIYKKWOX-HNNXBMFYSA-N 424.526 4.993 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCOC[C@@H]1c1nc2ccccc2s1 409653474 RFEBIRADCMVFIC-OAHLLOKOSA-N 409.389 4.801 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426066810 MMFZGRUBLQNDNJ-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426460017 NNDUCCSBEFITLC-UHFFFAOYSA-N 420.450 4.878 5 20 HJBD CCOc1cc(NC(=O)c2cnn(CC)c2-c2ccccc2)c([N+](=O)[O-])cc1OCC 427104566 OSARFAGXXIOLDY-UHFFFAOYSA-N 424.457 4.528 5 20 HJBD CSC[C@H]1CCCN([C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)C1 429279674 VLTAZYSPUPUSBR-LAUBAEHRSA-N 413.543 4.658 5 20 HJBD CC1(C)C[C@H](Nc2ccc(CCC(=O)N3CCCC3)cc2)c2cc([N+](=O)[O-])ccc21 433216953 AOFCOZSGHZWYHE-QFIPXVFZSA-N 407.514 4.984 5 20 HJBD CC1=C(C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 435936490 MDGCVGBHXJZSTD-UHFFFAOYSA-N 413.861 4.683 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437803635 SHPBMKKAOHHTII-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Oc2ccccc2Cl)CC1 439888628 LZEJNUCCBFTZGK-UHFFFAOYSA-N 419.865 4.722 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(CC(F)F)c1cccc(Cl)c1 440689595 IEEFLWXUYUYLIK-UHFFFAOYSA-N 409.820 4.760 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1=O 445474440 VWPHCDUQDXCDMT-STQMWFEESA-N 412.471 4.740 5 20 HJBD CN(C(=O)C1(Cc2ccc(F)cc2)CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 446015667 JPNAUMGOSOIZHG-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H]1CCOc2ccc(Br)cc21 446489300 XJWLVKILEQWKSV-MRXNPFEDSA-N 420.263 4.653 5 20 HJBD C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 461308733 VLSDYEVMEUOTJC-KSFYIVLOSA-N 411.477 4.560 5 20 HJBD Cc1ccc([C@@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462464782 LVFFIGSBANRWQB-HNNXBMFYSA-N 415.298 4.736 5 20 HJBD O=C(Nc1cc2c(cn1)CCCC2)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 462982769 YHDBCISNMVAROE-UHFFFAOYSA-N 403.438 4.700 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1csc([N+](=O)[O-])c1 464220785 ICTPYKQRLSXTOO-CYBMUJFWSA-N 403.460 4.726 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)[C@@H](CC)C2)cc1OC 470008321 KGVWRCDTBQJDIU-FUHWJXTLSA-N 412.486 4.658 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2cccc(CC)c2)s1 470848651 UPYUYRQIZFNRCX-UHFFFAOYSA-N 411.483 4.856 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C 472833500 ORCOPIFHZZQECN-HKUYNNGSSA-N 406.486 4.916 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475219967 MJVJJFUPEAGEML-KDOFPFPSSA-N 423.391 4.903 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 481558783 ZQSULFBZWQUZEW-MRVPVSSYSA-N 419.791 4.507 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 482960315 HPUGURJZFUVZBW-AWEZNQCLSA-N 410.392 4.688 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 485453344 SANWFVVYXNJNDO-UHFFFAOYSA-N 404.291 4.943 5 20 HJBD CC(C)CN(CC(F)(F)F)C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 485868279 HVHOYCYNFVRUHP-GJZGRUSLSA-N 415.456 4.738 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)[C@@H]3OCCc4sccc43)o2)c([N+](=O)[O-])c1 492191406 ANHMUOLCCBHVKN-ZSEKCTLFSA-N 414.483 4.717 5 20 HJBD O=C(Nc1ccc2c(c1)Cc1ccccc1-2)c1cc([N+](=O)[O-])ccc1SCCO 498063156 ROJCMPHJGZJCSE-UHFFFAOYSA-N 406.463 4.503 5 20 HJBD CN1C(=O)c2cc(Cl)ccc2N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500292360 ZKVQXAHXXSKXHE-MRXNPFEDSA-N 400.847 4.568 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1C(=O)NCC1(c2ccc(Cl)cc2Cl)CCOCC1 500881001 RJOKZYYNZCNSGZ-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD COc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 514531151 VWTQOGCSOYCKLA-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD CCCN(C(=O)c1nc2ccccc2cc1Br)c1cccc([N+](=O)[O-])c1 516600181 UCGZAUQWJDJPFN-UHFFFAOYSA-N 414.259 4.962 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3cc([N+](=O)[O-])ccc3C)c2C)cc1 517357998 PTDXKWDGCNJRHE-UHFFFAOYSA-N 406.486 4.645 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1nc(C(C)(C)C)cs1 517363532 FSNGSTCSQJNKNW-MNOVXSKESA-N 411.911 4.647 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 518504264 OHJJQWPSGOOLQT-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnc[nH]4)cc3)cc2[N+](=O)[O-])C1 520614578 WEINITCPRFQQOR-HZPDHXFCSA-N 419.485 4.720 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)c1 521127057 CFYHDKOXNXDZSQ-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 524338536 MSUCIOJZEYTWKH-GOTSBHOMSA-N 402.450 4.594 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1cccc(Cl)c1 525143770 BZKLTQNKRZALPL-GFCCVEGCSA-N 400.784 4.943 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@@H](C)[C@@H](c3ccccc3)C[C@H]2C)cc1SC 525644115 RJQFLMNVNZEZTF-YCPHGPKFSA-N 400.500 4.732 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535367597 JFMNLATXMDHWIX-ZDUSSCGKSA-N 420.494 4.928 5 20 HJBD O=C(Nc1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1)c1ccco1 535479524 LXKITLYETCCBDH-UHFFFAOYSA-N 405.366 4.674 5 20 HJBD COc1ccc(NC(=O)CCN[C@@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 537111644 JCXMYSMQPUHCRH-NSHDSACASA-N 412.273 4.590 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537287361 SJNJONHCKGDCJF-CQSZACIVSA-N 418.478 4.628 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC)c(Cl)c1 537426019 UYRUXGCNHCHJLM-UHFFFAOYSA-N 421.906 4.752 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cc(-c2ccccn2)ccc1Cl 540893902 OHOVPUOXQZLXKA-UHFFFAOYSA-N 416.264 4.936 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1Br 541295598 UDTSKLQGXAUIFE-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CC(C)c1nc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n[nH]1 542653486 AWRDQSSVKAQFOT-CYBMUJFWSA-N 417.535 4.610 5 20 HJBD COc1cccc([C@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)c1 543305589 RAPINCMEUDNEFO-NOZRDPDXSA-N 416.477 4.903 5 20 HJBD CN(C(=O)c1ccn(Cc2ccccc2)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 543796062 GNGZLOOFHXQKLH-UHFFFAOYSA-N 418.478 4.845 5 20 HJBD C[C@H](C(=O)N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544403792 QQGHKCDUKZAGSD-BUXKBTBVSA-N 402.422 4.944 5 20 HJBD COc1ccc(CSCc2nc(Cc3c[nH]c4cccc(C)c34)no2)cc1[N+](=O)[O-] 544571331 DJRYQPHSCBEMBH-UHFFFAOYSA-N 424.482 4.800 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCO1 546635290 SPEYTLAXJZFINQ-MRXNPFEDSA-N 417.893 4.548 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 546636558 LTGIFQCOFQHODH-HNNXBMFYSA-N 404.850 4.728 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 588937128 UECKMCZFHKHDLG-SJLPKXTDSA-N 417.387 4.557 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(OCc4ccccc4)cc3)cc2N1 603859612 IRVZVYLJCNEXFT-UHFFFAOYSA-N 403.438 4.671 5 20 HJBD O=C(CSc1ccc(-c2ccccc2)cc1)Nc1cc2c(cc1[N+](=O)[O-])OCO2 603916722 UGPCDJXZWQXKBT-UHFFFAOYSA-N 408.435 4.721 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(Cc1cccnc1)c1ccc(Br)cc1 603990486 URSYHVGJQOTOLM-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCN(c4ccc(F)c(F)c4)C3)o2)cc1 608836420 GENDYMMNZIJUQG-OAHLLOKOSA-N 413.424 4.749 5 20 HJBD COCc1cc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)ccc1F 609354251 ZAYGNXJREWPDHT-UHFFFAOYSA-N 409.461 4.938 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C[S@](=O)[C@@H](C)c1ccc(OC(F)(F)F)cc1 609366911 MPCZONWBXSBZSW-RNUGCUGFSA-N 403.378 4.512 5 20 HJBD O=C(Nc1nc(/C=C/c2ccccc2)cs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609757213 NIAUCXZWLPFTOO-VOTSOKGWSA-N 417.450 4.660 5 20 HJBD CCOc1ccccc1OCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609761308 ARCKZFFYHVTHMD-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD COC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 609826019 JNTBCAMBPJOPKN-OAHLLOKOSA-N 417.771 4.776 5 20 HJBD Cc1nc(C(C)(C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)sc1C 609912503 KRKXCRLKEJSSRI-UHFFFAOYSA-N 424.526 4.945 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1Cc1ccccc1Cl 610062444 SXDOKYYDMSVFPW-ZDUSSCGKSA-N 423.694 4.858 5 20 HJBD CC[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)C(C)(C)c1ccccc1 610177308 CGLKJVRGPCAIFR-QFIPXVFZSA-N 409.530 4.684 5 20 HJBD CC1CCN(C2CCC(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)CC1 611039308 SBWQVAVUOWCTFN-UHFFFAOYSA-N 414.575 4.851 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCOc2ccccc21 611526752 JUQZIXDDXWMMCS-UHFFFAOYSA-N 412.389 4.521 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1c1ccc(C(C)=O)cc1[N+](=O)[O-] 725790746 XLYQPEMSNPKZBB-INIZCTEOSA-N 419.275 4.910 5 20 HJBD Cc1csc(-c2cccc(OC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)n1 726369212 ZYHDRMOOVXYNGK-UHFFFAOYSA-N 423.494 4.849 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 727712865 OJJXAUWJDYJGGY-UHFFFAOYSA-N 402.319 4.599 5 20 HJBD COc1cc(C(=O)O[C@@H]2CC[C@@H](C)C[C@@H]2C)c([N+](=O)[O-])cc1OCc1cscn1 736028145 MCOUYOABLOPEFE-IIYDPXPESA-N 420.487 4.620 5 20 HJBD Cc1ccc(NC(=O)[C@@H](NC(=O)OC(C)(C)C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 740812285 BHIGNNJWRIHVJE-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)CC(C)C)c(F)c1 741934890 PRJDBRAGGZYIJP-UHFFFAOYSA-N 407.829 4.933 5 20 HJBD Cc1nc(CCC(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])oc1-c1ccccc1 746072874 SKGOEXYJZVJWOK-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD O=C(Nc1ccc(CN2C(=O)CCC2=O)cc1)c1c2ccccc2cc2ccccc12 746513135 XMGXPSWHVKGWJF-UHFFFAOYSA-N 408.457 4.894 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@H](C)OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 751643815 LACJSPFHPXTXCP-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD Cc1ccccc1Nc1nc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)cs1 752566751 QEQKQUNLYDXNMN-UHFFFAOYSA-N 405.382 4.739 5 20 HJBD O=C(CCN1CCCC[C@H]1c1cc2ccccc2[nH]1)Nc1cc([N+](=O)[O-])ccc1F 753920200 XOCGKGBEIONERO-NRFANRHFSA-N 410.449 4.771 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1cc([N+](=O)[O-])ccc1Br 757802238 OQRNHHNURWPVRA-INIZCTEOSA-N 417.259 4.534 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc([C@@H](C)NC(=O)OC(C)(C)C)cc2)c1[N+](=O)[O-] 758503633 GPSRBMZRPFUDPQ-CYBMUJFWSA-N 417.437 4.880 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764324151 YESOKGZYQPQILY-UHFFFAOYSA-N 415.471 4.510 5 20 HJBD Cn1cc(COC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(C(F)F)n1 770408243 UBNVJPXPCABWMX-UHFFFAOYSA-N 411.430 4.658 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1COc2ccc(Cl)cc2C1 776131172 CLMRGPILADSPKC-HRAATJIYSA-N 424.840 4.527 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC 776748569 PZBWPRKDZPDKPF-UHFFFAOYSA-N 405.432 4.656 5 20 HJBD O=C(c1cnc(Br)c(Cl)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 790464982 QNBVZDWQJCNUTF-UHFFFAOYSA-N 424.682 4.995 5 20 HJBD C[C@@H](c1nc2ccccc2o1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 792893113 ZIYFDGKOYBZKPS-NSHDSACASA-N 402.248 4.618 5 20 HJBD Cc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)ccc1NC(=O)OC(C)(C)C 800151766 MSGAXSKMADCRDO-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2-c2nc(COCc3ccccc3)no2)CC1 809367449 KDCMMZULWSIZOS-UHFFFAOYSA-N 408.458 4.598 5 20 HJBD C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809934681 ZMKRITLDHZPNKR-LBPRGKRZSA-N 406.273 4.675 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cs1 815512038 FWRLIEIOKUUBHE-SECBINFHSA-N 404.366 4.525 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)NCc3ccccc3[N+](=O)[O-])cccc2c1=O 916422810 RJLALIAGVNJNJV-UHFFFAOYSA-N 414.417 4.607 5 20 HJBD O=C1N[C@@H](c2c3ccccc3cc3ccccc23)Nc2ccc(N3CCOCC3)cc21 917501232 LATSIQOYDHCNBG-VWLOTQADSA-N 409.489 4.684 5 20 HJBD Cn1ccnc1COc1ccc(COC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 918525204 PMBOZDBRFNHYFD-UHFFFAOYSA-N 423.469 4.562 5 20 HJBD O=[N+]([O-])c1cc(CNc2cnn(Cc3cccnc3)c2)ccc1Oc1ccc(F)cc1 1116485278 UZOYGAKNLJJSQZ-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD O=C(CCc1nc2ccccc2s1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1254197357 YIROCUWHFHHPLC-QFIPXVFZSA-N 419.462 4.865 5 20 HJBD CS(=O)(=O)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1Cl 1318264019 QERBPOQOSLDTDT-UHFFFAOYSA-N 410.882 4.697 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1319847979 SSOCBQKTLRVUDT-AWEZNQCLSA-N 410.499 4.603 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)cc1 1322283559 NJTLPBFVXIZKIJ-LBPRGKRZSA-N 401.444 4.905 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2cc3ccccc3[nH]2)c([N+](=O)[O-])cc1OCC 1322402523 RBNUVWVUNJFFRV-UHFFFAOYSA-N 412.446 4.537 5 20 HJBD Cc1ccc(NC(=O)[C@@H](Sc2nnc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 7192412 YHKSDCFUIPIZSD-INIZCTEOSA-N 400.485 4.535 5 20 HJBD O=C(Nc1cccc(N2CCCC2=O)c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 11916657 BDAJQMVNSDNCRD-UHFFFAOYSA-N 416.437 4.718 5 20 HJBD CCn1c(SCc2nc(-c3ccsc3)no2)nc2cc3ccccc3cc2c1=O 18467626 SFZWXLISGVCWGS-UHFFFAOYSA-N 420.519 4.973 5 20 HJBD COc1cccc(-c2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)c1OC 23105362 OFPWBDBKUNLWQU-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD O=C1CSc2ccc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)cc2N1 29772864 UXGJGHGZCBIOHD-UHFFFAOYSA-N 420.450 4.635 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2ncc(-c3ccc(F)cc3)o2)cccc1[N+](=O)[O-] 45842005 XXOLBGRUHDZYHW-GFCCVEGCSA-N 401.419 4.817 5 20 HJBD O=C(NCCc1nccn1Cc1ccccc1)c1cc2ccccc2c2cccnc12 51035512 JUMUCDAZHKYHPQ-UHFFFAOYSA-N 406.489 4.605 5 20 HJBD CCOc1ccccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 52936409 BRSCFSGPYGHJFY-HNNXBMFYSA-N 406.442 4.814 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 55152101 KEFKHBRMBUHICA-KBPBESRZSA-N 405.882 4.713 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 65889073 DYXFKJMYVUPNGG-UHFFFAOYSA-N 414.259 4.648 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccccc2N2CCC(O)CC2)s1 238162362 FVIYFASJNPBLEJ-UHFFFAOYSA-N 409.511 4.897 5 20 HJBD Cc1nc(-c2ccc(CCNc3ccc([N+](=O)[O-])cc3N3CCOC3=O)cc2)cs1 301376939 WIWOSZLKFARWPK-UHFFFAOYSA-N 424.482 4.638 5 20 HJBD COc1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2nccn2C)cc1 301840642 AWLLFHVMNGWBBX-JOCHJYFZSA-N 415.453 4.600 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)COc1cccc([N+](=O)[O-])c1 303122190 AKUWZUDAYVZCTG-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(C(F)(F)F)ccc1Br 392976470 SIRUEEFSLZYEIN-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)C(C)(C)c4ccccc4[N+](=O)[O-])CC3)c2c1 432885261 RXKDKWDPAMUHJX-UHFFFAOYSA-N 421.497 4.769 5 20 HJBD CCNC(=O)Nc1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1C 433196078 GBYXAEIZOVPLCY-UHFFFAOYSA-N 424.457 4.925 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC=C(c2ccc(F)cc2)CC1 435937177 QWDJNUYYWMTJHT-UHFFFAOYSA-N 416.427 4.889 5 20 HJBD O=C(N[C@H]1CCC[C@@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435938920 SYZOXIPJZHSPDU-NEPJUHHUSA-N 406.379 4.671 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccccc1)CC1CCOCC1 437356163 MMUHQALDWJKTNW-UHFFFAOYSA-N 422.403 4.683 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1cccnc1)Cc1ccco1 444237189 KNNANUBILYMDNO-UHFFFAOYSA-N 409.445 4.512 5 20 HJBD Cc1cc(NS(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1Oc1ccncc1 447269883 DONNJGVBEBUCGT-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cc(F)ccc1F)c1ccccc1Cl 460569844 AEZSLGMYYHKIGB-OAQYLSRUSA-N 416.811 4.975 5 20 HJBD CNc1c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)cccc1[N+](=O)[O-] 462929171 ZIDVTUYGKTUWOI-UHFFFAOYSA-N 421.501 4.512 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 464414913 PVFDQCYBWKUICF-UHFFFAOYSA-N 422.281 4.893 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638801 MQRHXOXYBKSBEK-RPWUZVMVSA-N 416.477 4.922 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)n1 466731273 MIRQKRZTXNIIRG-MRXNPFEDSA-N 408.458 4.558 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 466833411 GVPQPJVVFDSQDP-OAHLLOKOSA-N 418.877 4.929 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 468252604 UKFAKTUMWRYGIL-UHFFFAOYSA-N 415.371 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@H](C)c1sc(-c2ccccc2)nc1C 468965413 MGEKXXVIIFMRHI-MRXNPFEDSA-N 424.526 4.967 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCOc2ccc(C(F)(F)F)cc21 472876199 IWJYNGMNQMHPRL-UHFFFAOYSA-N 420.265 4.672 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])cc3Br)o2)cc1 478299117 AACGMPMSEVGTRE-UHFFFAOYSA-N 408.233 4.567 5 20 HJBD C[C@H](CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(F)(F)F 478442287 IIAWXYDUKZLCLP-BZNIZROVSA-N 415.799 4.716 5 20 HJBD CCn1c(S[C@H]2CCc3ccccc3NC2=O)nc2cc3ccccc3cc2c1=O 478512189 AAGHCYVXQZZUSP-NRFANRHFSA-N 415.518 4.615 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cncc(Cl)c3Cl)cc2[N+](=O)[O-])CC1 480861187 BAGZIVROBVQWEC-UHFFFAOYSA-N 409.273 4.785 5 20 HJBD C[C@H](N[C@H]1CCC[C@H](C(=O)N(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483133397 HWDJFEZMJWKGDM-JYJNAYRXSA-N 401.532 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1coc(-c2ccc(F)c(F)c2)n1 485333173 UAHHUCQJDWFTGO-LBPRGKRZSA-N 422.409 4.512 5 20 HJBD COC1(CC(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCC1 485648279 PUDISCATBPJRSV-UHFFFAOYSA-N 403.504 4.667 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2ccccc2Cl)[nH]s1 487417738 MWJLEMZUCCGWOB-UHFFFAOYSA-N 420.903 4.553 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(NC(=O)c3cccs3)c2)cc1[N+](=O)[O-] 488050206 OAMSFXQIQSOVIU-CQSZACIVSA-N 409.467 4.708 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1cc([N+](=O)[O-])ccc1OC(F)F 488149740 NVKQQHWGJPFJPE-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488810936 BGDDZMIMBMGGSL-CYBMUJFWSA-N 404.492 4.610 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 488957263 SZPASCGLNRYJNR-UHFFFAOYSA-N 419.409 4.558 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2s1 491645137 GHTJLYYLFZAFIV-UHFFFAOYSA-N 423.454 4.677 5 20 HJBD CCC(C)(C)OCc1cccc(NCC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])c1C 495080548 ROOBWWLDPFULEC-UHFFFAOYSA-N 415.490 4.668 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccccc1Cl 498038689 LRFNZAFXHYHAHL-QGZVFWFLSA-N 410.301 4.715 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](c2cccc(F)c2)c2ccccn2)cc1[N+](=O)[O-] 498231988 RTPQEFOAZQAMBI-QVKFZJNVSA-N 408.433 4.587 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccccc1[N+](=O)[O-] 501042428 FECFTRASWNYYST-UHFFFAOYSA-N 420.853 4.822 5 20 HJBD Cc1cc(Br)cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 501368603 XAKGJDOOKCGNIA-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCC(F)(F)F)cc2)c1 502155693 MVCIPUPMFJLBMX-NSHDSACASA-N 414.405 4.749 5 20 HJBD C[C@H](NC(=O)c1cnn(-c2ccc(Cl)cc2Cl)c1)c1cccc([N+](=O)[O-])c1 502481622 OCDBYZGVKDPULH-NSHDSACASA-N 405.241 4.578 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCC(F)(F)F)nc1 504070770 LMWLXEBMBNJOBL-UHFFFAOYSA-N 415.393 4.685 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCn1c(C)nc2ccccc21 505737344 XAHUFJYIHMFGBM-UHFFFAOYSA-N 412.515 4.575 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 508226545 YSKWKYAAJMEPIE-JLTOFOAXSA-N 420.444 4.719 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 509953458 AXQJJWFDOZAVCK-UHFFFAOYSA-N 422.441 4.883 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1ccsc1 516256111 JGKRCVPKBKORSJ-SFHVURJKSA-N 401.875 4.899 5 20 HJBD Cc1ccc(F)c2c1N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 519506024 HSUCUUPFDZPDCX-UHFFFAOYSA-N 412.339 4.576 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])n1 521088243 NBEIAFLJDLMLGN-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 522894806 CSJWWZIKICZFJK-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccccn2)cc1 524530432 LGBRUDMVNKTVOF-HXUWFJFHSA-N 401.422 4.758 5 20 HJBD Cc1ccc(Br)c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 534164808 XOVQVKSIKNSDHD-JTQLQIEISA-N 413.655 4.725 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(-c2ccccc2Cl)s1 537640711 GFZSTOLMWRASKT-UHFFFAOYSA-N 402.863 4.944 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1 539842062 RPGXXMSTCXVGCB-GOSISDBHSA-N 419.481 4.985 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@H](c4ccc(F)cc4)C3)cs2)c1 541764359 FTDGMTBFJFMOEQ-PXNSSMCTSA-N 413.474 4.867 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 543173573 VNHLPWZZUZPFBK-KGLIPLIRSA-N 405.882 4.590 5 20 HJBD C[C@@H](C(=O)N1CCC(c2nc3ccccc3s2)CC1)c1ccc([N+](=O)[O-])cc1F 543442850 HHZSZYJVQYYWDP-CYBMUJFWSA-N 413.474 4.853 5 20 HJBD Cc1cc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)ccc1I 545274874 FMASZNDHOQJTDG-ZDUSSCGKSA-N 410.255 4.667 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2N)cc1Cl)c1ccccc1 545377655 GSLLHKYZYLSCIG-GFCCVEGCSA-N 411.849 4.651 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC[C@H]3c3ccc(Cl)cc3)cc2)c(C)c1[N+](=O)[O-] 552989537 DDBUGTNUSLHUDP-FQEVSTJZSA-N 424.888 4.697 5 20 HJBD COc1c(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cnc2ccccc12 565329148 RIHYRYYSLORLJV-UHFFFAOYSA-N 420.428 4.955 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)C(F)(F)F)c1 569382977 ASEHNKBTMCCQOU-OAHLLOKOSA-N 402.756 4.680 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)c1 569395999 TYEZXFCWXHZNCR-UHFFFAOYSA-N 419.437 4.697 5 20 HJBD O=C1CSc2ccc(N[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)cc2N1CC(F)F 574344744 AWQBSTVAFGGAOC-MRXNPFEDSA-N 419.453 4.788 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)n1 581501026 CWIUNANIGCCMQE-AWEZNQCLSA-N 409.446 4.575 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 582079605 QAXSRBMPVRNKJZ-ADLMAVQZSA-N 407.264 4.748 5 20 HJBD CCOC(=O)C1CCC(N(CC)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 583218406 AVYISKOUEPMRGZ-UHFFFAOYSA-N 417.531 4.662 5 20 HJBD C[C@@H](Sc1n[nH]c(/C=C\c2ccccc2)n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 598952819 IFPPYKUVXDENBT-FFXRNRBCSA-N 420.454 4.787 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N[C@H](c1ccc(F)cc1)c1cccs1 602385602 JGLAACPXSGPXPH-OAQYLSRUSA-N 413.474 4.730 5 20 HJBD CN(C(=O)c1cc2ccccc2c2cccnc12)[C@@H]1CCCN(c2ccccc2)C1=O 604377187 OTMQRIIXNZQAIJ-HSZRJFAPSA-N 409.489 4.656 5 20 HJBD O=C(Nc1cccc(CCCc2ccccc2)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 608850340 NUKXQUULBVQKKU-UHFFFAOYSA-N 404.422 4.751 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2c2cccc3c2OCCO3)cc1[N+](=O)[O-] 608970137 SERFGNVRORBFMJ-SFHVURJKSA-N 410.470 4.641 5 20 HJBD O=C(Nc1nc(-c2ccc(OC(F)F)cc2)cs1)c1cc(F)cc([N+](=O)[O-])c1 609441307 NHUFLMYVJJGPHH-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD O=C1[C@@H](Sc2ncc(C(F)(F)F)cc2Cl)CCN1c1cccc([N+](=O)[O-])c1 609449816 KHHDISHYRZGFIT-ZDUSSCGKSA-N 417.796 4.560 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)c1ccc([N+](=O)[O-])cc1Br 610048206 OWUPMHPNNPNJLH-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)nc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610290722 MNLNHWMSUNUNND-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c12 611090742 MCECOJMRTYYBSH-UHFFFAOYSA-N 406.398 4.620 5 20 HJBD Cc1ccncc1[C@@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 611939516 QEIPBZGLTVJVFZ-QVKFZJNVSA-N 424.888 4.982 5 20 HJBD Cc1c(Cc2noc(CCCc3ncc(-c4ccccc4)o3)n2)cccc1[N+](=O)[O-] 612966793 ATGXFYNIYWEFMA-UHFFFAOYSA-N 404.426 4.707 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc(C=O)cc3[N+](=O)[O-])c([N+](=O)[O-])c2)cc1 727431353 OKXZTQMDWRQPNA-UHFFFAOYSA-N 421.365 4.669 5 20 HJBD CCc1nc(CN2CCC(CNc3nc4ccc([N+](=O)[O-])cc4s3)CC2)cs1 732050407 UKSGRVORKGFWDZ-UHFFFAOYSA-N 417.560 4.548 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc2c(c1)CN(C(=O)OC(C)(C)C)CC2 732194867 BOFULQHVPKYADN-UHFFFAOYSA-N 413.474 4.509 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1csc(Cc2ccc(F)cc2)n1 734781439 YWVLURQUFFIRID-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD Cc1cc2occ(CC(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c2cc1C 735442360 FZRXDEHQMSWYFR-UHFFFAOYSA-N 406.394 4.899 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1csc(COc2ccc(F)cc2)n1 735645891 QALVFZCPHNDWTK-UHFFFAOYSA-N 422.821 4.950 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)ccc2C)c1 742006173 YCWYDNNDARAQDV-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC(Sc2ccc(Cl)cc2)CC1 746294321 RGWPFBXTIDQILE-UHFFFAOYSA-N 412.845 4.923 5 20 HJBD Cc1c([C@H](C)OC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)oc2ccccc12 747032645 LOZAZBQRXWLNHB-AWEZNQCLSA-N 424.409 4.642 5 20 HJBD C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067656 OIXDCEGSFJPRGA-AWEZNQCLSA-N 416.547 4.555 5 20 HJBD Cc1ccc(CCCC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)s1 750969069 AFPDEQLMMVAMPS-GFCCVEGCSA-N 410.879 4.511 5 20 HJBD C[C@@H](C(=O)Oc1cccc(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755705378 ATAIKDYXLXZWRW-GFCCVEGCSA-N 404.394 4.790 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@@H]2c2c(C)nn(CC(C)C)c2Cl)cc1[N+](=O)[O-] 760029720 SCXQJBJFUIKTIF-MRXNPFEDSA-N 404.898 4.695 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760461060 KEUBCYQUULVUJE-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD Cc1ccc2c(CCC(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)c[nH]c2c1 760529172 NRQKXGUUIXSTIQ-UHFFFAOYSA-N 405.410 4.716 5 20 HJBD CCC(CC)(CC)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761477709 CWWOVMVUZUYHBJ-NSHDSACASA-N 404.385 4.700 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 763424123 QHJBHCYTJOEIKW-FQEVSTJZSA-N 416.355 4.540 5 20 HJBD CC(C)Oc1cc(Br)cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 763506447 ZGDNFEGHCGMNAM-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD C[C@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 775932477 RNRGJOVJESIRPN-HZMBPMFUSA-N 423.252 4.502 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(OCC3CCOCC3)cc2)cc1[N+](=O)[O-] 777035181 AHKLJIHYBUSNPY-INIZCTEOSA-N 400.475 4.972 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)s1 777943914 WMRBELUVFQCNBN-UHFFFAOYSA-N 410.427 4.522 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COc1ccc(Oc2ccccn2)cc1 778758501 UOSSADFBTNCBMI-INIZCTEOSA-N 408.410 4.774 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(C3(c4ccccc4F)CCCC3)no2)C1 789872014 HXIBCTXCWXQGOI-IBGZPJMESA-N 422.416 4.843 5 20 HJBD Cn1c([C@@H]2CCCN2c2ccc([N+](=O)[O-])cc2Br)nc2ccccc21 798395918 PDXYFLPFECEWRO-KRWDZBQOSA-N 401.264 4.586 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCc2ccc(Br)cc2C1 809915549 QXEABJIUHHKGJT-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 812307902 AWTSPSCQPWLYEP-FQEVSTJZSA-N 412.392 4.595 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C 819099237 RQNLURRTRGLQQB-DYZYQPBXSA-N 422.268 4.915 5 20 HJBD O=[N+]([O-])c1ccc([C@@H]2C[C@H]2c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1 904467460 AQLNIVJNPVHKDJ-LEWJYISDSA-N 414.421 4.890 5 20 HJBD Nc1c(Cl)cc(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1[N+](=O)[O-] 904503662 CXWPCGXPAJEVFH-UHFFFAOYSA-N 423.816 4.521 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)ccc1NC(=O)OC(C)(C)C 921280398 GADKTTUQTVFPDC-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1cccc(OCc2nnc(CCNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)c1 1257680117 WGCCGOFTAKSDHS-UHFFFAOYSA-N 422.363 4.539 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(-n2cccc2)ccc1F 1318885273 CIIDWEZPPHHHBW-UHFFFAOYSA-N 403.797 4.586 5 20 HJBD O=C(c1ccc(C2SCCCS2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 1322470957 KYHZBTUZUVVFDM-UHFFFAOYSA-N 400.525 4.662 5 20 HJBD Nc1c(-c2nnc(-c3cc(-c4ccco4)nc4ccccc34)o2)cc(F)cc1[N+](=O)[O-] 1322760299 AUVNSEVRVUNITM-UHFFFAOYSA-N 417.356 4.841 5 20 HJBD CSc1ccc(C(=O)NCCc2ccc(-c3csc(C)n3)s2)cc1[N+](=O)[O-] 12396404 LBUDNPSHNLQXDQ-UHFFFAOYSA-N 419.553 4.783 5 20 HJBD COc1ccc(CSCC(=O)N(C)Cc2ccc3ccccc3c2)cc1[N+](=O)[O-] 43727121 MUDPUOGXKUFOPK-UHFFFAOYSA-N 410.495 4.648 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(-c2nccs2)c1 47408691 GHVINSBOJQOUBC-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CCSc1nc(-c2ccccc2)c(-c2ccccc2)[nH]1 60029319 IVPSVBPRTSUMLC-UHFFFAOYSA-N 405.483 4.949 5 20 HJBD Cc1ccc(C(=O)N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 60867480 OTHXPXIEELFATN-FQEVSTJZSA-N 418.428 4.563 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](c2ccc(CC(C)C)cc2)C(C)C)cc1[N+](=O)[O-] 61963078 ZZVVZVOFCCTNID-NRFANRHFSA-N 411.502 4.554 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64898070 KGFNQQDESFAEJB-HNNXBMFYSA-N 415.471 4.824 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](c3ccccc3C)C[C@@H]2C)cc1[N+](=O)[O-] 303726627 CHFSTTVHTZBIIX-WMZOPIPTSA-N 414.527 4.550 5 20 HJBD Cc1cn2c(-c3noc([C@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)c(C)nc2s1 426552926 MYLXGCFPIZPLND-NSHDSACASA-N 401.473 4.824 5 20 HJBD CC[C@H](NC(=O)Nc1nc2c(COC)cccc2s1)c1cccc([N+](=O)[O-])c1 429081136 OYDGLAMQKYINIR-HNNXBMFYSA-N 400.460 4.624 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429962781 CNYDHSFAONZUPO-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD CCn1cccc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)c1=O 433182169 MLWQFHXEJHBSJP-UHFFFAOYSA-N 409.511 4.526 5 20 HJBD COc1ccc(COc2cccc(CNC(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 435802942 ZQUNLERDQOKRET-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436243188 PEJARRQPBYIEHQ-AWEZNQCLSA-N 413.502 4.652 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436365952 NLUKJAIJTQUSMT-FQEVSTJZSA-N 400.478 4.961 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CCN(CC(F)(F)F)CC3)s2)cc1 436403108 GCGUNZATVMTLEO-UHFFFAOYSA-N 413.465 4.687 5 20 HJBD CC(C)c1c(NC(=O)c2ccc(O)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 436595373 IKBPPUYMWJLYHA-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437389685 LPPNMDYNDAXYEE-UHFFFAOYSA-N 410.474 4.776 5 20 HJBD C[C@@H](C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 437429392 PRGZSQXMTDBLDQ-UXIGCNINSA-N 410.367 4.919 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 440623664 KLBFPDITOGLHLV-IXDOHACOSA-N 407.580 4.681 5 20 HJBD COc1cc(-c2nc(CN(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)ccc1O 441266109 ULLZTSADYAXJEW-UHFFFAOYSA-N 411.483 4.597 5 20 HJBD CCCN(C(=O)CCSc1ccc(OC)c(OC)c1)c1cccc([N+](=O)[O-])c1 441432949 YRIMAALCJPPDAS-UHFFFAOYSA-N 404.488 4.537 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Br)c1 443827053 UPPQZQDSDBLTEH-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CC[C@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cc(F)cc(Br)c1 444690140 QXBIDFVKKWTARE-AWEZNQCLSA-N 407.243 4.614 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 444780859 GGLCNCCULQHTOZ-MRXNPFEDSA-N 406.364 4.737 5 20 HJBD CC(C)n1ncc2cc(CSc3ccc(Br)cc3[N+](=O)[O-])cnc21 447351645 QOSRLNUFTQKPQJ-UHFFFAOYSA-N 407.293 4.975 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 460510119 JKWLOFUZYIKUGV-UHFFFAOYSA-N 409.530 4.556 5 20 HJBD CC(C)(C)CN1CCC[C@@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C1=O 460763013 KVAZDOYKYPDQMA-QGZVFWFLSA-N 403.504 4.520 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@H]1c1ccccc1 462438235 CKRIVNGWISYCPE-AUSIDOKSSA-N 403.482 4.597 5 20 HJBD Nc1c(C(=O)Nc2ccccc2NCc2ccc(Cl)cc2)cc(F)cc1[N+](=O)[O-] 462847411 XCUSUWDLXSTRDA-UHFFFAOYSA-N 414.824 4.834 5 20 HJBD CN(CC(=O)Nc1ccccc1-c1nc2ccccc2s1)c1ccccc1[N+](=O)[O-] 463336157 RXQRLTRFNNTMJS-UHFFFAOYSA-N 418.478 4.946 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@H](CSc2ccccc2)C1 464326329 WFDZUEHYIPKMNS-JKSUJKDBSA-N 402.541 4.716 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 464334817 LOAZZGILHUNMIC-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD COc1c(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)cccc1[N+](=O)[O-] 475169487 LBKLGRVQQUYMEC-KRWDZBQOSA-N 402.859 4.838 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)s1 475209081 OWAQHSBKXRLYBR-SECBINFHSA-N 402.398 4.912 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F 481426833 OMGAQSPQHJXWPZ-GFCCVEGCSA-N 411.380 4.717 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC(c4ccsc4)CC3)cc2[N+](=O)[O-])CC1 485779627 VPCXRFNOHBHQAO-UHFFFAOYSA-N 413.543 4.912 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2ccccc2)nc1)c1ccccc1[N+](=O)[O-] 488597757 FGLCXZQPQUCZQL-UHFFFAOYSA-N 406.442 4.517 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](OC)c1ccc(Cl)cc1 489292157 LUOZNBOSACLHMZ-SGTLLEGYSA-N 408.907 4.866 5 20 HJBD COc1ccc(-c2nc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)cc1 493001888 GZOGXCFMNUTLJW-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 499527597 LRHDNGDXVKCDTE-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)cc1C(F)(F)F 499619437 NNSMMLOVNRLYFV-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 502066695 NDYSABDGTSGMFT-ZDUSSCGKSA-N 411.483 4.884 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cccc(C)c1[N+](=O)[O-] 502248736 AFXUIJQGDHECHH-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)c(Cl)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 504744833 GIRYQFGCGMREDR-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD CCCn1c(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nnc1-c1cccc(C)c1 506872004 HUWFQSGNDLUSOB-UHFFFAOYSA-N 423.498 4.608 5 20 HJBD C[C@@H](Nc1cccc(C(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 507862809 KKZGLJNEAMDCNN-SECBINFHSA-N 403.307 4.990 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 515696856 DZKWNIACBNTHSM-CQSZACIVSA-N 407.426 4.608 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3ccc([N+](=O)[O-])cc3F)c2)c1 516006819 MWNQPWSPGIYADG-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1 516272799 XYAVWDRXSSZBRQ-VIFPVBQESA-N 422.438 4.511 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)[C@@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 518725418 RFVQGFXDJNQDRA-IAGOWNOFSA-N 420.465 4.915 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)cc1[N+](=O)[O-] 520770388 DOVKCGDCNDCSKR-UHFFFAOYSA-N 405.389 4.571 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2nc(-c3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 522340036 LOSCJFMSNCQJAM-UHFFFAOYSA-N 414.849 4.526 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC(C)(C)C)cc2)cc1[N+](=O)[O-] 525050997 JAOYXJLOWFKFFR-UHFFFAOYSA-N 404.488 4.653 5 20 HJBD CC[C@H](C[C@H](C)CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 525759670 JISSPNUYUCIJGA-QWHCGFSZSA-N 411.296 4.504 5 20 HJBD C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(=O)c2ccccc2)c1 535600928 BFBSQVOIOJCKJU-KRWDZBQOSA-N 414.461 4.514 5 20 HJBD CC(C)c1nc([C@@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 535681460 PMJORCJGWHMDMM-CYBMUJFWSA-N 410.434 4.511 5 20 HJBD C[C@@H](NC(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1cccc([N+](=O)[O-])c1 538624810 NAOWUEAITRRBKW-CYBMUJFWSA-N 403.398 4.681 5 20 HJBD CCN1C/C(=C\c2ccc([N+](=O)[O-])cc2Cl)c2nc3ccccc3c(C(=O)O)c2C1 542187444 VLWIOSLTHAGPPW-NTEUORMPSA-N 423.856 4.871 5 20 HJBD CCCc1c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(F)c1 544292582 NXDXUFOPWLAOFN-UHFFFAOYSA-N 410.449 4.788 5 20 HJBD C[C@H](C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 544506331 YVVYCNGPMCCKLU-AWEZNQCLSA-N 413.449 4.995 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1c1nc(-c3ccc([N+](=O)[O-])cc3C)no1)O[C@@H](C)C2 544745132 WQNCAGMVVWAOFE-IXDGSTSKSA-N 421.453 4.946 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Nc1ccc(Cc2nnc3n2CCCCC3)cc1 545233183 GKOIAAMPQDIUAD-KRWDZBQOSA-N 405.502 4.985 5 20 HJBD CCC1(c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CCN(C(=O)c2ccccc2)CC1 545691729 ORSNGJURNCDQOL-UHFFFAOYSA-N 420.469 4.537 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 553210206 QEJZLYKSRUKWSL-UONOGXRCSA-N 410.396 4.526 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)CCC2 559567754 PZVCUVIAIKVNCT-HNNXBMFYSA-N 424.320 4.580 5 20 HJBD C[C@H](N[C@@H]1CCOC2(CCOCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 563701890 HGEODQAJILNKNS-JKSUJKDBSA-N 402.516 4.702 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)o1 570498874 MGWIFVFNAATIQV-UHFFFAOYSA-N 414.801 4.840 5 20 HJBD CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 598686216 DNYOENGIDXQZFW-BBRMVZONSA-N 404.850 4.680 5 20 HJBD Cc1nc(-c2ccc(C(F)(F)F)cc2)sc1C(=O)NCc1ccccc1[N+](=O)[O-] 603811933 ZPEMSPOYBXBRKI-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604470079 SQBUXEUKOMHWLR-UHFFFAOYSA-N 422.388 4.687 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(-n2cnc3ccccc32)cc1 608789428 GXJKUYFZTOMOGH-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD Cc1cc(C(=O)N2c3ccccc3CC[C@@H]2C(=O)Nc2ccccc2)ccc1[N+](=O)[O-] 608799594 VCXHRZIACOCOLN-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1C[C@H]1C[C@H]2CC[C@H]1C2 608881024 OAOIAQXXNCXGFU-WJMWBRGCSA-N 411.546 4.629 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)c1 609186215 DWAPAUCEYUJUQY-NSHDSACASA-N 415.446 4.665 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnn(-c2ccccc2)c1 609755807 SECAEYXDUKTNQH-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cnn(CC(F)(F)F)c2)cc1[N+](=O)[O-] 609816646 HWLHGOLEORHXKC-UHFFFAOYSA-N 416.425 4.744 5 20 HJBD Cc1c(C(=O)N2CC[C@@H](Nc3ccc([N+](=O)[O-])cn3)C2)oc2c1ccc1ccccc12 609882376 ORPWYGIHXBBQKK-MRXNPFEDSA-N 416.437 4.524 5 20 HJBD C[C@H]1C[C@@H](N(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 609977969 LVGRNXFRAWRLCZ-LPHOPBHVSA-N 423.538 4.935 5 20 HJBD Cc1cccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)n(C)c12 611212443 SCVNJEXUQLIVQJ-UHFFFAOYSA-N 424.526 4.755 5 20 HJBD CC(C)n1c(SCC(=O)c2cccc([N+](=O)[O-])c2)nc2cc(Cl)ccc2c1=O 612304943 BDLJMFGEPMJDHA-UHFFFAOYSA-N 417.874 4.514 5 20 HJBD C[C@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)c2ccncc2)cc1 618568439 STYJRBPEWZXVLO-QMHKHESXSA-N 416.481 4.970 5 20 HJBD CCc1ccc([C@H](C)C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 727706304 PNEUVFKVNKBGHG-INIZCTEOSA-N 422.485 4.748 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709018 RKTGHKMAICEISB-UHFFFAOYSA-N 421.375 4.783 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])ccc1F 730694103 KBBWYZFHDZHJGX-UHFFFAOYSA-N 410.785 4.954 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1cc(-c2ccc(F)cc2)no1 735149071 PQOPCUWSLDPDKI-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD CCOc1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)ccc1C 750866001 VWXSYYSSDCHLKG-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(N3CCCC3=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 753613910 OHUVSUYWCWHINK-DEOSSOPVSA-N 419.481 4.756 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c1C(C)C 754756691 GFZGGOQNWOPANY-UHFFFAOYSA-N 413.522 4.813 5 20 HJBD CCCCCCn1nc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c2ccccc2c1=O 755023462 BTMBLQKBMBOSKM-UHFFFAOYSA-N 422.485 4.754 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 760069841 HWHVDCRQVYLWCL-VEGGFIAOSA-N 416.909 4.786 5 20 HJBD CC(C)[C@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 762024800 IEAHDOFQDVKTEG-HNNXBMFYSA-N 404.467 4.526 5 20 HJBD CC(C)(C(=O)Oc1ccc(Oc2nccs2)cc1)c1ccc([N+](=O)[O-])cc1F 762455592 BKJBASBGYCSMHL-UHFFFAOYSA-N 402.403 4.866 5 20 HJBD O=C(COC(=O)c1cccc2ccsc12)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 767632672 GDFPBTBTUZXFSZ-UHFFFAOYSA-N 424.356 4.624 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCC(Sc2ccc(Cl)cc2)CC1 773196028 PRQMCKIPUZGUJF-UHFFFAOYSA-N 424.881 4.549 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSC[C@H]2CC3(CCC3)C(=O)O2)cc1OC 790572579 RNKCERGRWUQKHP-GDBMZVCRSA-N 423.531 4.747 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1sc2ccccc2c1Cl 790645200 BSZMLTQVCQRDJB-UHFFFAOYSA-N 404.831 4.877 5 20 HJBD CC1(C)CN(Cc2ccccc2)CC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918079 QFKOYMQCBVRFRN-GOSISDBHSA-N 416.909 4.670 5 20 HJBD N=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(OC(F)(F)F)cc1 849109512 WEDHPHDPFUKDNS-UHFFFAOYSA-N 422.285 4.649 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@H]1c1ccc(Br)c([N+](=O)[O-])c1 917499640 KNTQSGDDVPCGFM-OAHLLOKOSA-N 410.655 4.597 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 918855840 WSPWCIUECFBHCH-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=C(Nc1ccc(SC(F)(F)F)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 1115851848 LUINKYHJBHEDAB-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD CCOC(=O)N1[C@H](c2ccc(C)o2)CC[C@H]1c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326068633 YUFLMQQWGMLOIJ-HOTGVXAUSA-N 412.402 4.581 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 1338320840 RQCZANJXOYDWHN-LPHOPBHVSA-N 423.282 4.849 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11669687 WZPWPZZCCGEEJM-ILFKPUCNSA-N 415.254 4.547 5 20 HJBD Cc1ccccc1-n1ccnc1S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 21594583 UZOGGXVPCQEIJY-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CSc2ccc3ccccc3c2)cccc1[N+](=O)[O-] 22813783 DHVFVYOFQITISC-OAHLLOKOSA-N 424.478 4.719 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CC[C@@H]4CCCC[C@@H]4C3)cc2)c(C)c1[N+](=O)[O-] 24232152 SHEUNPJUJPSINA-CEWLAPEOSA-N 410.518 4.545 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cccc(F)c2)s1 31993604 SUILHSCJFYTSOD-UHFFFAOYSA-N 403.460 4.512 5 20 HJBD O=C(Nc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 59921492 JVJPOZSBLVWISV-UHFFFAOYSA-N 419.412 4.579 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCc2sccc2[C@@H]1c1cccs1 96956075 JDWRANBZWJJQCZ-HXUWFJFHSA-N 413.524 4.612 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 237859191 AKPJBCZUBSEFBL-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD O=C(c1cc(N[C@H]2CCSc3c(F)cccc32)ccc1[N+](=O)[O-])N1CCCC1 301117679 QGWBQUMVWYKWLI-KRWDZBQOSA-N 401.463 4.619 5 20 HJBD CN(CCCOc1ccc(Cl)cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436081462 POWXZIMOXDAAAS-UHFFFAOYSA-N 420.896 4.876 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)CC1 436365563 NYESAWGUGHETHP-UHFFFAOYSA-N 418.443 4.730 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2N2CCC(CN(C)C)CC2)cc1[N+](=O)[O-] 471193174 FAIOQJWJYUFLHF-UHFFFAOYSA-N 424.545 4.749 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OC)c(C)cc1C 472835574 RGWFLWFEEBGAAI-UHFFFAOYSA-N 402.472 4.753 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 478783245 PNMUAUCXJKYDOF-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD COc1cc(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OCCC(C)C 481382951 REJMEKULFHBNDT-UHFFFAOYSA-N 418.515 4.680 5 20 HJBD Cc1nc(-c2ccccc2)ncc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 481817663 ZWDGNEJHXTXYLC-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD Cc1cc(OCc2nc(-c3ccc(Oc4ccccc4)nc3)no2)ccc1[N+](=O)[O-] 485364742 ZPHWAWPCOVTUMW-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccccc2Br)o1 485371464 JMIBKGPYZACKRE-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1sc(Br)cc1[N+](=O)[O-] 485884263 RDAHMEROUJKYKO-UHFFFAOYSA-N 415.240 4.599 5 20 HJBD COc1cc(CNc2cccc(Cl)c2OC)c([N+](=O)[O-])cc1OCC(F)F 490397108 DSYQLZAUZHPAFV-UHFFFAOYSA-N 402.781 4.521 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)Nc1cc(C2CCOCC2)[nH]n1 494719616 UAPSJQOVCZZDSK-UHFFFAOYSA-N 423.429 4.648 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 499030256 PNXNAOOHQWHRIT-UWJYYQICSA-N 419.481 4.504 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@@H](C)N(C)C(=O)c3c([N+](=O)[O-])cnn3C)c2)cc1 501508239 CWZOGRNTRDNTOV-MRXNPFEDSA-N 422.485 4.616 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 501633643 WVYRGHINANGGOB-HNNXBMFYSA-N 415.858 4.625 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 502125973 LSAJXWDRFQXRNQ-NSHDSACASA-N 403.797 4.548 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](n2cccn2)Cc2ccccc21 503393273 GQWGGNVYBBBWEU-GOSISDBHSA-N 422.510 4.738 5 20 HJBD CC(C)c1ccc(-c2noc(CCc3nc(-c4cccc([N+](=O)[O-])c4)no3)n2)cc1 504980185 IMXVVGBPGRCALE-UHFFFAOYSA-N 405.414 4.603 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)Cc1ccccc1-c1ccccc1 510545910 NBMYLMHXPIYBLF-UHFFFAOYSA-N 405.454 4.612 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 510585116 RUTSFUPBQLMQTF-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD Cc1cccc(OCc2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)c1 511093654 DOIJLHGOTOJZKB-UHFFFAOYSA-N 415.471 4.945 5 20 HJBD Cc1cc(NS(=O)(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1Oc1cccnc1 511702896 YWUCIKCAFPSOKC-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCNc1ccc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)cc1[N+](=O)[O-] 514314834 WNDQXMYJJRZYFG-UHFFFAOYSA-N 418.425 4.604 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 516343782 VNOHMWIWIYATBX-LLVKDONJSA-N 415.298 4.816 5 20 HJBD CCO[C@H]1C[C@H](N(C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C12CCCCC2 517208111 UQLSOZJLPADHNF-OALUTQOASA-N 422.547 4.525 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](Cc2ccc(Cl)cc2)c2ccccc2)c1 517903617 JGBVHBBYPIIPNX-HXUWFJFHSA-N 410.857 4.971 5 20 HJBD COc1cccc([C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)N2CCCC2)c1 518272428 BOERJSKCMHJOCC-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD CN(C)[C@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 520206853 UTBSXFFQLFHZQU-HXUWFJFHSA-N 415.490 4.980 5 20 HJBD O=C(CCC(=O)c1ccc2ccccc2c1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 523163187 FTJYSLJFOYIUHW-UHFFFAOYSA-N 414.421 4.740 5 20 HJBD CC(C)c1ccc(CNCCc2nc(-c3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 524456517 XYARNERKPUMPLV-UHFFFAOYSA-N 400.866 4.754 5 20 HJBD COc1cc([C@@H](C)N[C@@H](C)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccncc1 532211884 XCSUTWXSRYWAKT-DLBZAZTESA-N 407.470 4.989 5 20 HJBD CCOc1ccccc1N(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 535128844 FNFJLCHWPMWNOG-INIZCTEOSA-N 420.469 4.838 5 20 HJBD CN(Cc1ccc(Br)cc1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536321558 UDMYQONAWIZDNB-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc(COc2ccccc2)cc1 536881362 KPFKWCLSBNDYCB-INIZCTEOSA-N 421.453 4.622 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)F)c1 537019414 XXWBDMCDSPXHHE-RYUDHWBXSA-N 404.373 4.658 5 20 HJBD COCCC[C@@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 538671231 UATBDVOOTSBEHJ-CYBMUJFWSA-N 424.856 4.859 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC1CCOCC1)c1ccccc1 544371797 SVLAMHLATGZINY-QVKFZJNVSA-N 404.894 4.663 5 20 HJBD C[C@H](c1nc(CCc2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 545033206 KDVACQOJXLTJAR-NSHDSACASA-N 407.348 4.655 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Nc1ccc(Cc2nnc3n2CCCCC3)cc1 545233184 GKOIAAMPQDIUAD-QGZVFWFLSA-N 405.502 4.985 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)Oc2ccccc2Br)n1 546398082 PCBIZDMMQPOJAV-LLVKDONJSA-N 404.220 4.856 5 20 HJBD NC(=O)c1cccc(NCc2ccccc2COc2ccccc2Cl)c1[N+](=O)[O-] 553415665 SAPSSKKZDNZTBS-UHFFFAOYSA-N 411.845 4.538 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc([C@H]2CCOC2)cc1 563961573 CFFFNXLGGWMOKZ-AWEZNQCLSA-N 423.391 4.558 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 564281537 INKAMMMNXUDCRR-CXAGYDPISA-N 412.471 4.712 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCS[C@H](c2ccccc2)C1 585699514 ZGKSOIZUSXFOSS-KRWDZBQOSA-N 421.316 4.596 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc4nc[nH]c4c3)c([N+](=O)[O-])c2)cc1 600852325 PECBTVUVQPSUAI-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 603975434 ZAJZDCATUZAWTQ-UHFFFAOYSA-N 417.874 4.853 5 20 HJBD Cc1cc(-c2cc(C(=O)NCc3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)s1 604471001 PLSZCXGEOCYYHM-UHFFFAOYSA-N 422.466 4.715 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@@H](c1ccccc1)c1ccc2ccccc2c1 609454765 DQZDIOVUEISLAW-DEOSSOPVSA-N 414.465 4.549 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)NCCOc1ccc([N+](=O)[O-])cc1 609467744 CPRCUPPGVQRUHI-LBPRGKRZSA-N 412.273 4.683 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 609526013 HREPVXDTPUULNF-UHFFFAOYSA-N 407.451 4.993 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCc1nc(C2CCCCC2)cs1 609793575 HRCSWWWSVTWYBY-UHFFFAOYSA-N 407.923 4.654 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 610042186 JIOGMENQNLRNTP-ZDUSSCGKSA-N 410.392 4.691 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCC[C@@H]3c3nc(-c4ccccc4)no3)nc2c1 610581391 PLXKCVLZTJVORE-QGZVFWFLSA-N 405.414 4.513 5 20 HJBD CC(C)OC1CCC(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)CC1 611051950 SZKGWAKOMZMCPD-FWYSVENZSA-N 417.550 4.543 5 20 HJBD COc1ccc2[nH]cc(CCNC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)c2c1 611402547 BEILDRLDPAGPAU-UHFFFAOYSA-N 413.499 4.558 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N(C)C(C)C)c(F)c2)cc1SC 728467040 YJDVMXGJPBINEM-UHFFFAOYSA-N 407.467 4.561 5 20 HJBD CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 740867943 KHDGVRSHBKBWGN-KKSFZXQISA-N 416.449 4.515 5 20 HJBD CCc1nc(C)c([C@@H](C)OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 741135432 JDKTYECCEOZYKV-LLVKDONJSA-N 403.485 4.964 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2ccc(OC3CCCC3)c(F)c2)cccc1[N+](=O)[O-] 743483737 DTWKYLIOZFFVME-CQSZACIVSA-N 401.438 4.803 5 20 HJBD Cc1cccc(C2(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)CCC2)c1 744540059 PPWSJLPNTNMDBX-CQSZACIVSA-N 416.861 4.549 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@@H]1C[C@H]1c1cccc(Br)c1 747411976 KPEDXJHNFSUXQX-JKSUJKDBSA-N 423.694 4.773 5 20 HJBD Cc1c(Br)cc(C(=O)O[C@@H](c2ncccn2)C2CCCC2)cc1[N+](=O)[O-] 753559536 OMPSQQGHYPDKKZ-MRXNPFEDSA-N 420.263 4.544 5 20 HJBD Cc1ccccc1CC(C)(C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754968878 RSHDTPCLIBFALV-UHFFFAOYSA-N 409.255 4.556 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1 758359638 DICGHMNZPXXLBU-GFCCVEGCSA-N 418.425 4.964 5 20 HJBD C[C@@](O)(Cc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)c1ccccc1 762307886 ILBBQAOPGSGDFB-HSZRJFAPSA-N 417.421 4.887 5 20 HJBD Cc1c(C(=O)N2CCCC[C@H]2c2nc3ccccc3o2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 762430698 NKNBGCFUSKLLPH-INIZCTEOSA-N 424.413 4.628 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCC1CCN(C(=O)OC(C)(C)C)CC1 765521244 QFXFMJUDXJZJFU-UHFFFAOYSA-N 405.495 4.577 5 20 HJBD O=C(Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-])c1csc(-c2ccccn2)n1 768805475 XDAJNZPTAXJYNC-UHFFFAOYSA-N 417.446 4.923 5 20 HJBD CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc(C(F)(F)F)cs1 769996589 DTNLISSXDQGSDU-VIFPVBQESA-N 408.789 4.996 5 20 HJBD O=C(NCc1cccc(OCc2ccccn2)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770008330 WPINQNKCORXJPZ-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CCc1ccc(C(=O)N2CCc3cccc(NC(=O)OC(C)(C)C)c32)cc1[N+](=O)[O-] 772773729 SYAQUELLSRMNRH-UHFFFAOYSA-N 411.458 4.707 5 20 HJBD CC(C)c1ncc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)s1 772950813 FWHFTDDHNMBVHT-UHFFFAOYSA-N 411.439 4.646 5 20 HJBD COc1ccccc1[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccco1 773082346 VGDLOKQWQNVOEA-HSZRJFAPSA-N 407.470 4.544 5 20 HJBD O=C(CCSc1ccccc1F)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136746 LGCFUWNSUYOSOL-NRFANRHFSA-N 412.442 4.944 5 20 HJBD O=C(Nc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1)c1cccs1 777975100 FOZPXVYJOFUMCA-UHFFFAOYSA-N 417.393 4.639 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1ccsc1)c1ccccc1 781638622 MEIWARLRMFIERF-UHFFFAOYSA-N 411.439 4.731 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC2CCCC2)nc1 781828812 ZREUKOPMSYRLON-QFIPXVFZSA-N 419.437 4.653 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCCC[C@H]1/C=C\c1ccccc1 782006827 RGHLMQOTMRMGHO-IMTHGLKYSA-N 423.469 4.920 5 20 HJBD COC[C@@H](C)OC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782549083 MRJWSCITWBLJMU-VQCBNXJZSA-N 412.467 4.713 5 20 HJBD CC1(C)[C@@H](C(F)(F)F)CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 787421810 WLBOOKYWPAIMRA-NSHDSACASA-N 410.190 4.552 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)n1 791028256 LMRYLYHNBULVJX-RUDMXATFSA-N 418.331 4.624 5 20 HJBD CCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)c(F)c1 799409274 UIYWNEDRXNLMSZ-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1N1CCC(c2nc3cc(Cl)ccc3o2)CC1 804356734 PBERHNVIHSIQHH-UHFFFAOYSA-N 402.794 4.682 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN(CCc1ccccn1)Cc1cccnc1 809998153 OAHXYLALGQRTFL-UHFFFAOYSA-N 417.296 4.937 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815167584 AAXQNYVLMSSHSN-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD CCC[C@@H](NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 917263262 BBSYBYUCNGZPJN-KBXCAEBGSA-N 410.426 4.544 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1F 920809114 RGEPQQYYRMAMQS-GFCCVEGCSA-N 409.845 4.638 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cnn(C2CCCCC2)c1 1321695488 VZOPITBNZMCVDT-UHFFFAOYSA-N 418.453 4.780 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc([C@@H](C)Oc3cccc(Cl)c3)o2)cc1OC 1346033740 KCNRPISSPNXVKB-LLVKDONJSA-N 419.821 4.846 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc(F)cc2)c2ccccc2)c([N+](=O)[O-])c1 5753902 TXXAZYUAEMVLOU-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 15922688 VKDXSYVVIUILQI-UHFFFAOYSA-N 419.437 4.554 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048816 LEQJOEGHOIVHGS-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD CCCCNC(=O)c1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1 236803004 GHQQRIUDEBMEBS-UHFFFAOYSA-N 407.470 4.675 5 20 HJBD O=C(CCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)N1CCCCC1 237929450 WYYHBSDWAMJTKE-UHFFFAOYSA-N 417.893 4.533 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(O)c(F)c3)o2)c(Br)c1 237970865 VZTSAHASKNWFLH-UHFFFAOYSA-N 421.222 4.752 5 20 HJBD CN(Cc1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1)Cc1cscn1 303449430 DPCLJDFLYIXXJV-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1NC(=O)C(C)(C)C 303550013 VVFUTWMUCKGYDX-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD Cc1cc(CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)nc(N2CCCC2)n1 426289725 NDHWJRZAWDDUTL-INIZCTEOSA-N 423.542 4.873 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)c2ncc(C)s2)cc1 427038229 KUEKXHSXTIXKKZ-ZDUSSCGKSA-N 413.455 4.652 5 20 HJBD CC(C)c1ccc(C(=O)N(Cc2ccc(F)cc2)C2CCOCC2)cc1[N+](=O)[O-] 427740306 JRIOUOITCSLCDK-UHFFFAOYSA-N 400.450 4.679 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 429923267 JKMSTJKIMZCAJN-NSHDSACASA-N 403.232 4.937 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@@H](C)[C@@H]2C)cc1OC 430660134 MTXCHZGKNLXFJN-STQMWFEESA-N 402.472 4.532 5 20 HJBD CCn1ccnc1[C@H]1CCCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 432407796 PHRYJCXCJFJZJG-OAHLLOKOSA-N 411.384 4.589 5 20 HJBD Cc1c(NC(=O)[C@@H](C)NCC(C)(C)c2c(F)cccc2Cl)cccc1[N+](=O)[O-] 439636084 BTLWJFQIHWETIG-CYBMUJFWSA-N 407.873 4.590 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1sc(Br)cc1[N+](=O)[O-] 446037242 OFUJTTGBPUEOMF-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD CC[C@H](C)[C@](C)(O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 461590072 LNYDERMRYRWDKQ-RNODOKPDSA-N 406.866 4.567 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3ccc([N+](=O)[O-])cc3Br)c2)n1 470128092 IPEIBVWZDZBFEK-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CCCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1cccc(C)c1 470335777 YTQMXMSDSLCXLQ-UHFFFAOYSA-N 417.469 4.704 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)c2ncccc2C)cc1[N+](=O)[O-] 471295993 MVJGJQZMMXBMOZ-UHFFFAOYSA-N 421.478 4.528 5 20 HJBD COc1ccc(CN2CCC[C@](C)(OC)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 475545543 DVOFTJREINCVMP-QHCPKHFHSA-N 414.502 4.573 5 20 HJBD Cc1noc([C@@H]2CCCCN2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 476185162 YVSQZHWHPRVCOD-IBGZPJMESA-N 423.429 4.838 5 20 HJBD Cc1ccc(-c2nnc(Sc3ncc(C)cc3[N+](=O)[O-])n2Cc2ccco2)cc1 481848961 ZJAXJKNJOMXCJI-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 482754734 AIQCNPJRCYJDGS-LJQANCHMSA-N 401.825 4.587 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)C2(c3ccccc3)CCCC2)cc1OC 484378928 NSMBFAMCDBOEHA-MRXNPFEDSA-N 412.486 4.632 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1n[nH]c([C@H](C)CC)n1 489263831 WSURBKDLFSTGPI-CHWSQXEVSA-N 405.524 4.610 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 489475038 BZZNCBNFWUHNFF-UHFFFAOYSA-N 410.518 4.990 5 20 HJBD COc1cc(CN(C)[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1SC 492444786 LNTXLUKLVRDPRV-CYBMUJFWSA-N 414.487 4.568 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)c1ccc([N+](=O)[O-])s1 499248708 SSVJMUMOSDODQF-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC[C@H]1CCCC(F)(F)C1 499522136 JVSRBBJMSPWXSN-JTQLQIEISA-N 412.380 4.647 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1NC(=O)C[C@@H]1C=CCC1 500909890 SUWSLHNUZXHQPI-OAHLLOKOSA-N 411.483 4.620 5 20 HJBD CCCN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)CC(=O)Nc1ccccc1 504190384 MUMHZIHHLUWRSS-CQSZACIVSA-N 403.866 4.518 5 20 HJBD Cc1ccc(-n2c(SCc3c(F)cccc3[N+](=O)[O-])nnc2N2CCCC2)cc1 504555437 ZIMBVFGSXWBZIJ-UHFFFAOYSA-N 413.478 4.516 5 20 HJBD CCOc1ccc([C@@H](C)NC[C@@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 504893067 BFIXALKHCMHNQR-SJORKVTESA-N 403.548 4.618 5 20 HJBD Cc1cc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c(Cl)cc1F 507622278 SBTSXBNUUBHXRD-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 511997055 OEQMDGVHXSLLAS-HNNXBMFYSA-N 404.747 4.740 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 512489576 GYCIBZCUPXMONF-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](C[C@H]3CCOC3)c3ccccc3)cs2)c1 513538541 LMAMPRADGGNFNW-IERDGZPVSA-N 409.511 4.976 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(OCc3cccc(C)c3)c2)c([N+](=O)[O-])c1 517688366 AYRRRAKBZGLZRS-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD COc1cccc([C@@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)N2CCCC2)c1 518771744 VYDWUSVZFJULLZ-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD COc1cc(OCC(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 519061784 MDUBXOHBQKBQDA-NSHDSACASA-N 413.257 4.509 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1[C@@H]1CCCc2ccccc21 520477052 MFZPFRKCMKGAGC-MGPUTAFESA-N 422.510 4.836 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3ccc(OCCO)cc3)cc2[N+](=O)[O-])cc1 521828647 NTJWEBYTICLRRY-UHFFFAOYSA-N 424.522 4.715 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nn(C(C)C)cc3c2)cc1SC 524043691 IPGANCJNIAXBMT-UHFFFAOYSA-N 400.460 4.508 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 525561295 SGXUUBLRTAYUPN-ZDUSSCGKSA-N 403.866 4.724 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(CC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 531459965 CVALSIRPZQUDPY-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534220603 KPFGLOKOGMCGHB-UHFFFAOYSA-N 405.439 4.578 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1 534498986 SLCDKXGAABUYAE-UHFFFAOYSA-N 403.438 4.741 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1C1CC1 536526700 NRQVHHSFZRNZKE-JTQLQIEISA-N 424.404 4.502 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1OC 537178355 DLHGSZPPJCQQPQ-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD CC(C)NC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 538248764 HWUQQPRJFLZDGS-UHFFFAOYSA-N 416.503 4.878 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(Cc2nc4cc([N+](=O)[O-])ccc4o2)C3)cs1 538996573 DUKHODGHHREEMZ-UHFFFAOYSA-N 412.496 4.788 5 20 HJBD Cc1csc([C@@H](C)CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 541647309 RYIOJDZCPNGENR-AWEZNQCLSA-N 410.499 4.505 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)C3CCCCC3)c2)c1 548975308 SCEQRDJPCQWBFJ-UHFFFAOYSA-N 411.458 4.765 5 20 HJBD CC(C)(C)C[C@@H](CC(=O)O)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 551422823 IHUOHUDNBHPDLM-MRXNPFEDSA-N 404.438 4.895 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 552296468 VVUKFBXEGGWOSV-IRXDYDNUSA-N 422.403 4.617 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccncc1OCc1ccccc1 553062021 XSPZQAVBINJOFV-UHFFFAOYSA-N 423.494 4.611 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 573662176 MWENJZVQZJIQEJ-IRXDYDNUSA-N 409.486 4.660 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 574122193 OYRJQYPFTCNLEG-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCC(CO)CC1 582574014 ZMNXBAFRRVUOCY-UHFFFAOYSA-N 423.307 4.600 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(C2CC2)nc2ccc(Cl)cc12 582741467 KZLPKNSHIJUAGX-UHFFFAOYSA-N 409.873 4.989 5 20 HJBD Cc1nc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)ccc1-c1ccccc1 582995612 CRUCWGFVYWXWMM-UHFFFAOYSA-N 416.481 4.820 5 20 HJBD CSc1cccc(C(=O)N2CCC(c3noc4cc(F)ccc34)CC2)c1[N+](=O)[O-] 589539437 OLWRXRRDOMAOFA-UHFFFAOYSA-N 415.446 4.617 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(NC3CCCCC3)cc2)cc([N+](=O)[O-])c1 604537918 WXJRPZSOICNHGZ-UHFFFAOYSA-N 411.458 4.768 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cc(Br)ccc3Cl)n2)cc1 608975289 HIVJOGSXMATVLQ-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)cc1[N+](=O)[O-] 609012326 RDWKLPBXSJPFSW-UHFFFAOYSA-N 404.850 4.759 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(OC(F)F)c(Cl)c1 609449780 DSHGBXOIBQAHPA-XFFZJAGNSA-N 419.771 4.504 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccccc1 609652308 MIXQYYNJDCOFTL-AWEZNQCLSA-N 406.394 4.716 5 20 HJBD COc1nc(C)cc(C)c1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609781601 RMMGPFXUVRFHSI-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD CC(C)(C(=O)NCc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1 610042738 OIJROVYFPKWXIM-UHFFFAOYSA-N 411.683 4.605 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncc(-c2ccccc2)o1 610068609 PPKHAYHKVANSAW-ZDUSSCGKSA-N 420.450 4.864 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCC[C@@H]1C(F)(F)F 612047576 CXXDDMRXXIXQDO-PWSUYJOCSA-N 410.845 4.825 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCN(c2ccc3ccccc3n2)CC1 615543015 ZBALQNHVIMWILF-UHFFFAOYSA-N 418.500 4.899 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 728148946 XVRIRSOGEFYYKO-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD Cc1cccc(COc2cccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c2)c1 732031591 AKKXNLOSLXGMQQ-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3CCN(CC4CCCCC4)CC3)cs2)c1 733326199 NGFCMZVTUHTGKL-UHFFFAOYSA-N 414.575 4.853 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H]3CCCc4nc(C)ncc43)c([N+](=O)[O-])c2)cc1 734832548 KKTFFEDSGNRSIY-FQEVSTJZSA-N 417.469 4.743 5 20 HJBD CCCCn1c(SCc2cc([N+](=O)[O-])ccc2Cl)nnc1[C@H](CC)N(C)C 735488077 ACMHGGSNFNZGAP-INIZCTEOSA-N 411.959 4.945 5 20 HJBD C[C@@H](OC(=O)CCc1cc(Cl)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 736937724 UQVFKLSNPGDOFU-SNVBAGLBSA-N 407.835 4.597 5 20 HJBD O=C(/C=C\c1ccccc1OC(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 742186369 IHIXUQLXRVTVDL-IEODJVTJSA-N 424.400 4.781 5 20 HJBD CS[C@H]1CC[C@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 742739838 DYHZLWOSFGLHSG-STQMWFEESA-N 409.558 4.525 5 20 HJBD O=C(Nc1ccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1)OCC(F)(F)F 744075363 WXKLBQDZOQFKGV-UHFFFAOYSA-N 418.711 4.578 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c(C)c1 747972121 RMOHVTYOHPCYQC-ATVHPVEESA-N 419.462 4.734 5 20 HJBD Cc1c(C(=O)Nc2cccc(COc3ccccc3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748625111 BEZLDXAODLMZDJ-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)n1 748709530 VPARFYVSWXZQDJ-UHFFFAOYSA-N 424.482 4.579 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)cc2[nH]1 751107384 HJUOPHHFEIIJGD-UHFFFAOYSA-N 417.263 4.829 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCCC[C@@H]3C[C@@H](O)c3ccccc3)n2)c1 751559169 QAQSGFKTQQQGAY-TZIWHRDSSA-N 422.485 4.513 5 20 HJBD Cc1cccc(NC(=O)[C@@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1C 751633610 FGNTXKVSHAMBJA-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 752443564 PNJFOJOPSNQQOA-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD C[C@H](Cc1cccc(Cl)c1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 756342311 DSDOGQUNXXCEDS-CHWSQXEVSA-N 415.833 4.781 5 20 HJBD Cc1ncc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Cl)c1Cl 758517462 JSGRXJLSVQTFCR-VIFPVBQESA-N 423.212 4.573 5 20 HJBD CCC(=O)N1CCC[C@@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)C1 761856664 CFUQLCQAJXLURT-MRXNPFEDSA-N 422.441 4.553 5 20 HJBD Cc1csc(Sc2ccc(-c3nc([C@@]4(C)CCCO4)no3)cc2[N+](=O)[O-])n1 763318100 QMQHQDKWFNIAJA-QGZVFWFLSA-N 404.473 4.587 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 771689860 LHZCMRVFJMSEDW-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)NC1CCC(O)(c2ccccc2)CC1 771769592 WSPZPZQXZYJQDV-UHFFFAOYSA-N 423.391 4.566 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1ccsc1 777823254 GCKZHRMDSRKUIJ-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 777954288 JHEKIHWVMRTKKA-OFNKIYASSA-N 406.486 4.516 5 20 HJBD CCn1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)c(=O)c2cc(Cl)ccc21 782311560 CQWBMRNRDKRYNA-UHFFFAOYSA-N 421.236 4.593 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCCC[C@@H]2C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 784440477 QLSTTYJZZZRABM-XLIONFOSSA-N 400.475 4.820 5 20 HJBD COc1ccc(C(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c(OC)c1 788009914 XSUFCPGYHCIIQX-SNVBAGLBSA-N 404.469 4.585 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@H](c3cccc(Br)c3)C2)c([N+](=O)[O-])c1 795081849 UJYOSSCINYFKLP-IBGZPJMESA-N 419.275 4.528 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)cc1 795262446 XTALWOSKSUUYMS-UHFFFAOYSA-N 408.813 4.634 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cs1 811373670 JVKFGXWKYYONPJ-QMMMGPOBSA-N 405.259 4.813 5 20 HJBD COc1ccc([C@H](O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 812479957 AFBHYHBWIPWVAW-IBGZPJMESA-N 410.392 4.572 5 20 HJBD CCN(CC)[C@H](c1cccc(C(F)(F)F)c1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812811775 IUTSQGLVCXUKEI-MRXNPFEDSA-N 414.428 4.508 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Cl)ccc1OCC(C)C 816863136 YYSUKCXUTNHQMI-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(C2CCCCC2)CC1 916439320 SSDXEDGALBVTJZ-UHFFFAOYSA-N 402.538 4.974 5 20 HJBD CCC/C=C\C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 919241888 DIBQXNRCTMCGON-HIZXUYSRSA-N 402.834 4.828 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2cnc(C)s2)n1 920264514 RBQJKMHXZROHKY-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCCCOc1ccccc1 1122493196 LCCCIVVGAKMKFO-UHFFFAOYSA-N 401.462 4.557 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCc2cnn(C(C)C)c21 1320537966 HWEREDSIWRIPGA-QGZVFWFLSA-N 402.520 4.680 5 20 HJBD O=C(NC1(c2ccc(NC(=O)C3CC4(CCC4)C3)cc2)CCC1)c1ccc([N+](=O)[O-])o1 1792037314 BBMXXNWFHMVUDT-UHFFFAOYSA-N 423.469 4.516 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)C(c1ccccc1)c1ccccc1 10963597 YWADZXSNHRQZQG-UHFFFAOYSA-N 404.422 4.527 5 20 HJBD O=C(NCc1ccccc1-c1ccc(CN2CCCC2)cc1)c1ccccc1[N+](=O)[O-] 26402846 DDUPWEUMDLYISH-UHFFFAOYSA-N 415.493 4.788 5 20 HJBD C[C@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1cccc([N+](=O)[O-])c1 36772843 MHJZKIHURUPQJF-NSHDSACASA-N 418.525 4.691 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 47550724 PNBGXAXCKOZRSW-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 55464802 HHROJGJXEQVMJF-CYBMUJFWSA-N 411.483 4.983 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 59250119 RZDXEZGGRIYWLB-UHFFFAOYSA-N 406.394 4.734 5 20 HJBD COc1ccc(Sc2ccc(NC(=O)c3n[nH]c(C)c3[N+](=O)[O-])cc2Cl)cc1 72061697 WWJRGRSEVRWDLD-UHFFFAOYSA-N 418.862 4.692 5 20 HJBD CCOCCCN1CC[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)[C@@H](C)C1 236865633 ZNMCPWSNXNACQR-FXAWDEMLSA-N 417.575 4.550 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)Nc3cc(C)nc4c([N+](=O)[O-])cccc34)ccc2NC1=O 301998278 IFXICHHGXJLEPI-YJYMSZOUSA-N 406.442 4.734 5 20 HJBD CCn1c(Cc2ccccc2)nnc1Sc1ccc(Br)cc1[N+](=O)[O-] 303119859 FIJKUHJXQHMXGB-UHFFFAOYSA-N 419.304 4.711 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)[C@@H](C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 436190963 XKRKDPZNUPIKKE-STQMWFEESA-N 404.850 4.777 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 436326454 QACISGAXIBXZLW-MRXNPFEDSA-N 400.784 4.909 5 20 HJBD C[C@@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C(C)(C)c1ccccc1 436417695 VQVDQIKKIMKJAA-OAHLLOKOSA-N 402.469 4.917 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@H](c4nc(C(F)(F)F)cs4)C3)nc2c1 441082541 XLCSXTVXTDETCR-JTQLQIEISA-N 412.393 4.591 5 20 HJBD Cc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n([C@H]2C[C@H]3CC[C@H]2C3)n1 444291488 QGRLHPCXZHWMCD-WCJKSRRJSA-N 412.493 4.800 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2Nc2ccc(-c3ncc4n3CCCC4)cc2)n1 447595787 OQWQEYNWZOUTBQ-UXHICEINSA-N 406.490 4.587 5 20 HJBD COc1ccccc1C1(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCCC1 463140558 LKKQHJCIPTXUFL-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)CC(=O)Nc1ccccc1[N+](=O)[O-] 469124625 YOUYGQBQHDUNIF-HNNXBMFYSA-N 410.499 4.658 5 20 HJBD Cc1cccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1Br 470031322 SUSKNJXPJDXAMJ-JTQLQIEISA-N 413.655 4.725 5 20 HJBD COc1c(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)cccc1[N+](=O)[O-] 475169488 LBKLGRVQQUYMEC-QGZVFWFLSA-N 402.859 4.838 5 20 HJBD O=C(NCc1cc(-c2ccccc2)on1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475208489 JWJPSQOXOAAENT-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD CC[C@@H](C)c1ncc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cn1 478318660 GAMKLNIGIAFOLA-LLVKDONJSA-N 408.408 4.515 5 20 HJBD C[C@@H](CNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 479399603 OPISUMJRASOMCV-NSHDSACASA-N 415.799 4.789 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c1[N+](=O)[O-] 480221717 GYVBSDBZTJACJM-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD CCn1c(SCc2cc(-c3ccccc3)no2)nnc1-c1ccc([N+](=O)[O-])cc1 481338360 BKLNUDSDPZVEHW-UHFFFAOYSA-N 407.455 4.821 5 20 HJBD COc1cc(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)ccc1[N+](=O)[O-] 482739795 GGVPVVIQJVOSDU-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD O=C(Nc1c(Br)cc(F)cc1Br)c1csc([N+](=O)[O-])c1 484155684 DRDLMSUEHWRRIW-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD CC[C@H](C)c1nc([C@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 486947445 CDUZBNLDYZOLHT-KBPBESRZSA-N 424.461 4.901 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489680771 RWVJUXQEADEXEX-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)Cc1ccc(Br)c(F)c1 494746473 GOHFUXDWONTOEM-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C)nc(C(C)C)n2)cc1 502743802 CZGUXOGCSYNSCO-UHFFFAOYSA-N 422.441 4.870 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)c3cc4ccccc4c4cccnc34)C2)nc1 503077121 NZRAATJEELGJLN-IBGZPJMESA-N 424.504 4.582 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3ccc([N+](=O)[O-])s3)s2)cc1 505139387 COSVUZWBKBOSDV-JTQLQIEISA-N 403.485 4.588 5 20 HJBD Cn1cc(C(=O)Nc2cccc(-c3cccs3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 505854573 HHFJYYTUCLTYRN-UHFFFAOYSA-N 404.451 4.976 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 512273394 AIMDNKVWMPIQSH-DYVFJYSZSA-N 408.376 4.511 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 518724263 PFNZOZZLVJZOPZ-MRXNPFEDSA-N 404.422 4.870 5 20 HJBD C[C@@H]1CCCN(Cc2ccccc2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)C1 518763360 DRAPQGZJQMSBLJ-MRXNPFEDSA-N 419.472 4.718 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 521278457 LLPIWILURNZFOB-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD CCOc1cc(NC(=O)N[C@H](c2ncccc2C)C(C)C)c([N+](=O)[O-])cc1OCC 523785393 HBRVKLLAMRCWDZ-IBGZPJMESA-N 416.478 4.614 5 20 HJBD O=C(CSc1cccs1)Nc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 524210819 ZFBRUVLOBMGEEX-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525284686 ZEYOKNBJUQFKDM-LAUBAEHRSA-N 405.454 4.647 5 20 HJBD C[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1nc(C(C)(C)C)cs1 525318641 RENMGGZHDXCMTG-CQSZACIVSA-N 404.536 4.732 5 20 HJBD CC[C@H](c1ccccc1)N(CC(C)C)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 525561712 UWTWOBPXRBBMCH-GOSISDBHSA-N 400.475 4.862 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)NC(c1ccccc1)c1ccccc1 537073786 JQZRRYAQKVNQJH-ROUUACIJSA-N 403.482 4.540 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 537179132 SVJGTOJJEWQQSA-QGZVFWFLSA-N 405.454 4.985 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(C)c(NC(=O)C(C)(C)C)c2)c1 538429363 CPKQSTLQACDGNZ-UHFFFAOYSA-N 413.474 4.604 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccc(NC(=O)c2ccc(F)cc2)cc1 539279087 KXPCTIKLDLOPJG-AWEZNQCLSA-N 411.408 4.976 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@@H](c2ncc[nH]2)C1 544501630 KEIIIYCKMCQSHE-MRXNPFEDSA-N 414.531 4.620 5 20 HJBD COc1cc(OC)c(-c2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cc1OC 545526197 QAOMSYSJXPXRBS-UHFFFAOYSA-N 413.430 4.635 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 545634350 XXAOKCWKDDMZBF-TWGQIWQCSA-N 402.204 4.586 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccnc(OC4CCC4)c3)no2)c2ccccc12 545759048 YRKOTRVXHFKQHU-UHFFFAOYSA-N 424.460 4.579 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(COc2ccc(F)cc2)c1 557361535 LFILBBNALIEJSI-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD CC(C)(C(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 562040702 PJZCZMYDUCPDOQ-UHFFFAOYSA-N 418.375 4.716 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])c1 566356585 WQCRIDNMFJCSOB-UHFFFAOYSA-N 405.838 4.638 5 20 HJBD CCCOc1cc(NC(=O)NC(C)(C)c2nccs2)c([N+](=O)[O-])cc1OCCC 577359340 CMJVKYAAVHVULA-UHFFFAOYSA-N 422.507 4.686 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4nc5ccccc5cc4Cl)n3)c2c1 578822392 HYRFDDVHBSYDIX-UHFFFAOYSA-N 405.801 4.919 5 20 HJBD Cc1cc(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])c1 584309227 VZNHKTVPICLDGI-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD C[C@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 603948787 LATLUIHARREARW-JTQLQIEISA-N 401.221 4.687 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2)C(C)C)cc1OCC 604474722 ADPFROUHWFYCBO-OAQYLSRUSA-N 415.490 4.589 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCCN(C(C)C)CC3)cc2[N+](=O)[O-])cc1 609037072 ZNAYIMGKFUJEGL-UHFFFAOYSA-N 413.543 4.611 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 609103725 VEOMGQMOUCXMDR-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD Cc1nc(C2(NC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)CCCC2)no1 609845777 ORRBVXBLMHAYJM-UHFFFAOYSA-N 423.429 4.670 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(OCc2ccccc2F)CC1 611261964 BHJJMDXIWNNXNA-UHFFFAOYSA-N 414.458 4.770 5 20 HJBD COc1cccc([C@@H](C)c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)c1 680727509 FKLBGYYGRRTHGZ-MRXNPFEDSA-N 411.461 4.746 5 20 HJBD CCc1cc2oc(=O)cc(COC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])c2cc1Cl 725936292 CMBOZEHSBMCHRL-UHFFFAOYSA-N 423.755 4.552 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 730110172 ZHFAYQPHLFIANO-LBPRGKRZSA-N 417.428 4.743 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1C[C@H]1c1cccc2ccccc12 730877253 QTNNJBGLUNWKCT-PMACEKPBSA-N 415.405 4.645 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCCC[C@@H]3c3cc4ccccc4[nH]3)n2)c1 731027401 WQUDVZCPAJYACY-OAQYLSRUSA-N 402.458 4.519 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1cc2cc([N+](=O)[O-])ccc2s1 732944362 QCPIDXQPAVDXSB-UHFFFAOYSA-N 400.416 4.719 5 20 HJBD Cc1ccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cc1NC(=O)c1ccco1 734782906 JBPFFXMFZTZUGZ-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCc1nc(-c2ccc(Cl)cc2)no1 735802856 IXUNHQDKZHGMSN-UHFFFAOYSA-N 421.240 4.831 5 20 HJBD O=C(CCN1C(=O)CCc2ccccc21)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 739160801 NAFYYJUNEVJCAX-UHFFFAOYSA-N 416.433 4.537 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1cc(F)ccc1[N+](=O)[O-] 744877711 AQPATHROZHAWCS-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)OCc2c(F)cccc2[N+](=O)[O-])c1 745117030 FVYCGQIVMUBFAT-UHFFFAOYSA-N 423.828 4.664 5 20 HJBD O=C(Nc1ccccc1)c1cccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 746786566 RQHRHCMNMUOXLR-UHFFFAOYSA-N 402.362 4.813 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1cc([N+](=O)[O-])ccc1Cl 747974355 JEHPIUUGDUFMFQ-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD Cc1c(C(=O)OCc2cc(Cl)cc3cccnc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748956366 URVKFEQMTTUNQF-UHFFFAOYSA-N 415.789 4.678 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(c2ccc(F)cc2)CC1 752062045 SXJJEOINUPRROH-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=C(NC[C@@H]1CC1(Cl)Cl)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754977062 BZLRWYYXTZPCMA-LBPRGKRZSA-N 403.331 4.801 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)c1 754990820 UBXDNPXEIFOYCD-JTQLQIEISA-N 405.769 4.664 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)OCC(=O)Nc1c(C(C)C)cccc1C(C)C 755679397 NMUZHUGKNQFGMT-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1F 759110794 TYOOIUGVTFFXRE-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD CNc1c(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 765583715 ZYPZPUMKPMXQJJ-NRFANRHFSA-N 405.454 4.555 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 769757050 SSQKNNCCFXAAIQ-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD CC[C@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1OC(F)F 775053399 DIAKSQLYAYDKGP-ZDUSSCGKSA-N 413.808 4.529 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)[nH]c1-c1ccccc1 777585633 OPOHWQJESXNIRL-LBPRGKRZSA-N 400.435 4.552 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778003623 GWDNXNYIRJJATK-UHFFFAOYSA-N 422.453 4.738 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 779162339 NKKQLZOWULLCGS-WBVHZDCISA-N 415.490 4.590 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1cccc([N+](=O)[O-])c1Br 781678999 VNBILIKGUVQOLQ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1N(C)C 782525319 BAFXYRIRWMLHSF-UHFFFAOYSA-N 405.454 4.801 5 20 HJBD CCn1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c(=O)c2cc(Cl)ccc21 782747567 IHHWEOIREXCQJX-LBPRGKRZSA-N 400.818 4.501 5 20 HJBD C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc2ccccc2s1 787227102 NZTDENONJHGUIW-SNVBAGLBSA-N 424.404 4.784 5 20 HJBD Cc1cnc(COC(=O)c2sc(-c3ccc(F)c(F)c3)nc2C)c(C)c1[N+](=O)[O-] 789437709 HQJWUOHDPQGLII-UHFFFAOYSA-N 419.409 4.674 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 804412634 WWZYGJJXNKTQJJ-SBUREZEXSA-N 422.456 4.600 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 804721280 YRBFMPMWZLJTFW-UHFFFAOYSA-N 408.342 4.611 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809913591 OSYTWWYWSNQKDI-BEFAXECRSA-N 403.866 4.846 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(COc2ccc(F)cc2)n1 813292178 LPFASIABPIKOPS-UHFFFAOYSA-N 401.419 4.584 5 20 HJBD CC(=O)c1ccc(N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)c([N+](=O)[O-])c1 813626869 APTDALYDLRAWRS-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD COCc1ccc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 815198144 YZTRTTXACAKXHW-MRXNPFEDSA-N 421.453 4.666 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])ccc2s1 914778948 KHBVKJSAROBASO-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 917262965 HPTOWCTYIJQVNJ-UHFFFAOYSA-N 416.389 4.950 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)CCC(C)(F)F)c2ccccc2)c([N+](=O)[O-])c1 919293193 JUURLXMPJGANPI-SFHVURJKSA-N 406.385 4.562 5 20 HJBD C[C@H](OC(=O)CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)c1cccc2ccccc12 920407854 PSXCAHWQAVCMAR-HNNXBMFYSA-N 420.421 4.741 5 20 HJBD C[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccc(Cl)c(F)c1 1116425406 ZWRLSAPXKMFPFU-JTQLQIEISA-N 423.231 4.760 5 20 HJBD Cn1cc([C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c2ccccc2)cn1 1320173094 FGTHLEPKGWFKGA-QFIPXVFZSA-N 404.495 4.936 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3nc(-c4ccccc4)oc3C3CC3)n2)c1 1339211006 ROFMIHMKYLRIFI-UHFFFAOYSA-N 418.409 4.777 5 20 HJBD O=C(CN1CCC[C@@H]1c1nc2ccccc2s1)Nc1ccc([N+](=O)[O-])cc1Cl 7910198 QWLGAEKOBOMFHJ-MRXNPFEDSA-N 416.890 4.634 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)c(OCCC(C)C)c(OC)c1 15270920 HAKJUZCAHCWTLW-UHFFFAOYSA-N 422.865 4.943 5 20 HJBD C[C@@H]1CCc2c(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)csc2C1 17661967 PDPGJJKJSICSRA-VXGBXAGGSA-N 413.455 4.749 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])s3)cs2)cc1OC 22144578 LJQAMMYJLNLIJK-UHFFFAOYSA-N 420.468 4.544 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C(=O)Nc2ccccc2)c2ccccc2)c1 26007857 SEGXCRMXWZSVPV-HXUWFJFHSA-N 422.462 4.854 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc(OC)c(OC)cc3[N+](=O)[O-])n2)s1 29348126 HDQPZVHASQUHEK-UHFFFAOYSA-N 419.484 4.612 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCc3ccccc32)cc1[N+](=O)[O-] 57352510 WUGGVQWKRRYLDE-UHFFFAOYSA-N 418.474 4.751 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)Nc2ccccc2)cc1 63950263 XNUCSYZNEALTDU-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CCn1c(S[C@@H](C)c2ccc(C(=O)OC)o2)nc2cc3ccccc3cc2c1=O 116868928 ULICBJDHMMHZNG-ZDUSSCGKSA-N 408.479 4.803 5 20 HJBD CCN(C[C@@H]1CCOC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 141193969 RSODYYBCQCCWIC-AWEZNQCLSA-N 404.850 4.539 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 215710797 PKBXLKYCECTSCV-UHFFFAOYSA-N 416.462 4.956 5 20 HJBD C[C@@H](NCc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)Cc2ccccc2F)c1 237327794 BWARRZLQPRNKQL-MRXNPFEDSA-N 407.445 4.766 5 20 HJBD CN(C)CC1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c(OC(F)F)c3)cc2)CC1 301944344 AKUUHBGCHWJVGC-UHFFFAOYSA-N 420.460 4.718 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/C=C\c1nc(O)c2cc(Br)sc2n1 427280168 IQXNUFZDIRKMPW-XATRDHJGSA-N 404.245 4.794 5 20 HJBD CC(C)Oc1nc(C(F)(F)F)ccc1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 427392382 VUWLECOCIKAGRI-UHFFFAOYSA-N 420.347 4.596 5 20 HJBD COc1ccc(CN[C@H](C)c2cccc(O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 428559433 LGVOYVQSLZHSNL-MRXNPFEDSA-N 408.454 4.739 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)c(C(F)(F)F)c1 443874821 CFCHXULYNGHFSO-UHFFFAOYSA-N 410.392 4.921 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccnc1 444229945 XWXXFJYPZJRLJR-LJQANCHMSA-N 421.447 4.524 5 20 HJBD CC(=O)Nc1cccc(-n2c(S[C@H](C)c3ccccc3[N+](=O)[O-])nnc2C2CC2)c1 463249923 IFMWYZTUPARARF-CYBMUJFWSA-N 423.498 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N[C@H](C)c1ccc(SC(C)C)cc1 464039713 JEOLXGUFWGRZGM-OAHLLOKOSA-N 415.515 4.528 5 20 HJBD C[C@H]1OCC[C@@]1(C)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466236268 LNDJDWYUWMBOGR-CWTRNNRKSA-N 406.891 4.697 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466264410 QUOHURMEBCACJQ-JSGCOSHPSA-N 406.891 4.697 5 20 HJBD O=C1NCCN1c1cc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)ccc1Cl 466404772 MATLTCGCMVUYMQ-UHFFFAOYSA-N 412.833 4.650 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2cccc(C)c2C)c1 466525913 AFUBGUDZDVKOFK-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 468373396 LWYQNMBPHUJZHY-RTBURBONSA-N 424.545 4.523 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 470286268 CERWQHYMJPWAMZ-GOSISDBHSA-N 405.376 4.737 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccnc1Oc1cccc(F)c1 475637407 KYRPUYGJLCPQLM-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)c1 477617428 RTXGINDGXKNHJY-LAUBAEHRSA-N 423.513 4.817 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2C(=O)Nc2ccc3oc(C)c(C)c3c2)ccc1[N+](=O)[O-] 478998364 WHOBEOSXGXHVFB-FQEVSTJZSA-N 421.453 4.510 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(NCc3ccccc3)s2)c([N+](=O)[O-])c1 479920187 YQYQERSMHLCGAG-UHFFFAOYSA-N 400.485 4.802 5 20 HJBD Cc1ccc(NC(=O)[C@@H](SCC(=O)C(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 481773113 ZTQPKTNLZYSSEV-IBGZPJMESA-N 400.500 4.931 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(OC(C)C)c2)cc1SC 483036675 AKLHFRAYZITPAH-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC2CCCC2)cc1 483159248 QCNURRKSLLPWLE-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nc(CN2CCOCC2)nc2ccccc12 484490336 OYMWKNGWVXAKQP-MRXNPFEDSA-N 424.526 4.532 5 20 HJBD CC(C)(C)OCc1cc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 485687001 LEMQDOQIBULENA-UHFFFAOYSA-N 401.488 4.916 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3cnc4ccccc43)cc2)c1[N+](=O)[O-] 485755245 IDHZKGKUTQCWBK-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NC1CCN(Cc2cc(Cl)cc(Cl)c2)CC1 486129049 OMAJXRGWMQABQX-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)C(C)C)cc1 487866764 GMZHQDVLRXVENE-HSZRJFAPSA-N 421.497 4.547 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 489032276 YNHCAQYGUAYOFJ-HNNXBMFYSA-N 423.469 4.837 5 20 HJBD Cc1cc(=NCc2nc3cc(Cl)ccc3s2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489201801 BQPYOKJPDVAKAM-UHFFFAOYSA-N 411.874 4.657 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)N3CCc4ccccc43)cc2)ccc1[N+](=O)[O-] 492721304 JZXVCORHTMHNTJ-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD O=C(Cc1ccc(F)cc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 494954421 KNNRPUHFZFVAGO-UHFFFAOYSA-N 404.747 4.521 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 497907735 KZAHNAVLBQLTBN-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498975860 FBYGIEHAZXFRNM-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)CCCNc2ccc([N+](=O)[O-])cc2)c1 499317433 REYBEVQROYRKIO-UHFFFAOYSA-N 407.470 4.917 5 20 HJBD COc1cc(C(=O)N(CCC(C)C)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 500586570 DKLQWPSUBHAOFA-UHFFFAOYSA-N 400.422 4.636 5 20 HJBD O=C(NC1CC(c2ccccc2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 500953735 JRKKYFUANLMZJG-UHFFFAOYSA-N 402.450 4.850 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1cccc2ccccc12 503125642 XYGKVOWUVWKUEI-ROUUACIJSA-N 404.422 4.652 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(F)cc2n1CC 504564567 WIIVZZBWADSVJG-UHFFFAOYSA-N 402.451 4.858 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(Cl)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 508251467 ZKXITSFPMRCRLS-UHFFFAOYSA-N 400.822 4.698 5 20 HJBD CC1(c2cccc(Br)c2)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 508456990 SIMMVCZHPXMQOS-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C1(c2cccc(Br)c2)CCCC1 514693413 OEMHNLSPOAGTFM-UHFFFAOYSA-N 419.275 4.816 5 20 HJBD Cc1ccc([C@@H](c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)C(C)C)cc1 518258189 ZJNMUJKBTLZPLD-FQEVSTJZSA-N 404.426 4.995 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 520010673 UYGNCLMLLYKFKI-INIZCTEOSA-N 404.470 4.828 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 521282344 PAGLKKDSLCBDPG-LBPRGKRZSA-N 418.833 4.696 5 20 HJBD C[C@H]1CN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C[C@@H](C)S1 525274607 LYKSOILHGGYHCI-BIENJYKASA-N 419.934 4.754 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCc3cnccn3)cc2[N+](=O)[O-])c1 525996137 XZVAOPJUFHVVLE-UHFFFAOYSA-N 406.486 4.941 5 20 HJBD Cc1nc2ccccc2n1CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 531361740 DHJSNLOVMKOEEW-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1cccc(COCc2ccco2)c1 532391763 GEDVHXSDORJPLJ-UHFFFAOYSA-N 418.247 4.674 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1C1CC1 534246711 FSHCUWLKQGCQIW-SNVBAGLBSA-N 421.295 4.963 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC(=O)OC(C)(C)C)c1 535722920 COUZCEPHKGFMCK-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1cc2c(cc1Br)OCCO2 538177594 XQAWBFZAYPRQDE-VXGBXAGGSA-N 407.264 4.540 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCn4c(nnc4C4CCCC4)C3)s2)cc1 538764241 WHHSCGWANYTLCO-UHFFFAOYSA-N 409.515 4.588 5 20 HJBD CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 539036636 WVRVPDDOOVJFLS-OXJNMPFZSA-N 400.500 4.679 5 20 HJBD CN1CCCC12CCN(C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)CC2 541708497 NVENYUDZESOLRO-UHFFFAOYSA-N 417.575 4.578 5 20 HJBD CCc1noc(-c2ccc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])c(Cl)c2)n1 542477317 YPYLAGLLLXWKKN-UHFFFAOYSA-N 407.213 4.766 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC[C@H](Cc2csc3ccccc23)C1 545220435 WVDDHWPFZGGBPB-CQSZACIVSA-N 420.494 4.917 5 20 HJBD Cc1cccc2[nH]cc(Cc3noc(-c4ccc(OC(F)F)c([N+](=O)[O-])c4)n3)c12 546383386 NGEJDPGKPZWJCF-UHFFFAOYSA-N 400.341 4.627 5 20 HJBD Cc1nn(CC(F)F)c(C)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 546906576 AELWARKSMYELMI-UHFFFAOYSA-N 416.384 4.718 5 20 HJBD O=C(NCc1ccc(F)cc1)c1ccc(NC/C=C/c2ccccc2[N+](=O)[O-])cc1 549176410 NAMVCGCYPKXFJZ-HWKANZROSA-N 405.429 4.789 5 20 HJBD CCSc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 551751938 NIGQQWYSTMFQAW-UHFFFAOYSA-N 401.488 4.582 5 20 HJBD CC(=O)c1cc(NCc2ccc(NC(=O)c3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 553327719 NHYMJITXUJDQRD-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 556564555 QJTSWNYAWGDTLM-CQSZACIVSA-N 413.474 4.647 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(-c2nc(-c3cccnc3Oc3ccccc3)no2)c1 559152985 VDKVSKARZXDBRU-UHFFFAOYSA-N 404.382 4.898 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3c3cccnc3)c([N+](=O)[O-])c2)cc1 564434876 URJXXXXQOZJIMG-FQEVSTJZSA-N 402.454 4.892 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Oc2cccnc2)cc1 567542475 JKKOWFKRTJMKQJ-HNNXBMFYSA-N 417.425 4.683 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cc(C(F)F)ccc2Cl)c2ncccc12 568795652 ZEBDDUFLJIHPNK-UHFFFAOYSA-N 413.789 4.535 5 20 HJBD Cc1ccc(C2(c3noc([C@H](C)c4ccc([N+](=O)[O-])cc4F)n3)CCOCC2)cc1 571365409 SIWLKUCNXPSTFI-OAHLLOKOSA-N 411.433 4.674 5 20 HJBD COc1cccc(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)c1[N+](=O)[O-] 577542148 KAMPCJQYEQCQHS-UHFFFAOYSA-N 405.332 4.752 5 20 HJBD CC1(C)CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)[C@H]1[C@H]1CCCO1 589540358 HJQJJGLWPGZVRC-UXHICEINSA-N 412.511 4.776 5 20 HJBD COc1cccc(CC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 590326566 XLQADLPARFRICH-UHFFFAOYSA-N 422.403 4.717 5 20 HJBD O=C(NC/C=C/c1cccnc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 590396760 AXVSIHLYLVPZDD-GQCTYLIASA-N 422.872 4.699 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(N[C@@H](C)c3ccccc3)cc2)cc1[N+](=O)[O-] 598782596 CSTIACBXISHZJQ-INIZCTEOSA-N 411.483 4.877 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)C(=O)COc1ccc([N+](=O)[O-])cc1Cl 603900646 YKPUNOBPUVLUSM-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD COc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1NC(C)=O 603909130 XFGNAIIOEXDUER-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccc(F)cc1)C1CCCCC1 609762517 XXJUQKMJFUXGQG-UHFFFAOYSA-N 423.444 4.963 5 20 HJBD COc1cc(CN[C@@H]2CCC[C@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 609771195 LDYAIWYGJVCBEK-QUCCMNQESA-N 416.543 4.946 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cc(C3CCC(C(C)(C)C)CC3)[nH]n2)c1[N+](=O)[O-] 609827457 NTHWBMRTDTXVMY-UHFFFAOYSA-N 402.499 4.566 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(c2ccccc2F)CC1 609864113 HZGXHOFKTOCBQJ-ZDUSSCGKSA-N 406.841 4.561 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c12 609972934 WNRAGNAEZDMOPJ-UHFFFAOYSA-N 421.501 4.729 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc2nc(O)[nH]c2c1 610137495 XLKDLUUUQHJXLD-ZDUSSCGKSA-N 422.416 4.959 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660309 KBBLTXGPUGTXSN-UHFFFAOYSA-N 421.419 4.507 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cccc1OCC(F)(F)F 727741633 DHRBWJKVYLCBMN-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 732575370 FWZTULNYWLSMSV-NSHDSACASA-N 411.683 4.762 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@H](O)c1cccc(F)c1 749120849 XKQGKVONMVXNSR-ODXCJYRJSA-N 404.825 4.859 5 20 HJBD O=C(Nc1ccc(SC(F)F)cc1)c1ccc(Br)cc1[N+](=O)[O-] 750817615 OYEURLBFLJKUOC-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD CN(c1ccccc1NC(=O)c1cccc([N+](=O)[O-])c1Br)C1CCCC1 753836762 AZMLKGXEVAWQJL-UHFFFAOYSA-N 418.291 4.988 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 755980024 AUHUIFSBJYEAIN-NSHDSACASA-N 417.940 4.518 5 20 HJBD O=C(CCCc1csc2ccccc12)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 756652135 JTEXDSHSKUNAEF-UHFFFAOYSA-N 423.450 4.926 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 757877280 MMLOCCNZHSZODA-SNVBAGLBSA-N 420.288 4.800 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 758367225 NTGMLZHWJJHYOR-CYBMUJFWSA-N 411.683 4.762 5 20 HJBD COc1ccccc1[C@H](OC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1ccccn1 759605198 TZGAKDZNQIFANM-FQEVSTJZSA-N 410.451 4.667 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 766569645 BJXCOYWZMPQKPL-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD CC(=O)OCCCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 768431996 SHSLSKCFJUFLFZ-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cnc(Cl)c2ccccc12 769198266 KUMMEIUJVZQWFH-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Br)c2ccc(F)cc2O1 769723094 HRKCUPVCNXTLQS-OAHLLOKOSA-N 423.238 4.529 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])n2)cc1 781559453 LEVDEDFPZXVHGG-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 782121947 ZQXJTFRNOLWNSU-UHFFFAOYSA-N 420.263 4.594 5 20 HJBD CCCOc1cc(NC(=O)c2c(C)noc2C2CC2)c([N+](=O)[O-])cc1OCCC 783828494 SNAPEUYCPUXSCR-UHFFFAOYSA-N 403.435 4.599 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(N3CCC(N(C)C)CC3)cc2)cc1[N+](=O)[O-] 784143819 DCUQVKKCLNRFRE-UHFFFAOYSA-N 410.518 4.501 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790259231 HDHPUXRLKHOIHJ-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CC[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 790535356 ITZXENUWVHLNTG-CQSZACIVSA-N 416.783 4.799 5 20 HJBD COc1ccc(OC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)cc1[N+](=O)[O-] 792116012 FUDJUTZRBCHVEN-UHFFFAOYSA-N 424.457 4.508 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1ccc(C(F)(F)F)cn1 798671308 LSZZVNAZXZFMOD-MNOVXSKESA-N 400.378 4.794 5 20 HJBD C[C@@H](NC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1cccc([N+](=O)[O-])c1 810167516 IVZWCTQTOFPFFS-GFCCVEGCSA-N 405.476 4.519 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NCc1nnc(-c2ccc(Br)s2)o1 811788661 OYIWVCQJHNYGBG-UHFFFAOYSA-N 409.265 4.643 5 20 HJBD CC(C)(CCc1noc(-c2ccc(-n3nccc3-c3ccc(F)cc3)cc2)n1)[N+](=O)[O-] 812813206 DOVVDCNYBJHANO-UHFFFAOYSA-N 421.432 4.716 5 20 HJBD CSc1cc2c(cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])OCCO2 812961276 SUOUBQHRBIAJNY-UHFFFAOYSA-N 415.254 4.647 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)cc2)C1 813417859 YISQKYBPOKYLMT-OAHLLOKOSA-N 415.660 4.769 5 20 HJBD O=C(OCc1cccs1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 855382066 MVWGJFOQONOIQS-UHFFFAOYSA-N 414.405 4.635 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C(=O)Nc2cccc(C)c2C)C(C)C)cc1[N+](=O)[O-] 876685650 UENGAJNTRLXXKX-LJQANCHMSA-N 416.499 4.754 5 20 HJBD COc1cc(-c2nc(Cc3ccccc3)no2)c([N+](=O)[O-])cc1OCc1ccccc1 904319139 JCCSDNHZXREQMJ-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 914511610 IPCQKWXTIPJRKH-WJDWOHSUSA-N 402.450 4.846 5 20 HJBD Cc1c(C(=O)N(Cc2ccc(F)cc2F)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914518407 OYIUKSXEKOOACJ-UHFFFAOYSA-N 419.384 4.675 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1ccc(Cl)c([N+](=O)[O-])c1 915356327 BLHQVXUHOHAWRA-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 916654275 WZVACRVFSQORHJ-CYBMUJFWSA-N 400.696 4.850 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3[nH]2)cc1 917330267 PLUYADJQDFGVAJ-UHFFFAOYSA-N 415.405 4.685 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])cc1 918193513 JLFGKCLJYJSNLQ-KRWDZBQOSA-N 406.479 4.557 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCCc4cnn(C(C)C)c43)o2)c([N+](=O)[O-])c1 1116602595 SWNBMHLMXXHNNR-LJQANCHMSA-N 410.474 4.808 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cn(-c4ccccc4)nc3-c3cccnc3)o2)c1 1117185178 ZSRGEDHGFZZNLV-UHFFFAOYSA-N 410.393 4.560 5 20 HJBD CS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 1252198906 PFORIBHIABGZBH-ZIAGYGMSSA-N 423.585 4.867 5 20 HJBD Cc1nc2ccccc2c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C 1256070924 RQJFYGSXGDGEGJ-AWEZNQCLSA-N 418.409 4.728 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccc(-n4ccc(C(F)(F)F)n4)cc3)o2)c1 1322282988 GGLKGIHFSRWBJS-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1ccc([N+](=O)[O-])cc1 5110125 CVQWJAPSUFMKQU-UHFFFAOYSA-N 406.419 4.581 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)COc1c(Cl)cccc1Cl 10898085 JEQNATYTSUCAFB-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 25756468 OQNIBAUUJUUIKM-CQSZACIVSA-N 401.488 4.699 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1C 50335672 XRQXHJFDUUQDRJ-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3)CC2)cc1 58520678 NCFQVJQXLQEDKO-UHFFFAOYSA-N 409.486 4.920 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cn(-c2ccccc2)nc1-c1ccccc1 60371746 LTOVKPNETCRWRD-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 195731699 XYLNKQBSGFSJBO-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CCOc1cccc2cc([C@H](C)NCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])oc12 216756612 JXCOQZSBDJELMO-LBPRGKRZSA-N 417.849 4.682 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(NCc3ccsc3)c([N+](=O)[O-])c2)c(C)c1 301092443 JGFOLXYGRSVWCE-UHFFFAOYSA-N 417.512 4.686 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 429052805 BWDZSHHWOKJAMT-MRXNPFEDSA-N 424.501 4.767 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 431161963 GRXPDBDXSMNLLX-UHFFFAOYSA-N 415.254 4.662 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 436069982 YLPZNPDYSCCMET-UHFFFAOYSA-N 421.403 4.560 5 20 HJBD CC(C)c1ccc(OCCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436072868 CRPFPBALECRBQH-UHFFFAOYSA-N 418.468 4.753 5 20 HJBD O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436104229 TZSOTGJQLJORNQ-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(Nc2ccc(F)cc2)CC1 436357072 CLDSSUGMUFRKMF-UHFFFAOYSA-N 415.468 4.537 5 20 HJBD C[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(Cl)c1 444092412 DFCZVRCYTLWIKG-ZDUSSCGKSA-N 424.859 4.671 5 20 HJBD CCCCO[C@@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(C)C 444109856 OCSMXFOGROWUOO-RTBURBONSA-N 410.489 4.534 5 20 HJBD Cc1ccc(OC(F)F)c(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444236696 DDNKOHUULSFHMN-UHFFFAOYSA-N 408.404 4.521 5 20 HJBD CC(=O)Nc1ccc(C)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1 445619441 IWDMYDDOQMMITK-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD Cc1c([C@@H](C)NCc2cnn(-c3ccc(Br)cc3)c2)cccc1[N+](=O)[O-] 447577809 IZXJZOKMPNNUQO-CQSZACIVSA-N 415.291 4.702 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 460431497 ZPCYNEUIENACRD-INIZCTEOSA-N 402.516 4.727 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCc1ccccc1COc1ccccc1Cl 461019729 AXHPIJAICJSVQD-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 462627681 ZFCRXJCIKAILBS-VOQZNFBZSA-N 414.477 4.681 5 20 HJBD O=C(c1c(F)cc(C(F)(F)F)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 464184243 JQXKZXXULQPKAH-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD CN(C)C(=O)Cn1ccc2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc21 465148004 FIZBECWKNXVQKX-UHFFFAOYSA-N 422.488 4.683 5 20 HJBD CC[C@H](NC(=O)N1CCC[C@H](OCc2ccccc2F)C1)c1cccc([N+](=O)[O-])c1 471991736 KLUADMDXXBKXJF-FPOVZHCZSA-N 415.465 4.576 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2cccnc2)ccc1C 477529894 ZXWYOGAXEADDGC-UHFFFAOYSA-N 423.494 4.956 5 20 HJBD CC1(C)CN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CC[C@H]1C(F)(F)F 482063187 UIZPZZMAIPPSDW-MRXNPFEDSA-N 420.406 4.871 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)[C@H](C)C2CC2)cc1 484287180 UTFCDRPZGLPOQK-CVEARBPZSA-N 414.527 4.911 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](C)Cc1ccccc1C(F)(F)F 484674045 DESIALRSJOBGHM-CYBMUJFWSA-N 410.392 4.716 5 20 HJBD CN(Cc1cscn1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 485945971 RKFOEGXTOMOTSJ-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C([C@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486108832 VRGZXOQJHOJHMX-ROUUACIJSA-N 404.388 4.908 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C)c2nc(C(F)(F)F)cs2)c1Br 486477861 JENVFQFEKCQFTM-ZCFIWIBFSA-N 411.203 4.709 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc21 488355734 YRIQWFFTSBWSRG-UHFFFAOYSA-N 421.379 4.866 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@@H](CC)c2cccc([N+](=O)[O-])c2)cc1OC 489241067 PJUNHXXUEAYIPJ-KDOFPFPSSA-N 401.463 4.514 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 490074954 WCNNYVDBIKEBPH-LJQANCHMSA-N 401.850 4.723 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1C(=O)N1CCCCC1 490127617 XIUFTIPYWGTKSO-UHFFFAOYSA-N 424.501 4.586 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1ccc(-c2ccccc2Cl)o1 490403430 WPGIMFIAFMKISX-UHFFFAOYSA-N 409.789 4.546 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CN(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)cs1 490825145 VHZNUDTZGZJWMT-UHFFFAOYSA-N 422.510 4.630 5 20 HJBD COc1ccc(CN(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)C2CC2)cc1 493003635 MMKYQPFNTPSHAN-SJORKVTESA-N 411.502 4.983 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] 494250935 MICNCMPBPWFNLC-HNNXBMFYSA-N 404.850 4.757 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](C)c2cc(C)sc2C)c([N+](=O)[O-])cc1OCC 502174465 SNDUMZHEKZSXEG-LBPRGKRZSA-N 407.492 4.953 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])oc12 508301253 SMLXWZZYHHUWGB-CYBMUJFWSA-N 400.456 4.709 5 20 HJBD CCOC(=O)C1CCC(N(CC)C(=O)c2cc3ccccc3c3cccnc23)CC1 511963046 DVKVDBKGLXZXLL-UHFFFAOYSA-N 404.510 4.972 5 20 HJBD CCOc1cc(NC(=O)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])cc1OCC 514868185 RGBAKAMGSFYMOS-UHFFFAOYSA-N 414.336 4.543 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2cccc3ccccc23)cc1[N+](=O)[O-] 514901970 QCVSXQSUWQYKGT-OAHLLOKOSA-N 410.495 4.867 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2Cl)CCOCC1)Nc1ccc([N+](=O)[O-])cc1 516233644 HUBGKEFTWYUJJV-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)cc1[N+](=O)[O-] 517378430 GMWRBQJKUXEFIW-UHFFFAOYSA-N 408.458 4.614 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2)cc1 517804136 QMGXJKKLFONSTL-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)s1 518047100 CHZQXKAXTGBSMN-CQSZACIVSA-N 407.455 4.722 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)C[C@H]3CCCOC3)cc2[N+](=O)[O-])cc1 518609116 ISDUDJZKENINKA-MRXNPFEDSA-N 400.500 4.553 5 20 HJBD C[C@@H]1CCCCN1CCCCNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 518760103 POSXLVUUIFSWPM-LJQANCHMSA-N 424.545 4.591 5 20 HJBD COc1ccc(CN[C@@H](C(=O)c2c[nH]c3ccccc23)c2ccccc2)cc1[N+](=O)[O-] 520408081 HAWPIAHUTKBMIP-HSZRJFAPSA-N 415.449 4.799 5 20 HJBD C[C@H](C(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cccc([N+](=O)[O-])c1 520484838 YELFQJOYHZTEGW-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD COC[C@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1ccco1 531094606 JULGCPMTLCJQBC-NRFANRHFSA-N 418.453 4.518 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCO[C@]3(CCSC3)C2)ccc1Oc1ccc(F)cc1 533188333 ITSULPOUUCVOOD-LAUBAEHRSA-N 418.490 4.671 5 20 HJBD COc1ccccc1COC1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 536720913 XDKKTULFGKDWFY-UHFFFAOYSA-N 410.392 4.808 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2)C1 537444318 JCUHEUXSJJLYLR-IBGZPJMESA-N 400.500 4.701 5 20 HJBD O=C(Nc1ccc(CNC/C=C\c2ccccc2[N+](=O)[O-])cc1)c1ccc(F)cc1 538604005 HBHBNZLJTNICJC-HYXAFXHYSA-N 405.429 4.789 5 20 HJBD COc1ccc(CNc2nnc(C(C)C)s2)cc1OCc1ccc([N+](=O)[O-])cc1 539708285 MIGZICZCASEIBK-UHFFFAOYSA-N 414.487 4.769 5 20 HJBD CCC[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 540143553 SBBURCCGQOZEBP-FDFHNCONSA-N 417.937 4.751 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1 540530012 BDTUZSSNJBTDEO-INIZCTEOSA-N 405.454 4.589 5 20 HJBD CO[C@@H](c1ccccc1F)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 540626083 RJJWMEGKTNWTNP-BFUOFWGJSA-N 406.479 4.740 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OCc2ccccn2)c1 541612574 BGDYRPQYNKQCLC-HOTGVXAUSA-N 423.444 4.689 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OCC(F)F)cc1 543121282 JSTSHXRCRSQDBI-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c2c(C(C)C)noc2n1 543914947 ARNIIXHHCQLOOV-UHFFFAOYSA-N 408.336 4.834 5 20 HJBD C[C@@H]1C[C@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 544369710 OIBVALHWJMZOLP-ZIAGYGMSSA-N 423.307 4.912 5 20 HJBD COc1cc(/C=C/c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc(Cl)c1OC 545760638 LTEKFPDLQRTCEA-BQYQJAHWSA-N 415.833 4.718 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(COc2ccccc2)cc1 548949416 KUSIQTIYTPPELE-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD O=C1CSc2ccc(NCc3ccc([N+](=O)[O-])cc3Cl)cc2N1CC(F)F 585743466 VEBQDNVOAKIQGX-UHFFFAOYSA-N 413.833 4.564 5 20 HJBD C[C@H](N[C@H]1CC[C@@](O)(C(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 589949728 KZSDCHVLMHALCX-PRXAMGSTSA-N 400.422 4.820 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H]1Cc1ccccc1 591779434 XPCVYIPHULKRAR-INIZCTEOSA-N 424.444 4.939 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1cc(F)ccc1OC[C@H]1CCCO1 600853034 GAMCQYLCCPADDV-OAHLLOKOSA-N 414.355 4.923 5 20 HJBD Cc1sc(NC(=O)Cc2coc(-c3ccccc3)n2)nc1-c1cccc([N+](=O)[O-])c1 603997181 AZUGSUVYMCQCMX-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD C[C@H](NC(=O)CNc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc2ccccc2c1 604006119 DZDAKFXDCLHJDN-ZDUSSCGKSA-N 415.396 4.639 5 20 HJBD CC(C)(C)[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611211504 FFZMLTKKKLRRRB-AUUYWEPGSA-N 406.548 4.953 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 727926075 BJEGQCOILPVDFF-WCFLWFBJSA-N 423.252 4.576 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1ccc(Br)c([N+](=O)[O-])c1 730843588 HPECQTUVIRCEOJ-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD CC(C)(C)OC(=O)NCCCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735274387 YYJVPPFARXXTDH-UHFFFAOYSA-N 415.446 4.631 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 743068654 JAXSWEJXVWWXPC-KXBFYZLASA-N 403.866 4.846 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 744379513 OTPZCAVUWNNMFP-NSHDSACASA-N 421.400 4.711 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744491175 YHTLJPYBXHLUJZ-JTQLQIEISA-N 422.224 4.793 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@@H](c1ccccc1)c1cc(Cl)ccc1Cl 745965581 REQJNTHTYSIRRH-IBGZPJMESA-N 420.252 4.821 5 20 HJBD Cc1ccc(CN(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 750864539 PRPOSMIRUWZZHF-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD Cc1c(C(=O)N2c3ccccc3S[C@@H](C)[C@H]2C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 750877745 KUHHXLXGIQBAMM-OLZOCXBDSA-N 401.444 4.649 5 20 HJBD O=C(NCCOc1c(Cl)cc(Cl)cc1Cl)c1cc(F)ccc1[N+](=O)[O-] 750954267 UNTIYGZIRHLVGS-UHFFFAOYSA-N 407.612 4.503 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2ccc(Cl)cc2n1 752185002 SVFPZQHNCRDLOO-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2oc(COc3ccc(C=O)cc3)cc2C)cc1[N+](=O)[O-] 752745906 NWVVWOHNQKFCSB-MRXNPFEDSA-N 422.437 4.687 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc2ccccc2c1 753513022 CYHNVYCLHNBHDS-CQSZACIVSA-N 403.394 4.645 5 20 HJBD Cc1c(C(=O)Nc2cnn(CCC(C)C)c2C(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 754753040 LCXDSGANKYKLOR-UHFFFAOYSA-N 417.466 4.738 5 20 HJBD C[C@@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 766589821 BYQRJPWZXHKXQB-VHSXEESVSA-N 402.319 4.814 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 768625830 PWZICPWHKSABQD-ZDUSSCGKSA-N 403.360 4.725 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccsc2)ccc1[N+](=O)[O-] 771187257 ZIDLZMVKGWJIMN-FQEVSTJZSA-N 411.483 4.732 5 20 HJBD C[C@@H](CNC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)(C)C 772492070 VSNHDLYKYPZOAD-LBPRGKRZSA-N 421.544 4.544 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775458203 KMVFFQUZDFJCEI-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@]12CCc1ccccc12 776134287 PCQSHIIBDUOFEE-BKULYWANSA-N 400.434 4.527 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)c1[N+](=O)[O-] 777073866 QKJBOBNSFKKYOT-AWEZNQCLSA-N 416.499 4.933 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc2c(c1)CCC2 781260038 LFCCHCMODADKKT-HNNXBMFYSA-N 411.457 4.598 5 20 HJBD Cc1ccncc1[C@H](C)N[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 783000476 VZCSYYHLOORHDB-BTYIYWSLSA-N 424.888 4.982 5 20 HJBD O=C(Nc1ccc(-c2cccc(F)c2)c(F)c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 784733017 QQGSOFSXJSPMNN-UHFFFAOYSA-N 412.348 4.564 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)c1nc2ccccc2s1 790169463 JWJSLEQXDDCRDU-UHFFFAOYSA-N 406.261 4.552 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC(c2cccc(F)c2F)CC1 790568172 KUNAVDVBMZJPBH-UHFFFAOYSA-N 412.795 4.614 5 20 HJBD COc1cc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cc([N+](=O)[O-])c1C 790571499 UIOSMROGEBVPFS-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD Cc1cc(C)c2c(-n3cccc3)c(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)sc2n1 794100460 GBBPFIYSIZSAIX-UHFFFAOYSA-N 418.478 4.815 5 20 HJBD CC(C)c1nc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)co1 802963979 AUVVPHPOVKEREW-AWEZNQCLSA-N 410.430 4.631 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](C)c1ccc(N2CCCCC2=O)cc1 810194207 OJPDLVLPTYWCGA-INIZCTEOSA-N 410.474 4.611 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 811218425 ADBSYSDUWLJQRY-NSHDSACASA-N 419.484 4.629 5 20 HJBD CC(C)(CCc1noc(-c2cc(C(F)(F)F)ccc2Br)n1)[N+](=O)[O-] 812812468 IUOLENGKYNYXNK-UHFFFAOYSA-N 408.174 4.506 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812928702 DTWLXVSZRJMKIB-SNVBAGLBSA-N 410.257 4.682 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)c([N+](=O)[O-])cc1F 904447056 HIXIDWSMFIOJLJ-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD CCOc1ccc(-c2noc(CSc3nc4ccc([N+](=O)[O-])cc4s3)n2)cc1 913217412 FQAJGJMHAFHXQX-UHFFFAOYSA-N 414.468 4.946 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CSc2ccc(C(C)C)cc2)cccc1[N+](=O)[O-] 919320893 OTGXGHUCUBSFKG-OAHLLOKOSA-N 416.499 4.689 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 920001529 UFRSKINBDGCLDY-FLIBITNWSA-N 403.276 4.808 5 20 HJBD C[C@@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)Nc1cccc([N+](=O)[O-])c1 920401784 LYESNXDKEKABCZ-CQSZACIVSA-N 407.382 4.576 5 20 HJBD Cc1cccc(OCCOC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 920545404 QTOGGNMYDVMZGG-QGZVFWFLSA-N 421.453 4.707 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nnc(COc3ccc(Cl)cc3)o2)c1 1330038285 CUBMBTBZSRHHKS-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)o1 1348950485 DGRBVGZOJGBFQP-UHFFFAOYSA-N 423.410 4.960 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1ccc(Br)cc1 32398672 BGFCJDBHVAPWJT-LBPRGKRZSA-N 423.332 4.889 5 20 HJBD COc1ccc([C@@H](N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 42637264 WYHBPJUDNDRJHA-HXOBKFHXSA-N 419.481 4.618 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ncc(-c2ccccc2)s1 47542164 LYGFOTBJNKSINF-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC2(c3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 53186227 IRHLWSMLGROTKZ-QGZVFWFLSA-N 416.481 4.625 5 20 HJBD O=C(Nc1ccccc1-n1ccnc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 59404249 PKNNSLPPSSQUHW-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)c1 63991475 AHNYJDVLMDBHHB-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD CCc1cc(NCc2ccc(N3CCCCC3)nc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64914459 SMHZVLBEGPXXIY-UHFFFAOYSA-N 418.501 4.612 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OCC(F)(F)F)c(F)c1 410389234 DCKZVWISOBXNSJ-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 430194434 JDYKBFXYKTXYIJ-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431458021 PCVODHWXKYFWMN-SMDDNHRTSA-N 407.239 4.515 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2ccccc2Br)CC1 439026171 URZPPDZIDOOWJR-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(SCCOC)cc1 439879839 HNNWCIOCLASJCL-CQSZACIVSA-N 419.503 4.615 5 20 HJBD Cc1cc(NC(=O)NC2(c3cccc(C(F)(F)F)c3)CCOCC2)ccc1[N+](=O)[O-] 444945635 ZQVBRTHWTJLRBC-UHFFFAOYSA-N 423.391 4.749 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)c1cccc2c(=O)c3ccccc3[nH]c12 445025065 ZEZLLRCLBHNPGA-UHFFFAOYSA-N 415.880 4.789 5 20 HJBD Cc1c(Cl)cccc1NC(=O)Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 445329318 CFZZNULQSODJRJ-UHFFFAOYSA-N 412.789 4.534 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1sc(Br)cc1[N+](=O)[O-] 446022762 WGERPLPLYQYYEP-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1 446482940 YBWPLGZWSWKRKW-LIRRHRJNSA-N 420.872 4.510 5 20 HJBD C[C@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CC[C@H]1c1ccccc1 460646154 CDTBVKQRQYYVLT-WMLDXEAASA-N 422.403 4.802 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCO[C@@H](C4CC4)C3)o2)c(Br)c1 462550090 MOZUBPFDIJPKAK-BFUOFWGJSA-N 421.291 4.665 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1OC 466558703 HICTWEXHKRCKCU-CYBMUJFWSA-N 421.881 4.606 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 467264084 IIKHQQLIIVWLBV-UHFFFAOYSA-N 412.467 4.793 5 20 HJBD C[C@@H](c1nccs1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 468549153 UCKSIWBAVMCQNT-HNNXBMFYSA-N 414.556 4.659 5 20 HJBD C[C@H](NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1 471985058 QUEYNXGHQHFARU-XOBRGWDASA-N 410.861 4.793 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)Cc1c(C)nn(-c2ccccc2C)c1C 475573501 SCPIBIPJJQQZNY-UHFFFAOYSA-N 421.501 4.987 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCSc2ccc(Cl)cc21 476211328 OBUHFADCLPAISB-INIZCTEOSA-N 405.907 4.669 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1 477982639 ATMRTUCOXPRXFS-BPLDGKMQSA-N 423.332 4.660 5 20 HJBD O=C(Nc1ccc2nc(C3CCCCC3)[nH]c2c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 480092364 YRPZGOVKOLTYKZ-UHFFFAOYSA-N 422.441 4.542 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 485488584 IUFAOMMGWULLPL-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD CCOC(=O)c1c(C2CC2)csc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 485759230 XVVLNJCZHHKVRY-UHFFFAOYSA-N 406.485 4.685 5 20 HJBD Cc1c(NC(=O)N[C@H]2CSc3ccc(Br)cc32)cccc1[N+](=O)[O-] 486780912 LNFYYYQLRNLGID-ZDUSSCGKSA-N 408.277 4.634 5 20 HJBD COc1cc(OC)c(OC)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 489232067 YWXLWLAYJJRCCP-UHFFFAOYSA-N 420.487 4.621 5 20 HJBD CCn1c(SCc2ccc(OC(F)F)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 489394282 UPVIAKWXUZHYNY-UHFFFAOYSA-N 406.414 4.767 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@@H]1c1cccc(C(F)(F)F)c1 491998248 JNILMSGWQGTANO-SUMWQHHRSA-N 400.784 4.575 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 499248417 HMKMSRKWYLVOPA-MRXNPFEDSA-N 422.403 4.692 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC3(C(F)(F)F)CCC3)cc2[N+](=O)[O-])n1 499483132 GLQJMPWGKLTUPR-UHFFFAOYSA-N 417.434 4.726 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccc(Cl)cc2n1 499489863 BBTNWHBIAIRAJD-UHFFFAOYSA-N 417.874 4.787 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 505050280 ZNHUZCKHTGNPKD-CYBMUJFWSA-N 416.524 4.976 5 20 HJBD O=C(NCc1ccccc1F)c1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1 506293270 BUALUIWIWNXOBQ-UHFFFAOYSA-N 415.371 4.554 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2ccccc2-c2ccccc2)c([N+](=O)[O-])cc1OC 506554720 JRDSIFMSVAPIJE-UHFFFAOYSA-N 420.465 4.941 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](C)c1ccccc1Br 508987536 NPLOZLMXSVDMKL-ZDUSSCGKSA-N 421.291 4.979 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 512513901 KWXWETQOMXTONL-QHCPKHFHSA-N 419.481 4.506 5 20 HJBD O=C(Cc1csc(-c2cc(Br)cs2)n1)Nc1ccc([N+](=O)[O-])cc1 515638787 QMIUSWSGIARQGO-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD CC[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1nnc2n1CCCCC2 517550802 MDNPWKOMLZHZFP-KRWDZBQOSA-N 411.531 4.882 5 20 HJBD C[C@@H](NC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CCCC1)c1ccccc1 522735496 MGSHRJKBKLIEFN-MRXNPFEDSA-N 415.921 4.571 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)Nc1ccc([N+](=O)[O-])cc1Cl 522911307 LXQLEJOLLOSJME-UHFFFAOYSA-N 404.854 4.676 5 20 HJBD C[C@H](CNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1c(Cl)cccc1Cl 523161958 VJSVIVKLUVSWRV-SNVBAGLBSA-N 403.287 4.521 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc3c2CN([C@@H](C)C(C)C)C3)cccc1[N+](=O)[O-] 524323886 CYKBGEDSJTZWIE-IRXDYDNUSA-N 410.518 4.702 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccn(CCc3ccncc3)n2)s1 531609716 OKVQVDBFNORLAC-UHFFFAOYSA-N 405.483 4.770 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccn(CC(F)(F)F)n2)cc1[N+](=O)[O-] 533307042 DMESNXVKMLZUGY-UHFFFAOYSA-N 405.380 4.734 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)CCCc3ccc(Cl)cc3)co2)cc1 534795574 GNQSIDQZHNMHHJ-MHZLTWQESA-N 404.875 4.785 5 20 HJBD CC(C)N(C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C1CCCC1 536303695 CMIPDLBDRZDGIV-UHFFFAOYSA-N 403.504 4.663 5 20 HJBD CN(C(=O)c1ccc(Br)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 538459801 MQCBXYTZQBWQFG-UHFFFAOYSA-N 403.154 4.653 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(Cc4coc(-c5ccc([N+](=O)[O-])cc5)n4)C3)[nH]c2c1 539220538 BEKDRCXACRCRKW-QGZVFWFLSA-N 417.469 4.814 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](C[C@H]3CCOC3)c3ccccc3)o2)c1 539325157 FPBRMHYBVNJVDM-UTKZUKDTSA-N 407.470 4.550 5 20 HJBD Cc1cc(N2CCO[C@H](c3ccc(OC(F)F)cc3)C2)c2cccc([N+](=O)[O-])c2n1 539550108 VHHKQAZSCDOWRM-IBGZPJMESA-N 415.396 4.631 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 540750527 JAFSGYXJDCGEIW-UHFFFAOYSA-N 412.446 4.782 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 541612819 ALXVJYSRMJDOSN-CABCVRRESA-N 418.465 4.758 5 20 HJBD Cc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cccc1OCC(F)(F)F 542531333 IPXQYUMDRVUZHG-SNVBAGLBSA-N 400.328 4.726 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2ccc(OC(F)F)cc2)no1 546231975 UFIFPAFRBAWMOZ-UHFFFAOYSA-N 411.748 4.521 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 549411603 LMFGUKJHLYCAQI-UHFFFAOYSA-N 410.392 4.765 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](c3nccn3C)C3CC3)cc2[N+](=O)[O-])cc1 552933363 MFVSYDLVAUMUAU-HXUWFJFHSA-N 422.510 4.669 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](c3ccccc3)[C@H]3COCC[C@@H]32)cc1[N+](=O)[O-] 563544211 CNXIJWRADFWGDM-SBHAEUEKSA-N 408.498 4.537 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cncc(Cl)c1Br 571956193 DAWDLESKAMTDTA-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1 574090783 YDGPEULQJLQLIM-UHFFFAOYSA-N 418.409 4.816 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 580179716 IUKJESCYLFHJCX-KRWDZBQOSA-N 409.486 4.518 5 20 HJBD Cc1nc(-c2ccc(Br)cc2)sc1[C@H](C)Nc1c([N+](=O)[O-])ncn1C 581457735 JNZVMVSGXJGCAM-VIFPVBQESA-N 422.308 4.696 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(-n2ccnc2)cc1 600860483 BVXDWBMOPDSYFV-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccc3c(c2)OCCCO3)c([N+](=O)[O-])c1 608974906 JVQRKLKKFVODMI-UHFFFAOYSA-N 404.422 4.599 5 20 HJBD O=C(Nc1cc(F)ccc1Oc1ccccc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609022561 HMTXALONVWEDQV-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OCc3ccccc3)cc2C)cc1[N+](=O)[O-] 609755178 BLADPCWRLRZIJS-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609762528 ZBPSNBDJAQTSGJ-UHFFFAOYSA-N 408.801 4.545 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 609914638 DFZXDYQZQMCVAU-UHFFFAOYSA-N 413.421 4.917 5 20 HJBD O=[N+]([O-])c1ccc(OCc2noc(-c3ccc(Cl)cc3)n2)c(Br)c1 609961949 SPENHPMGMQXIFF-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2ccccc2n1 610228570 DUARBJUJSKZYCZ-UHFFFAOYSA-N 418.375 4.627 5 20 HJBD O=C(OCc1cnc2ccccc2n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 726343155 HRUIJDDFNCIDSF-UHFFFAOYSA-N 401.378 4.687 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3F)sc2c1 729729301 MNNMLSMTUQWTCH-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD CCN(CC)[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccc(C(F)(F)F)c1 733874483 UUILDFCTPALCEF-QGZVFWFLSA-N 413.371 4.774 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccc(Cl)cn1 735991584 ZLGDKRBLACLUTH-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@@H](C)c1ccccc1C(F)(F)F 745222334 LAVJSLDOXPJNFJ-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD O=C(c1c(F)c(F)cc(F)c1F)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 748672710 CNUKKPMTTNLOAD-UHFFFAOYSA-N 402.731 4.861 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 749391985 MRRYLVKYLUSATF-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD O=C(Nc1cccc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3o2)c1)C(F)(F)F 751517045 IHTLUGOCDFLYTO-KPKJPENVSA-N 411.723 4.974 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 759505855 QPFCSZXYCZEYJB-KUHUBIRLSA-N 411.414 4.614 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCCCOc1ccc2ccccc2c1 761915071 VSYRTPRTJNBMDY-UHFFFAOYSA-N 407.426 4.586 5 20 HJBD CN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 768161747 ZDGQBKOQODKLKA-UHFFFAOYSA-N 406.467 4.604 5 20 HJBD CC(C)(C)OCc1ccccc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 774153980 QIGFKHGPEKLDGX-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1Br 776413752 DOHMNXJKPHQYRO-UHFFFAOYSA-N 415.190 4.544 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccnc1Oc1ccccc1 790796998 JTWARHZEFPOJRE-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD Cc1ccc([C@@H](O)[C@@H](C)NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804477959 GJWYIRJVUYVUFB-ZHRRBRCNSA-N 422.506 4.906 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)/C=C\c1cccnc1 879554213 NFUOOYVGUYNHPF-HJWRWDBZSA-N 423.450 4.547 5 20 HJBD CCOc1cc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)c([N+](=O)[O-])cc1OC 904311350 GFYDYUONDFEIIJ-UHFFFAOYSA-N 409.320 4.738 5 20 HJBD C[C@H](OC(=O)c1cc2ccccc2c(Cl)n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 915446107 RNVOYOLJGLSWAT-NSHDSACASA-N 424.800 4.764 5 20 HJBD Cc1ccc(-n2nc(C)c(CC(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c2C)cc1Cl 915829516 SGYUPKUDUNYSPC-UHFFFAOYSA-N 416.840 4.679 5 20 HJBD COc1ccc(-c2nc(C(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1OC 920266927 BLTJAGISOHIMPZ-GFCCVEGCSA-N 414.439 4.654 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(-c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])o1 1117234330 DBHWCYYEGMHMPU-GFCCVEGCSA-N 405.357 4.797 5 20 HJBD Nc1ccc(-c2nnc(CCCOc3ccc(Cl)cc3Cl)o2)cc1[N+](=O)[O-] 1322152692 VGKJKHQZFOCFBW-UHFFFAOYSA-N 409.229 4.545 5 20 HJBD Cn1cc([N+](=O)[O-])cc1-c1nnc(-c2cn(-c3ccccc3)nc2-c2ccccc2)o1 1322159386 IVPDTIXMPMFLJM-UHFFFAOYSA-N 412.409 4.503 5 20 HJBD Cc1cncc([N+](=O)[O-])c1N1CCc2c(cccc2NC(=O)Nc2ccccc2F)C1 1324295567 CEIDOFCAHHFCCM-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(OC(F)(F)F)cc1 11247184 ARWBANKAAQWZSZ-UHFFFAOYSA-N 412.367 4.692 5 20 HJBD CCOc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1OCC 11249952 IEZGFHBUVCMQHY-UHFFFAOYSA-N 416.477 4.591 5 20 HJBD COc1ccc([C@@H](CNC(=O)c2cccc([N+](=O)[O-])c2)c2c[nH]c3ccccc23)cc1 18074494 DAUXFYHXHALEFU-OAQYLSRUSA-N 415.449 4.647 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(c4nc5ccccc5s4)CC3)o2)cc1 23828548 ILSOHMWSUBVOCL-UHFFFAOYSA-N 421.482 4.634 5 20 HJBD CC[C@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 23988990 ODFPTPVVLKFWES-QWHCGFSZSA-N 420.849 4.501 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1cccs1)N(Cc1cccc([N+](=O)[O-])c1)CC2 24786824 CMPKWRSLHRHIPF-QFIPXVFZSA-N 410.495 4.821 5 20 HJBD CCCC(=O)Nc1cccc(N[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C 63642244 OTRNPALZRNWKHE-CQSZACIVSA-N 409.446 4.865 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64864395 VBBWORODQAZVSJ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCn1ncc2ccc(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc21 195730478 DOFSUUAGTVBLBP-UHFFFAOYSA-N 424.404 4.714 5 20 HJBD CCNC(=O)c1cccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 301073603 FOHQJDOKSJFPTK-UHFFFAOYSA-N 401.772 4.629 5 20 HJBD Cc1nc(NC(=O)c2c(-c3cccc(Cl)c3Cl)noc2C)ccc1[N+](=O)[O-] 430835954 HXSVYIJDFKWLSU-UHFFFAOYSA-N 407.213 4.821 5 20 HJBD COCc1c(Br)cccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431176318 CIQFBNYQEAHYEB-UHFFFAOYSA-N 422.279 4.561 5 20 HJBD COc1cc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)ccc1Cl 432515066 OLQKPHKUFXGUSC-SECBINFHSA-N 417.771 4.715 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(N5CCCCC5)nc4)no3)cc12 435984660 VDFMAVGRSVBEPD-UHFFFAOYSA-N 423.476 4.784 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436131283 LEDOWOYZATZVBB-UHFFFAOYSA-N 423.831 4.685 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(C2CC2)n1-c1ccccc1 436269968 MIUPLRFHVUCZNI-UHFFFAOYSA-N 407.377 4.618 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC(OCC2CC2)CC1 438491810 NTACVMYKWXSTGM-UHFFFAOYSA-N 411.458 4.810 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(F)cc(F)c2Br)cc1[N+](=O)[O-] 443525728 FFVQTDDYDPSKKS-VIFPVBQESA-N 414.206 4.827 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)s1 444071915 HEQDWEUSSUBHLD-AWEZNQCLSA-N 405.523 4.842 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1F)c1sc(Br)cc1[N+](=O)[O-] 446085089 YDFOYOIXAPTQGU-UHFFFAOYSA-N 413.146 4.829 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CN[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 447543539 MARLWPNAIMBJLI-XMMPIXPASA-N 405.498 4.987 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(CCn2ccc3ccccc32)no1 460150311 LWOKMMGCUPXFTB-ZDUSSCGKSA-N 412.833 4.969 5 20 HJBD Cc1ccc(C(=O)NCCc2ccccc2[N+](=O)[O-])cc1NC(=O)Nc1ccccc1 460505219 VNTYDNNHXAIOFX-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)C(C)C 463738465 IXPWRHKKIBNSAO-UHFFFAOYSA-N 423.391 4.623 5 20 HJBD O=C(N[C@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1ccc([N+](=O)[O-])cc1 464241339 JZXHIIKUULTGDU-OAQYLSRUSA-N 424.840 4.539 5 20 HJBD COc1ccc(-c2cncc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)cc1 466313715 INZNAVFOQNKBGH-UHFFFAOYSA-N 405.454 4.716 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCC[C@H](C)C3)cc2C)cc1[N+](=O)[O-] 471903016 PPPPUQIRURGJHI-HNNXBMFYSA-N 409.486 4.590 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)no1 475640378 RBJYGRDURQKWTO-UHFFFAOYSA-N 408.458 4.963 5 20 HJBD COc1c(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)cccc1[N+](=O)[O-] 475646786 CCWKZCPVXYIMRG-NSHDSACASA-N 415.446 4.665 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(CC(F)(F)F)cc1 477568731 DOXSOGLKYCSTST-UHFFFAOYSA-N 401.772 4.717 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3cccc(Cl)c3)CC2)cc1OC 478269236 JCPKMJGCGJGNEM-UHFFFAOYSA-N 418.877 4.675 5 20 HJBD CCCNc1ccc(Cl)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 479701459 ASYXFFRCGZLZMO-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1csc(-c2ccsc2)n1 485271528 KVWLNFSTEXXENK-LBPRGKRZSA-N 408.526 4.764 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])c2)cn1 485524371 DALRMRJPXKZXKD-UHFFFAOYSA-N 420.469 4.680 5 20 HJBD Cc1csc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)c2)n1 488709876 RVMPLGPBWJVDGO-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC(=O)OC)c1CC 489652387 QYOAWLNDZBMEIC-UHFFFAOYSA-N 416.499 4.627 5 20 HJBD COc1ccc(NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1Oc1ccc(C)nn1 499891476 WHZYSCIMYKMPDY-UHFFFAOYSA-N 423.429 4.755 5 20 HJBD CC(C)(CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc2c(c1)OCO2 500143743 ILNGUBUVNBHLBC-UHFFFAOYSA-N 411.483 4.514 5 20 HJBD CS(=O)(=O)c1ccc(NCc2ccccc2Sc2ccccc2)c([N+](=O)[O-])c1 501276908 ZGGGEYMVZJLLJY-UHFFFAOYSA-N 414.508 4.762 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC=C(c2ccc(F)cc2)CC1 502342308 TVRGMURRQDCHEH-UHFFFAOYSA-N 409.442 4.787 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cc2cccc([N+](=O)[O-])c2[nH]1 502466773 UUGSMNRNZFYZIA-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C 505589837 BOBQYQKBJSKRIO-YWZLYKJASA-N 401.532 4.517 5 20 HJBD CCOCCC1(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 508333951 YYSIBUFBBXKULI-UHFFFAOYSA-N 418.877 4.977 5 20 HJBD O=C(c1ccc(F)cc1)c1ccc(OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 514648948 HEGCVPLKBJRDID-UHFFFAOYSA-N 419.368 4.594 5 20 HJBD COc1cc(C(=O)N[C@H]2CCCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 514958370 NIJRYDWVCFSFBF-AWEZNQCLSA-N 422.890 4.675 5 20 HJBD CC(C)(C)c1csc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])n1 518604141 MQZJACOCHIJHAH-UHFFFAOYSA-N 403.382 4.542 5 20 HJBD O=C(NC1CC1)c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 520956814 BZMYGEDADOAXOO-UHFFFAOYSA-N 407.495 4.505 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cccc(CN4CCCCC4)c3)no2)c1 521049756 OUWWWLPEZSFWNB-UHFFFAOYSA-N 417.469 4.634 5 20 HJBD CCc1cc(N2CC[C@@H](c3ccccc3OC)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 523107130 JRVXFOQYMPAJLP-QGZVFWFLSA-N 404.470 4.617 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCc3nc(-c4ccccn4)cs3)o2)c1 524069106 WVZQDDVSMUFSLX-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc(Br)cc1 531220853 WBNPUVSFVCOHFU-QGZVFWFLSA-N 421.316 4.813 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N1CCCc2ccccc21 534795011 VPAAUOHVLNUMTQ-OAHLLOKOSA-N 423.494 4.851 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1cccc([N+](=O)[O-])c1 535335001 IWQKJQRNJWACBW-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD COc1ccnc2c(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)cccc12 536613862 AJPIBRXCHINHNC-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD C[C@@H](NCc1ncc(-c2ccc(Br)cc2)o1)c1cccc([N+](=O)[O-])c1 538179070 JTPQWECYSIGSER-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 540446715 XIXNKMVAFGGOCN-HOTGVXAUSA-N 414.767 4.527 5 20 HJBD Cc1nc(COc2ccccc2C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)cs1 543680616 UZBLBOMZQFFYOX-UHFFFAOYSA-N 419.846 4.550 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)c2cccc(C(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 543856613 KFCMIBZIHSRMOL-HNNXBMFYSA-N 404.422 4.577 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 544064859 BJWNCDHUQDSODD-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccnc(OC5CCC5)c4)no3)cs2)c1 545756300 HQNSEMSTBHNZKU-UHFFFAOYSA-N 421.438 4.762 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C3(c4ccc(Cl)c(Cl)c4)CCC3)n2)nc1 545779577 VPUQJRZAYSBZJW-UHFFFAOYSA-N 405.241 4.740 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546539695 SUYRUSOOXPECON-KZULUSFZSA-N 408.857 4.557 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1[N+](=O)[O-] 554669057 YOVKPLLMPLEECC-AWEZNQCLSA-N 409.467 4.995 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2ccccc2OC)c1 554971534 UKILNESSYBBNKJ-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1cc([N+](=O)[O-])ccc1C(F)(F)F 569627873 UMENWOBMTIGDJD-UHFFFAOYSA-N 408.332 4.907 5 20 HJBD CC[C@H](C)c1ccc([C@@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 575795751 SATMJYSKJIJQOX-SCLBCKFNSA-N 410.499 4.865 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC(C)(C)[C@@H]3[C@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581104697 VNFRZWWAGRBENA-RTWAWAEBSA-N 409.486 4.549 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1cccs1 603461802 BDWQDVPZPLLSEX-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2c[nH]c3cc(C)ccc23)CC1 603681745 VLYLLSROFPCBET-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccccc2)n(-c2ccccc2)n1 603873036 DLGNIZBEFNNDSE-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD COc1nc(C)cc(C)c1CNCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 608982857 GCFRHYBVHMVBAZ-UHFFFAOYSA-N 406.486 4.673 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccc(Cl)c(Cl)c2)C2CC2)cc1[N+](=O)[O-] 609010921 KYSWLNXWCUBADA-UHFFFAOYSA-N 409.269 4.644 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 610068551 MNRTVGZSDGPXLM-LLVKDONJSA-N 416.231 4.503 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC(c2nc3ccccc3[nH]2)C1 611479206 XPASMORBDKFIAA-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD COc1ccc([C@H](NCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2)cc1 611565388 WZLFLOBHARRSPE-JOCHJYFZSA-N 416.437 4.533 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)c(F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 617519886 CMMFHRNDQYPAAR-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD COc1ccc(CC(=O)OCc2ccc(OCc3cccs3)cc2)cc1[N+](=O)[O-] 727957423 MGLSQVPUMMIQEV-UHFFFAOYSA-N 413.451 4.530 5 20 HJBD Cc1c(C(=O)Nc2cc(C(F)(F)F)ccc2F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 727998857 MGPJTJDKGPXJHN-UHFFFAOYSA-N 401.272 4.530 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)OC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 733070425 CUZQBZFZDLCCHW-AWEZNQCLSA-N 422.466 4.681 5 20 HJBD C[C@](O)(CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc(F)cc1F 734004135 PUWJUAMPVHPGGA-FQEVSTJZSA-N 404.438 4.599 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)c3ccc(N)c([N+](=O)[O-])c3)cc2c1 739752914 ZNTRDNLDGNZPIM-UHFFFAOYSA-N 405.410 4.667 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1cccc(F)c1 741884393 BNPNEFBUPHZKBT-CQSZACIVSA-N 408.385 4.695 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COc2cc(C=O)ccc2[N+](=O)[O-])cc1 748150885 QAKNJCNUPZJSJA-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD CC(C)OCc1c(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)oc2ccccc12 751636383 SWOHTLYOMGYBLU-UHFFFAOYSA-N 410.382 4.764 5 20 HJBD Cc1cc(C)cc(-n2ccnc2SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)c1 753853268 OOGKNBDKFMVVML-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD C[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 758000065 ORMMMUHNIUISPX-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD Cc1c(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)cnn1C 759763840 YZUCRQSERHFWEW-UHFFFAOYSA-N 409.489 4.905 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 763398827 MGJWOUZRMSWEPP-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)ccc2C)n1 763807401 HJPDFHXDXOYZLD-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(CN2C(=O)c3ccccc3N[C@H]2c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 765982522 MUEDUSNFVZINFB-OAQYLSRUSA-N 418.409 4.578 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)Cc2cc(C)ccc2F)c1=S 766634135 YINUTEZSIJGOEU-UHFFFAOYSA-N 415.494 4.546 5 20 HJBD COc1cccc(C(=O)Nc2ccc3cc(Br)ccc3c2)c1[N+](=O)[O-] 766821546 OBWQERVMVTYGDG-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1Oc1cccnc1 770328580 WUQMZSAPQSIIMH-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2c(cnn2CN2CCC[C@H](c3nc4ccccc4o3)C2)c1 774635011 NIPKLSLTNJIKKB-ZDUSSCGKSA-N 411.849 4.576 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc(C(=O)Nc2ccccc2)cc1 779328820 XXIKRZXNFQUWFD-UHFFFAOYSA-N 408.435 4.545 5 20 HJBD O=C(N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 783851920 SGBYBLLJPZSJIS-DZGCQCFKSA-N 421.266 4.638 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3cc([N+](=O)[O-])ccc3N)s2)cc1 787023251 YRNARESWHQXEAX-AWEZNQCLSA-N 410.499 4.751 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c[nH]c2ccccc12 787229408 XLRHKORDGBKKCU-UONOGXRCSA-N 420.425 4.924 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N2CCc3cccc(NC(=O)OC(C)(C)C)c32)c1F 790969072 LFZWRVLFIFUWOZ-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD O=C(O[C@H]1C[C@@H](OCc2ccccc2)C1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 802863902 DUFDRHYKPUKRAJ-HDICACEKSA-N 410.451 4.623 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(Br)cc1F 804891455 CBUJKEYKZHFZHX-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)cccc1[N+](=O)[O-] 806126907 SPIICSDUTKNLFD-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD CC(C)(CCc1noc(-c2ccc(-c3noc(-c4ccc(F)cc4)n3)cc2)n1)[N+](=O)[O-] 812813624 NVCLELNLSIGVFL-UHFFFAOYSA-N 423.404 4.581 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c2ccccc21 813280129 ZFHSQBJHNSQFON-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 813628111 LHMCOTYWXJYQBV-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1ccc(Cl)c(Cl)c1 857831277 PIHVXUZAWGZMHM-SECBINFHSA-N 422.224 4.567 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1ccc(OC(F)F)cc1 914863159 KSPRLQLZLKVYDN-UHFFFAOYSA-N 407.251 4.735 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 915115557 LOWUMNNVILPDNE-MRXNPFEDSA-N 420.494 4.796 5 20 HJBD COc1ccc([C@@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2ccc(OC)cc2OC)cc1 1318408907 FAEGFPJKFNAMBV-XMMPIXPASA-N 422.481 4.808 5 20 HJBD CC(C)(C)C(=O)N1CCC(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1326794865 MSILAXJAXFCVSK-UHFFFAOYSA-N 419.909 4.635 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(CCCc4ccccc4)o3)cc2)c(C)c1[N+](=O)[O-] 1329074611 MAVQECCPDKOUAM-UHFFFAOYSA-N 417.469 4.682 5 20 HJBD CC(C)N1CCC(N2CCN(C(=O)c3c4ccccc4cc4ccccc34)CC2)CC1 1334124250 CHGRARICRXTJQW-UHFFFAOYSA-N 415.581 4.624 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)cc1 1345675790 YYTYAZSSTAZUIT-LBPRGKRZSA-N 401.444 4.905 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2sccc2-c2ccccc2)cccc1[N+](=O)[O-] 21922270 LQNJHWZWUHPNIA-CQSZACIVSA-N 410.451 4.816 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCCC[C@@H]1c1ccncc1 50315125 UOMJUYRFALIRDG-LJQANCHMSA-N 408.483 4.871 5 20 HJBD C[C@@H](NC(=O)NCc1cccc(COCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 56400191 VAWBNTGNHDDTTJ-GOSISDBHSA-N 419.481 4.872 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2Oc2cccc(F)c2)cc([N+](=O)[O-])c1 59920273 VUIQRADVWOHTAN-UHFFFAOYSA-N 410.357 4.565 5 20 HJBD COc1cc(CNCc2ccc(C(C)C)c([N+](=O)[O-])c2)cc(Br)c1OC 237307651 WGIVSLYFTHEMRF-UHFFFAOYSA-N 423.307 4.788 5 20 HJBD CC(C)(Cc1ccccc1Cl)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 303170554 ISSUULGJAMUXRU-UHFFFAOYSA-N 415.921 4.602 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)COc3ccc([N+](=O)[O-])c4cccnc34)ccc2o1 427920856 FHDCLIZQEZSZKR-UHFFFAOYSA-N 420.425 4.599 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)c1csc(-c2ccccc2F)n1 432487182 BXICHGIVZKGVCQ-UHFFFAOYSA-N 413.474 4.794 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1 437435425 VBQANXWQYCUSMK-CZIWCDLHSA-N 406.404 4.954 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438610676 DOZWHKLMNMMNDO-JTQLQIEISA-N 418.359 4.799 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(CCC(F)(F)F)cc2)cc([N+](=O)[O-])c1 439651934 SDHHPOAUYBJUFZ-UHFFFAOYSA-N 410.348 4.519 5 20 HJBD C[C@H](c1ncc(-c2ccc(Cl)cc2)o1)N1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 443594814 UGKJGCHQVGTGPE-CJNGLKHVSA-N 413.865 4.546 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1sc(Br)cc1[N+](=O)[O-] 444863238 XVCDVUUQCRVLJD-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccccc2OC(F)F)cs1 446093858 GBUNGNQGPRMSAY-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@H](COc2ccccc2)C1 447678219 YRIZTOXORYGZPV-LBPRGKRZSA-N 417.334 4.549 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccc(Oc2ccc(Cl)cn2)cc1 462181286 XVARNQGWBQAHCY-UHFFFAOYSA-N 412.833 4.795 5 20 HJBD COc1cc(C(=O)Nc2c(C)cc(Cl)c3ccccc23)cc([N+](=O)[O-])c1OC 462199502 MUYZMCYAGWSUEE-UHFFFAOYSA-N 400.818 4.979 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1cnn(-c2ccc(F)cc2)c1C 462425109 AFQBZCMJSHFICK-YJBOKZPZSA-N 424.476 4.674 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1OCC(F)(F)F 462429021 QCLYKQWVPHGCHW-CYBMUJFWSA-N 414.355 4.882 5 20 HJBD Cc1cc(=NC(=O)c2sc(C)nc2-c2ccccc2)c2cc([N+](=O)[O-])ccc2[nH]1 462585525 XFZHYHYWKXEHBW-UHFFFAOYSA-N 404.451 4.558 5 20 HJBD O=C(c1ccc(-c2ccccc2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464644385 BMCWTTJSTNYLHF-UHFFFAOYSA-N 402.450 4.945 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N(CCc1ccccn1)Cc1ccc(F)cc1 470139321 APBBDPOJAYWWQK-UHFFFAOYSA-N 412.396 4.545 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(C)cc2)c2ccccn2)cc1SC 471406097 JLSPXLPCWMQALT-NRFANRHFSA-N 423.494 4.548 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)cc1 471565425 XNLGINAUXLJTHA-LLVKDONJSA-N 415.837 4.743 5 20 HJBD CCCOc1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(OC(F)F)c1 472212931 NQUGVUPCYWNHPY-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD C[C@H](Oc1cccnc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 475081892 DRNDPKPEIXHESW-INIZCTEOSA-N 406.442 4.794 5 20 HJBD CCOc1cc(Br)ccc1N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 477627985 VCIYEXCKRMPCLV-LBPRGKRZSA-N 422.279 4.504 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)[C@H](C)C(F)(F)F 477968338 PMNSALUIAILRAZ-QWHCGFSZSA-N 410.396 4.576 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)N1Cc2ccccc2C1 479518952 TUMHRTVEENNOFV-HOTGVXAUSA-N 421.522 4.905 5 20 HJBD CC(=O)N1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)ccc21 482053714 NMLCWIDYVWAFSJ-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD COc1cc(CN2CCC(c3ccsc3)CC2)c([N+](=O)[O-])cc1OCC(F)F 485999185 BSGABGLIJUQPMJ-UHFFFAOYSA-N 412.458 4.688 5 20 HJBD COc1cc(C)ccc1Oc1ccc(F)cc1NC(=O)c1cccc([N+](=O)[O-])c1N 486659094 AIGTWCITPCTBCF-UHFFFAOYSA-N 411.389 4.678 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 488480950 KHHONFCXZXTWDK-UHFFFAOYSA-N 408.907 4.649 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccnc(Oc3ccc(F)cc3)c2)cc1[N+](=O)[O-] 489240444 RQBCZCSMKZICRX-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD COc1ccc(CNC(=O)[C@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 491232704 QZQLWTTUABTPGZ-HOTGVXAUSA-N 423.494 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 494007512 SBPWAYHTPNJUKI-OAHLLOKOSA-N 414.531 4.958 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 497417446 MVHOYCPFWCHRRQ-FQEVSTJZSA-N 419.485 4.524 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H](C)c1ccccc1C(F)(F)F 500232940 ROXRRVXLCVBVEP-STQMWFEESA-N 410.392 4.762 5 20 HJBD Cc1c(NC(=O)[C@H](Oc2ccccc2Br)C(C)C)cccc1[N+](=O)[O-] 508795439 MYEWPZRDAWUTJK-QGZVFWFLSA-N 407.264 4.708 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2cccc(F)c2)cc1 509095042 MYQWBGVXOKVCHM-UHFFFAOYSA-N 410.401 4.807 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(-c2ccsc2)s1 509236862 PWEHLRGRXVUEHI-UHFFFAOYSA-N 403.489 4.617 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N(Cc1ccsc1)c1ccccc1 509555035 KHJHUHQBDWMOCA-UHFFFAOYSA-N 408.888 4.705 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC(C)(C)c2cccs2)cc1[N+](=O)[O-])c1ccccn1 510423763 HPPBXJLIHQQROH-OAHLLOKOSA-N 424.526 4.932 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(C)oc3C)cs2)cc1OC 515457809 SITDGENUCLZUAY-UHFFFAOYSA-N 417.443 4.588 5 20 HJBD Cc1c([C@@H](C)Nc2ccc3c(c2)N(CC(F)F)C(=O)CS3)cccc1[N+](=O)[O-] 516578546 YJKPQBQZWQVRJQ-GFCCVEGCSA-N 407.442 4.780 5 20 HJBD Cc1ccc(F)c2c1CCCN2C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 518832210 YNCQIZXIQMPYRK-UHFFFAOYSA-N 412.339 4.576 5 20 HJBD Cc1cc(N2CCN(C)CC2)ccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 522283818 JKVXRZQDDWKHBR-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 522509154 NDAAIJMWFOAGIO-YLJYHZDGSA-N 412.486 4.596 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 532093619 QTJKPVWSTJURGR-OAHLLOKOSA-N 410.499 4.929 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)n1 532536379 ZHCHMNFIMNHXNN-FQEVSTJZSA-N 408.502 4.512 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CNC3(c4c(Cl)cccc4Cl)CC3)o2)cc1 540431157 XCKCYOFMPVMKLC-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD Cc1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)cc1NC(=O)CN1CCCCC1 541535608 HNSXMMJAHGTUMF-UHFFFAOYSA-N 416.909 4.593 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1C(=O)c1ccc[nH]1)c1ccc([N+](=O)[O-])cc1F 541594913 JYCAJNRPXMOMSL-LLVKDONJSA-N 415.808 4.689 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 541691655 ACTHDYNOKOYVSB-UONOGXRCSA-N 404.438 4.512 5 20 HJBD C[C@H](C(=O)NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc([N+](=O)[O-])cc1F 543523773 INSFGTWDJHGZNJ-AWEZNQCLSA-N 418.428 4.697 5 20 HJBD COc1ccc(NC(=O)[C@@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 543928506 RMDTVRNDTSWCJT-HUUCEWRRSA-N 409.467 4.902 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2oc(C3CC3)nc2c1 544083294 RNMQTIKMELRFQD-UHFFFAOYSA-N 403.847 4.998 5 20 HJBD Cc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)ccc1OC1CCOCC1 545827855 OMKPMCHJGIGFTJ-UHFFFAOYSA-N 416.449 4.516 5 20 HJBD C[C@H](c1nc(Cc2csc(-c3ccccc3)n2)no1)c1ccc([N+](=O)[O-])cc1F 546244734 OAURQKJSICQNJD-LBPRGKRZSA-N 410.430 4.983 5 20 HJBD Cc1ccc(C(=O)CC(C)(C)Cc2nc(CCNc3ccccc3[N+](=O)[O-])no2)cc1 546383034 KMDIUVJWZVPKPG-UHFFFAOYSA-N 422.485 4.783 5 20 HJBD COc1cc(/C=C/c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)ccc1OC(F)F 546559241 QASLTTDSENFLJS-VMPITWQZSA-N 417.368 4.657 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C\c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc21 550004601 XBDZTCMKJDXUDL-DMIOIOJGSA-N 409.467 4.648 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CNC2(Cc3ccc(F)cc3)CCOCC2)c1 550189508 LPRBWLOOSHOXLG-UHFFFAOYSA-N 412.383 4.634 5 20 HJBD C[C@H](C(=O)Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 555721270 UCQWUGBHHZEBFK-HNNXBMFYSA-N 413.437 4.789 5 20 HJBD C[C@H]1CSC[C@@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 557106234 JCQJUSBCUQQDQH-NHYWBVRUSA-N 408.932 4.881 5 20 HJBD O=C(Nc1cccc2nc(O)[nH]c12)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 564320978 PXSYLEBJSONKES-UHFFFAOYSA-N 424.800 4.875 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590422365 BHNGTYARTUTUBS-CYBMUJFWSA-N 424.444 4.795 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCC(F)(F)F 603454431 XQEPBNAAEQJAOU-LCYFTJDESA-N 421.400 4.814 5 20 HJBD O=C(Nc1ccc(-n2cccn2)nc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 603461709 HYIYOSIFQHZYEZ-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2cccc(Cl)c2)C(C)C)cc1[N+](=O)[O-] 603568708 JECVESWBSOSAKQ-UHFFFAOYSA-N 422.934 4.927 5 20 HJBD COc1ccc(CSc2nc3ccccc3c(=O)n2C2CCCC2)cc1[N+](=O)[O-] 603722335 GYMYXVCMKCOHTB-UHFFFAOYSA-N 411.483 4.721 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc12 603966544 GYMPTESVQFKLPX-LBPRGKRZSA-N 424.457 4.934 5 20 HJBD COc1ccc(C(=O)N(Cc2cccs2)c2ccc(F)cc2F)cc1[N+](=O)[O-] 603993963 HXNULJGXQQOOBM-UHFFFAOYSA-N 404.394 4.790 5 20 HJBD O=C(NCCCc1nc2c(s1)CCCC2)c1cc2cc([N+](=O)[O-])ccc2s1 608902152 GRJCNDDPEFPFKV-UHFFFAOYSA-N 401.513 4.507 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609191853 KHQDFJXQJWXTPQ-IUODEOHRSA-N 404.850 4.968 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)NC(c1cccs1)c1cccs1 609993132 JMAGKONLOMSKLX-UHFFFAOYSA-N 401.513 4.816 5 20 HJBD O=C(c1cnc(-c2ccc(C(F)(F)F)cc2)s1)N1CCc2ccc([N+](=O)[O-])cc21 610434020 ZVOQIPRGFJJJAI-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 610665420 ZELNZIMRKVQWGY-ACJLOTCBSA-N 418.291 4.965 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(Cn3cncn3)cc2)ccc1Oc1ccc(F)cc1 610910672 RSCDGBARQROEBK-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@@H]1n1ccnc1 611212573 RCBJJMCQQOZXHX-BEFAXECRSA-N 414.531 4.549 5 20 HJBD CCCN(Cc1ccccc1F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 642041829 DCKKEAXJFCFATE-UHFFFAOYSA-N 402.469 4.733 5 20 HJBD CCCCc1nc(-c2cccc(OC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2)no1 731980225 JDOPFPKHOFIIJW-UHFFFAOYSA-N 401.806 4.860 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444949 AWJSCJZHWXCDOL-UHFFFAOYSA-N 423.208 4.679 5 20 HJBD CCSc1ccccc1OS(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 742298210 BGRUUPLYKIUQSL-UHFFFAOYSA-N 408.284 4.781 5 20 HJBD Cc1c(C(=O)N2CCC(=Cc3cccc(F)c3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748714266 FPIPZKYVSGDNAU-UHFFFAOYSA-N 413.405 4.579 5 20 HJBD CC[C@H](C)Oc1cc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1OC 749452782 NUXYWNKSDHYKPT-YHWKNFMLSA-N 421.453 4.647 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1Cl 750535324 LYKBKKNQXNQCFS-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccc(F)c1 755023195 AEQMEZKUIBVGAG-OAHLLOKOSA-N 408.227 4.865 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(CCc3cccc([N+](=O)[O-])c3)n2)c1 755749355 DAIQGYUFWFVPMH-UHFFFAOYSA-N 410.430 4.777 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@H]1/C=C/c1ccccc1 759088963 VJYUMTTWWBPIPL-RUMSDORHSA-N 401.260 4.675 5 20 HJBD COc1cc(CNc2cc(NC(=O)OC(C)(C)C)ccc2F)c([N+](=O)[O-])cc1F 766066459 LULXVJHCSLLUMR-UHFFFAOYSA-N 409.389 4.841 5 20 HJBD O=C(N[C@@H]1CCCc2sccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 768589374 AOBCBEAPBKACJD-LLVKDONJSA-N 415.696 4.880 5 20 HJBD Cc1cc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)c(N)c([N+](=O)[O-])c1 770079550 MDGVESFNJCTKFY-UHFFFAOYSA-N 420.450 4.720 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nc(O)c2ccccc2n1 775084325 BOLAQHGPZUADRT-GFCCVEGCSA-N 413.455 4.662 5 20 HJBD COc1ccc(C[C@@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137019 LZQKJLBEFGXFQN-OPAMFIHVSA-N 406.438 4.510 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784530974 ZRCWBECMYNMBCZ-AWEZNQCLSA-N 415.371 4.792 5 20 HJBD CC[C@@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1OC 786937044 ORUNYNFEPRVYOZ-MRXNPFEDSA-N 411.380 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)c1 787665854 PQCKWVPYYLBJID-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(Oc2ccc(F)nc2)CC1 791155506 JIKYSXJMHANETR-UHFFFAOYSA-N 401.441 4.606 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cccc1-c1ncco1 794639548 BOOZHXGPUPFYOC-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(C(F)(F)F)cn1 798671274 ZMCDIAFXROACOH-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD Cc1cc(Br)ccc1SCC(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800147129 ILJLVXQYBRGDKK-UHFFFAOYSA-N 413.268 4.844 5 20 HJBD COc1ccccc1CN(Cc1ccc([N+](=O)[O-])c(F)c1)c1ccc2nc(O)[nH]c2c1 801522843 BPOYIDMHAJIGPK-UHFFFAOYSA-N 422.416 4.531 5 20 HJBD O=C(Nc1ccc2c(c1)C(=O)OC2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 808456841 RCUYTZHUXGSWSH-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(N(C)C(=O)OC(C)(C)C)s2)cc1[N+](=O)[O-] 810482480 GQJLQXUYGQLFHJ-ZDUSSCGKSA-N 419.503 4.827 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1ccc(Cl)c([N+](=O)[O-])c1 812574614 WMBFQOQESCOKNX-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812861180 JRYSSNFHNFAXAW-UHFFFAOYSA-N 410.257 4.682 5 20 HJBD Cc1c(CNc2cc(Br)cc(C(=O)N3CCCC3)c2)cccc1[N+](=O)[O-] 864028850 HUHTVCJEVVMYQW-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(CCSc1ccccc1Cl)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914796893 OWCPWXODBKHVDO-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD CC[C@H](C)n1c(-c2ccccc2)nn(CN2Cc3ccc([N+](=O)[O-])cc3C2)c1=S 1322139997 AJHGOXDCODLSFN-HNNXBMFYSA-N 409.515 4.934 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 1323983900 WOIWOGDKOYKWPQ-CQSZACIVSA-N 407.392 4.675 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccc(C)o3)nc3ccccc23)c([N+](=O)[O-])c1 6279041 JOUBLMPFDGEHEG-UHFFFAOYSA-N 403.394 4.972 5 20 HJBD CCCc1ccc(C(=O)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 11276944 XYDUTDMCVCGWTD-UHFFFAOYSA-N 413.473 4.533 5 20 HJBD Cc1ccc2c(CC(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)coc2c1C 17720119 FQJBXACQLRVZGJ-CQSZACIVSA-N 421.409 4.855 5 20 HJBD CC1CCN(Cc2ccc(CNc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])cc2)CC1 29529479 FELPHCNYZXXETD-UHFFFAOYSA-N 424.545 4.577 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cccc(Cn3ccnc3)c2)c([N+](=O)[O-])c1 30587514 VIWAWVUIVWWXLB-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD O=C(Nc1nnc(-c2ccsc2)s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50338774 RVWAEHQMNYWIDQ-UHFFFAOYSA-N 415.481 4.551 5 20 HJBD Cc1cccc(Cn2nccc2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 64907991 ZHXHQSGJHDGDCZ-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)c2ccncc12 110024824 CPNZCZLXHBEQNV-OAHLLOKOSA-N 419.416 4.716 5 20 HJBD Cc1nn(C)cc1[C@H](C)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237569939 XDEAASJQUILNGU-NSHDSACASA-N 419.279 4.510 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H]2c2nc(-c3ccccc3Br)no2)cc1 302677670 QNONTJGLVUVXMW-INIZCTEOSA-N 415.247 4.749 5 20 HJBD C[C@@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 426183904 OYQQGGJCHVHDTB-OAHLLOKOSA-N 410.474 4.553 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)c1ccc([N+](=O)[O-])cc1 427390259 KWYGQHUQARIUHX-LBPRGKRZSA-N 401.875 4.940 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc4c(c3)COC4)c([N+](=O)[O-])c2)cc1 432031038 HZRCNRRXYRYLAR-UHFFFAOYSA-N 403.438 4.798 5 20 HJBD O=C(c1csc(Cc2ccc(F)cc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437094610 PMASUPDHDMIEQX-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1[nH]ccc1-c1c(Cl)cccc1Cl 442305786 NNMGAXLAOPPLFL-UHFFFAOYSA-N 420.252 4.835 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3cccc(Br)c3)o1)CC2 446737506 WTKRQUROVVEADX-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD CN(CC[C@@H]1CCCCN1C)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 461264849 OXDSJQAQIGNNEE-KRWDZBQOSA-N 413.543 4.692 5 20 HJBD CC[C@@H](C)[C@@](C)(O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 461590073 LNYDERMRYRWDKQ-XCLFUZPHSA-N 406.866 4.567 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC[C@@](CO)(Cc2ccccc2)C1 462935854 LWDIRTWAQYPDOU-HHHXNRCGSA-N 410.517 4.845 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)CCc3cccs3)cc2[N+](=O)[O-])n1 464338006 QHSPBSOOLBAHBL-UHFFFAOYSA-N 419.553 4.887 5 20 HJBD O=C([C@@H](OC1CCCC1)c1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464665889 RRVXWRKCEJRRES-QHCPKHFHSA-N 424.497 4.665 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)NCCc2ccccc2[N+](=O)[O-])cc1 466154971 TWFUEQNIGZAUAW-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(Br)c(F)cc2F)cc1[N+](=O)[O-] 466686678 OYSXRSWAPIZAOJ-VIFPVBQESA-N 414.206 4.827 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CC[C@H]3CCCO3)cc2[N+](=O)[O-])cc1 467020326 GBGHBPUJHRZYCF-QGZVFWFLSA-N 400.500 4.696 5 20 HJBD O=C(Nc1cnn(C(F)F)c1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 467434264 COONWYQGTKKAHA-UHFFFAOYSA-N 421.791 4.704 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 469198159 PRKPPDHBAVUZLQ-ZDUSSCGKSA-N 417.849 4.929 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cc(Cl)cc([N+](=O)[O-])c1 472279737 TZIARVSVFDXMNQ-SFHVURJKSA-N 402.237 4.816 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(Cl)cc1[N+](=O)[O-] 473401805 PFAXWDPCJXAGJU-SFHVURJKSA-N 402.237 4.816 5 20 HJBD COCCCC[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 473530578 OLSKOFJBXXFKHL-QFIPXVFZSA-N 414.505 4.969 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C[C@H]1c1ccc(Br)s1 475370951 LLCJHSMFEFGIRD-LDYMZIIASA-N 416.684 4.750 5 20 HJBD COc1cccc(N2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c1[N+](=O)[O-] 475421538 FKXFXSQPZJHTHS-CQSZACIVSA-N 414.849 4.691 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)NCc1ccccc1[N+](=O)[O-] 476856455 UKVTWMLNEXCXPL-MRXNPFEDSA-N 420.307 4.520 5 20 HJBD O=C(N[C@@H]1CC=C(c2ccc(F)cc2)CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 479408850 MVXOQDVPTDJYLJ-OAQYLSRUSA-N 423.488 4.703 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1Br 482244767 RSXREDYBOHQACI-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@](C)(C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 482580085 PMTPAAIKFICCFO-HXPMCKFVSA-N 422.407 4.624 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(F)c(C(=O)OC)c1 482644044 UKAQECPFAKHIIA-UHFFFAOYSA-N 412.826 4.538 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC(F)(F)F)c2ccccc2)cc1SC 483420361 XTGDSIDGROJPOM-ZDUSSCGKSA-N 414.405 4.749 5 20 HJBD COc1ccc(CNC(=O)N[C@H](c2oc3ccccc3c2C)C(C)C)cc1[N+](=O)[O-] 483784330 LHCDMHICYVASMF-FQEVSTJZSA-N 411.458 4.855 5 20 HJBD C[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1nc2ccccc2n1C(F)F 485197098 SQHMGLSURZOTFD-QMMMGPOBSA-N 412.194 4.670 5 20 HJBD CCOc1ccc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 485766013 ZDJJPHLFXIXYRV-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CC(C)c1ccc(OCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 486138245 ZXLCEOSBTAKZAN-UHFFFAOYSA-N 410.392 4.688 5 20 HJBD CSc1cccc(C(=O)Nc2ccnn2[C@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486238430 BBHAOQIOYRXDBZ-KRWDZBQOSA-N 408.483 4.691 5 20 HJBD CC(C)N1CCC[C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 486623825 FNEYYGXSLIRAHY-QGZVFWFLSA-N 413.543 4.596 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2cc(OC(F)F)ccc21 488349389 XOTMEYVPYPSYKM-UHFFFAOYSA-N 408.426 4.901 5 20 HJBD COc1ccccc1[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)C1 489511571 RUVJEAUJBLOQNX-INIZCTEOSA-N 414.527 4.981 5 20 HJBD Cc1ccc(-c2nc(/C=C3\CCn4c3nc3ccc([N+](=O)[O-])cc3c4=O)cs2)cc1 497688059 YMJGDCVYRZCKCK-XNTDXEJSSA-N 416.462 4.681 5 20 HJBD Cc1ccc(-c2nc(NC(=O)CCOc3ccccc3[N+](=O)[O-])sc2C)s1 504824173 AKBGYCYFSISLES-UHFFFAOYSA-N 403.485 4.804 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(OCC(F)(F)F)c(F)c2)cc1[N+](=O)[O-] 504917372 DHUJVNYXFXKUSR-LLVKDONJSA-N 415.343 4.866 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Sc3nnc(C)s3)cc2C)cc([N+](=O)[O-])c1 505882548 GLAHXDVFHSFEIH-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Nc2ccc(F)cc2)CC1 505947321 OQPOJJSVOGCLPZ-UHFFFAOYSA-N 417.506 4.953 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4cc(F)ccc43)cc2[N+](=O)[O-])n1 512473684 HQVJCDLSVWAEDP-UHFFFAOYSA-N 415.471 4.853 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])n2)cc1 512859424 CINWERUIOYQKPY-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD Cn1ccnc1[C@H](NCCc1cccc(-c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 513707544 FGBRYOLOYGJYTC-XMMPIXPASA-N 412.493 4.917 5 20 HJBD CC(=O)N(c1nc(CSc2ncccc2[N+](=O)[O-])cs1)c1ccccc1F 516272624 BAUWNPQSPAVFRH-UHFFFAOYSA-N 404.448 4.562 5 20 HJBD CN(Cc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 521763549 RLCIFIQZFAWGBF-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD COc1cccc(COCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 522671534 QQOPYNUUTYMUQP-UHFFFAOYSA-N 408.410 4.551 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](CC1CCCCC1)c1ccccc1 523995410 QSEQSPGXILOSDG-NRFANRHFSA-N 409.486 4.670 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)cc3C)cs2)cc([N+](=O)[O-])c1OC 525013575 DTRCLHZHYLXFFT-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COc1cc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cc(OC)c1OC 532006935 RJPIPOVZTTVIJH-UHFFFAOYSA-N 413.411 4.552 5 20 HJBD CC[C@@H](Oc1cccc(C)c1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151679 ABRCKRPPAFYGEN-LJQANCHMSA-N 411.483 4.847 5 20 HJBD Cc1csc(-c2cccc(C(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 537591762 YGHCAQPGRDERRP-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 539668031 NUPKPIQOTLAHLG-GOSISDBHSA-N 416.499 4.907 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](c2ccc(F)cc2)C1 542565189 MWVMRXXDUYOEIZ-LPHOPBHVSA-N 418.490 4.886 5 20 HJBD CC(=O)c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n1 543440663 MIDISFFXCXQBDU-UHFFFAOYSA-N 405.501 4.789 5 20 HJBD COCCC(C)(C)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 545361184 UECKBFOPHZADTC-UHFFFAOYSA-N 406.866 4.833 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1/C=C\c1nc(Cc2ccccc2[N+](=O)[O-])no1 546944747 SRFKCMBAZVQHIH-SEYXRHQNSA-N 401.426 4.542 5 20 HJBD COc1ccc(CC(=O)N(Cc2c(Cl)cccc2Cl)C2CC2)cc1[N+](=O)[O-] 559912127 QREMQPSLXLUUSJ-UHFFFAOYSA-N 409.269 4.644 5 20 HJBD COCCN(CCOc1ccc(F)cc1)C(=O)c1cc2ccccc2c2cccnc12 561221155 QRSZUNFOLMCDIA-UHFFFAOYSA-N 418.468 4.695 5 20 HJBD O=c1c([N+](=O)[O-])cc(Cl)cn1C[C@@H]1CCCO[C@H]1c1ccc(C(F)(F)F)cc1 567435152 DDFVRQRGQCFNKM-LRDDRELGSA-N 416.783 4.597 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccnc(N3CCCC3)c2)ccc1Oc1ccc(F)cc1 572228757 JPUZGVPAZYXQMU-UHFFFAOYSA-N 422.460 4.811 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccc(Cl)s2)n1 573589184 ZJOAGHBLPGLCND-UHFFFAOYSA-N 421.931 4.677 5 20 HJBD Cc1cc(Cc2noc(-c3ccccc3OCc3ccncc3)n2)ccc1[N+](=O)[O-] 578281211 KLMGUPSLFLNMFU-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)ccc1C 603774517 YHFIUTSHLUBMIL-GJZGRUSLSA-N 424.501 4.596 5 20 HJBD Cc1cccc(C(=O)NCC2(c3ccc(Br)cc3)CCC2)c1[N+](=O)[O-] 604200913 NATMMBYSLHKFCO-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1nnc(-c2cccc(Cl)c2)s1 609024807 XPYGVCJFSCNQKX-UHFFFAOYSA-N 417.878 4.598 5 20 HJBD C[C@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(-c2ccncc2)cs1 609523542 TYZWYASPNQNYMA-ZDUSSCGKSA-N 410.499 4.902 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCn2c1nc1ccccc12 611204391 UHZSULVSLZRVIS-UHFFFAOYSA-N 408.483 4.640 5 20 HJBD CN1Cc2ccccc2[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 611207774 YUJBIXJPWHOCNY-FQEVSTJZSA-N 411.527 4.546 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)cc2)oc1C 611511335 ADYCLVIESLZREF-UHFFFAOYSA-N 403.341 4.720 5 20 HJBD CC(C)(C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1)c1ccc([N+](=O)[O-])cc1F 613017610 LDRFTIDEBNSDJS-UHFFFAOYSA-N 415.852 4.731 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)Cc1nc(O)c2cc3ccccc3cc2n1 614115320 RKZWSBGQLHDGGO-UHFFFAOYSA-N 407.857 4.640 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 727708432 SUYZSSNZGQRGJI-UHFFFAOYSA-N 415.327 4.517 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 727926286 CEHQVKKGOCJTRQ-CABCVRRESA-N 424.236 4.615 5 20 HJBD CCOC(=O)/C=C/c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 729035856 JGKREJHFLYIVDX-NTEUORMPSA-N 411.458 4.614 5 20 HJBD Cc1c(C(=O)N(Cc2c(F)cccc2F)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 729655646 BMGLNSPLIJPCGH-UHFFFAOYSA-N 419.384 4.675 5 20 HJBD Cc1ccc(OC(=O)[C@@H]2CCCN(C(=O)c3cccc4ccccc34)C2)c([N+](=O)[O-])c1 732630632 HXVVXSVACPLQGK-GOSISDBHSA-N 418.449 4.514 5 20 HJBD CSCC[C@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 736348106 LOAHNULIQIQCCY-SCLBCKFNSA-N 407.923 4.659 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1 739588995 HNYODKRRBOMGHL-UHFFFAOYSA-N 413.474 4.695 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CNC1CCN(c2nc3ccccc3s2)CC1 740003917 BSVYMLUPCTVZCQ-UHFFFAOYSA-N 402.907 4.617 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)Cc2ccccc2[N+](=O)[O-])cc1 744284215 QOUADUBDPDVKHZ-UHFFFAOYSA-N 424.840 4.673 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 745065808 QDQHEGMPQZCDRW-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1cccc(Cl)c1[N+](=O)[O-] 750155434 MFBFNOVUXAANQO-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@@H](C(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 754432431 ZAMYZUDRZYYNRE-OCCSQVGLSA-N 410.367 4.872 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756932592 HULGDPKKRXTSQZ-CYBMUJFWSA-N 423.922 4.547 5 20 HJBD CCc1noc(C)c1COC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 757991366 GJRPCEJLNIMZFF-UHFFFAOYSA-N 412.398 4.612 5 20 HJBD COc1cc(Br)c(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1OC 761518173 YNVPSTDOVTYLKW-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H](OC1CCOCC1)c1ccccc1 761528560 WZCRXOQIGVALFQ-QVKFZJNVSA-N 404.894 4.663 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(Cl)c1 763395462 WOIHRMZFYAJLIU-KBPBESRZSA-N 404.850 4.539 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 763401129 DIZZVSIUBCLSQI-OLZOCXBDSA-N 415.833 4.630 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763441726 ILDYPNHERMNMMK-UHFFFAOYSA-N 402.357 4.607 5 20 HJBD CN(C(=O)c1ccc(Cl)nc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495221 CZEFVGQVCMYVDI-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 776390261 SDTRDPYYGPYNKF-IBGZPJMESA-N 403.276 4.595 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2nncc3ccccc23)cc1 779443820 KWLJHSLKRQRWHW-VGOFMYFVSA-N 412.405 4.982 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CCC(F)(F)C2)cc1)c1cc([N+](=O)[O-])ccc1Cl 796523133 FOUVSETUIQWDLT-LLVKDONJSA-N 424.787 4.841 5 20 HJBD COc1cccc(-c2nnc(S/C=C\c3ccc([N+](=O)[O-])o3)n2Cc2ccco2)c1 825255268 JCWYQERSVFSGGE-LUAWRHEFSA-N 424.438 4.859 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccco1 918647210 ITIZVYYKDFQITM-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD CC(=O)N[C@@H](CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1ccc(C)cc1 920329779 QDRKIKZTYUWURF-NRFANRHFSA-N 418.449 4.743 5 20 HJBD CCc1ccc(OCC(=O)N2CCc3cc(-c4csc(C)n4)ccc32)c([N+](=O)[O-])c1 1322904740 HSIROOIKVTYWMZ-UHFFFAOYSA-N 423.494 4.557 5 20 HJBD Cc1cccc(COc2cccc(CC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2)c1 1323221058 FTQIATRVMJVHDW-UHFFFAOYSA-N 402.450 4.567 5 20 HJBD CNC(=O)Oc1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1324114670 HVJFIHIKZQILIO-UHFFFAOYSA-N 401.806 4.839 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 1327876774 ONYZFXDWTOHTSJ-YQQQUEKLSA-N 413.905 4.564 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)cs1 1339682432 AMIQMEDJIRCLDC-CYBMUJFWSA-N 408.483 4.813 5 20 HJBD COc1cc(CNc2cc(Br)ccc2[N+](=O)[O-])cc(Cl)c1OC 1354462621 VWASWBTZSBHTOA-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1 24059399 HPBMXEIIFOMVMX-OAHLLOKOSA-N 419.510 4.636 5 20 HJBD Cc1cccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1C 26580825 MZJSVDQWLOQMPB-INIZCTEOSA-N 417.534 4.823 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(C)c1 55765284 BKFIHHVFJJQHHZ-UHFFFAOYSA-N 419.437 4.698 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(SCc2ccccc2[N+](=O)[O-])s1 68852007 XXHCGMXPJHNNCH-UHFFFAOYSA-N 420.497 4.939 5 20 HJBD CCCCCCNC(=O)[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 109671513 CPKAGZXWQJERHG-FQEVSTJZSA-N 417.553 4.937 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3cc4ccccc4[nH]3)cc2[N+](=O)[O-])n1 116159325 QCZRRVBZRFVIQK-UHFFFAOYSA-N 424.507 4.922 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1nc2cc(Cl)ccc2o1 255932690 JZERLIZYZCQXDH-VIFPVBQESA-N 407.835 4.517 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCCOc2ccc(Cl)cc2Cl)c1 303040598 ZVNHEGLVCWIHCR-UHFFFAOYSA-N 415.298 4.822 5 20 HJBD O=C(O)CC1(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CCC1 426656823 KLCPZFGOHFIEBW-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD Cc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1Oc1ccncc1 427595100 STZORMUBUZHKFA-UHFFFAOYSA-N 403.438 4.895 5 20 HJBD CSc1nnc(CCCNc2ccnc3c([N+](=O)[O-])cccc23)n1C1CCCC1 432312294 NVUKEMJHIAPYBJ-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(Cc1ccc(Cl)c(Cl)c1)C(C)C 437268954 QEJQZGSLVOXTDK-UHFFFAOYSA-N 424.284 4.586 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437992822 CFGGIBDXNVWPET-CQSZACIVSA-N 421.419 4.919 5 20 HJBD Cc1cccc([C@H]2CCN(C(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)C2)c1 444298772 YXNPKWHLJJEPDX-INIZCTEOSA-N 418.443 4.898 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1Cc2ccccc2C2(CC2)C1 444327608 LACGSBXJMMGCKY-UHFFFAOYSA-N 416.427 4.647 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 444851258 RLISGAKOXADWHJ-JTQLQIEISA-N 413.293 4.697 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CCn3ccc4ccccc43)no2)c(Br)c1 460148559 DKGMILYYVBUURU-UHFFFAOYSA-N 413.231 4.605 5 20 HJBD CO[C@](C)(CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CC1 462385010 SXRCHHCUODDPJD-HXUWFJFHSA-N 404.850 4.585 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2cc(C)ccc2C)CC1 462484160 JEYLHRHLAGSILT-HXUWFJFHSA-N 410.514 4.850 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)CC1 462630680 IQXSQWJHNJAXFV-LJQANCHMSA-N 408.498 4.878 5 20 HJBD O=[N+]([O-])c1cccc(F)c1OCc1ccnc(-c2ccc(Br)cc2)n1 463789935 RECNKOIIKZWTPK-UHFFFAOYSA-N 404.195 4.532 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 464334735 KSRKYQNTQOLFRB-UHFFFAOYSA-N 414.830 4.689 5 20 HJBD CCc1ccc(C(=O)Nc2cnn(-c3ccc(F)cc3)c2C(F)(F)F)cc1[N+](=O)[O-] 464725901 GHHVVNGPXOEKJH-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 467070408 ONRQFAKWIMHXKI-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccnc(Oc2cccc(C)c2C)c1 470973738 FXVSBJKMARNNKU-UHFFFAOYSA-N 421.453 4.728 5 20 HJBD CC1CCN(c2ccc(C(=O)N(Cc3ccccn3)C(C)(C)C)cc2[N+](=O)[O-])CC1 472850331 MJCGVVXYEOQZBD-UHFFFAOYSA-N 410.518 4.667 5 20 HJBD O=C(CN1CCCC[C@H]1c1nc2ccccc2o1)Nc1ccc(Cl)cc1[N+](=O)[O-] 474209394 JDEMEYKVYRVDGP-INIZCTEOSA-N 414.849 4.555 5 20 HJBD Cc1c(CC(=O)NCc2nc(-c3cc4ccccc4o3)cs2)cccc1[N+](=O)[O-] 475712515 FTFZJJKDGCFZGH-UHFFFAOYSA-N 407.451 4.632 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(COc2ccccc2C(F)(F)F)CC1 478783386 WDJGKCVPMYJQFP-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(CCN3CCCC[C@H]3C)CC2)cc1[N+](=O)[O-] 479539107 CONQXXVZQUSTLW-MOPGFXCFSA-N 416.566 4.650 5 20 HJBD Cc1cccc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)c1[N+](=O)[O-] 479800276 DNEWZEXCZBDSRJ-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 480993460 QZJIKOUSRJOJQQ-LXGCGDOSSA-N 414.531 4.567 5 20 HJBD COC(=O)c1cc(OCC(=O)Nc2c(C(C)C)cccc2C(C)C)ccc1[N+](=O)[O-] 481944526 QCYYFJAIVGPDPA-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 484090075 YPMNGULPOMKNRU-GASCZTMLSA-N 424.501 4.596 5 20 HJBD COc1ccc(OC(F)F)c(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 487163293 WHQBXFGIILGXPF-UHFFFAOYSA-N 406.307 4.513 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@@H](c3ccccc3)C2)cc1SC 489638192 KAJUPKQNIKKDST-CVEARBPZSA-N 400.500 4.782 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H](c2ccc(F)cc2)CC1 490707790 GWTQPJIAZFLVDH-ZDUSSCGKSA-N 408.376 4.745 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 499253075 QYCOPWIVNRMWCF-OAQYLSRUSA-N 410.517 4.697 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 507675744 BPOBTLCTOCPKEW-LLVKDONJSA-N 415.343 4.504 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccn(-c3ccccc3)n2)cc1[N+](=O)[O-] 507914302 OPVWZDZGCMYMRZ-UHFFFAOYSA-N 413.481 4.838 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3nc4ccccc4s3)cc2[N+](=O)[O-])C1 512504266 ORQQBAUEJURAID-HUUCEWRRSA-N 424.526 4.617 5 20 HJBD CC(C)(NC(=O)c1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 514182392 GUNOQPRDICDXPP-UHFFFAOYSA-N 419.437 4.534 5 20 HJBD C[C@H](c1ccc(F)cc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514431176 HVXVJVJKXSFKLX-LLVKDONJSA-N 400.328 4.508 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3cccc(Br)c3)no2)cc1 517554802 GHFWBEUECRAXJV-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(C1CC1)[C@H]1CCc2ccccc21 522640019 PEGCNWSKGBZMKE-SFHVURJKSA-N 400.862 4.696 5 20 HJBD CC(C)(C)[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 524041824 WDNPYYVJMAYLHT-GOSISDBHSA-N 410.392 4.896 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 524164565 HJYKOTWXLQLWLS-UHFFFAOYSA-N 407.474 4.739 5 20 HJBD Cc1ccc(C)c(OC2CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 534847201 FQBAEUVQSCLLMQ-UHFFFAOYSA-N 407.470 4.910 5 20 HJBD C[C@H](NCc1cccnc1-n1cccn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 536180532 YTMOQEHJNYRYCX-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CCCC(=O)Nc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 538198095 GKLPHFJOHKTABJ-UHFFFAOYSA-N 415.515 4.764 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CN(C(C)C)CC2 541274791 XVFXVPICZSPZRQ-UHFFFAOYSA-N 420.560 4.571 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCO[C@H]1c1ccccc1 541307312 LKWLBPXIICPHQL-QRWLVFNGSA-N 420.918 4.624 5 20 HJBD C[C@@H](C(=O)N1CCSC[C@@H]1c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 541623379 OIGOGVXUZZQGEI-KZULUSFZSA-N 408.882 4.808 5 20 HJBD COc1ccc(CNC[C@H](C)c2nccs2)cc1OCc1ccc([N+](=O)[O-])cc1 543634635 BEIYAFUMVXXHJP-HNNXBMFYSA-N 413.499 4.532 5 20 HJBD CC(C)(C(=O)NCc1ccc(Oc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1F 545124214 WJEACDUJCPKDAF-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3ccc(Br)cc3)no2)cc1 545551966 RVEUKHPDZMSZDI-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD Cc1nc2ccc(-c3noc(-c4ccc([N+](=O)[O-])cc4Br)n3)cc2s1 545736996 FOIBEILVYUFVSH-UHFFFAOYSA-N 417.244 4.992 5 20 HJBD COc1ccc(C(=O)CC(C)(C)Cc2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc1 546280702 WONXUNFZWXBTHI-UHFFFAOYSA-N 409.442 4.804 5 20 HJBD CCOCc1ccccc1-c1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1 546342735 FUPYIKAVGWCPOK-UHFFFAOYSA-N 404.220 4.611 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(SCc2ccccc2Cl)cn1 546922640 IUTZHIHIOPRBLU-UHFFFAOYSA-N 414.874 4.891 5 20 HJBD CCOC(=O)c1cc(-c2nc(-c3cccc(-c4cscn4)c3)no2)cc([N+](=O)[O-])c1 547266282 LVVPTHSVWFPHDX-UHFFFAOYSA-N 422.422 4.612 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cc1[N+](=O)[O-] 557437885 BTUVTJCMUHKLJT-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@@H]1c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 557967323 CAHXDYBWZWKQSW-HUUCEWRRSA-N 403.276 4.512 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 574945232 XQSACJTYKWCICW-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)cn2)cc1 603777087 KCCFNNSQLHJUKM-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603990329 NLKNDDVJEQLGGF-UHFFFAOYSA-N 413.421 4.538 5 20 HJBD COc1c(F)cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cc1F 604016962 VAFXDHGZIWHSHV-STQMWFEESA-N 419.428 4.616 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 604077624 RNGNWGRBDFVGCC-SFHVURJKSA-N 401.875 4.899 5 20 HJBD O=C(Nc1ccc(Sc2nncs2)c(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 609444297 PFWYNVQNAQAQQF-UHFFFAOYSA-N 410.839 4.642 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609761263 FVJUZIFDPUGWJG-UHFFFAOYSA-N 411.433 4.820 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1ccc(F)cc1)c1cccs1 609783528 NGALJOPVMKOEJO-LJQANCHMSA-N 404.850 4.897 5 20 HJBD COc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1Br 610037866 NNVZXYCJSDNZLE-GFCCVEGCSA-N 421.291 4.521 5 20 HJBD COc1ccc(C(=O)N(C)C)cc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 610910271 PTJJYBPOYJCCIO-UHFFFAOYSA-N 411.483 4.646 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610957374 HXQUBXMCPOUXAA-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611205722 LDSSFJMSHDAUIX-UHFFFAOYSA-N 413.543 4.986 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1c(Cl)cccc1Cl 726028143 HNMYSCALTIAGLD-JTQLQIEISA-N 422.224 4.799 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 727711646 OGEXDGWCSCFSPE-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccccc1CCC(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 728800749 KSJYUPWKZWWODI-UHFFFAOYSA-N 404.422 4.694 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 728899950 ZBUIFCOVVUXCKN-REWPJTCUSA-N 406.486 4.516 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(C3OCCO3)cc2)cc1[N+](=O)[O-] 733900824 LFCTWVUKJIRBNV-UHFFFAOYSA-N 419.481 4.698 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2s1 734159978 SZLHAAYDAQVWMV-MNOVXSKESA-N 404.879 4.536 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1Br 735443392 QEYNSULXRZKMOY-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)c2c3ccccc3cc3ccccc23)c1 746524129 KUFKGLWMPCXGRY-UHFFFAOYSA-N 422.481 4.635 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1ccc(Cl)c([N+](=O)[O-])c1 748198069 IBKSQNJAKALYOI-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 750957565 DLDNWURDBOGIOU-UHFFFAOYSA-N 406.442 4.856 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1cccc(F)c1 753261465 IDVYVRFLDUKUFT-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD CC(C)C1(CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 753315576 CPEZVVXKFIWPAJ-UHFFFAOYSA-N 415.877 4.667 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(COc3ccccc3)cs2)c1 755432346 WATJCYOBZDPFEC-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD O=C(NC[C@@H](O)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763599772 IEBIRGZSVBANNU-GOSISDBHSA-N 420.918 4.746 5 20 HJBD O=C(NOCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 766765711 VCXANSSKDOEZFY-UHFFFAOYSA-N 406.769 4.623 5 20 HJBD O=[N+]([O-])c1ccc2nc(/C=C/c3cc4ccccc4nc3N3CCOCC3)ccc2c1 769746869 ONZZSAZOYLFJSS-SOFGYWHQSA-N 412.449 4.698 5 20 HJBD COc1cc(/C=C(\C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)ccc1O 772119916 HOARCHIRCYRKAS-ZHZULCJRSA-N 418.449 4.508 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 772927222 DOMPCHJUOIHAEN-KBXCAEBGSA-N 407.829 4.507 5 20 HJBD Cc1cc2ccccc2n1CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136694 XARFFIABFICMEW-HSZRJFAPSA-N 401.422 4.586 5 20 HJBD O=C(OCc1csc(-c2ccccn2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259664 IEGWSNFZQMSRSN-UHFFFAOYSA-N 413.458 4.557 5 20 HJBD CC1(C)CC(CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 781841604 NIYJADHAICISOD-UHFFFAOYSA-N 415.877 4.667 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)c1cccc([N+](=O)[O-])c1Br 782168786 DILILIXKHBETSR-UHFFFAOYSA-N 413.231 4.748 5 20 HJBD CC[C@H](Sc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 785929386 PIRRZGMNZSPZSP-GUYCJALGSA-N 413.455 4.820 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 785955945 XOZNACGMFKCBBQ-CQSZACIVSA-N 418.877 4.793 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(Br)ccc1F 788795303 LIRSZJJXAPULJL-QMMMGPOBSA-N 422.176 4.761 5 20 HJBD CSc1ccc([C@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 799038566 RLVZNDUGCNTIHG-VIFPVBQESA-N 413.268 4.709 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1 801644462 ATBQZFFFGZIHCQ-UHFFFAOYSA-N 418.444 4.570 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 804440946 VPLUATVZIWFVKL-SFHVURJKSA-N 413.232 4.821 5 20 HJBD COc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1OCc1cscn1 813242261 OEQITBOQRAOORP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815087057 YIGCUQMZNPIUJN-UHFFFAOYSA-N 400.382 4.765 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(N)c([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 815560120 YMMMMTPUURHENJ-HZPDHXFCSA-N 404.470 4.841 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3ccc(F)cc3)n2C[C@@H]2CCCO2)o1 913023945 OGPAXTVMQPOMRR-SOGBHIHOSA-N 416.434 4.527 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccccc2C(F)(F)F)C2CC2)cc1[N+](=O)[O-] 914438841 CBKBRPFTVBILPQ-UHFFFAOYSA-N 423.347 4.635 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nc2ccccc2n1C(F)F 917947035 SGUSADGGBXFDOX-SNVBAGLBSA-N 400.341 4.739 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1 918170398 OFVFERHULCLMMD-BJMVGYQFSA-N 411.801 4.745 5 20 HJBD COc1cc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c(Br)cc1O 921266428 YCJRBDVZCOTGLL-NYYWCZLTSA-N 424.638 4.685 5 20 HJBD COc1ccc(CSCc2nnc(-c3cccc(OC(F)F)c3)o2)cc1[N+](=O)[O-] 1257950937 HNQYQHRDINWRLG-UHFFFAOYSA-N 423.397 4.688 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccccc2C(F)(F)F)CC1 1319247237 KRVGPTSWEFNFHL-UHFFFAOYSA-N 407.392 4.675 5 20 HJBD Cc1nc(NC(=O)Cc2csc(-c3c(Cl)cccc3Cl)n2)ccc1[N+](=O)[O-] 1323934361 WEKGSXKEXZMGQK-UHFFFAOYSA-N 423.281 4.910 5 20 HJBD Cn1ccnc1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 1347328922 YPNHQYWGTNWYPX-UHFFFAOYSA-N 409.489 4.639 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C 11102803 RZHOPBAUYIOEAX-DJJJIMSYSA-N 405.882 4.571 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(Cl)c(Cl)c1 22495575 KISSMPQOIHXOHE-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OC(F)F)c(Cl)c1 28935882 SHOKGNAOBMTXER-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 43342441 DGQVBMRWUMPYBD-INIZCTEOSA-N 405.838 4.634 5 20 HJBD O=C(NCc1cc2ccccc2[nH]1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 58902724 YOAWLSFICNRNAG-UHFFFAOYSA-N 400.438 4.618 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)c1 60859831 WXRFKLXHYTWNFH-OAHLLOKOSA-N 419.485 4.957 5 20 HJBD Cn1cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 64678443 MIZKUYPQPNZMOW-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD CC(C)(NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cn(-c2ccccc2)nn1 236702547 RBIBSLNIQMNQAL-UHFFFAOYSA-N 419.510 4.929 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccccc3-n3ccnc3)o2)c(Cl)c1 237818696 YRHMEVAWLMMZIZ-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 301781672 NHGRUHQTTLFTQQ-NSHDSACASA-N 401.410 4.601 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(OCc3noc(C4CC4)n3)cc2)c2ncccc12 301948569 FCWWKGKGDROXBP-UHFFFAOYSA-N 403.398 4.726 5 20 HJBD COc1ccc(CSCC(=O)N(C)CCc2cccc3ccccc23)cc1[N+](=O)[O-] 303156134 UHAGWPCRTKWTCF-UHFFFAOYSA-N 424.522 4.691 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCSC[C@@H]3c3ccc(Cl)cc3)o2)cc1 303712525 ZIHLUSXWDPJINI-QGZVFWFLSA-N 416.890 4.588 5 20 HJBD Cc1ccc([C@@H](CC(=O)O)NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)o1 426806561 CGUWDNDGUACFRS-QGZVFWFLSA-N 414.389 4.733 5 20 HJBD C[C@@H](NC(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 437640689 YMICEYRZQIHDCO-SRCQZFHVSA-N 407.392 4.920 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(C)c1 438835771 NSGVDOZZBGHXMQ-CQSZACIVSA-N 401.488 4.679 5 20 HJBD O=C(Nc1c(F)cccc1N1CCCC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439249300 SARMUCPXDSHBDP-UHFFFAOYSA-N 406.417 4.648 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441066154 OGCJNJZXLADIDO-HBGVWJBISA-N 424.522 4.824 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2c(Cl)ccc(F)c21 443876877 ANUKXMNTYHIGGU-UHFFFAOYSA-N 402.731 4.999 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1 444727316 CRWLIBRSAFIAOV-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCN(c2ccccc2)C1 447732196 OXAOYECUWKLVLI-QGZVFWFLSA-N 413.543 4.742 5 20 HJBD COC(C)(C)C[C@@H](C)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460284037 QCWMYZNTBRWHGT-CQSZACIVSA-N 419.909 4.794 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H](c2ccccc2)[C@H](C)C1 460648826 RWIAMBAQKCLPQY-RVKKMQEKSA-N 402.878 4.668 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(COc2ccccc2)CC1 463817161 GVHGKXQKRPWSSR-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD CSc1cccc([C@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2cc(F)ccc2F)c1 464137390 NUOAFRAWTAFRST-SFHVURJKSA-N 404.394 4.707 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)C2c3ccccc3-c3ccccc32)cc1OC 477531600 YMDLWNUTLKLNEB-UHFFFAOYSA-N 418.449 4.844 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1 480549453 CRNZKNRGVAJJBY-UHFFFAOYSA-N 401.488 4.944 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2cc(Br)ccc2C1 480791961 AXILFARWZAIPCT-OAHLLOKOSA-N 417.303 4.511 5 20 HJBD C[C@H](NC[C@H]1CCN(c2cccc(Br)c2)C1)c1ccc([N+](=O)[O-])cc1 481570460 WVBMNNRPKGGVIC-LSDHHAIUSA-N 404.308 4.534 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2s1)c1cc2c(c([N+](=O)[O-])c1)OCO2 484861175 WDVIMUHDCYMLNG-UHFFFAOYSA-N 419.418 4.853 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc3ccccc3c3cccnc23)c(C)c([N+](=O)[O-])c1 489790509 SGFOSQOPGJFTDR-UHFFFAOYSA-N 415.405 4.644 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(-c3ccncc3)cc2)c([N+](=O)[O-])cc1OC 491172139 BOQVVTBRNVVRPL-HNNXBMFYSA-N 421.453 4.555 5 20 HJBD O=C(Nc1cc(F)c(OC(F)F)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 491322649 LYNWZIGRZOMARQ-UHFFFAOYSA-N 413.327 4.671 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(OC(F)(F)F)c1 495019481 SAFJFLRKMQFKHK-CYBMUJFWSA-N 423.391 4.929 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496466292 DVWNBLSVAPJFDS-SFHVURJKSA-N 403.410 4.581 5 20 HJBD O=C(Nc1ccc(-n2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])c2cccnc12 497922828 YREHENIIFFWSRG-UHFFFAOYSA-N 409.405 4.734 5 20 HJBD CC(C)N(Cc1ccc(-c2ccccc2F)s1)C(=O)c1ccc([N+](=O)[O-])n1C 500995309 MXBLJFVUOSQKMG-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD Cc1c(C(=O)N(C)[C@@H]2CCSc3ccc(Br)cc32)cccc1[N+](=O)[O-] 504551499 DDONXWVQINXDRN-MRXNPFEDSA-N 421.316 4.975 5 20 HJBD CCOCCC1(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 505498643 MKAVVPFOTTXYJF-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])c(O)c2)n1 508647357 XJKMTKHIQUFOGA-OAHLLOKOSA-N 403.822 4.562 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)ccc1[N+](=O)[O-] 509024052 PDWJSCHYHQPDCE-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C(C)(C)c1csc(-c2cccs2)n1 512941825 UPEYDLVSZQINSW-UHFFFAOYSA-N 415.540 4.759 5 20 HJBD Cc1[nH]nc(C(=O)NCc2ccc(Oc3cccc4ccccc34)cc2)c1[N+](=O)[O-] 514124131 ZCDYNYDMFRNTSZ-UHFFFAOYSA-N 402.410 4.502 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3c(Cl)cccc3[N+](=O)[O-])cn2)cc1 516782958 HOWQWKRMAAVCJB-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD COc1ccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1OC(F)F 517549735 IMZAXIIEIWUARG-UHFFFAOYSA-N 418.396 4.797 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3cc(F)ccc3Br)c1)OCOC2 517934286 QSGZZCWNHPXGJX-UHFFFAOYSA-N 414.252 4.796 5 20 HJBD CC(C)c1ccc(CNCc2ccc(CN3C[C@H](C)O[C@H](C)C3)cc2)cc1[N+](=O)[O-] 520207890 PNIUCCPCBVEWRT-KDURUIRLSA-N 411.546 4.617 5 20 HJBD C[C@@H](NC(=O)N[C@@H](COc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 521697304 SFYSFRGYYMSUSY-VGSWGCGISA-N 405.454 4.775 5 20 HJBD C[C@@H](O)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 533015118 KQAILEGWOGCOIK-ZIAGYGMSSA-N 420.918 4.632 5 20 HJBD CN(C(=O)CSc1nnc(-c2ccc3ccccc3c2)o1)c1cccc([N+](=O)[O-])c1 533604130 QGRYIYJIYVBKPW-UHFFFAOYSA-N 420.450 4.553 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCc2ccccc2[C@@H]1Cc1ccccc1 536436640 UFJLHYYGCWQTRT-DEOSSOPVSA-N 400.478 4.896 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H]2CCO[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 536944354 RBBWPGTUFPTUKC-OEPMTFCVSA-N 421.856 4.586 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)c1ccccc1OCc1ccccc1 538233845 SRSRRCBAOQLNMA-GOSISDBHSA-N 419.481 4.772 5 20 HJBD Cc1ccc([C@@H](C)NCc2cc(Cl)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 540203587 MSVLRSPJIOSJIL-BEFAXECRSA-N 404.894 4.965 5 20 HJBD Cc1cc(N2CCC(C(=O)c3cc(F)ccc3F)CC2)c2cccc([N+](=O)[O-])c2n1 540646236 BWFTXJJBLPTAOP-UHFFFAOYSA-N 411.408 4.829 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 542496908 MUJXUMCVMYFSEG-BEFAXECRSA-N 418.465 4.541 5 20 HJBD CCN(CC)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 543590882 XUCLMHYNBAQLPI-GOSISDBHSA-N 419.591 4.681 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)n1 544844571 SKRNVZNLFABMMN-INIZCTEOSA-N 424.284 4.656 5 20 HJBD COc1cc(Cc2noc(-c3ccc(-c4ccsc4)nc3C)n2)ccc1[N+](=O)[O-] 545779993 GNVZQNPYMREVJC-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD COc1cc(CN(C)Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1SC 549798683 SCBAPRCJDOIQHE-UHFFFAOYSA-N 400.422 4.976 5 20 HJBD CC(C)N1C[C@@H](c2noc(-c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)n2)CC1=O 551184796 OBFFVAASSOVVOE-HNNXBMFYSA-N 424.482 4.520 5 20 HJBD C[C@@H](C(=O)Nc1nc2c(Br)cccc2s1)c1cccc([N+](=O)[O-])c1 553407800 ZKZHYCLRXYFKHY-SECBINFHSA-N 406.261 4.709 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 554866014 BJUDLRANQIBKNQ-UHFFFAOYSA-N 421.501 4.965 5 20 HJBD COc1ccc(-c2nc(-c3cc(Cl)c(OC(C)C)c(OC)c3)no2)cc1[N+](=O)[O-] 555077830 AYSLXZQXIYBYIT-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 564281539 INKAMMMNXUDCRR-DYVFJYSZSA-N 412.471 4.712 5 20 HJBD CC(C)[C@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 569701594 FNXBBNBGEVBXTC-IBGZPJMESA-N 403.866 4.533 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccnc(C(F)(F)F)c3)no2)cc(C(F)(F)F)c1 582386549 GQMNCCKVHOVPGP-UHFFFAOYSA-N 404.226 4.744 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1[N+](=O)[O-] 582747220 IWUCIFULIZVOKL-FPOVZHCZSA-N 404.532 4.517 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](C)c2ccccc2Cl)cc1[N+](=O)[O-] 603568646 AKNHBHBEJSRGGT-ZDUSSCGKSA-N 408.907 4.710 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 604519268 YAUCQAJMOUQVIT-MDKPJZGXSA-N 414.527 4.702 5 20 HJBD Cc1ccccc1NC(=O)[C@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cc1 608799888 OOQUHLVVAXYWFX-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H]2CCCN(Cc3ccccc3)C2)o1 608837151 TXERTHALSZUUJU-FQEVSTJZSA-N 405.498 4.857 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@@H](c3cccc(F)c3)C2)c([N+](=O)[O-])c1 608974292 SOHIXJDSXWSNOI-JOCHJYFZSA-N 406.413 4.543 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 609507306 BVISXQPNTKCVIK-UHFFFAOYSA-N 414.792 4.915 5 20 HJBD O=C(CC(F)(F)F)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791526 HRGHSFDNYHZNLD-UHFFFAOYSA-N 406.719 4.748 5 20 HJBD CO[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CC1 611988179 JHQTZMSCGFRVOQ-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD CCc1ccc([C@@H](Nc2cnn(Cc3ccccn3)c2)c2ccc([N+](=O)[O-])cc2)o1 612415942 ZPBUURMMUWSBBA-QFIPXVFZSA-N 403.442 4.592 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 613019211 FLJILLJZQXKHFR-LAUBAEHRSA-N 414.477 4.638 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Br)cs2)o1 725986393 VPMZCIODRUMABJ-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD O=C(OCc1coc(-c2ccc(F)c(F)c2)n1)c1cc2cc([N+](=O)[O-])ccc2o1 728623082 UUFNRULOSIVODA-UHFFFAOYSA-N 400.293 4.631 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)OCc1c(F)cccc1[N+](=O)[O-] 728992484 VFHQLPKTSOGRPJ-UHFFFAOYSA-N 408.744 4.847 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)OCc1c(F)cccc1[N+](=O)[O-] 728993123 GGCOXMAIEUJRSV-UHFFFAOYSA-N 421.222 4.572 5 20 HJBD O=C(O[C@H](c1cccc(F)c1)c1ccccn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 729196001 UBKHCCIFJAOSGV-JOCHJYFZSA-N 421.428 4.676 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1csc2ccccc12 729986627 OFMRMDNPLFMKMF-CYBMUJFWSA-N 406.467 4.947 5 20 HJBD C[C@H](Oc1ccc(F)cc1Cl)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442958 MQXGHEGGLFOKTM-NSHDSACASA-N 420.780 4.553 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 736525792 DUEASLVWXOIYJL-AEFFLSMTSA-N 411.477 4.742 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ncc(-c2ccccc2)o1 741307829 VFULAPZQRRXSSX-MRXNPFEDSA-N 421.453 4.771 5 20 HJBD C[C@H]1CCC[C@@H](OCc2cccc(NS(=O)(=O)c3cccc(F)c3[N+](=O)[O-])c2)C1 745099256 LZFVYNNKCOZQEC-WMLDXEAASA-N 422.478 4.630 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccccc1-c1cc(F)c(F)c(F)c1 747313010 FFLRFMXPFXZLPR-UHFFFAOYSA-N 420.318 4.836 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 747321141 XGOYISNBHVMKQN-AAEUAGOBSA-N 405.838 4.729 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cccc(Cl)c1[N+](=O)[O-] 749934773 RSGVZDJCBUBDBE-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cccc(Cl)c1[N+](=O)[O-] 750178096 PZQZFTSDFWCGOA-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD O=C(COC(=O)c1cccc(Cl)c1[N+](=O)[O-])Nc1ccccc1-c1ccccc1 750536711 VIQGAQNAWHHLPT-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)c(F)cc1[N+](=O)[O-] 750604243 YLJPISRNAHBLLV-QGZVFWFLSA-N 421.403 4.916 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 758876575 VUYHFWUBHDNFAT-CQSZACIVSA-N 412.446 4.751 5 20 HJBD COc1cccc(NC(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c1 764474890 KLNHHWTUESEMJW-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1C(F)(F)F 775458208 KMVFFQUZDFJCEI-WDEREUQCSA-N 415.799 4.945 5 20 HJBD COCc1c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])oc2ccccc12 776133494 LSNADLSYSBQCKM-NRFANRHFSA-N 418.405 4.829 5 20 HJBD COc1cc([C@H](C)NC[C@H](O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 776605519 LSYHMRYHFBNTSX-JTSKRJEESA-N 422.481 4.567 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)c1[N+](=O)[O-] 777080667 ULLDZMGLSNJXTC-GFCCVEGCSA-N 422.890 4.771 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 783725948 NALXZRFMSHNIQW-SECBINFHSA-N 408.197 4.870 5 20 HJBD COCc1nc(COC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cs1 784222482 SBZHOHOCYBGPTI-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc(OCc2noc(C3CC3)n2)cc1 786816236 CUGOFQIBUMYJRW-RVDMUPIBSA-N 406.442 4.950 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787673464 YLEWJNBYFJSBRX-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD C[C@@H](NC(=O)CCc1ccccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 790542169 KVSUEXQDEXODOZ-OAHLLOKOSA-N 413.474 4.752 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)c2o1 790969870 UKBZHHQIRFVYIV-UHFFFAOYSA-N 408.183 4.507 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(N2CCCCC2=O)cc1 811967482 YVCXVZUPEXLKFW-IEBWSBKVSA-N 423.513 4.558 5 20 HJBD O=C(Cc1ccccc1)N1CCc2ccc(NCc3ccc(Cl)cc3[N+](=O)[O-])cc21 864029335 KNLSSOSSPYKGBH-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 915283847 WGVPOYUHSBROLZ-UHFFFAOYSA-N 423.372 4.796 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 918669309 DWJDPEINWZKWKE-UHFFFAOYSA-N 415.405 4.566 5 20 HJBD COc1ccc(-c2cc(NC(=O)CCc3cccc([N+](=O)[O-])c3)ccc2OC)cc1 1322457306 FXWGEGYIZCWXJY-UHFFFAOYSA-N 406.438 4.850 5 20 HJBD Cc1cc(-c2nnc(-c3ccc(Br)cc3Cl)o2)c(N)c([N+](=O)[O-])c1 1324148886 RWIIJWYOLKQTIS-UHFFFAOYSA-N 409.627 4.618 5 20 HJBD CCCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1cccc(C)c1 1326530834 RZNUSWNFRJFXFZ-UHFFFAOYSA-N 405.458 4.589 5 20 HJBD CC(C)c1ccc(-c2nc(CNc3cc([N+](=O)[O-])ccc3NCCO)cs2)cc1 22250759 RGNAGVCKYZFCTN-UHFFFAOYSA-N 412.515 4.858 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1ccc(Br)c([N+](=O)[O-])c1 25322315 PEEXLMQLKQLMAI-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COCc1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 53459295 CNNVLKYFTKHLKQ-HNNXBMFYSA-N 406.442 4.562 5 20 HJBD C[C@@H]1CCc2nc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)sc2C1 64897023 OMPVMNHKOYNHCO-SNVBAGLBSA-N 400.485 4.552 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3ccc(Cl)cc3)nc2C)c([N+](=O)[O-])c1 216043792 GLALWBKSWVQLJL-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC1CCN(C[C@@H]2CCCN(C(=O)c3cc4ccccc4c4cccnc34)C2)CC1 303019282 LHXFAEGBBWIJBW-FQEVSTJZSA-N 401.554 4.972 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2cccc(F)c2)c(F)c1 303133370 BPZGBPOGYODIFO-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C 303160807 VCIPBMALXSJGGU-QGZVFWFLSA-N 419.275 4.652 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3c(F)cccc3F)C3CCCC3)n2)c1 303258254 OUJGMCCCYFLCIH-UHFFFAOYSA-N 414.412 4.868 5 20 HJBD C[C@H](NC(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 409518665 LTMAMNVNTWFDIG-SUMWQHHRSA-N 419.865 4.689 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1ccc(Br)cc1[N+](=O)[O-] 429863333 BPXIDFYWPWQBOX-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 432766858 FIISHABLLMLXPQ-UHFFFAOYSA-N 412.490 4.570 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2cc(F)cc([N+](=O)[O-])c2)cs1 433649037 LIWZCNPXXJNAGO-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccc(F)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 435541721 JPOQPDZSEOAQFE-IBGZPJMESA-N 414.820 4.915 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437020316 KIUOZFMXDOIMFH-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCCN(C(=O)c1ccc(NC(=O)c2cccs2)cc1)c1cccc([N+](=O)[O-])c1 441458655 TXIQBXXSZXSVMY-UHFFFAOYSA-N 409.467 4.965 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)s1 444104224 VEQJDGRWRHBWFQ-JTQLQIEISA-N 412.309 4.714 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN3CCCC[C@@H]3c3ncc(-c4cccc(F)c4)[nH]3)[nH]c2c1 444681940 XUUNRXLCBOJIMV-HXUWFJFHSA-N 420.448 4.728 5 20 HJBD CCSCCCc1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 445749659 PZFRODHAXRKPLN-CQSZACIVSA-N 413.503 4.899 5 20 HJBD O=C(Nc1ccccc1-n1cccn1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447360152 SXFQPRSAKQSNJO-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(F)cc1F)C1CCCC1 465761833 VBCBJAXEIJXWKB-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@H](C)CCc2ccccc2[N+](=O)[O-])CC1)c1ccccc1 468373291 PMASCPQOHHXSCU-AUSIDOKSSA-N 423.557 4.690 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@@H](c2c(F)cccc2F)C1 469159502 ZXGSQALPLXCAIU-CYBMUJFWSA-N 415.421 4.626 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 469992585 RTGLUCCDGBPRRN-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)cc1C(F)(F)F 472517739 NENCZYGSDRETBZ-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD COCc1nc2cc(NC(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)ccc2o1 475211803 CCJLEOYKPWKSAQ-UHFFFAOYSA-N 410.308 4.545 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 476885413 GQXDEKLXNDDMEC-FQEVSTJZSA-N 420.469 4.508 5 20 HJBD Cc1cc(NC(=O)CCOc2ccc3ccccc3c2)n(-c2ccc([N+](=O)[O-])cc2)n1 477651510 JAVUTRQRSLUOAA-UHFFFAOYSA-N 416.437 4.650 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)cc1 481304245 CDLKKMMVVPKOCQ-UHFFFAOYSA-N 413.499 4.722 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1Br 481638331 HSVMKDOYTSFKTH-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cn2cc(Cl)ccc2n1 482043786 YCJYLEOMTDUUOM-UHFFFAOYSA-N 418.906 4.574 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)cc2s1 484695806 DMMUMOOREAJWGO-UHFFFAOYSA-N 413.846 4.604 5 20 HJBD CC[C@](C)(NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nccs1 485522422 YGKCKPVGKDVJJG-NRFANRHFSA-N 411.483 4.686 5 20 HJBD CCOCc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 485736528 IZXZTMONYPCYRV-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(Cl)c1Br 486825637 NPYKTHJGWDIUBW-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)Nc1nncs1 487653979 HBVUHDQINZKACH-LCYFTJDESA-N 423.479 4.625 5 20 HJBD O=C(NCc1coc2ccccc12)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 488973863 LXAHPCUYOQRSBJ-UHFFFAOYSA-N 404.372 4.636 5 20 HJBD CC(C)(C(=O)Nc1cc(Cl)cc(Br)c1O)c1ccccc1[N+](=O)[O-] 490843564 NDZGFXDZHOCKAM-UHFFFAOYSA-N 413.655 4.633 5 20 HJBD COc1cc(CN2CCCCC[C@@H]2c2ccncc2)c([N+](=O)[O-])cc1OCC(F)F 491838947 WDMMOWMMKIDTAU-QGZVFWFLSA-N 421.444 4.760 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)oc12 495370274 FBCRLUJYYNCCLE-AWEZNQCLSA-N 407.426 4.572 5 20 HJBD Cc1cccc(-c2cccc(CNC(=O)c3cc([N+](=O)[O-])ccc3SCCO)c2)c1 497874481 IWEIEYFRCWOYHF-UHFFFAOYSA-N 422.506 4.585 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1ccc(-c2nc3ccccc3[nH]2)cc1 500888386 MNWCGPRBKYZBEG-UHFFFAOYSA-N 402.454 4.827 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(N3CCCC3)n2-c2ccccc2Cl)cc1 501471804 COFRBHUQVLNPKL-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H]2CCCC23CCOCC3)c2ccccc2)c([N+](=O)[O-])c1 503411568 HLUOFHVVCCYJHX-YADHBBJMSA-N 423.513 4.522 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 504890368 AGRKSWFXYIWYMY-JTQLQIEISA-N 420.309 4.993 5 20 HJBD O=C(Nc1ncc(Cc2cccc(Br)c2)s1)c1ccc([N+](=O)[O-])s1 505376402 CUGIIQRPPCAUCX-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2c(c1)CCCO2 506044039 MNKJEOYPIDVHPE-UHFFFAOYSA-N 412.389 4.669 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 506651179 HPASTQSFIMLTQA-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 509658130 PBLBMLCEXVXWLN-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2CN2CCc3ccccc32)cc1[N+](=O)[O-] 510339774 HNXUCQUTWGPODU-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD CC(C)(C)c1ccc2c(c1)CN(C(=O)C1CCN(c3ccccc3[N+](=O)[O-])CC1)CC2 511470664 IAXBHLKYJNURRP-UHFFFAOYSA-N 421.541 4.694 5 20 HJBD COc1cc(CN2CCC(c3c[nH]c4cc(F)ccc34)CC2)c([N+](=O)[O-])cc1OC 512667742 FWATYIRIFRMAQA-UHFFFAOYSA-N 413.449 4.612 5 20 HJBD COc1ccc([C@@H]2CCCCCN2S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 512964622 SKLOKLGYZUIGDD-KRWDZBQOSA-N 424.906 4.563 5 20 HJBD CCc1ccc([C@@H](CO)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 514065417 VZNPXXGKYLNGGO-HXUWFJFHSA-N 400.862 4.894 5 20 HJBD COCCC[C@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Cl)cc1 514957607 IVHZBGATVFPYSD-OAHLLOKOSA-N 415.877 4.670 5 20 HJBD CN(C)[C@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 517542698 FDMUCWIOHZSJJY-LJQANCHMSA-N 415.490 4.980 5 20 HJBD CC(C)(C)c1ccc(Oc2ccc(CNCc3nnc4n3CCC4)cc2[N+](=O)[O-])cc1 518271620 CPCBIOQHRZAMGI-UHFFFAOYSA-N 421.501 4.512 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 520243890 RJAKKZMBXMNTCX-UHFFFAOYSA-N 402.410 4.612 5 20 HJBD Cc1ccccc1-n1nc(C)c2cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)sc21 533605975 FCCPCLQTGQAWOX-UHFFFAOYSA-N 406.467 4.889 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535571198 YIZQWTZBULQCKA-UHFFFAOYSA-N 418.453 4.969 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])n1 537022038 WDFMKDPNXHWZHX-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@]12CCc1cc(Br)ccc12 537409634 TYIPRRDYJCAUFB-JXFKEZNVSA-N 415.287 4.817 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cc(F)c(Cl)cc1Cl 539243994 QJAWQQKBTPFPGH-VIFPVBQESA-N 411.220 4.942 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)cs1 541085270 OAVPVIBHEWCPLX-GFCCVEGCSA-N 400.300 4.948 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1F 543643805 UFSZFSAKITYZIQ-UHFFFAOYSA-N 422.254 4.692 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2ccccc2Cl)s1)c1ccc([N+](=O)[O-])cc1F 545113986 XAGWFFSKTQLMEK-UHFFFAOYSA-N 420.853 4.822 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4cccc(Cn5ccnc5)c4)no3)cc2c1 546982538 IWMMTTKCVUSNDZ-UHFFFAOYSA-N 403.423 4.771 5 20 HJBD Cc1noc(CSc2ccc(-c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)cc2)n1 547105460 DEHLQLCFEMJDEI-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 547240844 OXCNPGGVSOUUGR-DYESRHJHSA-N 414.477 4.604 5 20 HJBD O=C(NCc1cnn2ccccc12)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 552302435 YSGXKXDBZCKQRU-UHFFFAOYSA-N 422.828 4.618 5 20 HJBD Cn1cc(CNCC[C@@H](c2ccccc2)C(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 555593451 XVWDKVPGNRPMNB-IBGZPJMESA-N 418.419 4.821 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)Nc1ccc([N+](=O)[O-])cc1F 556291120 RDHLFHGSRJRWAU-UHFFFAOYSA-N 406.826 4.833 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1-c1ncc2n1CCCC2 557031142 UQKOHYJLMLRVCF-UHFFFAOYSA-N 410.861 4.629 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)c1 561527774 QUDDXZGLLOFOLH-KRWDZBQOSA-N 423.469 4.606 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Oc2ccccc2)cn1 572942987 PHGRJLHTRZDXAK-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC2(CCOCC2)CC1 586840392 WAHVRIBGIIFAIU-UHFFFAOYSA-N 418.559 4.910 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1ccc([N+](=O)[O-])s1 588781515 SVIUHQCBDCHWSO-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD Cc1ccc(-c2cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)on2)cc1 603615253 HPBQWKQYQMJJBZ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1cc(CN(C)Cc2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 603850423 BVYUQPDIWCRKRQ-UHFFFAOYSA-N 402.397 4.990 5 20 HJBD Cc1nc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)nc2ccccc12 608837817 GMRJGJBSUWVOPQ-UHFFFAOYSA-N 413.481 4.904 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 608865275 KFYOJYMYSCKIQO-INIZCTEOSA-N 423.391 4.518 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 609019033 FHZQGRKUCCWSFM-CQSZACIVSA-N 419.478 4.845 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 609978217 CADICWAOULFNEW-UHFFFAOYSA-N 422.388 4.529 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C[C@@H]2CCOC2)C1 611479846 ROHJHGPUTJTWPU-IRXDYDNUSA-N 406.548 4.766 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)c1 611709506 ITOHMXUGJZPGHG-UHFFFAOYSA-N 414.425 4.794 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2ccc(OCC)cc21 614101752 ZTTCXBHSXOBIFA-GOSISDBHSA-N 416.499 4.749 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccccc2OCc2ccccc2)no1 617185154 MPKKKAKQAFVFLC-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1cccc(Cl)c1SC(C)C 619467596 RDIVADHYUKAGJB-UHFFFAOYSA-N 416.908 4.558 5 20 HJBD COc1ccc(CCC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1Br 727710769 FPYDWRMWYQRMCH-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD CC(C)Sc1ccc(C(=O)OCC2CCN(c3ncccc3[N+](=O)[O-])CC2)cc1 729191966 BTMSTMPMUSHZKL-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD COc1ccc(C2=N/C(=C\c3ccc(-c4ccc(F)cc4C)o3)C(=O)O2)cc1[N+](=O)[O-] 734315422 LOUAEYYVQKRIEY-BOPFTXTBSA-N 422.368 4.655 5 20 HJBD Cc1ccc([C@@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)o1 737744646 XKDZOIBRTALLAJ-INIZCTEOSA-N 401.419 4.779 5 20 HJBD CCN(Cc1cccc(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 742046330 UEYDAOUBTLWGKK-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nnc(-c3ccco3)n2C2CCCCC2)c1 749641101 RRYPPPMQIRXCTN-KRWDZBQOSA-N 414.487 4.777 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)C1 755026041 QZHPICNKAFDVTN-CYBMUJFWSA-N 404.264 4.687 5 20 HJBD C[C@@H](C(=O)OCc1csc(-c2ccccc2F)n1)c1ccc([N+](=O)[O-])cc1F 755055584 QGLBYXDLHSOBOH-LLVKDONJSA-N 404.394 4.843 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 756547895 VCKUPBBJQLHKIJ-NSHDSACASA-N 416.459 4.526 5 20 HJBD CCc1ccc(OC(=O)Cc2ccccc2NC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 756559255 XROJPVCGHDFIOQ-UHFFFAOYSA-N 400.431 4.652 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2ccc([N+](=O)[O-])cc2OC(F)F)CC1 760479294 WTNXRHQQSFIYST-UHFFFAOYSA-N 412.339 4.574 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 761517502 RVAIDBNCUIOZLJ-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccco1 770005334 AHQBSODWCUHNFN-SFHVURJKSA-N 401.806 4.761 5 20 HJBD COCCc1nc(C)c([C@H](C)OC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)s1 773921476 MWIREABOCXATLR-ZDUSSCGKSA-N 406.504 4.764 5 20 HJBD O=C(COc1ccc(Oc2ccccn2)cc1)OCc1ccc([N+](=O)[O-])cc1Cl 778091959 MLUHOBMYYAGKCB-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD CC(=O)N1C=Cc2ccccc2[C@H]1CC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 779467200 ZWNMPOWHXYJJEW-GOSISDBHSA-N 419.359 4.516 5 20 HJBD Cc1ccc([C@H]2[C@H](C)CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781645310 FNXCLXCVZFDNQJ-OPAMFIHVSA-N 411.458 4.744 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1ccccc1C(F)(F)F)C1CCCC1 786895722 CGHRJLXDTDIWAF-UHFFFAOYSA-N 407.392 4.781 5 20 HJBD CCc1cccc[n+]1/C(C(=S)Nc1ccsc1)=C(/O)c1cccc([N+](=O)[O-])c1 790116640 DWNQHANAGTVOPC-UHFFFAOYSA-O 412.516 4.830 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1ccccc1NC(=O)OC(C)(C)C 790527445 DSRJXIMUXORJMJ-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD CC(C)(C(=O)OCc1nc2cc(Br)ccc2o1)c1ccccc1[N+](=O)[O-] 796440391 XZMCHGJONCCSMO-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD O=C(CCSCc1c(F)c(F)c(F)c(F)c1F)Nc1ccccc1[N+](=O)[O-] 799987171 SEURMPGQMVZKSE-UHFFFAOYSA-N 406.332 4.552 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccnc2-n2cnc3ccccc32)cc1OC(F)F 820586962 ZOHUGBAHDCNTIS-UHFFFAOYSA-N 411.368 4.542 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 855691936 ZPHHNVVPUDIGQK-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2ccc(Br)cc2)no1 904715389 DDCVTGTUGJMLIV-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD Cc1ccc(NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(F)c1 917256700 CUAAOQHVFIWWMY-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD CCCNC(=O)c1ccc(Cl)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 917739391 QFWNPIQUUOCOFF-UHFFFAOYSA-N 417.874 4.855 5 20 HJBD COc1ccc(COC(=O)c2oc3ccc(CCC(C)=O)cc3c2C)cc1[N+](=O)[O-] 919043650 WUASPSGUSOKTQT-UHFFFAOYSA-N 411.410 4.537 5 20 HJBD CCOc1cc(-c2nnc(-c3ccc([N+](=O)[O-])c(C)c3)o2)cc(OCC)c1OCC 1117198628 QLUZLGWDLFLYHR-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])c2ccccc2)cc1 1123377057 RIHOKBNLTRJUSF-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322198121 PFLOFGKYIICECT-UHFFFAOYSA-N 421.428 4.538 5 20 HJBD Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Br)c2c1[C@H](C)CC2=O 1323085951 RXJVXIVEIYPPCV-SNVBAGLBSA-N 404.216 4.575 5 20 HJBD CCCCNc1nnc(Sc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)s1 1338019845 BUHRREZTNKRXKX-UHFFFAOYSA-N 402.505 4.575 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2sc(C(=O)O)cc2c1 1346522564 QHQWNYNDPRETOR-SNVBAGLBSA-N 402.453 4.627 5 20 HJBD Cc1c(NC(=O)c2nc(-c3cccs3)n(-c3ccc(F)cc3)n2)cccc1[N+](=O)[O-] 10368828 KSXCWCPTZNLMQA-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(Nc1ccccc1Br)c1cc([N+](=O)[O-])ccc1N1CCCCC1 16027388 GRXIIIZVKJPYIA-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD Cc1[nH]c(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)nc1Cc1ccccc1 22252428 FZLXBHKVAOCLKG-OAHLLOKOSA-N 410.499 4.645 5 20 HJBD Cc1ccccc1OCc1nnc(Sc2ccc([N+](=O)[O-])cn2)n1-c1ccccc1 23615762 KQNPCLUATVTYOS-UHFFFAOYSA-N 419.466 4.609 5 20 HJBD COc1ccc(N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(C)C)cc1F 72070869 ZRKPNZXYALMXLE-UHFFFAOYSA-N 418.468 4.744 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 108228588 WIEIOURISIOONR-UHFFFAOYSA-N 401.378 4.697 5 20 HJBD CCOc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OCc1cccnc1 108448020 HEZQFUATAJJPEE-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD COc1cccc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)n1 237398517 AHHJJUKDWXRMQN-UHFFFAOYSA-N 417.824 4.873 5 20 HJBD O=C(c1cc(N[C@H]2C[C@H]2c2c(F)cccc2Cl)ccc1[N+](=O)[O-])N1CCCC1 301350235 LATWOTGRIKUPIR-DYVFJYSZSA-N 403.841 4.591 5 20 HJBD COc1ccc(C(=O)C2CCN(c3cc(C)nc4ccc([N+](=O)[O-])cc34)CC2)cc1 302183853 OSIOKSMQHKIBTR-UHFFFAOYSA-N 405.454 4.559 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1cc2ncnc(O)c2cc1[N+](=O)[O-] 302218935 QWKDEQCLXKRTQG-UHFFFAOYSA-N 404.426 4.796 5 20 HJBD O=C(NCCc1nc2ccccc2[nH]1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 410216370 QJCXHSMTHHAAJY-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD O=C(c1ccc(Br)c(C(F)(F)F)c1)N1CCc2cc([N+](=O)[O-])ccc21 428500655 RCTORMDWCUGFDU-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccccc2Cl)o1)c1ccc([N+](=O)[O-])cc1 430555851 YHZATASUEAOUQT-UHFFFAOYSA-N 413.861 4.887 5 20 HJBD C[C@H]1CSc2ccccc2N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 430657824 WREUJUHCSYTAAG-INIZCTEOSA-N 400.503 4.682 5 20 HJBD C[C@H](c1cccc(O)c1)N(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 433935648 DBLDLTREQYEQER-MRXNPFEDSA-N 405.454 4.746 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437222689 YLGVYSOCQYQGTL-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1ccc(SC2CCCC2)cc1 437455651 UQBVBEVXIBJTAB-UHFFFAOYSA-N 413.499 4.824 5 20 HJBD CCCN(C(=O)c1ccc(C(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 441438762 KIDKMXUMNLDOCS-UHFFFAOYSA-N 403.438 4.904 5 20 HJBD O=C(NC[C@H]1CCCC[C@H]1C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 444994535 DQKQODUJJZVPLD-CXAGYDPISA-N 402.416 4.780 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](c3ccccc3)c3cccc(F)c3)n2)c1 447338082 OUCINVBEUATYIC-OAQYLSRUSA-N 404.401 4.663 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3nc(C(F)F)nc4ccccc34)CC2)cc1 462940034 ABRDLWCBLRCPFT-UHFFFAOYSA-N 400.385 4.523 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@@H]1O 467752785 MEOADLQOOKPGCF-VUBSUSDNSA-N 420.575 4.745 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 469631781 GKOIICBQXJCYBD-UHFFFAOYSA-N 419.865 4.722 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc([C@H]2CCCc3ccccc32)s1 471162429 UFPPMTANUUSIPC-HNNXBMFYSA-N 424.482 4.566 5 20 HJBD CCc1ccc(C(=O)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)cc1[N+](=O)[O-] 471865423 LLSDLUONJLICLM-GOSISDBHSA-N 409.269 4.678 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 475584366 PDBPUWMECQTTHG-UYAOXDASSA-N 410.518 4.728 5 20 HJBD C[C@@H](Sc1ccccc1O)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 476490497 ZMTUJTNFRNAVJX-LLVKDONJSA-N 401.469 4.543 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 477482020 KNORQILNSWXLDH-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD COC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)c(Cl)c1 479503848 SDFUCSGVHSQPGR-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1C(F)(F)F)C(F)(F)F 482374402 XFBTZVKSJFCFPV-CQSZACIVSA-N 421.297 4.716 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1C 483114807 BDHVFFCPSQAALC-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD COc1ccc(CN2CCSCC[C@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 483425051 GQMWCGJZVJNIHE-MRXNPFEDSA-N 402.516 4.510 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3ncc4ccccc43)cc2)c1[N+](=O)[O-] 485503018 ZCUWNLHNPKGCFK-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD O=C(Nc1cc(C2CC2)nn1-c1ccccc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 489545839 APTRBSXHURBKJZ-UHFFFAOYSA-N 417.469 4.854 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2nncn2-c2cccnc2)cc1 490090294 PXDIKUIYNLPGMI-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD CCOc1cc(CSc2nnc(Cc3cccs3)n2C2CC2)ccc1[N+](=O)[O-] 491864766 FYHXONRDRIXSHX-UHFFFAOYSA-N 416.528 4.865 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 493208328 FHTVEBHATYFYNT-BFUOFWGJSA-N 421.856 4.540 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1 494213054 QWPRFOCERYHGSD-SFHVURJKSA-N 418.877 4.767 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccnc3C(F)(F)F)n2)cc1[N+](=O)[O-] 495284759 AAONGNVYPZQNQX-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD COc1cccc2[nH]cc(CC(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)c12 497790510 GNIKWYRJQRREES-UHFFFAOYSA-N 422.466 4.698 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 505312485 XTLKVQFNLMRQSO-UHFFFAOYSA-N 417.874 4.530 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1/C=C/c1ccccc1 505366743 OYEXYWVMVXEUGP-HVHJFMEUSA-N 401.260 4.675 5 20 HJBD CC(C)(CNC(=O)CSc1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1 507085217 DQKGBTOLFAHMOJ-UHFFFAOYSA-N 423.332 4.543 5 20 HJBD Cn1c(=O)c(CSc2nc3ccccc3c3nc4ccccc4n23)nc2ccccc21 508702253 VZLFTAVSSQOKKS-UHFFFAOYSA-N 423.501 4.575 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccnc(Oc2ccccc2)c1 511343753 KTQBSYPWALKHSC-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 514903881 FFOXNSYRDBDDOF-UHFFFAOYSA-N 408.907 4.539 5 20 HJBD CC(=O)N1C[C@H]2[C@@H](N[C@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)CCC[C@H]2C1 515013554 INKGOMUSBWLJAM-HYEGPXJVSA-N 413.543 4.621 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N1CCC[C@H]1c1cccc2ccccc12 516783838 IYPAGZRXEMASFP-SFHVURJKSA-N 416.886 4.927 5 20 HJBD C[C@@H]1CCC[C@H](OCc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)c2)C1 520141078 XVZIJUVEESFKEH-PBHICJAKSA-N 412.442 4.671 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 521246002 SCGYPQNYTQVVGZ-UHFFFAOYSA-N 404.854 4.555 5 20 HJBD C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 522875948 IBACLFIRGZHJAW-MEDUHNTESA-N 411.289 4.738 5 20 HJBD COc1cc(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)ccc1[N+](=O)[O-] 523385816 SNEDNMLWTSIVLY-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2scnc2C2CC2)cc1[N+](=O)[O-])c1ccccn1 534219943 RGNVKMKYFXZEJA-LBPRGKRZSA-N 409.471 4.749 5 20 HJBD O=C(Nc1cc(-c2ccc(Cl)s2)[nH]n1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 536041094 ADFWEDYHIBCCOV-UHFFFAOYSA-N 416.768 4.971 5 20 HJBD CC(C)CN(C(=O)c1ccnn1C(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538257753 KZXCCYVREDPXTI-UHFFFAOYSA-N 413.503 4.799 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)CC1 539018474 KKWQZCJICHKPBJ-UHFFFAOYSA-N 420.513 4.648 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(-c2ccc(Cl)cc2)s1 539885986 POZWVDCPRZQUPB-UHFFFAOYSA-N 402.863 4.944 5 20 HJBD Cc1c(NC(=O)c2cc3ccccc3c3cccnc23)nnn1Cc1ccccc1F 555146567 FOWOLCOBZMSLBV-UHFFFAOYSA-N 411.440 4.728 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc2ccccc2cc1OC(F)F 557364003 QSZDJCKOPVSMCV-UHFFFAOYSA-N 400.381 4.664 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H](c2ccncc2)C1 560530609 SSZBSCYSQOMDDA-LJQANCHMSA-N 402.454 4.753 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1N1CCCC1 561398197 PLUXBGHXFSMXQL-UHFFFAOYSA-N 406.486 4.525 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)c1 561527775 QUDDXZGLLOFOLH-QGZVFWFLSA-N 423.469 4.606 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2cccnc2)cc1OCc1ccc([N+](=O)[O-])cc1 564314916 LGDNUKFFZMCYOY-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(CCSc2cccc(Cl)c2)n1 578554933 DGRNUVIKYZEVPK-UHFFFAOYSA-N 404.879 4.621 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(OC)cc1OC)CC1CC1 579770198 IOMJLTIXNNXMIH-UHFFFAOYSA-N 413.474 4.619 5 20 HJBD COc1ccc([C@@H](CN(C)C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 584520589 LRGCFXKZBYNOFT-OAQYLSRUSA-N 411.527 4.724 5 20 HJBD CCOc1cc(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)ccc1[N+](=O)[O-] 589601180 ZQQKQWTTXMFAGB-UHFFFAOYSA-N 422.363 4.760 5 20 HJBD O=[N+]([O-])c1c(NCc2ccc(OCCOc3ccccc3)nc2)ccc2ncccc12 603869609 HUFWWSCVJMHPIW-UHFFFAOYSA-N 416.437 4.608 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)COc2ccc(Cl)cc2[N+](=O)[O-])cc1 603905032 ZJFKBJKRQGMLQE-GOSISDBHSA-N 418.877 4.780 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 603966613 BDJNZGZRVWWREM-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N(Cc1cccnc1)c1ccc(F)cc1 603992212 JXYKVABLKIHMGV-UHFFFAOYSA-N 406.417 4.550 5 20 HJBD O=C1CCc2cc(Nc3cccnc3[N+](=O)[O-])ccc2N1Cc1ccc(Cl)cc1 604482893 GGKQVCMQZALEOF-UHFFFAOYSA-N 408.845 4.866 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 607511902 BKFUNPRZHMLBTJ-MRXNPFEDSA-N 419.550 4.702 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609857550 OFXLNKFKODSGMA-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 610038123 ZOCWOZDLVQOWEA-LLVKDONJSA-N 403.232 4.937 5 20 HJBD O=C(Nc1ccc(Cl)c2cccnc12)c1ccc([N+](=O)[O-])cc1Br 610038393 QTYJFLIBAHWJJP-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@@H]2c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC 610106546 LNWDATAMIVNBIC-RYUDHWBXSA-N 422.840 4.927 5 20 HJBD CC(C)(C)Oc1cc(N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 611203553 NBWCZUGFZGWDDH-QWRGUYRKSA-N 400.319 4.949 5 20 HJBD CCc1ccccc1NC(=O)N1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 727585397 FQFFTGSWIFLJIO-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD CN(CCOC(=O)c1csc(-c2ccccc2Cl)n1)c1ccc([N+](=O)[O-])cc1 727632123 RFAQYDUMMHHZRI-UHFFFAOYSA-N 417.874 4.665 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Br)s3)no2)cc1Cl 733903232 JJXZVKCEAHCZBK-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CC(C)(C(=O)OCc1csc(-c2ccc(F)cc2)n1)c1ccc([N+](=O)[O-])cc1 740391457 LBLJDUPMZPOSHJ-UHFFFAOYSA-N 400.431 4.878 5 20 HJBD Cc1noc(C)c1COc1ccccc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 741439469 GXUYNYSZYBZFGE-UHFFFAOYSA-N 416.817 4.789 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 742701300 PSDBVLLFYZJFRT-FZKQIMNGSA-N 407.873 4.571 5 20 HJBD COCCOC[C@@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746301196 CDDRKNPTWHNVJE-QGZVFWFLSA-N 417.505 4.715 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(Cl)c(C(F)(F)F)c1 747111003 DANUYQCRUKLOOQ-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD Cc1c(CC(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)cccc1[N+](=O)[O-] 748476248 SCKCTPGFVJXUMA-UHFFFAOYSA-N 412.486 4.874 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@H](C)c1ccccc1OC 751078160 VSOYNKNYPSDDCM-CYBMUJFWSA-N 421.291 4.979 5 20 HJBD CCc1cc2oc(=O)cc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)c2cc1Cl 752568278 YJYDDYORKKOLOG-UHFFFAOYSA-N 423.755 4.552 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCO[C@@H](c3ccc(C)cc3)C2)cc1SC 757486500 IJQLPEMMEYAMTR-MAUKXSAKSA-N 417.483 4.711 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nnc([C@@H]3CCCc4ccccc43)s2)c1[N+](=O)[O-] 762516089 WHAPZXAALSRDMI-OAHLLOKOSA-N 412.446 4.614 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CC[C@H](NC(=O)OC(C)(C)C)C(C)C 766244712 SIGBYJWAAAVQCU-INIZCTEOSA-N 422.526 4.615 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)ccc1Br 768245324 OKTNJOJNUCOVBA-CQSZACIVSA-N 416.275 4.878 5 20 HJBD C[C@@H]1COCCN1c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 770585358 KUBDLNYYCMYPSO-OAHLLOKOSA-N 410.499 4.556 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776130722 BVPKFKNKNGXXLP-JOCHJYFZSA-N 421.840 5.000 5 20 HJBD CCNc1ccc(C(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 784521859 RPGMWDKXNGTUSZ-OAHLLOKOSA-N 407.392 4.675 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Br)ccc2N2CCCC2)c1F 790852063 YKWCUTXPCVUMKX-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD O=C(OCc1nccn1C(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 797630622 JSUIHCKKSSDGPH-UHFFFAOYSA-N 405.382 4.695 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1nc2ccccc2s1 798541837 WGQLANKAWSTWPQ-LLVKDONJSA-N 403.485 4.723 5 20 HJBD Cc1cc(C)c(NC(=O)COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1 811374088 ABNBXFZTHPTVTA-UHFFFAOYSA-N 411.241 4.622 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCc4sccc4[C@@H]3c3cccs3)n2)c1 917421430 TXUZWJPTKUFQRQ-LJQANCHMSA-N 423.523 4.582 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc(C)cc([N+](=O)[O-])c3)cc2)cc1 920839206 WVUFQBHKTCRGKE-UHFFFAOYSA-N 404.422 4.707 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 1318167572 WUOWUXVZJGSGQP-GOSISDBHSA-N 405.376 4.566 5 20 HJBD CCOc1cc(-c2nnc(CSc3ccc(Cl)cc3)o2)c([N+](=O)[O-])cc1OC 1328216742 WZUPIYLZJICVDJ-UHFFFAOYSA-N 421.862 4.998 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cc1[N+](=O)[O-] 3531500 PAABZQQPMKNCEB-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CC(=O)c1sc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc1-c1ccccc1 5607615 OXVVIUUYVKCMOM-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD C[C@@H](Sc1nc2ccccc2n1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 8808062 ZGJONAGKIFTXFA-SNVBAGLBSA-N 424.404 4.620 5 20 HJBD O=C(CSc1ncc(C(F)(F)F)cc1Cl)Nc1cc([N+](=O)[O-])ccc1F 9160696 HDBIDDNALPHHGG-UHFFFAOYSA-N 409.748 4.532 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048764 ZREDAGXECMPFPM-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD O=C(CSc1nc2ccccc2n1C(F)F)Nc1cc(Cl)ccc1[N+](=O)[O-] 25979780 FAUHMDXAVUBTQB-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 61159643 NBMKPXPRVGOQDZ-UHFFFAOYSA-N 415.437 4.862 5 20 HJBD COc1ccccc1Nc1nnc(Sc2ccc([N+](=O)[O-])c3cnccc23)s1 104861191 YKGRFDPOPIFDLS-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD O=C(c1cc(N2CCC[C@@H]2c2nc3ccccc3s2)ccc1[N+](=O)[O-])N1CCCC1 301191525 ZOOLHZGKANWCKF-LJQANCHMSA-N 422.510 4.782 5 20 HJBD CC1CCN(C[C@H]2CCCN(C(=O)c3cc4ccccc4c4cccnc34)C2)CC1 303019286 LHXFAEGBBWIJBW-HXUWFJFHSA-N 401.554 4.972 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1 303726914 SZQWLXWBBDYXSO-POXGOYDTSA-N 420.556 4.761 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 409590377 GGBXBRWNWJURMV-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1CCO)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 428818173 OVEIKYIMAPHOIM-SCLBCKFNSA-N 420.918 4.680 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)c(F)c1 429807319 INSQWRPWYLQRJS-INIZCTEOSA-N 408.227 4.865 5 20 HJBD O=C(NCCC(F)(F)F)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 437076103 KPWIDTAEMNQHMO-UHFFFAOYSA-N 401.772 4.543 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1C 437771946 GGATWUPDIJWMRQ-UHFFFAOYSA-N 410.474 4.838 5 20 HJBD Cc1sc(Br)c(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1C 441299142 COIYKRNOGOUYQY-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD CCOc1cc(CNc2cccc(C[S@@](=O)c3ccccc3)c2)ccc1[N+](=O)[O-] 442106563 JPBPRSOGVZTQID-GDLZYMKVSA-N 410.495 4.913 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 445996309 PQNDVBDBVAXFSN-IRXDYDNUSA-N 421.419 4.786 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 464056669 LQVPFUZVKSTKFO-NHCUHLMSSA-N 407.514 4.912 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464773620 JIEVKJNFGAPLAE-KRWDZBQOSA-N 411.502 4.589 5 20 HJBD CC[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 467218914 RQGSWRGOTXNRBM-NSHDSACASA-N 422.816 4.674 5 20 HJBD O=C(N[C@H]1CC[C@H](Cc2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 474334256 YCAXGAYURSJJPA-CVEARBPZSA-N 422.403 4.677 5 20 HJBD C[C@H](SCCC(F)(F)F)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 478752882 HQGWQHYAJRNBFM-VIFPVBQESA-N 405.423 4.731 5 20 HJBD CCC(=O)c1ccc(N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 479371932 PVOFOCNBLFEVHN-JOCHJYFZSA-N 403.438 4.979 5 20 HJBD CSc1cccc(C(=O)Nc2ccnn2Cc2cccc3ccccc23)c1[N+](=O)[O-] 485763079 KZPUSBLGZNCTOU-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc(F)c(Cl)cc1Cl 486750328 QLFNANAEPUCGRQ-UHFFFAOYSA-N 411.138 4.990 5 20 HJBD Cn1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(-c2ccccc2Cl)n1 487210881 PAGZCTAJKFARMA-UHFFFAOYSA-N 424.810 4.957 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Oc2ccccc2)cn1 487351307 IYNXFOWPUFJHIG-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(Cc2cccc(F)c2)s1 487415953 ANOANKLSXMZEMY-LBPRGKRZSA-N 417.487 4.901 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(OC)c1OC 489935027 OGQPONWMYVXYDO-UHFFFAOYSA-N 420.487 4.621 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(N(C)C)cc2C(F)(F)F)cc1[N+](=O)[O-] 492957064 GPFNZJMXZYVIGF-LBPRGKRZSA-N 410.396 4.871 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](c2cccc(F)c2)c2ccccn2)cc1[N+](=O)[O-] 498231990 RTPQEFOAZQAMBI-YCRPNKLZSA-N 408.433 4.587 5 20 HJBD COc1cc(Br)cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 500090373 SVYOIDHMTWRVTE-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD Cc1ccc(CNC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cc1[N+](=O)[O-] 500873240 VRSGJKKGBJRTHN-UHFFFAOYSA-N 408.329 4.964 5 20 HJBD C[C@H](NC(=O)N(C)[C@H](C)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 502483408 UWTNKVBWYJPKLO-QWHCGFSZSA-N 406.280 4.821 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(Br)cn1 503420435 JWZRGSOJQKCZBT-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD CC(=O)c1ccccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 506165047 YBGSWJRCHNOBCD-CQSZACIVSA-N 404.426 4.618 5 20 HJBD CCCCCNC(=O)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 506908584 AIJSICBJJNNPRI-UHFFFAOYSA-N 415.559 4.842 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(Oc2ccccc2Cl)CC1 513661887 WRLBYTJNQDYOLS-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1cccs1 515680125 GOIVJFSPBDRABA-FQEVSTJZSA-N 416.886 4.984 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cs1 521080360 SQNHNZAKACAUIQ-KFWWJZLASA-N 416.547 4.587 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(-c2cccc(Cl)c2)no1 523663213 GDIZLVRIJSGMCJ-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD CCCOc1ccccc1[C@H](CC(C)C)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 531302409 NNNDFRUJQSSQOM-KRWDZBQOSA-N 414.458 4.630 5 20 HJBD O=C(COc1ccc(F)cc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 532404440 LGKHIUTXWXMCNE-OAHLLOKOSA-N 413.232 4.783 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 535631251 JOQSOJREECVNKP-NRFANRHFSA-N 422.506 4.990 5 20 HJBD CC(=O)N(c1nc(Cn2c([N+](=O)[O-])cnc2C)cs1)c1c(C)cc(C)cc1Cl 535885695 QTNRGORBXRTNBV-UHFFFAOYSA-N 419.894 4.559 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(C(=O)N(C)C)c1 539660629 RZCFKLYPBHYSEO-UHFFFAOYSA-N 419.478 4.580 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCN(c2ccccc2)C1 540783675 FUJSIOKPDGJFGM-KRWDZBQOSA-N 413.543 4.743 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 540794392 NEKQHXFDVZVXKI-KGLIPLIRSA-N 410.396 4.526 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](CSC)c1ccc(C(F)(F)F)cc1 544384481 SOHJJKGVPYFOLA-INIZCTEOSA-N 400.422 4.816 5 20 HJBD COc1cc(Cc2noc(Cc3csc(-c4cccc(C)c4)n3)n2)ccc1[N+](=O)[O-] 545049251 UAITVALZLYIJGD-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD O=[N+]([O-])c1cccc(CCc2nc(-c3ccc(COCC(F)(F)F)cc3)no2)c1 547037578 XJGAZEWVMCOXKM-UHFFFAOYSA-N 407.348 4.509 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3cc[nH]c23)cc1[N+](=O)[O-])c1ccccn1 547819636 KWMMSCOZZQIEAX-CQSZACIVSA-N 401.426 4.897 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 557500368 XZVLMYNZBQOSAN-KVSKMBFKSA-N 415.287 4.766 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 561609052 LHRVNMWTGBGNDD-UHFFFAOYSA-N 408.454 4.534 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 562110371 MBFISLHWWVJVQV-SFHVURJKSA-N 423.391 4.781 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 565132744 AGZCNWJWYVBMTF-GFCCVEGCSA-N 405.376 4.798 5 20 HJBD COCC1(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCC1 567358669 BAJSQODQHMJTQH-UHFFFAOYSA-N 420.513 4.744 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1nc2ccccc2n1CC 568334349 ZOOCSLRUTNNDKK-FQEVSTJZSA-N 407.474 4.896 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)o1 573420080 HOBQVSBYSCVLQT-UHFFFAOYSA-N 400.774 4.798 5 20 HJBD COc1ccc(Cc2noc(-c3cccc(SC)c3[N+](=O)[O-])n2)cc1OC(F)F 576145732 XQFWNMUICGINNQ-UHFFFAOYSA-N 423.397 4.568 5 20 HJBD C[C@H](C(=O)N1CCC(c2nc3cc(Cl)ccc3o2)CC1)c1cccc([N+](=O)[O-])c1 584268930 UDUMWFXGPYGVHJ-ZDUSSCGKSA-N 413.861 4.899 5 20 HJBD COc1cc(CN(C)Cc2ccc(OC)c([N+](=O)[O-])c2)ccc1OCc1ccccc1 603837226 MFBVBNSPJKYRAN-UHFFFAOYSA-N 422.481 4.823 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1 610188888 BEDQUPVJVIMAJP-QGZVFWFLSA-N 420.465 4.917 5 20 HJBD CC(C)OCCOc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 610287879 IIVZMHVZYSEWEL-UHFFFAOYSA-N 413.257 4.958 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC([C@H]2CCOC2)CC1 612345698 FWAYUCHGAKEVGK-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC([C@H]2CCOC2)CC1 612346024 JMJYERXSOPVELJ-INIZCTEOSA-N 404.532 4.518 5 20 HJBD CN(C)CCCCN(C)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 613509658 ZYABMYDZKPVTTO-UHFFFAOYSA-N 421.950 4.813 5 20 HJBD CCn1ccc(CN(C)[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 616785531 ZVNLSHDXKDQULS-JOCHJYFZSA-N 406.486 4.536 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(OC(F)F)cc1 725984754 BMROVZAAGNQPEA-VIFPVBQESA-N 416.174 4.877 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])cc1Cl 733243715 TWZLZRNLNNEEFF-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 742901938 JUNSPSUBYWFZFT-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 745387837 AIROEMLVDGKQQN-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 746332134 BPYSKGQMXFYPNR-YHYXMXQVSA-N 404.264 4.830 5 20 HJBD Cc1nc(O)c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1-c1ccccc1 749880592 VKUXGRAQPPCKSO-UHFFFAOYSA-N 411.845 4.596 5 20 HJBD CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)OCc1nc2ccccc2c2ccccc12 753598622 SFZHZZUCPYIGJE-SANMLTNESA-N 424.500 4.967 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 754220882 VHSJIWIYWWWHSU-UHFFFAOYSA-N 417.487 4.981 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 755058841 FJCYNDGDOOYFME-RYUDHWBXSA-N 417.368 4.639 5 20 HJBD COc1cc([C@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)ccc1OC(C)C 764766519 FALOPEGOKLFKDL-KRWDZBQOSA-N 413.518 4.710 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1CCC(C(F)(F)F)(C(F)(F)F)C1 765505599 KHVYOVKEILMVSR-UHFFFAOYSA-N 407.108 4.678 5 20 HJBD COc1cccc(C(=O)Nc2ccc(N3CCC[C@@H]3C(C)C)c(F)c2)c1[N+](=O)[O-] 768188251 USQIHTAJKZZGBK-QGZVFWFLSA-N 401.438 4.620 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cc(F)c([N+](=O)[O-])cc1F 770427645 SNWMCTWEDPZQTR-GDLZYMKVSA-N 422.453 4.707 5 20 HJBD Cc1ccc(N2C(=O)[C@H](c3ccc(Cl)cc3Cl)N(C3CC3)C2=O)cc1[N+](=O)[O-] 771791075 JJGAMWVDSCHULQ-KRWDZBQOSA-N 420.252 4.882 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 778791354 VUHBOVDIIBVGTF-GFCCVEGCSA-N 413.411 4.853 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc3c(c2)N(CC(F)F)CCS3)cc1 789353915 BMLMWGINJYBCTH-UHFFFAOYSA-N 410.471 4.581 5 20 HJBD COc1ccc(Cl)cc1NC(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] 794494666 MREYFTPJVJOWMF-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccccc2[C@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 795742406 XIPAGCZLVNMZKE-SFHVURJKSA-N 422.441 4.527 5 20 HJBD Cc1nc([C@H](C)OC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 796253107 AHNOOOIVMPUBFT-NSHDSACASA-N 418.837 4.976 5 20 HJBD CC(C)(C(=O)OCc1nc2ccc(Br)cc2o1)c1ccccc1[N+](=O)[O-] 796439837 MQYOZPTZNGRHNP-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(C(F)(F)F)cn1 798673230 HYNWBFCJKOBAAO-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(N2CCCCC2=O)cc1 810145328 ZAYFLBJAZQMIKW-ZDUSSCGKSA-N 416.865 4.648 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)C1(c2cccnc2)CCCC1 811215229 QFPKRDPCOJLHLZ-UHFFFAOYSA-N 409.467 4.669 5 20 HJBD O=[N+]([O-])c1cccc(NS(=O)(=O)c2cc(Cl)ccc2C(F)(F)F)c1Cl 811831306 FAGBHJRXUBGNJK-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812814208 XDJKXVHPFKIMDJ-UHFFFAOYSA-N 400.504 4.815 5 20 HJBD C[C@H]1CN(Cc2ccccc2)CC[C@H]1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813038211 SFYQXIVBRNGACI-SCLBCKFNSA-N 422.312 4.542 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc(C(F)(F)F)nc1Cl 817861597 FIGWRUTVEDLJEE-SECBINFHSA-N 417.771 4.552 5 20 HJBD C[C@@H](OC(=O)c1ccc(OC2CCCC2)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 914950953 BSSGYAHDLGVVAJ-CQSZACIVSA-N 423.425 4.884 5 20 HJBD CC(C)c1cccc(C(C)C)c1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 916106263 DKQRKMYSZHPWHR-UHFFFAOYSA-N 407.448 4.551 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(Cl)cc2cccnc12 920778420 JHUIDXRIEOVHBM-LLVKDONJSA-N 421.236 4.961 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)COc2cc(Cl)ccc2[N+](=O)[O-])cc1 920992043 JXVXOAAPUXKCBN-GOSISDBHSA-N 418.877 4.780 5 20 HJBD O=C(N[C@H](c1ccc(Br)cc1)C1CCC1)c1cc([N+](=O)[O-])ccc1F 921163142 FCPJAUFBNZXYGX-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD COc1ccc(OC(=O)c2cc(-c3ccco3)nc3onc(C(C)C)c23)c([N+](=O)[O-])c1 1341867078 BTTUIYVVFVZDLL-UHFFFAOYSA-N 423.381 4.742 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)c(Cl)c1 6062008 KRKYSGRSYNJKPG-SECBINFHSA-N 403.649 4.811 5 20 HJBD COc1ccc2cc(CN(C)C(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)ccc2c1 8173970 IMHPSMZQWWVJQO-UHFFFAOYSA-N 405.454 4.603 5 20 HJBD COc1cc(C2SCCS2)ccc1OCc1cc([N+](=O)[O-])cc2c1OCOC2 14734197 XYESTKSMEBTCHI-UHFFFAOYSA-N 421.496 4.528 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cc(C(C)(C)C)ccc3O)cc2)c(C)c1[N+](=O)[O-] 17361056 FSZWTGPOAIIABG-UHFFFAOYSA-N 422.485 4.712 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(F)c1 26342591 ISAPSVXHZWIRAU-CYBMUJFWSA-N 402.422 4.524 5 20 HJBD COc1cccc(NC(=O)[C@@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 56197498 HPBPRLYSGCYZPH-AOMKIAJQSA-N 405.454 4.634 5 20 HJBD Cc1cccc(Oc2ccc(Cl)cc2NC(=O)CCn2cc([N+](=O)[O-])nc2C)c1 61113996 VQALUOGNOJIKDQ-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD Cc1nc(-c2cccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)oc1C 64737466 CMSGKYTUPCNBCZ-ZDUSSCGKSA-N 415.833 4.926 5 20 HJBD CCCCOc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 64765251 CUYAVNOHYVQGAX-UHFFFAOYSA-N 412.364 4.967 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(Br)cc1F 65864506 AQVHBYNKBYIKMX-UHFFFAOYSA-N 409.236 4.988 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1C 71878584 MTOPVNRDBJEPRV-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)Cn2cc([N+](=O)[O-])nc2C)c2cccs2)cc1 116043853 VZYDGOOMUXFSQN-SZNDQCEHSA-N 412.515 4.581 5 20 HJBD CCCNC(=O)c1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 236895838 PNCYYWQAHCVTOJ-UHFFFAOYSA-N 409.511 4.753 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 301936416 URQPERVTTDXENR-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])c(OC(F)F)c2)nnc1-c1cccc(Cl)c1 301963581 SJUKXCRUESTNHV-UHFFFAOYSA-N 412.805 4.796 5 20 HJBD CC[C@H](Nc1cccc(Oc2ccnc(C(=O)NC)c2)c1)c1cccc([N+](=O)[O-])c1 426288146 ADVWWUSHCDGEDL-FQEVSTJZSA-N 406.442 4.705 5 20 HJBD C[C@H]1CC[C@](CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426630705 VQLVZAQHIHHGTB-GAJHUEQPSA-N 411.502 4.733 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(F)c2)C(C)(C)C)cc1OC 427887808 RBHYOYYLBLWFHE-IBGZPJMESA-N 404.438 4.658 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])c2C)c1 436041157 LOMVUEORNLHLTJ-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1cc(C(=O)N(CC2CCC2)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 438029443 BTNWNDNEQCYVJK-UHFFFAOYSA-N 406.385 4.652 5 20 HJBD CCOCc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 444119287 AEJHVXUORKTTOZ-UHFFFAOYSA-N 408.404 4.748 5 20 HJBD O=C(Cc1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1)Nc1cc(Cl)ccc1Cl 445342768 LUJCQZWBZIPLMU-DAXSKMNVSA-N 419.224 4.636 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCc4ccccc4C3)n2Cc2cccs2)c1 461491519 SGQKGXSVIIWFGE-UHFFFAOYSA-N 417.494 4.526 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCCCC[C@@H]1c1ccco1 462333494 HIRSSPJERYFOAP-CYBMUJFWSA-N 419.286 4.801 5 20 HJBD CCOCCCOc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 462656085 CQFKDKYIIFYDEU-UHFFFAOYSA-N 413.257 4.959 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1cc(Br)cc([N+](=O)[O-])c1 468678469 XGNDTIZMDWYCFG-WFASDCNBSA-N 416.275 4.598 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1 470731824 HBFJUKBDGBMJED-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)Cc2ccc([N+](=O)[O-])cc2F)cc1 477230586 WAOXALZQRBLGOA-UHFFFAOYSA-N 400.431 4.580 5 20 HJBD Nc1c(C(=O)Nc2cc(Cl)c(OCC(F)(F)F)c(Cl)c2)cccc1[N+](=O)[O-] 477343434 YJFZMHRGTLPPJC-UHFFFAOYSA-N 424.162 4.677 5 20 HJBD CCOc1cc(N2C[C@H]3CCC[C@@]3(c3nc(-c4ccccc4)no3)C2)ccc1[N+](=O)[O-] 480788928 RYNNUDNMABRHKW-UZUQRXQVSA-N 420.469 4.602 5 20 HJBD Cc1ccccc1[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(C)C 483058116 HCAXCKJHDXWLMC-GOSISDBHSA-N 410.392 4.971 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)c1sc(Br)cc1[N+](=O)[O-] 486108397 HSDPABCPIPNREX-UHFFFAOYSA-N 418.681 4.934 5 20 HJBD CSc1cccc(C(=O)NCc2ccccc2CN2CCCCCC2)c1[N+](=O)[O-] 486204894 PBCLCFKQEFUVBQ-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])cc1 486422832 NVNVDCVNMFTUNC-UHFFFAOYSA-N 415.515 4.588 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H]1CSc2ccc(Br)cc21 486767967 MSIZNLSZZSWDON-AWEZNQCLSA-N 408.277 4.634 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1C(=O)c1cc2ccccc2c2cccnc12 488886054 WHJZJZDVHKSJHC-MRXNPFEDSA-N 411.509 4.854 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1c(Cl)cccc1[N+](=O)[O-] 491397605 PXBHELSDWBQCNX-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD CC(C)c1nc(-c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)n[nH]1 492666954 GMJXWYWNBMBPKV-UHFFFAOYSA-N 407.455 4.970 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 500648217 SZMBQYOGRUNZMZ-NJSLBKSFSA-N 400.500 4.983 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1=O 504980247 JCYWXMVVCCYSIN-GOEBONIOSA-N 406.442 4.679 5 20 HJBD Cc1nscc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 512103081 JVCVOBPBXTXINV-UHFFFAOYSA-N 421.837 4.875 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 514623865 NMYVGORRCACGMS-UHFFFAOYSA-N 401.426 4.822 5 20 HJBD CC(C)(C)c1ccc(-c2nc(CN(C(=O)c3ccccc3)C3CC3)no2)cc1[N+](=O)[O-] 517002681 TYMRGPHOZVWMPE-UHFFFAOYSA-N 420.469 4.747 5 20 HJBD COc1cc(CNCc2ccc([C@H]3C[C@@H]3C)o2)c([N+](=O)[O-])cc1OCC(F)(F)F 520955638 AIBYRJHPUBJYHE-FZMZJTMJSA-N 414.380 4.551 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 524348934 QIJQEVGBOHJXLI-OAQYLSRUSA-N 412.489 4.527 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1ccsc1)c1ccccc1 524468985 ZNQFBUFDZARNNF-UHFFFAOYSA-N 404.451 4.689 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1ncc(-c2ccc(Br)cc2)o1 525109542 NOIJTFYQLHFJMG-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CCCNc1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 532342320 JMQXSOCSODZKRX-UHFFFAOYSA-N 411.380 4.625 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC[C@H](C)c1nc(-c2ccccc2)no1 539052467 HWFMETMWPMHFKR-RDJZCZTQSA-N 412.515 4.909 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3cc(Br)cc([N+](=O)[O-])c3)CC2)c1 539975541 JYJFMADBFVWYCH-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD CC(C)CN(C(=O)COC1CCCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451171 BXLUACWJZJRWDZ-UHFFFAOYSA-N 403.504 4.667 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccccc1)c1ccncc1 541263184 DODLRTSVTJTBEG-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cccc1[N+](=O)[O-] 543101060 FPOHIOXYUJLBAM-UHFFFAOYSA-N 414.421 4.820 5 20 HJBD Nc1ccc(-c2noc(COC(c3ccccc3)c3ccccc3)n2)cc1[N+](=O)[O-] 544930344 NKAFDDACEOJYMR-UHFFFAOYSA-N 402.410 4.533 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 545810810 MCPJMOFTAMWEIY-DPTHPHEISA-N 416.231 4.647 5 20 HJBD CCCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cnn1-c1cc(C)ccn1 546255542 CIKMFUIXKFPKRQ-UHFFFAOYSA-N 421.482 4.903 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2ccncc2)cs1 550542537 DJEMDUDPQUSRDQ-SNVBAGLBSA-N 422.388 4.623 5 20 HJBD Cc1noc(C(C)(C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)n1 551580374 GGHBYFNNXSUJCJ-UHFFFAOYSA-N 402.838 4.757 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)NCC[C@@H](c1ccccc1)C(F)(F)F 556218513 WTOGAESOCROYOA-KDOFPFPSSA-N 409.408 4.638 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2cc3ccccc3[nH]2)cc1[N+](=O)[O-])c1ccccn1 558372133 QXXXKNDAKNFUFV-OAHLLOKOSA-N 415.453 4.574 5 20 HJBD C[C@H](N(C)C(=O)c1ccc(C(=O)N(C)[C@H](C)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 559939623 NOTFAYWVMBVHJX-LSDHHAIUSA-N 405.539 4.608 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 566373545 KILJNHPJYRXJIJ-APWZRJJASA-N 410.392 4.687 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 569189463 NLQAYEBQUQJVNT-AULYBMBSSA-N 404.348 4.621 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2Cn2cccn2)no1 574315429 PCWMWZFEOFNQRR-KRWDZBQOSA-N 403.442 4.626 5 20 HJBD CC1(C)CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@H]1c1cccs1 575216264 XTEHWGDYYDRWFX-HNNXBMFYSA-N 414.405 4.821 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3cccc(Br)c3)o2)c(Cl)c1 579404610 LTNOQIXKPOAJDY-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1 589083360 FNTHBIFXIHKXER-CYBMUJFWSA-N 404.382 4.642 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 603580242 XZLQFEHJVSDZEQ-BRPSZJMVSA-N 424.301 4.565 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638215 IMTJAZZOEKYENR-UHFFFAOYSA-N 421.331 4.562 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1ccc(Cl)c(Cl)c1 604006108 CKGBZJVDVBJTBV-UHFFFAOYSA-N 406.172 4.554 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 604244587 VWVUCUBGVYPOKR-LJQANCHMSA-N 418.497 4.696 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H]3CCN(c4ccc(F)c(F)c4)C3)o2)c1 608836495 MWKDGDGVLIBMQM-OAHLLOKOSA-N 413.424 4.749 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC(C)(C)c2ccc(C(C)C)cc2)cc1OC 609219541 WGDVRKVZSAEPHI-UHFFFAOYSA-N 400.475 4.791 5 20 HJBD C[C@@](CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)(C(=O)O)c1ccccc1 609768801 KOTVAPHZFZTCCU-QHCPKHFHSA-N 420.421 4.758 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610042832 XTMPWBHCVFWMJV-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@@H](C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1)c1ccc([N+](=O)[O-])cc1F 612529083 LTEKZWZZUUHZJH-LLVKDONJSA-N 401.825 4.557 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3cc(F)c(F)cc3[N+](=O)[O-])CC2)cc1 727708613 XNVHTXLKOOXNAC-UHFFFAOYSA-N 404.413 4.680 5 20 HJBD C[C@@H](Cn1ccnc1)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 729260563 ISRCWFNMZZFBCY-KRWDZBQOSA-N 409.489 4.948 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 731465217 DQZMYAHAARFQKW-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD C[C@H](OC(=O)[C@@H](C)CSc1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 732389239 DXWWHKCRZNDIRR-STQMWFEESA-N 422.890 4.547 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)C2)cc1 743068880 PFGIHHVDRJLAGA-HNNXBMFYSA-N 403.866 4.743 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1oc2ccccc2c1CSc1ncccn1 745372341 HEHQIEKELZMWBO-UHFFFAOYSA-N 424.413 4.815 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 748558909 IHWMRPDXJLLWLG-HNNXBMFYSA-N 408.479 4.729 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 750184534 LCTZJTNHUJOERN-GOSISDBHSA-N 414.820 4.937 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 751257247 NQXXTSZOOQIFPE-UHFFFAOYSA-N 411.487 4.627 5 20 HJBD CCN(Cc1ccncc1)[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754047541 LWINFNCYBCCCPP-NRFANRHFSA-N 424.888 4.845 5 20 HJBD O=C(/C=C\SCc1ccco1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 754216905 FWCMPEIOSUOGFH-BENRWUELSA-N 424.434 4.793 5 20 HJBD COc1ccccc1-c1nc(COC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cs1 755059728 DDBONSQADFKEKZ-GFCCVEGCSA-N 416.430 4.713 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)CCc1cccc([N+](=O)[O-])c1 760027213 OKAZBQXVIZVDOA-SFHVURJKSA-N 418.925 4.705 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)c2)n1 760067332 AHEYHAYGZCAENH-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(CSc3ccc(Cl)cc3)no2)c([N+](=O)[O-])c1 761437665 SUCJGFPWCDCDSD-UHFFFAOYSA-N 420.834 4.617 5 20 HJBD CN(Cc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1)C(=O)OC(C)(C)C 762953949 GXPUCLAKFNBAEC-UHFFFAOYSA-N 424.457 4.695 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)cccc21 764283890 TWXVUPRHMNXTAO-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 769029354 VRYNABSMYAIPSY-UHFFFAOYSA-N 422.529 4.502 5 20 HJBD COCCCCN(Cc1ccccc1)Cc1ccc(Br)c([N+](=O)[O-])c1 769105393 BYODAKJAWZRJIN-UHFFFAOYSA-N 407.308 4.786 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1Cc1ccc(Oc2ccccc2)c(F)c1 771144802 DWUFLOCLBRITFV-UHFFFAOYSA-N 406.211 4.842 5 20 HJBD CC(C)CN(C(=O)/C=C/C1CCOCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496988 YHBWYWHJZOZQQB-BQYQJAHWSA-N 415.515 4.690 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(CNC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 777831734 IEXOFIJRFNDKCU-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CC(=O)c1ccc(N2CCC(Nc3cccc(Br)c3)CC2)c([N+](=O)[O-])c1 788569088 RUQBVGXSGZSFTD-UHFFFAOYSA-N 418.291 4.641 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)OC(C)(C)C)C(C)C)no1 789766594 ZQEDRQMSKOJEET-WBVHZDCISA-N 418.494 4.936 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)c2cc([N+](=O)[O-])c(N(C)C)cc2F)c1C 791330618 VQMZAIFTEYSWJH-UHFFFAOYSA-N 424.432 4.856 5 20 HJBD CCOC(=O)/C(=C/c1ccc(-c2c(F)cccc2F)o1)c1ccc([N+](=O)[O-])cn1 794948692 HYGLBODMJSSJLO-GXDHUFHOSA-N 400.337 4.632 5 20 HJBD O=C(NCc1ccnc(OCc2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809920043 KZJCZBLZNCYVEC-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1cc(Br)cnc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813066863 FEXZPMHYFTWPQB-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813117479 WDPFSQRESZQWEU-QGZVFWFLSA-N 420.227 4.955 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc([C@@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1318803463 IWSRAXOSAODJID-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD O=C(NCc1cccc(Cl)c1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1321578313 VKLBGEPMAHNRMW-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCOc2cccc3ccccc23)o1 1322204708 FTIUWDYGELJLAP-UHFFFAOYSA-N 405.410 4.722 5 20 HJBD O=C(COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccc(-c2ccccc2)cc1 5656004 UQYUZFIHIHWTFP-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CCc1ccccc1NC(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 26580917 VIYQQLQNXVVXCA-HNNXBMFYSA-N 417.534 4.768 5 20 HJBD C[C@@H]1C[C@@H]1CNC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 32390518 JDBVHENJTHUJLV-VKMDTLBDSA-N 407.495 4.907 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2C)cc1[N+](=O)[O-] 44634530 MMTOVENEZHBKNF-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 49639874 UNNYFKDSHQAMJG-UHFFFAOYSA-N 409.373 4.737 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)Cc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 52956936 GLOWLMRTKWXORY-HNNXBMFYSA-N 408.433 4.574 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(OC(F)F)c(F)c1 55545417 CYNLMLCKQADJKP-UHFFFAOYSA-N 412.367 4.534 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2ccccc2)c2cccc(Cl)c2)s1 301938215 RSCAXIKXJZRWCF-KRWDZBQOSA-N 422.915 4.915 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2nc(C3CCCCC3)cs2)c1 303479780 OJSROOMWZIKJAY-UHFFFAOYSA-N 405.545 4.793 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 327858289 VZYXRXJJPJLBJC-SECBINFHSA-N 417.181 4.867 5 20 HJBD C[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC[C@H]2CCCCO2)c(F)c1 433388065 TVOJGXODOIEFRU-OXJNMPFZSA-N 402.466 4.575 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 436145647 WCVYVPRXLKCXAE-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Oc2ccc(F)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 443512805 XOMVMUHPUFCULL-UHFFFAOYSA-N 409.295 4.510 5 20 HJBD C[C@@]1(c2ccccc2)CCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444233469 FCRAVFZSSZXUJJ-RUZDIDTESA-N 418.443 4.763 5 20 HJBD CO[C@](C)(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CC1 462909010 ZGOXTQHBUHPJLL-GOSISDBHSA-N 409.280 4.522 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(Br)cc1OCCCO)c1cccc([N+](=O)[O-])c1 462972567 ZDMGVPOCVNHMSY-ZIAGYGMSSA-N 423.307 4.530 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 463184014 JXHNNYCYIWHOMD-SOFGYWHQSA-N 416.422 4.815 5 20 HJBD Cc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccn2)cc1 471391474 KGKFVAKUNXDJQQ-IBGZPJMESA-N 415.371 4.836 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(COC(C)(C)C)on3)n2)cc1[N+](=O)[O-] 472512256 BHJANTZVUVUPJX-UHFFFAOYSA-N 416.459 4.582 5 20 HJBD O=C(Nc1ccc(Br)cc1OC(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 475591944 VPKSQPCMEUZOHB-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD O=C(Nc1ccn(-c2ccccc2)n1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 477073132 VWURUGPAOYMQSA-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CCC(=O)c1ccc(NCC2CCN(Cc3csc(CC)n3)CC2)c([N+](=O)[O-])c1 480023288 ZCYUENARIMQOMN-UHFFFAOYSA-N 416.547 4.531 5 20 HJBD CCCCc1nc(CSc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)cs1 481338965 MIVBJDAOTWEXMI-UHFFFAOYSA-N 403.533 4.965 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Br 483333445 LRYDBMBPPFVRLE-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(-c2ccc(Cl)cc2)s1 484709274 WBSBNCDGPSPEFC-UHFFFAOYSA-N 409.254 4.601 5 20 HJBD O=[N+]([O-])c1cc(CNc2nc(-c3cccnc3)nc3c2CCC3)cc(C(F)(F)F)c1 486020682 VRXXQMHLWMXWMC-UHFFFAOYSA-N 415.375 4.566 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(C(C)(C)c3ccccc3)s2)c1[N+](=O)[O-] 486224794 KDKZUEGHLFYIPU-UHFFFAOYSA-N 414.512 4.746 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)C(=O)Nc1ccccc1 487557077 KUORAGCLFZNBPV-OAHLLOKOSA-N 421.478 4.503 5 20 HJBD C[C@H](c1ccc(C(C)(C)C)cc1)[S@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 488792307 ANLJGWYNYAEYCN-GMYLUUGSSA-N 403.500 4.769 5 20 HJBD CCN(Cc1ccc(OC)cc1OC)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 499348521 VOCKRIJXKFZBCU-UHFFFAOYSA-N 400.475 4.572 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 502507387 NEWSTWGMWJFJKU-UHFFFAOYSA-N 424.375 4.715 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)nc(/C=C\c1nccn1CC(F)(F)F)n2-c1ccccc1 502816253 ALXMGIJSDLODDM-HJWRWDBZSA-N 413.359 4.863 5 20 HJBD Cc1nn(C)c(C(=O)NCc2ccc(Oc3cccc4ccccc34)cc2)c1[N+](=O)[O-] 512361588 CMFAGFBZDOENTJ-UHFFFAOYSA-N 416.437 4.512 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3cccs3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 512697590 SUJVUGPYNOZXET-UHFFFAOYSA-N 412.515 4.569 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])n[nH]1 513304410 YBOKTWWKIPQPID-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)CCOc1ccccc1[N+](=O)[O-] 516355755 MGHCLYOVCCMOMI-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc(OC)cc3[N+](=O)[O-])c12 517906273 WNGLTMJCWOOWDF-UHFFFAOYSA-N 422.397 4.604 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1c(Cl)cccc1[N+](=O)[O-] 518373769 YPOXRVLMPBSJIE-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)[C@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 518725420 RFVQGFXDJNQDRA-SJORKVTESA-N 420.465 4.915 5 20 HJBD Cc1cc(CNC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2[nH]c(C)c(C)c2c1 518923674 CCTAFIMFAMXVFT-UHFFFAOYSA-N 420.513 4.534 5 20 HJBD C[C@H](C(=O)N(Cc1cccc(-c2cccnc2)c1)C1CC1)c1cccc([N+](=O)[O-])c1 519797770 FEKFNDOOKQNPDK-KRWDZBQOSA-N 401.466 4.952 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(OCc2cccc(F)c2)c(F)c1 522238501 VXPABVCRYYWTMC-UHFFFAOYSA-N 413.380 4.774 5 20 HJBD C[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)o1 525355311 ZAGVICVPOGUVKX-MRXNPFEDSA-N 408.458 4.633 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 533385084 ZHRFCUUDFGGFGC-OAQYLSRUSA-N 422.485 4.641 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cnc(-c2ccccc2)s1 536645023 LLBQPAASZUEDJK-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD COc1ccc([C@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 537027421 WAPYVPMDSVLYJT-GAJHUEQPSA-N 419.481 4.942 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2COC(C)(C)CC)cc([N+](=O)[O-])c1 543492344 HXULJNGFSYZXGK-UHFFFAOYSA-N 414.458 4.729 5 20 HJBD O=C(NCCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543501648 UZLQFJQBUDVSCA-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 544402906 VCRWVQDVLYKCEV-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])cc1OC(F)F)c1ccccn1 544846812 MTFUAETXVZGSOR-IBGZPJMESA-N 401.369 4.801 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(Cl)ccc(C(=O)OC)c1C 545937245 MKIJCOMLMFMNOH-AWEZNQCLSA-N 404.850 4.551 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cccc(-c4cscn4)c3)no2)c1 546488318 HNCWCHFYTYFRFR-UHFFFAOYSA-N 403.423 4.771 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC)cc2OCc2ccccc2)c1 549007040 SCZKVKUOPVRJAP-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCC=C(c3ccccc3)C2)cc1[N+](=O)[O-] 551361909 FSZCFRANIVTPIJ-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@@H]1[C@H]1CCCO1 552412443 YOMXUEIEWHFLSB-IEBWSBKVSA-N 416.861 4.824 5 20 HJBD CC(C)(C)c1nc(Cc2noc(-c3cc(Br)cc([N+](=O)[O-])c3)n2)cs1 569655856 ATXUVLFXHRSJOR-UHFFFAOYSA-N 423.292 4.752 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(Cc3cccs3)[C@@H]3C=C[C@H](CO)C3)o2)cc1 589207265 HYJSZRNTABJEHB-QFBILLFUSA-N 410.495 4.856 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@@H]1c1ccc(Br)cc1[N+](=O)[O-] 602760981 ZHPKMZPSXMPSHL-HNNXBMFYSA-N 410.655 4.597 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 603975168 LKJKGOQGMHPWSK-LBPRGKRZSA-N 421.906 4.506 5 20 HJBD Cc1sc(NC(=O)c2cccnc2N2CCCCC2)nc1-c1cccc([N+](=O)[O-])c1 603997548 NRFNMXREUUQCHU-UHFFFAOYSA-N 423.498 4.664 5 20 HJBD CCOCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 608807748 ZJXGDASCNPSYPZ-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@H]2C)cc1[N+](=O)[O-] 609508238 GMZHDLVXKYUWRE-SJKOYZFVSA-N 406.891 4.572 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 609766291 GNMGRUORWKFGCK-CYBMUJFWSA-N 423.391 4.605 5 20 HJBD O=C(Nc1cc(F)cc(F)c1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609853978 YYDNVKVYWBAKJE-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(F)cc1F)C1CC1 610101526 VUZMATHQVUWSDF-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1OC 610171353 OYFWQYQLILHZSH-SNVBAGLBSA-N 413.257 4.752 5 20 HJBD C[C@@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1ccccc1[N+](=O)[O-] 610341294 QBWQNGXWUUPHDJ-QGZVFWFLSA-N 401.466 4.900 5 20 HJBD CCc1ccc([C@H](NCc2ccc(C)nc2N2CCCC2)c2ccc([N+](=O)[O-])cc2)o1 612416765 GHERYFRHUGHMSO-HSZRJFAPSA-N 420.513 4.933 5 20 HJBD O=C(OCc1ccc(C(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1Br 725984203 FUWPFMVGJPWOSV-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC[C@H]3c3nc4ccccc4s3)c2)cc1 728895687 DDFCKZUETFSFAN-IBGZPJMESA-N 405.483 4.863 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCCc1nnc(-c2ccccc2)o1 735646301 VRYWJOGLRKUTSW-UHFFFAOYSA-N 401.806 4.535 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3occc3s2)cc1)c1cccc([N+](=O)[O-])c1 735991404 USQQKNDSIALYSE-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD Cc1ccc([C@@H](C)C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)o1 744368980 ORTAIVMWUHOWDT-CQSZACIVSA-N 406.398 4.684 5 20 HJBD C[C@H](OC(=O)Cc1csc(Cc2ccc(F)cc2)n1)c1ccccc1[N+](=O)[O-] 749054114 IZTCHWIIDUQSDR-ZDUSSCGKSA-N 400.431 4.628 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750542618 VBTHQCCFHKECHQ-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1cc([N+](=O)[O-])ccc1Br 751072837 NBAUYIQBUQVAHK-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])s1 751096971 VTMGTEBRRRIQPG-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ncc(-c2ccc(Cl)cc2Cl)o1 753334701 HJAOSCBJOQBLHM-VIFPVBQESA-N 410.213 4.813 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)c1nc2ccccc2s1 755023900 AWLXSHBOTBSZNM-SECBINFHSA-N 421.276 4.850 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccc(F)cc1)C1CCOCC1 755210364 GFQVBEKUSHLFSG-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1ccc(F)cc1[N+](=O)[O-] 758117264 ZYAFKDUWMAZTQN-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc(-c2cc(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)on2)cc1 760498270 QAFAKODTKFSWFQ-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD C[C@@H](C[C@H](O)c1ccccc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774666774 LAELAGXCQWIUPO-HZMBPMFUSA-N 405.323 4.568 5 20 HJBD O=C(CCC(=O)N1CC[C@H](n2cncn2)C1)c1ccc2ccc3cccc4ccc1c2c34 777224591 MCQHTULOQQNSNR-FQEVSTJZSA-N 422.488 4.612 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COc1ccccc1OCC(F)(F)F 778793847 FICXEWHFAHUINU-CYBMUJFWSA-N 413.348 4.528 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(OC3COC3)cc2)ccc1Oc1ccc(F)cc1 780580861 OOEVYCAXTPWZHH-UHFFFAOYSA-N 410.401 4.916 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)c1F 790386658 LYBHAMTXGILFKC-IBGZPJMESA-N 408.433 4.725 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 791531455 CRQRBOMUDTXZTJ-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD CC(C)c1ccoc1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 792615588 MBZOUNBTXRZZDX-SNVBAGLBSA-N 414.336 4.514 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc3cc(Br)cnc23)cc1 795105198 BKLQGSUXNOAXDW-UHFFFAOYSA-N 403.261 4.714 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CCCC(F)(F)C2)cc1)c1cccc([N+](=O)[O-])c1 799856960 IEVODKZHHMZASJ-CQSZACIVSA-N 404.369 4.578 5 20 HJBD CC(C)(COCc1ccccc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 800291162 JJSWOWACMDSVIB-UHFFFAOYSA-N 410.426 4.536 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCCC2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812923241 AGPDOZATPHZNIO-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 875036635 HMHDJANQQFULEC-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(Cc2ccc(Cl)cc2Br)o1 1117215068 SUGYHOUSJRXZQI-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD CCc1ccc([C@@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])c2cccc(F)c2)cc1 1318009141 KJDXDMKPLUKCKO-JOCHJYFZSA-N 408.429 4.824 5 20 HJBD CN(C)[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 1318670640 LEXPLLWGTOXMSU-ZWKOTPCHSA-N 417.893 4.643 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@H]2OCCC[C@H]2C1 1319452063 NEHLPQLNAGJYEC-HNAYVOBHSA-N 416.861 4.682 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(S(=O)(=O)CC)c1 1319856760 FFFUVCXUQILLLO-UHFFFAOYSA-N 422.528 4.533 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC(Cc2c(F)cccc2Cl)C1 1331680798 ASNPMEMRWCZWDZ-UHFFFAOYSA-N 416.758 4.721 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1338006633 YBLQCHMDXPIRQQ-QGZVFWFLSA-N 420.431 4.883 5 20 HJBD O=C(COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1Cc1ccccc1 10748747 QKWNHJRZNHMPBH-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD O=C(Cc1ccc(Br)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 14376752 XPHZSDGWXQVXSR-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD Cc1ccc2nc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)[nH]c2c1 21345989 XFWIXAFRJVNSFD-SNVBAGLBSA-N 424.404 4.918 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)c(F)c1 26379002 ASHPJDOUBVWOMS-UHFFFAOYSA-N 421.428 4.592 5 20 HJBD C[C@@H](N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(C)(C)C 30422873 IHSBSVRZQLIALD-QGZVFWFLSA-N 420.513 4.883 5 20 HJBD C[C@H](CCc1ccccc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52932545 ALDCRHCLWISMDN-QZTJIDSGSA-N 418.497 4.914 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 52959801 BRIGNNCIOVAHDI-GFCCVEGCSA-N 418.375 4.505 5 20 HJBD COc1ccc(CN2CCC(c3nc4cc(Cl)ccc4o3)CC2)cc1[N+](=O)[O-] 52993826 TYOVSIKGOQOWNV-UHFFFAOYSA-N 401.850 4.778 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1csc([N+](=O)[O-])c1 56027527 IATBHWICQUHACE-UHFFFAOYSA-N 407.879 4.625 5 20 HJBD Cc1cc(-c2csc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c(C)o1 64737728 KLZGCJGNIFVLMM-LLVKDONJSA-N 421.862 4.988 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCC(C)(C)c3ccccc32)cc1SC 97547890 UPSMQYWHFBWXAW-INIZCTEOSA-N 400.500 4.868 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccccc2-c2ccc(Cn3ccnc3)cc2)cs1 237996847 LZGPIXPWOMHUHQ-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(Cc3ccccc3)nc2-c2cccs2)cc1 237998025 BCYVULGHARSECW-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426255577 YQPQYXDKDADERV-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(O)(C(F)F)CC2)ccc1Oc1ccccc1Cl 428452053 IWSQSQXRBMPMEV-UHFFFAOYSA-N 412.820 4.633 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc2c(cc1Cl)CCC2=O 429487747 HHRYMIALQHJYLX-UHFFFAOYSA-N 416.864 4.576 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 441379866 FFGJNLKNTUUAAM-QMMMGPOBSA-N 401.307 4.632 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(O)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 444011558 SOSXBEARQAYIQB-INIZCTEOSA-N 408.454 4.739 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ncc(-c2ccccc2)o1 444076247 QMDCXWMRVONCAD-OAHLLOKOSA-N 409.445 4.827 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(Cc3c[nH]c4cccc(Br)c34)n2)s1 445480345 JMIQKNJRYNFVIF-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cc(N2CCCC2=O)ccc1Cl 462229992 FPVCQEJUZNGTSF-UHFFFAOYSA-N 403.866 4.774 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1cccnc1 462998304 TYZYWZAHJRNHOA-QHCPKHFHSA-N 417.509 4.830 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2nc3ccc(Br)cc3o2)C2CC2)c1 463089647 FCCUIFPFQKPJDC-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])n2C)nc1-c1ccccc1 464060148 TXJISOCJUIEBCW-MRXNPFEDSA-N 408.458 4.689 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 465654274 HFOVQAWRQDPKNE-KRWDZBQOSA-N 404.470 4.950 5 20 HJBD O=C(NCC1CCOCC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466424819 YKJASYSKRGPWDI-UHFFFAOYSA-N 406.891 4.556 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccn2)c1C 466521887 IAGFJIWMNHQZPM-UHFFFAOYSA-N 420.469 4.741 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467158212 KYSVAZUNRAAHSS-UHFFFAOYSA-N 411.245 4.572 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 471298158 XGPZJZPXPPDLPH-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD CC(C)(C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 473242536 VDPPTLBICHHTLT-UHFFFAOYSA-N 417.334 4.764 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1ccc(-n2ccc(C(F)(F)F)n2)cc1 474146284 HRAPKJCAZJOFDC-UHFFFAOYSA-N 406.364 4.558 5 20 HJBD O=C(N[C@@H]1CCCc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 477505521 GCYAJKYDNRXTHS-HXUWFJFHSA-N 404.416 4.767 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccc(O)cc2)CC1 478179675 NZJUJRYNRRCGHO-UHFFFAOYSA-N 412.511 4.978 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])NCc1ccc(COCc2ccccc2)cc1 480295284 USWJHWMBLBWRAQ-UHFFFAOYSA-N 422.506 4.720 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)cn1 481308268 PCLXRRHUMHLFAV-INIZCTEOSA-N 423.469 4.959 5 20 HJBD Cc1nnc(-c2ccccc2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)o1 482222724 WJGJSBRDUGEHSB-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD C[C@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(NC(=O)NC2CC2)cc1 483235803 GRZFJBYIRDNBMB-AWEZNQCLSA-N 424.501 4.667 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(Cc4ccccc4)n3)n2)cc1[N+](=O)[O-] 484117163 RZWCSJFZHDSSCO-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 486274692 MQCZAYSBLKMXAD-UHFFFAOYSA-N 407.451 4.953 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 486653269 PNSFCUPFXPLXCR-ICSRJNTNSA-N 404.470 4.579 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@@H](C(C)C)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 487753021 KMZXHGAVPFPQJD-NRFANRHFSA-N 418.497 4.856 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1 497492434 IBCMNYUWDODMIF-UHFFFAOYSA-N 418.409 4.914 5 20 HJBD CCOc1ccc([C@H](Nc2ccc(C(=O)NC)cc2[N+](=O)[O-])C(C)C)cc1OCC 498842688 ZPQMAUJNZMRWGN-OAQYLSRUSA-N 415.490 4.561 5 20 HJBD COc1cc(CN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 503372770 VVQPVYKWFXEQIH-NSPYISDASA-N 412.820 4.883 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3csc([N+](=O)[O-])c3)s2)cc1 505147446 UUZUDVXKEFFKLS-SNVBAGLBSA-N 403.485 4.588 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 505861857 GLBYSDUGVLNTNW-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD O=C(NCCC1CCOCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 510731858 JMLHUWKFXSBJSN-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc3n2CCCCC3)cc1OC 513578454 CWOPEFAMDBJQOW-AWEZNQCLSA-N 420.535 4.639 5 20 HJBD C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Br)cc1F 516693527 MCPUVNBRLOCSQT-VIFPVBQESA-N 408.227 4.554 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 517656764 VYHMJWQBVQSEER-UHFFFAOYSA-N 424.478 4.804 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)c1C 521157459 UFEVQMKCVIICBQ-CYBMUJFWSA-N 416.421 4.612 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 523184962 HEGAPHJLPQWEQC-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)NCc1cccc([N+](=O)[O-])c1 523435945 XYKPCUZAJDCBOU-UHFFFAOYSA-N 421.501 4.539 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 534808859 NSEGQAHFJJNFRJ-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)cs1 535205590 JNVPQZPHBDUODD-UHFFFAOYSA-N 422.510 4.901 5 20 HJBD Cc1ccc(C(=O)CCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 537152571 FDQXCIQCUHFUKN-UHFFFAOYSA-N 415.496 4.714 5 20 HJBD CO[C@H](c1ccccc1F)[C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 538487063 LVFVBMDBQLDNEH-YJYMSZOUSA-N 401.463 4.723 5 20 HJBD COCCc1ccc2oc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)nc2c1 538604093 WLFMUYXGSNWUQD-UHFFFAOYSA-N 410.455 4.661 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 538812098 XBKDZGMBJMTSMK-UHFFFAOYSA-N 407.495 4.889 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H]1c1ccccc1 539659540 PPHLRNPKRKJRJU-AZUAARDMSA-N 400.500 4.747 5 20 HJBD CN(C(=O)Cc1ccc(F)cc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451735 WORSYIDZOOWPKC-UHFFFAOYSA-N 405.838 4.716 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1C(C)=O 541417921 KGDHVSCVCQOGCR-UHFFFAOYSA-N 423.288 4.924 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 544622266 VYJJQKREDBTOEJ-LBPRGKRZSA-N 414.220 4.726 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc(Cl)cn1 549337907 VVIDTOIJTYSEKU-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 554683387 IJRILMYXGCMBLD-HNNXBMFYSA-N 418.453 4.847 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(c3ncnc4sc([N+](=O)[O-])cc34)CC2)cc1 573871418 WJEMZVCWTWBMEG-UHFFFAOYSA-N 424.526 4.897 5 20 HJBD C[C@H](C(=O)Nc1ccc(CC(=O)Nc2ccccc2F)cc1)c1cccc([N+](=O)[O-])c1 580022901 OCQKNJAMBBKMLH-HNNXBMFYSA-N 421.428 4.657 5 20 HJBD C[C@H]1CCC[C@@H](NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 603508796 OFVYFUDWAVJPLD-FXAWDEMLSA-N 418.497 4.685 5 20 HJBD Cn1c([C@H]2CCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)nc2ccccc21 604481180 IMQPLMAIVVQVFO-LJQANCHMSA-N 406.486 4.756 5 20 HJBD COc1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1F 608972980 OGQPFTYZARUHHQ-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(CCc1ccccc1)C1CCCC1 610038781 GTGOXRXEAMRPPG-UHFFFAOYSA-N 417.303 4.985 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1F 610069443 LRXJVLXCDPJMDL-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCCCOC1CCN(Cc2ccccc2)CC1 610830741 KRTWCVWTGFFYTH-HXUWFJFHSA-N 411.546 4.625 5 20 HJBD CCn1cnnc1-c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 610910215 IEIFADPNYBWIST-UHFFFAOYSA-N 406.471 4.609 5 20 HJBD CC(C)C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611475781 MAMJHDCAOWAWOG-RDJZCZTQSA-N 406.548 4.953 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)c1[N+](=O)[O-] 614400871 LPIODXGEBFWXJB-IUCAKERBSA-N 416.343 4.520 5 20 HJBD CCOc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OCC 681946700 NITAAQUKDLATNI-UHFFFAOYSA-N 402.450 4.731 5 20 HJBD CC(C)c1nnc(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 726993200 TZNGSYGPACUUMJ-UHFFFAOYSA-N 417.805 4.904 5 20 HJBD CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730321170 LLFILZGWEYORAC-AGBQBWRISA-N 420.534 4.689 5 20 HJBD CSc1ccc(C(=O)Oc2cccc(NC(=O)c3cccs3)c2)cc1[N+](=O)[O-] 734116119 QXCLWYQUHSSJIE-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD CCCOc1cn(-c2ccccc2)nc1C(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 736159252 FSCTZDIDVWCABC-UHFFFAOYSA-N 414.849 4.783 5 20 HJBD C[C@@H](OC(=O)C[C@@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 738742986 HGVDKNJAAMVBFO-CHWSQXEVSA-N 424.375 4.678 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 741300241 CQYFOJGIDRYARM-LLVKDONJSA-N 417.215 4.930 5 20 HJBD COc1cccc([C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2Cl)c1 741605907 NJCQFVZBBCFVAC-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CCn1nc(C)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1Cl 741934731 GUVBUPHHCYVVBO-UHFFFAOYSA-N 400.822 4.818 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cc3ccccc3[nH]2)CC1 744045814 NFQOEBXNNSZASC-OAHLLOKOSA-N 409.511 4.963 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ncc(-c2ccccc2Br)o1 744529086 GTWPXNOPFVBAMK-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@H]1c1ccc(F)c(F)c1 746851590 WJPIJSHEVFVNPF-INIZCTEOSA-N 400.303 4.869 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2cn3cc(Cl)cc(Cl)c3n2)c1 750110419 KSUWPSGRUWFYAS-UHFFFAOYSA-N 412.254 4.628 5 20 HJBD C[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 751334100 FEYXDXFUBTYCLR-CQSZACIVSA-N 422.416 4.869 5 20 HJBD C[C@@H](C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1F 754484231 XUWKSUVPRUXDDT-RFAUZJTJSA-N 413.232 4.784 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)cc2Cl)o1 757958141 MGZKCTGLFOFOPN-SECBINFHSA-N 408.197 4.870 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cc3ccccc3nc2Br)cc1 761527725 ZDOCRZMEAAMGQG-UHFFFAOYSA-N 403.261 4.714 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2csc(COc3ccc(F)cc3)n2)n1 762073346 ZQSVHUATQSJGGZ-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c(C(N)=O)c1-c1ccccc1 764186362 HCVITWBOHNNNDU-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nnc(-c3ccccc3)n2-c2ccccc2)cc1 776279267 WNJDNMYGBOTYQQ-FQEVSTJZSA-N 418.478 4.668 5 20 HJBD Cc1nc(COc2ccc(N[C@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])cc2)no1 777687331 ZFIFIZIUCSHLAD-JXFKEZNVSA-N 408.458 4.689 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781618117 FQISTVKLRWYXTR-UXHICEINSA-N 411.458 4.620 5 20 HJBD CN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1c2ccccc2-c2ccccc21 781696261 ADCBWJDBRCEUKR-UHFFFAOYSA-N 417.421 4.664 5 20 HJBD C[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 782677070 QBVPKJVMDDKJCN-HNNXBMFYSA-N 421.409 4.573 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914796473 AUWLQBUXVJDZBZ-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD CCCc1cc(=O)oc2cc(OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)ccc12 916553911 JBAPTUAGJPLQRF-CYBMUJFWSA-N 413.451 4.740 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2nc3ccccc3[nH]2)cc1 917561917 QYZXJKNWTLGMGB-UHFFFAOYSA-N 419.393 4.629 5 20 HJBD COc1cc(-c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)ccc1OC(C)C 1117233025 IJZBNQLWUCTQGC-UHFFFAOYSA-N 401.444 4.830 5 20 HJBD C[S@@](=O)Cc1ccc(Cl)c(NCc2ccc([N+](=O)[O-])cc2Br)c1 1325764858 XVIXONDEERKUOF-HSZRJFAPSA-N 417.712 4.501 5 20 HJBD CN(Cc1nnc(-c2ccc(Br)cc2Cl)o1)c1ccccc1[N+](=O)[O-] 1328404580 BYDGUOFEXBHAMK-UHFFFAOYSA-N 423.654 4.697 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(Oc2ccc(Cl)cn2)cc1 1339982875 FWMVKHBRZIKGGA-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD CCOc1ccccc1OCc1nnc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])o1 1349921698 GTPCWMNBEGGWGE-LBPRGKRZSA-N 419.821 4.749 5 20 HJBD COc1ccccc1Nc1nnc(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])s1 7272000 HQYAZEARCUSHOZ-UHFFFAOYSA-N 402.457 4.552 5 20 HJBD COc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc12 17936985 MWZVPIIZAINWLI-ZDUSSCGKSA-N 419.393 4.725 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2ccccc2C)nc2cc3ccccc3cc2c1=O 22178669 MWOYFYZMGZVVMD-INIZCTEOSA-N 417.534 4.997 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Br)cc2)cc1)c1ccc([N+](=O)[O-])o1 28316809 ZBYQWPRGHZFPOQ-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 49797693 BIQZPWBFCZPABX-JTQLQIEISA-N 400.237 4.943 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(OCC)c(OC)cc2[N+](=O)[O-])cc1Cl 55770450 JSVQCLFIHABQOC-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD COc1cc(C(=O)Nc2cc(C)cc(C)c2)c([N+](=O)[O-])cc1OCc1cscn1 56380662 WPTUKQWJFSLMMI-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2Cl)n1)NCc1ccc([N+](=O)[O-])cc1 61168023 YICBDIAWPBLJQC-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NCCc2ccccc2)cc1[N+](=O)[O-] 115058832 BEESCQOMIOJPMZ-UHFFFAOYSA-N 420.490 4.520 5 20 HJBD CCOC(=O)CCc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 236834017 ZLNGUECDEGCAPY-UHFFFAOYSA-N 411.483 4.826 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CC3(CCC3)[C@@H]2C2CC2)cc1 427572969 PLQDVPINDZGAJK-NRFANRHFSA-N 408.454 4.800 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc(-c2ccccc2F)cs1 428546927 BWCFJGUFINHCJU-HNNXBMFYSA-N 413.474 4.743 5 20 HJBD CC(C)(NC(=O)Nc1cccc(COCc2ccco2)c1)c1ccccc1[N+](=O)[O-] 432679095 HTXIPIHPOZQMRR-UHFFFAOYSA-N 409.442 4.961 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 432802262 DBXVHNOJKGLQFN-KRWDZBQOSA-N 423.513 4.834 5 20 HJBD CC(=O)N(c1nc(COc2cc(F)cc([N+](=O)[O-])c2)cs1)c1ccccc1F 433627840 FDQTVOGGCXPXLH-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 434329635 BHMBOJDBABOJJX-UHFFFAOYSA-N 423.263 4.552 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(c2ccccc2)CC1 435936934 IAGADTRAVXWOHT-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3ccc(Cl)cc3s2)cc1SC 436447083 AHFXTCVGZRNULJ-UHFFFAOYSA-N 423.903 4.865 5 20 HJBD O=C(NCC1(O)CCCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440642663 ZMIGLUUEPWGLBQ-UHFFFAOYSA-N 420.918 4.824 5 20 HJBD O=C(Nc1ccc2nccnc2c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440682049 KSUROVDAQVDKOW-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(CN(C)Cc2ccccc2)CC1 443965131 XHELIMKTIGQDBX-UHFFFAOYSA-N 410.518 4.588 5 20 HJBD O=C(CCOc1ccccc1-c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446430966 HBZYTMQZUCIVAA-UHFFFAOYSA-N 402.450 4.616 5 20 HJBD O=c1c2cc(Cl)cc(Cl)c2ncn1Cc1ccc([N+](=O)[O-])cc1C(F)(F)F 448112279 CPGKFHUTNXLYFH-UHFFFAOYSA-N 418.158 4.679 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)c1 460988117 BRDVPIQVWGAWGP-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD CC[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Cl)cc1 465481241 BZERFOVGLLNNRB-HXUWFJFHSA-N 417.893 4.952 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1 468060178 GMWUMUIJCVPOGT-UHFFFAOYSA-N 410.367 4.533 5 20 HJBD Cc1cc(C)c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1Br 481710799 FXHIMHHMROQAHJ-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD COc1cc(C(=O)Nc2c(C)cc(Br)cc2Cl)cc([N+](=O)[O-])c1C 485571782 ATOJVMNJXUEARY-UHFFFAOYSA-N 413.655 4.888 5 20 HJBD CC[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 487827001 WOILFQAKHXXJJK-OAHLLOKOSA-N 407.417 4.882 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)o1 488820631 SQXSEZIJIJTHNZ-GUYCJALGSA-N 412.471 4.639 5 20 HJBD COc1cccc([C@@H]2CCCN2Cc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c1 491091312 OTORPIKLFFIWBM-KRWDZBQOSA-N 422.428 4.593 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@H]2CCO[C@@]3(CCSC3)C2)cc1[N+](=O)[O-] 491147730 OLPCRVQBNPWJTI-JXFKEZNVSA-N 424.588 4.518 5 20 HJBD CCOc1cc(CN(C)C(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1OC(F)F 497648755 FTYFSRORBUFLTQ-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD O=C(Nc1ncccc1O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 500229203 CRNUFBPZSYDZGM-UHFFFAOYSA-N 401.831 4.752 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2c(F)cccc2F)CC1 501383317 YCBBMJGHHIOVCI-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@H]1c1ccccc1 503418800 LDSJRWKLHLDXFZ-UZLBHIALSA-N 400.500 4.605 5 20 HJBD C[C@H](Nc1ccc(-c2nc(Cc3ccc(F)cc3)no2)cc1[N+](=O)[O-])c1ccccn1 504675584 JFHKIAFQZQYHSY-AWEZNQCLSA-N 419.416 4.943 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H](c3c(F)cccc3F)C(F)(F)F)co2)cc1 506276827 FVRIUFYWFQBIDM-MRXNPFEDSA-N 413.302 4.921 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCCn2nccc21 509203067 OBOFEMCKTIHKPJ-UHFFFAOYSA-N 414.874 4.646 5 20 HJBD CCOc1ccc(C2(CNc3ccc([N+](=O)[O-])c4cccnc34)CCOCC2)cc1 511724634 XVQSEIMTJMHXHH-UHFFFAOYSA-N 407.470 4.702 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3c[nH]c4ccccc34)C(F)(F)F)n2)cc1 513133958 RBLUFBQMGHHEOL-KRWDZBQOSA-N 417.347 4.519 5 20 HJBD C[C@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 520245167 SDDVTIMVKVXGII-CYBMUJFWSA-N 418.375 4.932 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc(CC(F)(F)F)cs1 520278565 BTXBOHNYDZJVTM-UHFFFAOYSA-N 411.814 4.540 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(COCCc3ccccc3)c2)cc1[N+](=O)[O-] 520448163 NPSDBSFEMPRFSD-UHFFFAOYSA-N 405.454 4.648 5 20 HJBD O=C(NCc1cccc2cccnc12)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 521055617 HUAMKZNKWWJIRM-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD COc1ccc(NC(=O)CNc2ccccc2O[C@@H](C)c2ccccc2)c([N+](=O)[O-])c1 522402210 GUAZJOCIAOKXEY-INIZCTEOSA-N 421.453 4.794 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 522677933 HAZKNMIYJWEKPN-UHFFFAOYSA-N 417.425 4.545 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 523063152 RODSJFPWWUZLAX-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc(Cl)cc2O)cc1[N+](=O)[O-])c1ccccn1 532837329 NEMSTFGFAYXKNQ-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD CC[C@H](C)NS(=O)(=O)Cc1cccc(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)c1 534094345 UUNCKNNEGWCSAK-INIZCTEOSA-N 419.547 4.548 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 534813466 NCQUNTFWTACDOE-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 536102143 DJZXWERLSOCDQZ-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O 537573089 XBTVHCPJFLXVQX-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD CCO[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n1 537620068 NJDLSVKNDAZQAY-LBPRGKRZSA-N 420.853 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H](C)c1cc2c(cc1Br)OCCO2 539093290 JMIZZLRDOHNITF-QWHCGFSZSA-N 421.291 4.849 5 20 HJBD CC[C@@H](OC1CCCCC1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352064 DJWZLTCSOHHNOJ-GOSISDBHSA-N 403.504 4.809 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cccc(NC(=O)c2cccs2)c1 539748864 XSQLKETZPYEYIJ-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 540348633 ROEWZCWKFVUVTD-JLSDUUJJSA-N 402.441 4.535 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cc(OCC(F)F)ccn2)no1 547257735 SMSQPRMCLWJTMH-JTQLQIEISA-N 408.386 4.537 5 20 HJBD COC[C@@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1cccc(Cl)c1 564067607 OZUWNIJCISTKES-GFCCVEGCSA-N 400.660 4.514 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 565329097 MOZAQLOQHJYXHE-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 583844390 GQDQSOGCPKDSAX-UHFFFAOYSA-N 417.849 4.613 5 20 HJBD C[C@@H]1CCc2c(sc(NC(=O)c3ccccc3[N+](=O)[O-])c2C(=O)c2ccco2)C1 590535148 ZJLRJIZIAJMOHC-GFCCVEGCSA-N 410.451 4.857 5 20 HJBD Cc1ccc(NC(=O)NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 603643026 SPSAUNBIGDZIMA-NXEZZACHSA-N 413.318 4.934 5 20 HJBD COc1ccc(OCc2csc(N(C(C)=O)c3ccc(C)cc3)n2)c([N+](=O)[O-])c1 603948164 ZVWKLVZRYXEZQB-UHFFFAOYSA-N 413.455 4.632 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 609192374 VEHSXQWSKSGFJE-XLKFXECMSA-N 413.318 4.632 5 20 HJBD Cc1ccc(-c2ncc(C(=O)Nc3ccnn3-c3ccc([N+](=O)[O-])cc3)s2)c(C)c1 609453421 KXXNSYAPXYIJCP-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCSC[C@H]1c1ccc(Cl)cc1 609700088 AGKPPWFUHXGPQU-KRWDZBQOSA-N 408.932 4.657 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(CS(=O)(=O)c3ccc(F)cc3F)c2)c(F)c1 609765202 KURYNKXSJSICNN-UHFFFAOYSA-N 422.384 4.730 5 20 HJBD O=C(Nc1ccc(NC[C@H]2CCCO2)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 610069331 LNAWVWDJJJIDTR-OAHLLOKOSA-N 415.446 4.792 5 20 HJBD C[C@@H](O)C[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610126239 QTXVXLAOURGTCY-CHWSQXEVSA-N 408.907 4.536 5 20 HJBD CN(C(=O)C1CC(OCc2ccccc2)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400758 LMXSHSBVAXALHX-UHFFFAOYSA-N 423.494 4.677 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1csc(Cc2ccc(F)cc2)n1 726933591 REIMZKJUYUTCDH-UHFFFAOYSA-N 419.865 4.707 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 727053307 PBEOIHODNDCGGV-UHFFFAOYSA-N 424.356 4.879 5 20 HJBD CC(C)Oc1ccc([C@@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])cc1 731973876 MPFKROODWFZKPW-GFCCVEGCSA-N 414.430 4.551 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 733997763 JHPHRYPORFQXAM-QGZVFWFLSA-N 408.502 4.914 5 20 HJBD COc1ccc(CNCc2c(C)nn(-c3ccc(Cl)cc3)c2Cl)cc1[N+](=O)[O-] 742717299 GAYVULGBYJUWKX-UHFFFAOYSA-N 421.284 4.694 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccc(Cl)c2Cl)nn1 745265067 HOWICQUEYTZZHQ-UHFFFAOYSA-N 420.208 4.841 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1 745301401 FADWXIRBYBKSHB-WDEREUQCSA-N 411.241 4.576 5 20 HJBD CCc1ccc(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 747013823 UKCBVOYDYDJQLJ-UHFFFAOYSA-N 404.422 4.620 5 20 HJBD C[C@@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(C(F)(F)F)c1 751666105 YYYIQCSTTSMTJY-NSHDSACASA-N 408.332 4.992 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@H](c2ccc(F)cc2)C1 755024308 LWONOCDRTPKWJR-LBPRGKRZSA-N 408.227 4.518 5 20 HJBD COc1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c(C(F)(F)F)c1 757204838 YTTZMYUTNRXANV-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc2oc(C(F)(F)F)nc2c1 757222973 ZJKQDEJCGNGHIM-UHFFFAOYSA-N 414.727 4.730 5 20 HJBD CC(C)c1noc(CCc2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n1 761857394 IJFKYYLWCWYDLR-UHFFFAOYSA-N 421.413 4.729 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 762982405 UYTMFGPIRKGMCQ-UHFFFAOYSA-N 421.434 4.918 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763400104 LKULXFKILUKVFF-UHFFFAOYSA-N 412.392 4.724 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 769992066 VBTCVKVNTUQIEV-OAHLLOKOSA-N 415.799 4.778 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1ccncc1)c1cc(Br)cc([N+](=O)[O-])c1 770436251 DPKWAXDWKOOSTO-SFHVURJKSA-N 413.227 4.699 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1cc(Cl)ccc1[N+](=O)[O-] 772563086 UMYJGQOGSVCTCF-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775935245 XSOSFPYCZLRVMB-YGRLFVJLSA-N 423.252 4.502 5 20 HJBD CC(C)(C)n1nc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C1CC1 776138737 RZLZMWXUXCOXCP-OAQYLSRUSA-N 420.469 4.765 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 777942600 MHSJPGKEQSTEHL-UHFFFAOYSA-N 423.372 4.597 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782523403 VGXYKLWRNADDFX-CYBMUJFWSA-N 408.499 4.644 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1ccc(F)cc1)CC(F)(F)F 790929794 CFPBVINGDOKHLO-UHFFFAOYSA-N 422.737 4.660 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](c1ccccc1)N1CCC(F)(F)CC1 796542109 AJOTZTPVQROPOA-QGZVFWFLSA-N 409.820 4.659 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2)n1 801709985 DEZISGFLLYPSKT-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F 804345565 KGTVOFOLKPRIEI-UHFFFAOYSA-N 414.481 4.741 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)cn1 804359588 XWPLWJIFTHVJOL-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c([C@H](C)O)c2)cc1OC 814236555 CKWNTBSJUAOEGT-KGLIPLIRSA-N 406.454 4.833 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@@H](c1nc2ccccc2o1)C(F)(F)F 816064916 TUIUSWPRTWQZKK-KRWDZBQOSA-N 423.347 4.775 5 20 HJBD C[C@H](C(=O)O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 816065041 VXXKLRRLBVQWJO-VFZGTOFNSA-N 412.295 4.825 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2)Cc2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 867572034 MNERDVPMCNQAKE-UHFFFAOYSA-N 405.410 4.654 5 20 HJBD COc1ccc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc1OCC(C)C 915987457 DVNCMKPKZZFNGC-VQHVLOKHSA-N 421.453 4.504 5 20 HJBD CCCc1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 920217473 KFDRDEZIWHXGLE-UHFFFAOYSA-N 408.458 4.648 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@H]2CCCC[C@H]2C1 1318612587 VAWOOZQQPCHBIA-OLZOCXBDSA-N 423.300 4.740 5 20 HJBD C[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1ccc(F)cc1OC(F)F 1319770375 ZVPBTWDHYSPYBS-ZETCQYMHSA-N 406.158 4.666 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CSc2ccc(Br)cc2)n1 1320188842 FUGYGYFRKZIXFN-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(-c3cc4c(s3)CCCCC4)o2)C1 1324113097 SGBPIDGRSYGRSZ-MRXNPFEDSA-N 410.455 4.841 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1325783278 NPFKYLRHOPUKDG-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1328232170 NDBCRBIZQAYNTH-LBPRGKRZSA-N 407.348 4.922 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 1329660380 LDONNJGAWZBJJE-UHFFFAOYSA-N 400.366 4.635 5 20 HJBD CSc1nnc(CCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1CC(C)C 7366414 NIXCXHGEWBMADU-UHFFFAOYSA-N 417.457 4.628 5 20 HJBD C[C@@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1cccc([N+](=O)[O-])c1 45677268 GYKLOADKWFXWSM-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD CC(=O)c1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 52932775 OVSXUMJRGMZRHT-AWEZNQCLSA-N 404.426 4.618 5 20 HJBD CNC(=O)c1ccc(Oc2ccc3c(=O)c(-c4ccccc4)coc3c2)c([N+](=O)[O-])c1 53555564 OOFKPLGLMWPADB-UHFFFAOYSA-N 416.389 4.520 5 20 HJBD Cc1sc(CNC(=O)Cc2ccccc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 55426845 ZETGZJMSSUMJSD-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD Cc1sc(NC(=O)c2csc(CC(C)C)n2)nc1-c1cccc([N+](=O)[O-])c1 63596437 JUCMUXKHNJKEAJ-UHFFFAOYSA-N 402.501 4.934 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 63979747 YIXJUTXZCDUHEB-UHFFFAOYSA-N 422.510 4.937 5 20 HJBD CCCC(=O)Nc1ccc(CNc2cc(CC)nc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 64914424 HFZHOVBMPWTDLH-UHFFFAOYSA-N 419.485 4.965 5 20 HJBD Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c1Br 131248746 XKQOONGJKXUXFY-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1OC 247979463 FWXRNFSDKKHYED-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)COc2ccccc2[N+](=O)[O-])c1 303923694 WFBLLPBBCZOLFF-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N(Cc1cccs1)C[C@H]1CCCO1 426986417 KVRBBDHACZABOQ-QGZVFWFLSA-N 423.494 4.540 5 20 HJBD C[C@@H](OCCCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 435941710 VAEHFKHRRXOWIY-QGZVFWFLSA-N 400.478 4.579 5 20 HJBD O=C(N[C@H]1CCCOc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436295628 RYMWLUCWOHNETQ-IBGZPJMESA-N 420.415 4.603 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 441022394 RIVMDORJFDUTAA-UHFFFAOYSA-N 421.906 4.775 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])O[C@H](C)C2 443826838 BSNVRDMACJRAMT-SNVBAGLBSA-N 410.348 4.588 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@@H](CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 443972358 XLFSHUSWMAZAKV-INIZCTEOSA-N 416.909 4.821 5 20 HJBD CC(C)(C)c1cnc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)s1 444086881 PHXIUWQEIBEWBV-UHFFFAOYSA-N 413.449 4.966 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccccc2)c2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 444359475 BNTBQEAPXUXEDH-MRXNPFEDSA-N 419.268 4.707 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])c2)oc1C 445170498 CNMOAGRLBZJJFX-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@H]3c3c(F)cccc3Cl)n2)c1 445579315 MLYVIWWPXQDAMY-CHWSQXEVSA-N 403.797 4.641 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 447856818 ALSOCHXLMBIPSX-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCO[C@H](c4ccccc4)C3)o2)c([N+](=O)[O-])c1 462636333 CLTPTIWISZKRFN-HXOBKFHXSA-N 408.454 4.873 5 20 HJBD O=C(c1cc(C2CC2)nc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464672685 WDASSFPQQBUUOI-UHFFFAOYSA-N 417.465 4.704 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 466214453 AGGHUTWYXKYZFT-ZDUSSCGKSA-N 423.922 4.547 5 20 HJBD COc1cc(OC)cc(N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 468146102 MWOPFHMTHAZVIN-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 468164075 JQQJHFMAWDAHSL-OAHLLOKOSA-N 420.469 4.788 5 20 HJBD COCc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)o1 475195580 IBRNSTVWICCNSF-OAHLLOKOSA-N 413.352 4.722 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](c3cccc(Cl)c3)c3ccccn3)o2)cc1 475337041 ULJGGGNONZZNHW-FQEVSTJZSA-N 421.844 4.572 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2c(Cl)cccc2Cl)c(C(F)(F)F)c1 476267354 ZKOUFLJJRRZZCY-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(-n3cccn3)nc2)cc1[N+](=O)[O-] 477213629 DWQGKBHTWTUASF-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccncc2)c1 477525636 DXOQOTFAZPNQOD-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(OC2CCC2)c(F)c1 480158994 AXXZBSAIWBKINH-UHFFFAOYSA-N 416.452 4.864 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 480228168 IHUHCWBKGZELQI-NHCUHLMSSA-N 418.449 4.925 5 20 HJBD Cc1c([C@H](C)N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cccc1[N+](=O)[O-] 482947606 QGRYXFBJTTZSBJ-SJORKVTESA-N 404.470 4.962 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC)c(Br)c1 487567440 VCFWYYPCKDSZGF-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COc1ccc(-c2noc([C@H](C)SCc3ccc(OC)c([N+](=O)[O-])c3)n2)cc1 489561554 BALGOWZSGRNPJD-LBPRGKRZSA-N 401.444 4.656 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)cccc1[N+](=O)[O-] 494250936 MICNCMPBPWFNLC-OAHLLOKOSA-N 404.850 4.757 5 20 HJBD CCN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1cccc(Br)c1 501071895 AINOAGWVJVMCGZ-UHFFFAOYSA-N 404.264 4.598 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@H]1c1ccc(Br)cc1 502016362 ZGPLNARQYDPUDX-OALUTQOASA-N 417.303 4.898 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3nc(C(C)C)sc3c2)c([N+](=O)[O-])cc1OC 502476621 SGQGNDUKCIMGDP-UHFFFAOYSA-N 415.471 4.988 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)CCNc2ccccc2[N+](=O)[O-])cn1 504245030 CIGIKRUZXAKJPT-UHFFFAOYSA-N 422.441 4.540 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)C(F)(F)F)cc1 505403792 QQPXNXFUXUDGQV-BZNIZROVSA-N 414.405 4.504 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1OC(F)F 513306781 NRPHFMDIJYVKMA-UHFFFAOYSA-N 420.290 4.698 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 518474381 GGBZRQORGLIIMH-GFCCVEGCSA-N 409.389 4.866 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccccc1OC1CCCC1 520389015 SZTHAKDXPJHAQN-AWEZNQCLSA-N 418.877 4.653 5 20 HJBD CC(C)C[C@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 520393694 STLACVYGEGEGNB-MAUKXSAKSA-N 403.910 4.744 5 20 HJBD CCCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1c(F)cccc1Cl 521193659 MLUHOAUNQRSZFK-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD COc1ccccc1-c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 521891560 CICPUBHPNIZIBZ-CQSZACIVSA-N 417.425 4.889 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 522427637 OFUAVYBQVURVQR-INIZCTEOSA-N 421.453 4.956 5 20 HJBD Cc1sc(NC(=O)c2cc(O)nc3ccc(F)cc23)nc1-c1cccc([N+](=O)[O-])c1 524103845 HPWVXRALPZLNKB-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2nc3c(ccc4ccccc43)s2)c(Cl)c1 524297052 CUCJCJAQBLOFGQ-UHFFFAOYSA-N 419.871 4.812 5 20 HJBD COc1cc(C(=O)NCC2(c3cccc(Cl)c3)CCCC2)cc([N+](=O)[O-])c1OC 525023555 DCUCUQXHPGLJQG-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 525023757 WTPNXTMXIFTVBO-MRXNPFEDSA-N 420.412 4.881 5 20 HJBD COc1ccc(CC(=O)Nc2cccnc2-c2ccc(Cl)s2)cc1[N+](=O)[O-] 525851230 OWVRMONMZIFKPE-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(c3ccc(F)cc3)CC2)cc1[N+](=O)[O-])c1ccccn1 530731721 VAFIYVUQJUHWCD-HNNXBMFYSA-N 420.444 4.721 5 20 HJBD COc1ccccc1C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)C 531368897 GWXCDHRTOZYYMS-DNVCBOLYSA-N 410.474 4.571 5 20 HJBD O=C(Nc1ccc(CN[C@@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)c1ccco1 531698601 LDBCXCLDKDEQOA-FQEVSTJZSA-N 405.454 4.551 5 20 HJBD COc1ccc(-c2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 534063479 QFYLQXGSIZENMZ-UHFFFAOYSA-N 410.411 4.601 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)n1 534257721 ZXPGQSVAFHFBKC-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cccnc2-c2ccccc2)cc1[N+](=O)[O-] 534370155 FLAWICJSEOKMES-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1F 539338339 ZOJUCNGNUQRDPV-UHFFFAOYSA-N 408.451 4.512 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2ccccc2)[nH]1 539721745 RMJJZSLVDFLQID-UHFFFAOYSA-N 410.499 4.807 5 20 HJBD CC(C)(C)c1ccc(C(=O)N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 541632911 MVGRULPGHDUGLN-YLJYHZDGSA-N 400.450 4.682 5 20 HJBD COc1ccc2oc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c(C)c2c1 545782313 ZBFNEKULPMVMLT-UHFFFAOYSA-N 404.382 4.780 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 546991943 HBHNGSSMOMDECT-XFXZXTDPSA-N 414.421 4.713 5 20 HJBD COCCc1ccnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 557551616 OTYAVLWOFKRWFJ-UHFFFAOYSA-N 409.467 4.582 5 20 HJBD C[C@H](C(=O)NCCc1csc(-c2ccc(Cl)cc2)n1)c1cccc([N+](=O)[O-])c1 564398579 VEBDLLIYOWCONL-ZDUSSCGKSA-N 415.902 4.834 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 568798328 ZLHGPZKPDUUVJF-INIZCTEOSA-N 404.488 4.557 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ncc(-c2ccccc2)o1 577465108 KWCLMQRBGKLNHH-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD CS[C@@H]1C(=O)Nc2ccc(-c3noc(-c4ccc(C(C)C)c([N+](=O)[O-])c4)n3)cc21 577916847 CXBAHRPVHDHPSQ-KRWDZBQOSA-N 410.455 4.791 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Cl)N1CCOc2ccc([N+](=O)[O-])cc2C1 583901060 KITNDRZHNBRGRC-UHFFFAOYSA-N 415.755 4.694 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 602094360 UFCNHTXBVXQBNY-UHFFFAOYSA-N 422.529 4.992 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])c(O)c3)s2)cc1Cl 602357066 WNAJQBBHBFCWRZ-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CC1(C)N[C@H](c2csc(-c3cccc([N+](=O)[O-])c3)n2)N(CC2CCCCC2)C1=O 602759360 SZEYLHCMYNRHGO-SFHVURJKSA-N 414.531 4.508 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1c[nH]c2cc(Cl)ccc12 604487074 XIKFJMNJOASTKD-LLVKDONJSA-N 422.268 4.509 5 20 HJBD Cc1ccc([C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)N2CCCC2)cc1 604509027 IALQNNAWQBSDPN-LJQANCHMSA-N 402.882 4.515 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 608875907 XGABDLMWRQIJKG-UHFFFAOYSA-N 418.287 4.662 5 20 HJBD Cc1sc2nc([C@@H](C)SCCOc3ccc([N+](=O)[O-])cc3)nc(O)c2c1C 609388200 UDTJAKXYIOHINN-GFCCVEGCSA-N 405.501 4.795 5 20 HJBD CCCC[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(OC)cc1 609550449 YOXNQOOGTRVEOG-IBGZPJMESA-N 409.442 4.519 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609856602 OKXQJJDETJYKDA-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609880308 FENRFOMFNXKQDM-PEBVRCNWSA-N 423.300 4.881 5 20 HJBD CC(C)(C(=O)NCc1cccc(CN2CCCCCC2)c1)c1ccc([N+](=O)[O-])cc1 610062541 BRIBUFZAXFAGSS-UHFFFAOYSA-N 409.530 4.565 5 20 HJBD CCOc1ccc2c(c1)[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)CCO2 614101011 JFOXHJCSWDAFDV-GOSISDBHSA-N 416.499 4.748 5 20 HJBD CCC(CC)C(=O)N1CCC(NC(=O)c2cc3ccccc3c3cccnc23)CC1 617099612 YXBDCNWZXHQBLF-UHFFFAOYSA-N 403.526 4.545 5 20 HJBD Cc1c(NC(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)cccc1[N+](=O)[O-] 731535532 MOVYWALWQMCVGU-LJQANCHMSA-N 403.866 4.534 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1csc(-c2ccc3c(c2)OCO3)n1 735642673 FOUSHHJADWHFQE-UHFFFAOYSA-N 418.814 4.628 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735820337 BPYIHOSXKZFZKH-NSHDSACASA-N 407.879 4.634 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 736506946 JCFLLVSRIIJBJH-JKSBSHDWSA-N 410.518 4.599 5 20 HJBD CCOc1ccc(N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 739618519 UCTINNYIEPUFFB-UHFFFAOYSA-N 414.405 4.767 5 20 HJBD Cc1cccc2c(CCC(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c12 741567021 CNYHMIVTZVZFDL-UHFFFAOYSA-N 405.410 4.716 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 749520702 BNVGNOLXVUOCCL-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1F 750822869 LKXVRGZLWMGRGR-UHFFFAOYSA-N 410.243 4.593 5 20 HJBD CCc1ccc(-c2nc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)cs2)cc1 752559361 VNMFZVMORSFQOG-UHFFFAOYSA-N 404.394 4.916 5 20 HJBD CN(Cc1ncc(Cl)n1C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754464353 JTWAGTJNZUSFGZ-UHFFFAOYSA-N 422.938 4.536 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)C2CC2)o1 757256828 SSISLMNPHCVQBA-YVEFUNNKSA-N 403.866 4.839 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 757996038 GGMGEPUFQXRPSQ-VQIMIIECSA-N 423.282 4.849 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1cc(F)ccc1[N+](=O)[O-] 770081347 VOFZYEKPIMVKAS-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD CC(C)Oc1cccc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776136327 JJAMZZHHRZKAGY-QHCPKHFHSA-N 406.438 4.652 5 20 HJBD COc1cc(COC(=O)c2cccc(C(F)F)c2)c([N+](=O)[O-])cc1OCC(F)F 780063509 OWFSZMIDZMRVLN-UHFFFAOYSA-N 417.311 4.542 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1Nc1ccccc1 782020063 ZDZWRNVRKZLTEF-UHFFFAOYSA-N 406.398 4.818 5 20 HJBD COc1ccccc1/C=C(/C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 784972048 GHXYYVVTPZHOFH-RCCKNPSSSA-N 417.417 4.570 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1cc([N+](=O)[O-])ccc1Cl 787988214 XEKXENRVNPUVKP-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD CCC[C@H](CCCS(C)(=O)=O)C(=O)OCc1nc2ccccc2c2ccccc12 789122178 FQXKOIYPNUKTDA-QGZVFWFLSA-N 413.539 4.672 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])cc2)cc1 789590859 XSWROGDNDMUPFK-UHFFFAOYSA-N 421.409 4.667 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(Cl)cc2OCC(F)(F)F)c([N+](=O)[O-])c1 789673625 YRQBYHOQFPDWIE-UHFFFAOYSA-N 405.716 4.710 5 20 HJBD CCS(=O)(=O)c1ccc(CNC(=O)c2c3ccccc3cc3ccccc23)s1 791814981 XBGJAZMIPYFJBM-UHFFFAOYSA-N 409.532 4.778 5 20 HJBD CC(C)(O)c1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 799722290 DQTYDDGUYIKPBF-UHFFFAOYSA-N 422.506 4.903 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCCOc1ccccc1Br 800146817 CHVMAEKASREBAX-UHFFFAOYSA-N 411.227 4.603 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1cccc([N+](=O)[O-])c1 806454778 NUKJSNCIQNCQBM-JPYJTQIMSA-N 419.481 4.601 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cccc([N+](=O)[O-])c4Cl)CC3)c2c1 816899820 BQGZMKDMNVZCNP-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD CC(C)C[C@@H](NC(=O)c1ccc(Cl)cc1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 904579395 OLCGQBVMJLQVMK-QGZVFWFLSA-N 414.849 4.815 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCC[C@@H]3c3ccc(Cl)c(Cl)c3)n2)c1 917479406 YYVZYAJGPNJVEI-GOSISDBHSA-N 418.284 4.955 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1cccc(C(=O)Nc2ccccc2)c1 918862148 YOGAHPWPJRCHJE-KAMYIIQDSA-N 402.406 4.604 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 919212781 XRHBLDNYMHSLRR-CQSZACIVSA-N 410.426 4.957 5 20 HJBD COc1cc(C(=O)Oc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCc1cscn1 919971288 CHYBODRRTJWADO-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCc3nc(C)cs3)c([N+](=O)[O-])c2)cc1 1115964572 YZTMCJLSHRREJQ-UHFFFAOYSA-N 410.499 4.965 5 20 HJBD Cc1ccc(Nc2nn(CN3CCc4ccc([N+](=O)[O-])cc4C3)c(=S)s2)cc1 1116244438 PEURBJVNYDIONS-UHFFFAOYSA-N 413.528 4.650 5 20 HJBD C[C@@]1(CO)CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 1254998149 YRQMUDOPOHQTHE-HXUWFJFHSA-N 420.918 4.634 5 20 HJBD C[C@@H](OC(=O)Cc1cccc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11079265 AWGFTKPNJABLQK-CYBMUJFWSA-N 412.829 4.514 5 20 HJBD O=C(Nc1ccc(N2CCCC2=O)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2s1 11250621 GAXMDTFFZGVTCK-UHFFFAOYSA-N 415.858 4.842 5 20 HJBD CCc1cccc(N2C(=O)/C(=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c1 15253430 IMCLKZHKYHDPMU-QGOAFFKASA-N 419.462 4.679 5 20 HJBD Cc1nc(-c2ccc(CCNC(=S)Nc3ccc([N+](=O)[O-])cc3)s2)cs1 16704482 SQACRUMHCXTSBF-UHFFFAOYSA-N 404.542 4.617 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(Br)s1 23637696 COXNSOBUCXFTNZ-SNVBAGLBSA-N 415.334 4.558 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(c2ccccc2)CCCC1 24716246 KWQKYSPFSGBGMB-UHFFFAOYSA-N 410.517 4.783 5 20 HJBD Cn1nc2c(c1NC(=O)c1ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc1)CCC2 65856235 RXNXRUSOSRZKDK-UHFFFAOYSA-N 412.833 4.515 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(c2ccc(Br)cc2)CCCC1 105668716 ALYYYHRZCBPVCO-UHFFFAOYSA-N 407.239 4.947 5 20 HJBD C[C@@H](Cc1ccccc1F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 108818588 RCTSWCYQFGUFIV-JKSUJKDBSA-N 422.460 4.663 5 20 HJBD CCCN(C(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)[C@@H](C)c1cc2ccccc2o1 146473928 UQBULUAZQPHWNM-HNNXBMFYSA-N 409.442 4.588 5 20 HJBD CCC(CC)C(=O)N1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 236935308 KHNLWXOSZMSFIQ-UHFFFAOYSA-N 415.559 4.840 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC(OC[C@@H]2CCCCO2)CC1 301536815 JBSBDHQMGQCXCD-ZDUSSCGKSA-N 422.831 4.822 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccco3)n2Cc2ccccc2)c([N+](=O)[O-])c1 302921431 MQJPLZIJGZONFJ-UHFFFAOYSA-N 420.450 4.848 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 429955075 WSXQIKVMYZXZHM-JTQLQIEISA-N 420.288 4.800 5 20 HJBD Cc1cc(C)c(NC(=O)COc2c(Br)cccc2[N+](=O)[O-])c(Cl)c1 431233652 GIBGGXBTBMOLBK-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1csc([N+](=O)[O-])c1 433955614 PGCYOJBYDRRKAF-UHFFFAOYSA-N 411.226 4.798 5 20 HJBD CC(C)Oc1ccccc1CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436071179 XKXPTRYBASISSN-UHFFFAOYSA-N 422.431 4.677 5 20 HJBD O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436242543 VIRFZJVFWCDBSL-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)s1 437120870 VJTCGCWOMDMXQP-LLVKDONJSA-N 409.305 4.714 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1Cc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 438513470 IOXRXHLMECHISE-SFHVURJKSA-N 415.493 4.571 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1ccc(OC2CCCCC2)cc1 440903473 SQMWCFYFCUCDBJ-UHFFFAOYSA-N 411.458 4.500 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 444555963 ZAXZFUXZEMTLDY-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445173813 AOZKMHPEWQJFMZ-UHFFFAOYSA-N 419.300 4.887 5 20 HJBD COc1ccc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c(F)c1 445555242 AXGMQSKTRUMNCY-SNVBAGLBSA-N 407.785 4.510 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@H]2C)c1 446253149 OOZUGEZPNWWQMC-XIKOKIGWSA-N 406.891 4.572 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1 462931479 NECARCDSSASCFE-OAHLLOKOSA-N 411.889 4.883 5 20 HJBD Cc1cnc(Sc2nnc(COc3ccccc3)n2CCC(C)C)c([N+](=O)[O-])c1 463055223 NFPYGYHYMXJADM-UHFFFAOYSA-N 413.503 4.666 5 20 HJBD Cc1nc(C)c(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)nc1C 464398236 OMNRWOXSRRITDX-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(C)cc2)c1C(C)C 466509996 LXAOIALVHQHUJE-UHFFFAOYSA-N 408.458 4.863 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(NC(=O)c2ccncc2)cc1 468554005 MOGYXJCVKRLEPT-ZWKOTPCHSA-N 418.497 4.914 5 20 HJBD CC(C)Nc1ccc(F)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 470101057 GFXSOGYRBLSGAI-UHFFFAOYSA-N 400.435 4.930 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 475739130 MRASKWGASUPAQJ-UONOGXRCSA-N 400.866 4.922 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3SCCO)c2)o1 477581088 RJISGAMIJJRCOG-UHFFFAOYSA-N 412.467 4.808 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cnc2ccccc2c1C(F)(F)F 479424700 MWKVOCJQOYVRSF-UHFFFAOYSA-N 423.778 4.788 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](c2ccc(F)cc2)C(C)(C)C)cc1[N+](=O)[O-] 483702806 VMIQIPCARUOYNT-FQEVSTJZSA-N 420.506 4.879 5 20 HJBD O=C(CCCc1ccc(Br)s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486150658 LXZMEAKWRNOGEC-UHFFFAOYSA-N 423.332 4.933 5 20 HJBD COc1ccc(Br)cc1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487149850 BBGGCENPNPWOOP-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(Cl)ccc2s1 488422477 URJNMGUDQQWPIJ-UHFFFAOYSA-N 423.903 4.849 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 492148698 BZCKHVHCCTZBQW-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD Cc1noc(C)c1COc1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 492777386 XTYLYEONIOFGIH-UHFFFAOYSA-N 421.453 4.583 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 493180522 LGUHZMSCFPEBTE-AWEZNQCLSA-N 420.307 4.958 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)c1 494259438 KYCXBIWWEAZHPH-HNNXBMFYSA-N 404.850 4.757 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1ccccc1 495380780 YCVUUXFHGNTLAU-OAHLLOKOSA-N 400.500 4.734 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1cc(Br)ccc1F)C1CC1 499059052 ZQPUGYSUWZTZDM-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1)c1ccoc1 499620487 XTPRTHZVHFQJDC-UHFFFAOYSA-N 407.407 4.907 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cc(OC)c(OC)cc1F 503062635 XDYMOROQTNZWTC-GFCCVEGCSA-N 422.478 4.744 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 506540735 KDRHWSBEYGQSMJ-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCC[C@@H]1Cc1ccccc1 507926089 TYEAFJTYTLJSLY-OAQYLSRUSA-N 410.517 4.779 5 20 HJBD Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 508221968 JDRZHARJBVGITN-KKSFZXQISA-N 416.481 4.889 5 20 HJBD COCCN(C(=O)c1cccc2c1CCC2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 512956402 BZCNPNLRGJKDJX-UHFFFAOYSA-N 423.494 4.500 5 20 HJBD COc1cccc2c1CCCCN2C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 513899639 GYOVSFUSKSDMPB-UHFFFAOYSA-N 424.375 4.528 5 20 HJBD CCc1nc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])sc1C 514577171 KYZDUZCKRFVVKG-UHFFFAOYSA-N 405.423 4.672 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC2(CCN(Cc3ccccc3)C2)CC1 515924936 MCCJUQJYMNXGIQ-UHFFFAOYSA-N 422.529 4.677 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)c1 517902329 OWMKAVPDFBSCIH-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)Cc1ccc(Cl)c(Cl)c1 518249730 ZLGUUKAIRVQUOV-UHFFFAOYSA-N 417.314 4.642 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Oc2ccccc2C(F)(F)F)cc1 520975156 VNRDXYDYAPHUPN-UHFFFAOYSA-N 420.347 4.550 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 530727701 JLIIAVAOJRTSOR-UONOGXRCSA-N 420.307 4.652 5 20 HJBD Cc1ccc(-c2ncco2)cc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 536198930 RSIKHONAOJKPSU-LBPRGKRZSA-N 400.822 4.651 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(C(=O)OC(C)(C)C)CC1 537120875 NKLKMDXDSUIOEL-UHFFFAOYSA-N 422.547 4.726 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2Nc2ccc(F)cc2)ccc1[N+](=O)[O-] 538597363 BHYZWLCRANNOOE-UHFFFAOYSA-N 411.389 4.504 5 20 HJBD Cc1ccc([C@@H](C)NCc2cc3c(cc2Br)OCCCO3)cc1[N+](=O)[O-] 538628344 SHSJQLFKTOSLEO-CYBMUJFWSA-N 421.291 4.678 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N2CCCC2)c1 539293114 UFLNCYUZHNTMTF-UHFFFAOYSA-N 413.499 4.584 5 20 HJBD CN(Cc1ccc(-c2ccccc2[N+](=O)[O-])o1)Cc1nccn1Cc1ccccc1 539830606 ICTSQWCICOKVOP-UHFFFAOYSA-N 402.454 4.732 5 20 HJBD CCN(CC)C(=O)CCC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 541255664 OSBQXFBRPQIXCJ-UHFFFAOYSA-N 413.518 4.715 5 20 HJBD CC(C)c1nc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)nn1-c1ccccc1Cl 544913855 KSVWTNYHZADKHD-UHFFFAOYSA-N 424.848 4.593 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 553725195 NHSNOIULBRHQFT-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 566886159 ZETQZKRHKWTCDH-OAHLLOKOSA-N 402.497 4.608 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Sc1nnc(-c2ccccc2)n1-c1ccc(F)cc1 573994734 BDERVYPTDOQKCR-UHFFFAOYSA-N 424.461 4.658 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)c3ccccc3)CCC2)no1 579058139 TYNCSXNAZBVSQW-CQSZACIVSA-N 424.482 4.640 5 20 HJBD COCCC[C@H](CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 588112332 AWSREELNSBLVKA-CRAIPNDOSA-N 419.909 4.819 5 20 HJBD CC1(C)CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)[C@@H]1[C@@H]1CCCO1 589540361 HJQJJGLWPGZVRC-VQTJNVASSA-N 412.511 4.776 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)C(C)C)cc1 603705730 MHSOYBYCMFAJGA-QVKFZJNVSA-N 411.502 4.869 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccc(OC(C)(C)C)cc1 604443864 VIKNWXKPFLACDG-ZDUSSCGKSA-N 406.866 4.509 5 20 HJBD COc1cc(CNCCc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 604532225 MPQHEZPFHRODEE-UHFFFAOYSA-N 418.799 4.530 5 20 HJBD COc1cc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)ccc1[N+](=O)[O-] 609107708 SUIPWZFETIEVQN-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)c(NC(=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 609507917 AQBZJZRLRAEYLY-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@H]1CCc2c(O)cccc21 609845655 GIVMGNKBSLBAMI-FQEVSTJZSA-N 405.410 4.902 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609861369 ALRKZEVZFVMZOT-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD COc1cc(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 609916196 DXPGEWYWGAORGH-TZMCWYRMSA-N 410.392 4.722 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCN(c2ccccc2Cl)C1 610178524 FOWQPFYRFXJLAJ-LSDHHAIUSA-N 419.934 4.514 5 20 HJBD O=C(N[C@@H]1CCCc2nc(O)ccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212635 RDOGWJOWBCXJNR-GOSISDBHSA-N 413.499 4.538 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@H](COc3ccccc3F)c3ccccc3)nc2c1 611382886 SXRJAYFFUWGVST-LJQANCHMSA-N 407.401 4.785 5 20 HJBD O=C(COC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccc(Oc2ccccc2)cc1 725934347 CHVKLINKYHSXOV-UHFFFAOYSA-N 413.332 4.705 5 20 HJBD C[C@H](c1ccccc1)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1 728705324 UIIRJHRBWGHHCZ-QGZVFWFLSA-N 400.438 4.739 5 20 HJBD Cc1cc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c2c(C(C)C)noc2n1 735445701 URVGFAKIEXCWEF-UHFFFAOYSA-N 422.397 4.575 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])C1CCCCC1 750180932 PMOCGWGJQNMOJN-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)o2)cc1C 755061759 GMSLSIUXYDECTG-UONOGXRCSA-N 413.405 4.809 5 20 HJBD Cc1nc(-c2cccc(NC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)c2)oc1C 757205934 FRPITQZLKHAEEP-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 762072638 WSDCYJRTMHYFAF-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc([N+](=O)[O-])c(Cl)c1 764218738 RWGGAXXUSRGMND-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)OCc3nnc(C)s3)cc2[N+](=O)[O-])cc1 773477609 RLXLUXQERLJCBK-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD COc1ccc([C@H](C)CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776132037 HKNRTOBIIJFDKM-MWTRTKDXSA-N 406.438 4.825 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@@H]1c1c(F)cccc1F 776133529 MKBKLANQTQEOBI-GCKMJXCFSA-N 410.376 4.704 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c2cnn(Cc3cccs3)c2n1 777309670 ODRLZRATXUQCEN-CQSZACIVSA-N 422.466 4.676 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 782230887 IXTAWHVEWWAOHK-LLVKDONJSA-N 407.448 4.625 5 20 HJBD C[C@@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(=O)N(C)C 785640961 UVQASKHOILVBRX-OAHLLOKOSA-N 401.462 4.567 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 790650653 PLIFYYROCYHTBL-UHFFFAOYSA-N 410.430 4.777 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])c(F)cc1N 791320599 BWEVWTBUSPODPD-UHFFFAOYSA-N 423.404 4.521 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(=O)c2ccc(F)cc2o1 796519960 FXKIIIJBFUKULI-UHFFFAOYSA-N 420.352 4.885 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@@H](c2ccccn2)C1 798714520 YDVDLMFDANKSHU-QGZVFWFLSA-N 405.479 4.771 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cs2)cc1 811528116 DMHYRGBWIUZRAA-IZZDOVSWSA-N 410.451 4.695 5 20 HJBD CC(C)[C@@H]1N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)CC12CCC2 816798687 SVYRDLADNCOYNS-AWEZNQCLSA-N 401.688 4.661 5 20 HJBD COc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Br)c1 864031352 ZYSXGBVWYYYUGX-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)C1CC1 914482498 UHMHZBQKQUERFK-LLVKDONJSA-N 423.347 4.888 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])s2)cc1F 914734465 BVOKBVBRULQYRW-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Cl 915383534 KMGNTDPXZWUAFA-SFHVURJKSA-N 422.890 4.547 5 20 HJBD O=C(Nc1nc(Cc2ccc(Br)cc2)cs1)c1ccccc1[N+](=O)[O-] 917711940 JKTWVBLHZJKQGW-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD O=C(NCC1(c2cccc(Br)c2)CCC1)c1cc(Cl)ccc1[N+](=O)[O-] 918684368 NAOHPOGMWDLMHF-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@@H]3CCCC[C@]32c2ccccc2)c(C)c([N+](=O)[O-])c1 1321884557 VLENBNBJHBBCIW-MBSDFSHPSA-N 423.469 4.623 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 1327278278 PWKVLWSOBOKZBQ-UHFFFAOYSA-N 410.213 4.582 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1OC 1338756102 MRGBYPMTIXVREM-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD Cn1cc([C@@H]2CSCCN2Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cn1 1338953317 FVALKPPJKCTHII-SFHVURJKSA-N 418.906 4.532 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Cl)Nc1ccccc1-c1ccccc1 5080033 YBIAHCDZBZZWJB-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5852980 BGIMVJNKBSOTJW-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)c(C)c3)cs2)c([N+](=O)[O-])cc1OC 7670351 HDCWMFAJZRSZDA-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COc1ccc(C)cc1NC(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 7978599 OZQMKPPCRHUBAB-UHFFFAOYSA-N 406.442 4.706 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 49701219 JEZZRWKHDZWVFG-LLVKDONJSA-N 414.405 4.749 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H](c2nc3ccccc3s2)C1 52609611 OPAGQMBMDVPURE-CYBMUJFWSA-N 406.467 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3cccc(C)c3Cl)s2)c1 146564638 OHXDWVJGJPXIIV-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CNC(=O)Cc1ccc(NCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cc1 237143573 GLOQAGWOYYVHJP-UHFFFAOYSA-N 421.522 4.955 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CCOC(c3ccccc3)c3ccccc3)n2)cc1 426537781 ISCRJFQIDNEXMN-UHFFFAOYSA-N 400.438 4.660 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 430424733 JICOEKQFYJOWLE-QGZVFWFLSA-N 422.254 4.543 5 20 HJBD Cc1c(NC(=O)c2ccn(Cc3ccccc3)c2)cc(Br)cc1[N+](=O)[O-] 431183194 WXJFRBYDFYOHSI-UHFFFAOYSA-N 414.259 4.768 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(Br)c1 432541175 KXYDXBJEVQBECX-UHFFFAOYSA-N 404.264 4.801 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)c1[N+](=O)[O-] 433855542 CISYPHCVRSHUII-UHFFFAOYSA-N 410.274 4.731 5 20 HJBD O=C(NCCc1ccc(Cl)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435836314 QQTGUXWCZIBSCR-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437556480 KTKZFMCISZAKNO-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(COC)sc1-c1ccccc1 440888647 NTXIQHOVSDVXIJ-UHFFFAOYSA-N 413.455 4.516 5 20 HJBD C[C@H]1C[C@@H](CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441303722 QEVVUDFABRKCMO-HOTGVXAUSA-N 400.500 4.681 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443945245 IQJFKSWUMTXEND-OAHLLOKOSA-N 403.504 4.833 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230965 AQXQJHKIAZIFPT-AUSIDOKSSA-N 416.521 4.825 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC[C@H](CN2CCCCC2)C1 446155576 FUYUQVOWBFJRQY-GOSISDBHSA-N 424.501 4.727 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(Cl)c2)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 446252824 LXDNPABHCUITGM-IFXJQAMLSA-N 402.878 4.514 5 20 HJBD COc1ccc2c(c1)[C@@H](N(Cc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1)CCO2 460254379 VIORTHIRZZNEKH-IBGZPJMESA-N 424.478 4.831 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 461750460 NMZRTXYMMUSCEK-UHFFFAOYSA-N 403.438 4.863 5 20 HJBD COc1cccc([C@@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])c2ccccc2Cl)c1 462888366 FRIXKPAOPJETTG-HXUWFJFHSA-N 411.845 4.557 5 20 HJBD C[C@H](Sc1ccccc1Cl)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464646301 XNFDHEUMSIOSKB-AWEZNQCLSA-N 420.918 4.799 5 20 HJBD COc1ccc(C2CCN(c3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 467009738 URGOAVGTTFSJNE-UHFFFAOYSA-N 404.470 4.753 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 468373112 LWYQNMBPHUJZHY-OALUTQOASA-N 424.545 4.523 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C2CC2)O1 470688966 IXLBIJBZRWZNJL-XCLFUZPHSA-N 416.861 4.680 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 471871481 MBFGACQDDVZGEK-KRWDZBQOSA-N 419.485 4.906 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 474188786 JZZPZVBYRMPMBU-LJQANCHMSA-N 422.403 4.951 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2OC(F)(F)F)c1 476077231 CTMPJSVFQMIZED-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CCN(C(=O)c1cc(O)cc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1ccccn1 476120786 CUIBLABQIRIWRN-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD Cc1ccc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cc1[N+](=O)[O-] 479722326 SNGLSEBMCMZGHD-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2cc([N+](=O)[O-])ccc21 480234440 RVLZZQSLAXBTPF-XZOQPEGZSA-N 414.461 4.867 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@](C)(C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 482580081 PMTPAAIKFICCFO-BUXKBTBVSA-N 422.407 4.624 5 20 HJBD Cc1ccc(C(C)(C)CNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 485757609 VPKFOKRCHNMJEU-UHFFFAOYSA-N 410.392 4.552 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OCC(F)(F)F)c(C)c2)c1[N+](=O)[O-] 486203256 LKFFXHWIUYMUKQ-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 488006291 LMOGKGJHIAHJIU-IRXDYDNUSA-N 420.469 4.768 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 488404641 HNGYWDSWBNFECC-UHFFFAOYSA-N 405.451 4.693 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 490874009 RQNBWWXWLPVQAR-AWEZNQCLSA-N 418.318 4.662 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 500312090 AKFOQVRFGAERSA-UHFFFAOYSA-N 404.426 4.531 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)Nc1ccc([N+](=O)[O-])cc1Br 503573789 NGQHDWFNKUGITA-MRVPVSSYSA-N 421.217 4.906 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc2c(cc1Sc1ccccc1)OCCO2 506155467 HNIHQLXDUCDYEC-UHFFFAOYSA-N 422.462 4.698 5 20 HJBD CC(=O)NC1CCC(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 508042173 FGCHBIAMMUNLLD-UHFFFAOYSA-N 417.893 4.577 5 20 HJBD COc1ccccc1C[C@H](C)N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])s1 509109066 CTFJQPFTKYURPR-INIZCTEOSA-N 410.495 4.939 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 509606135 RHUFSXWXRBEBOG-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD CCOc1cc(NC(=O)c2sc(C)cc2OC(F)F)c([N+](=O)[O-])cc1OCC 510915436 UAEMCLKJHNGGIH-UHFFFAOYSA-N 416.402 4.616 5 20 HJBD COc1cc(CN(Cc2ccco2)Cc2ccc(C)s2)c([N+](=O)[O-])cc1OC 512286285 NTRQOFIAPHRTTK-UHFFFAOYSA-N 402.472 4.777 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC[C@@](F)(c2cccc(Cl)c2)C1 512418631 QDRQDSUULSAOJJ-SFHVURJKSA-N 414.767 4.561 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1ccccc1Sc1ccccc1)c1cccc([N+](=O)[O-])c1 516049349 YYDMRWPXQQYHMM-HNNXBMFYSA-N 421.478 4.562 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccs2)C(CC)CC)cc1OC 518460298 YTSZDNXUICEVKV-LJQANCHMSA-N 406.504 4.971 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)c(NC(=O)c3ccncc3)c2)cc1[N+](=O)[O-] 519171328 QBLWMQSNTSICTL-UHFFFAOYSA-N 422.466 4.525 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 521157510 VZGGXBWTCNVDJI-VIFPVBQESA-N 423.705 4.937 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cccc(F)c1F 522818133 OOHBAHKVUYNOEN-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534984555 GYHGYCDVTGDMNA-UHFFFAOYSA-N 421.478 4.989 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N(C)Cc1ccccc1 536303936 KWSLNEAPGSWJEH-HNNXBMFYSA-N 411.483 4.530 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)NCC(C)C)c(Cl)c1 538741780 GRSFIRILONUEIE-UHFFFAOYSA-N 417.893 4.812 5 20 HJBD COc1ccc(NC(=O)c2cn(-c3ccccc3)nc2-c2cccs2)cc1[N+](=O)[O-] 541074610 RORILBPXWMVEOY-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)s1 542537574 ZJXDXNYXCKNUGZ-QMMMGPOBSA-N 406.464 4.673 5 20 HJBD C[C@@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(-c2ccc(Cl)cc2)s1 543556630 BGMVVLLJLXUNEG-GFCCVEGCSA-N 415.902 4.926 5 20 HJBD Cc1noc(CSc2ccc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)cc2)n1 547190260 PJNDICXYSYTPBW-UHFFFAOYSA-N 423.454 4.528 5 20 HJBD C[C@@H](C(=O)NCC1(c2ccc(Br)s2)CCC1)c1cccc([N+](=O)[O-])c1 552602629 JTKDRUQJSJTTRI-GFCCVEGCSA-N 423.332 4.760 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 552788289 VBLXWULBWUJEAN-DEOSSOPVSA-N 422.406 4.670 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)c1 559187032 VAVIGGDPRYCXEG-IAGOWNOFSA-N 400.784 4.887 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560540164 QZJUEDSJNNTLAA-STZQEDGTSA-N 421.497 4.733 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc4oc(CO)cc4c3)cc2[N+](=O)[O-])C1 564395263 DCKAISSPBRVMFV-GASCZTMLSA-N 423.469 4.568 5 20 HJBD Cn1cc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 568032208 GBILXRBTLAGTCJ-GOSISDBHSA-N 418.419 4.506 5 20 HJBD CCCOc1cc(NC(=O)N2CCC(F)(F)[C@@H](C)C2)c([N+](=O)[O-])cc1OCCC 584200774 NJRICIZDQWZDHP-ZDUSSCGKSA-N 415.437 4.681 5 20 HJBD CC(C)c1ccc([C@H]2Nc3ccc(Br)cc3C(=O)N2C)cc1[N+](=O)[O-] 602759350 SXJFWDLMXZPRCU-KRWDZBQOSA-N 404.264 4.677 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc2o1 603492097 CSDHWVQJSORBQC-UHFFFAOYSA-N 402.410 4.909 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1Cl 603988042 YDFZZAWCIFPEIN-LLVKDONJSA-N 407.879 4.577 5 20 HJBD Cc1cc(C)cc(Oc2ccc(NC(=O)CCn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 608805612 RWDWBJKEUGWART-UHFFFAOYSA-N 408.458 4.846 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1ccccc1)CC1CCOCC1 609507135 IABANSIDGGGGME-UHFFFAOYSA-N 410.495 4.879 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(NC(=S)NCc2cccc(C(F)(F)F)c2)c1 726460251 BJOUUJQGGXFUAV-UHFFFAOYSA-N 421.347 4.702 5 20 HJBD COc1ccccc1/C(C)=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 727305665 RZURMYVZELIIDE-SDNWHVSQSA-N 408.376 4.598 5 20 HJBD COc1ccc(COCCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 736005641 DHUFTCAULCRWKV-UHFFFAOYSA-N 418.877 4.607 5 20 HJBD CSc1ccc(C(=O)Oc2ccc(NC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 742095337 QPYWKTVPEJLGJI-UHFFFAOYSA-N 404.444 4.883 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C)C(=O)c2ccccc2)cc1 744722492 MOQKQIXSLCSPQH-OAHLLOKOSA-N 421.405 4.824 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1(c2cccc(Cl)c2)CCOCC1 746069531 IMZPRGNCGNETBC-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1Cl 748662088 XPEVTRNNMBRJEI-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1csc([N+](=O)[O-])c1 749058798 XVBGRBWCAMOWHO-QGZVFWFLSA-N 407.407 4.653 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H](c1cccnc1)c1cccc(C(F)(F)F)c1 759598191 ROMBSOBTZPSPGY-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD C[C@@H](C(=O)NC1CCN(c2ccc(C(C)(C)C)cc2)CC1)c1cccc([N+](=O)[O-])c1 761600101 QMAZITIJCPPXLX-QGZVFWFLSA-N 409.530 4.781 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 761670974 QAHXSGUAYOOYAF-LLVKDONJSA-N 414.443 4.515 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(CSc4nncs4)n3)cc2)cc1 761858109 KFDQXOSODOEZBG-UHFFFAOYSA-N 413.440 4.581 5 20 HJBD CC(C)(C(=O)NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 768557124 NOBFULSWVIAHJV-JOCHJYFZSA-N 422.456 4.528 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC(=O)[C@@H]1[C@H]2CCC[C@H]21)c1ccccc1 776697250 ZLKDNFIDDUGFHW-LVFSCSRESA-N 414.845 4.517 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C)nc(Cl)c2)c([N+](=O)[O-])cc1OCCC 777449434 YPJXPDURJGAMFI-UHFFFAOYSA-N 407.854 4.782 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 777831783 IZZHDNNLEXEKIY-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD C[C@@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1ncn(C)n1 781402023 XGWBVEGTEYEHAT-OAHLLOKOSA-N 410.477 4.553 5 20 HJBD O=C(Nc1cccc(OCc2ccc(Br)c([N+](=O)[O-])c2)c1)c1ccco1 786893609 LUAFBJPMDWPMCK-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD C[C@H](OC(=O)c1c[nH]cc1-c1ccccc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 787200729 FAUYDQWWCLKGLC-LBPRGKRZSA-N 422.372 4.697 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1F 788281301 MGUPRDQBXIVYCR-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1cncc(Cl)n1 790144073 DPUIWJCGGAAVKZ-UHFFFAOYSA-N 419.224 4.523 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 790540043 CWFPEMFJDRJWHZ-VIFPVBQESA-N 404.747 4.826 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790563226 ZHQOXZTVUPGPDZ-JTQLQIEISA-N 418.774 4.696 5 20 HJBD CCc1cc(Br)ccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790897670 YYSLHUXOGSXYSC-UHFFFAOYSA-N 415.646 4.893 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cnc(-c2ccccc2Cl)s1 791795036 PUXMEXNEWJTKBI-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD O=C(COC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccccc1Oc1ccccc1 798129844 JEEAEGZBJVHIRC-QINSGFPZSA-N 418.405 4.582 5 20 HJBD CCCN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)[C@H](C)CC(=O)Nc1ccccc1 800238477 FIRLSKDZIRQRNV-CQSZACIVSA-N 403.866 4.518 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809942689 MIZNMYWHVJKKMK-UHFFFAOYSA-N 410.301 4.626 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812915452 FDXQMFAZMNSVIC-NSHDSACASA-N 424.284 4.816 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813878202 LJEKEVGYFXSJEV-HKUYNNGSSA-N 417.550 4.828 5 20 HJBD O=C(NCc1ccccc1F)c1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864005339 QTHPGXKDISKGHD-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2cc(F)ccc2[N+](=O)[O-])c2cccs2)cc1 917170683 XQZBEBQOJWAGJE-NRFANRHFSA-N 414.458 4.642 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(-c2ccc(F)cc2)no1 920779564 ZUWCIHGSPZPTPR-NSHDSACASA-N 420.780 4.553 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OCc1nc(-c2cc(Br)cs2)no1 920991628 BJIGTMOFCGXYLR-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD C[C@@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 1322922904 AMUYXOGVHAIFQB-HXUWFJFHSA-N 420.918 4.634 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(-n3cncn3)cc2)ccc1Oc1ccc(F)cc1 1324777030 SOYAGZWZTPUKOW-UHFFFAOYSA-N 405.389 4.719 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(Cl)cc(Br)c2)o1 1346614669 RHGOSVNROXAJSP-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(NCc1cn(-c2ccccc2)nc1-c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 10908255 RYASREHYMSWKAE-UHFFFAOYSA-N 416.412 4.517 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)Nc1ccccc1)c1ccccc1 11320939 HOLDSZCCJCXPHU-NRFANRHFSA-N 422.462 4.610 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(Cl)c(Cl)c2)cc1OC 21708015 OEHBWPUTBWAHQH-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H](c1ccccc1)C1CC1 25878656 HMTGRGFYAMUKGQ-GOSISDBHSA-N 410.417 4.973 5 20 HJBD CC(C)(C)c1ccc(C(=O)NC[C@H](c2cccs2)N2CCCC2)cc1[N+](=O)[O-] 32762532 GHIGQPKANUYFOG-GOSISDBHSA-N 401.532 4.521 5 20 HJBD O=C(c1ccccc1)c1ccc(Sc2nnc(C3CC3)n2C2CC2)c([N+](=O)[O-])c1 45351795 KLNYXIATEFRAPZ-UHFFFAOYSA-N 406.467 4.781 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(COCCc2ccccc2)c1 65092964 OTJLGZPVCBZFFY-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD CCNc1nc(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nc2ccccc12 71806728 UOPXIGZXTRZTTP-LBPRGKRZSA-N 422.470 4.873 5 20 HJBD O=C(CCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)N1Cc2ccccc2C1 237995672 SQTLLJATZWBXOU-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD CCc1cc(N2CCC([C@@H](O)c3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301101940 KYTVIGUETXWLLB-QHCPKHFHSA-N 418.497 4.564 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 409838332 IQLLHWWVRMTNAR-HNNXBMFYSA-N 421.457 4.597 5 20 HJBD Cn1nc(-c2cccc(C(F)(F)F)c2)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 428301670 CVXBYPLXAGVDRH-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD O=C(Nc1cnccc1-c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 428454381 AQZQEAKYJFCUBQ-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)c1[N+](=O)[O-] 433873137 OZPBZHLULATUOO-LJQANCHMSA-N 404.426 4.707 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCn2cccc2[C@H]1c1ccccc1 436136181 GPOJEDCXDXTKCF-RUZDIDTESA-N 419.484 4.728 5 20 HJBD C[C@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 439633017 GGDQZCHLKGQDRI-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCC(F)F)cn2)no1 442679160 OVFZOXGWOIHZBJ-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445264911 RCHMSECEEPIBKF-MRVPVSSYSA-N 403.685 4.905 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 445923901 DDLFQALOHXDREQ-UHFFFAOYSA-N 419.275 4.767 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3nc(C)ccc3[N+](=O)[O-])C2)n1 447680501 HJNVAJRLPUDYMJ-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD CC(C)(C)CN1CCC[C@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C1=O 460763012 KVAZDOYKYPDQMA-KRWDZBQOSA-N 403.504 4.520 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 468618689 DBCKQUUDPGAHNJ-UHFFFAOYSA-N 415.371 4.851 5 20 HJBD Cc1cccc(CN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)Cc2ccccn2)c1 471167986 HBVOUYLPOPDIRE-UHFFFAOYSA-N 424.888 4.593 5 20 HJBD CCc1ccc(C(=O)Nc2c(N3CCCC3)cccc2N2CCCC2)cc1[N+](=O)[O-] 471915069 MRMSLHFKMFBWQB-UHFFFAOYSA-N 408.502 4.610 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 472833425 KUUYJZHDAIKIQH-JKSUJKDBSA-N 410.499 4.828 5 20 HJBD CCc1cccc2c(CCNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c[nH]c12 477644251 JKFPNJFXDINBQN-UHFFFAOYSA-N 408.502 4.801 5 20 HJBD CCN(C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)[C@H](C)C(F)(F)F 477968332 PMNSALUIAILRAZ-CHWSQXEVSA-N 410.396 4.576 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OC 480537309 DFQDUCJLULYFHZ-AWEZNQCLSA-N 418.515 4.995 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cnn(C)c1 480715966 JXKZCZDRHHRCOL-WIYYLYMNSA-N 414.506 4.717 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc([C@@H]3C[C@H]4CC[C@H]3C4)cs2)cc1OC 482352388 NMFBQFKEJOVSPH-RWMBFGLXSA-N 417.487 4.615 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccc(Oc3ccccc3)nc2)c(Br)c1 485194570 IWUSWXWMMCBUTA-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 485897084 HKTFCIISJSUGIM-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)s1 486147587 HHQIIPTWZBDQEA-LLVKDONJSA-N 409.305 4.714 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)Nc3ccccc3)cc2)c1[N+](=O)[O-] 486242426 VUBOVJNKTSCGRG-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD O=C(c1cc(F)c(Cl)cc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 488342721 IOCVDWSLGSYYMD-UHFFFAOYSA-N 408.710 4.731 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2cn(C(C)C)nc2c1 489604439 MUDCGFUYFXWODW-UHFFFAOYSA-N 414.487 4.516 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3ccccc3F)cs2)c([N+](=O)[O-])cc1OC 490223068 HEARDURYZYCSAO-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD CCC1CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 490589871 UCKGQCBVUVJGLK-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD CN(Cc1c(Cl)cccc1[N+](=O)[O-])[C@@H](c1ccc(Cl)cc1)c1cnccn1 491843921 FUVZIDSKZGRBTD-IBGZPJMESA-N 403.269 4.913 5 20 HJBD CCc1c(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1CCC(C)C 494557939 CNSQOCHSMKGTDJ-UHFFFAOYSA-N 411.409 4.645 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C1CCN(CC(F)(F)F)CC1 498266793 MIKTYJVFBGBQOB-UHFFFAOYSA-N 423.391 4.600 5 20 HJBD Cc1cc(C(=O)N(Cc2cc(Br)ccc2F)C2CC2)ccc1[N+](=O)[O-] 498324101 NMRFDMPRXGDPOB-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 500054192 WYSPMBOTVHZHDJ-UHFFFAOYSA-N 418.453 4.980 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCCc1ccc(Cl)cc1 503376497 YQBXDTDNAYNAFJ-UHFFFAOYSA-N 404.897 4.557 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(-c3ccccc3F)n2C2CC2)c(F)c1 505455250 IRQARJXNMITPQI-UHFFFAOYSA-N 406.389 4.898 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(-c2ccncc2)cs1 509323013 QPXZCFBYXHQUKJ-UHFFFAOYSA-N 414.512 4.545 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cs2)cc1 509980853 DIROGVRCYFPNDG-UHFFFAOYSA-N 423.494 4.639 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1cccc2ccccc12)C(F)(F)F 510453720 BDCLJEHJHPUJMM-FQEVSTJZSA-N 417.387 4.888 5 20 HJBD Cc1ccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)c(OCc2ccccc2)c1 511098321 IYSMECPGNXNKRH-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)N1CCN(c2ccccc2[N+](=O)[O-])CC1 515117800 DZEPKKKECKAXBB-UHFFFAOYSA-N 416.481 4.924 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 515157365 SZIHCJXQKMKDJP-UHFFFAOYSA-N 423.174 4.773 5 20 HJBD O=C(Nc1cccc(CSC2CCCC2)c1)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 525382779 DFEPKOPLZALIMF-UHFFFAOYSA-N 423.498 4.604 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)[C@@H](C)c1cccc(OC)c1 530789375 BVYRQJMCJKCVPQ-ZDUSSCGKSA-N 421.291 4.518 5 20 HJBD CC[C@H](N[C@@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 536877583 PIYICIQNGWLHMF-SJCJKPOMSA-N 421.291 4.930 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](Cc3ccccc3)c3ccccc3F)o2)cc1 537158080 LIMRYVCQHIMZSN-OAQYLSRUSA-N 418.428 4.858 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 539024585 BLVNTLLLSONILQ-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3ccc(F)c(F)c3)nc2C)c([N+](=O)[O-])c1 539788509 HESCCPFQVOULET-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 540476056 HXLKHFGYWDTWED-INIZCTEOSA-N 424.526 4.700 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc2nc(C(F)F)[nH]c2c1 542927189 IMGQXEVVAWTAKK-UHFFFAOYSA-N 400.263 4.680 5 20 HJBD COc1cc(-c2nc(Cc3c(Cl)cccc3Cl)no2)cc([N+](=O)[O-])c1OC 544923451 RHZAQVQOQGNCCK-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 545054760 XSRNRTAZHRJUNI-XVNBXDOJSA-N 419.796 4.633 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)cc1 545786514 QTIXIOKDDJZPQQ-CFRMROPGSA-N 422.416 4.579 5 20 HJBD CN1CC[C@H](c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c2ccccc21 551353977 PJFKGENLTSGBDS-ZDUSSCGKSA-N 404.348 4.635 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)nc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 551378853 INMFXHAABNPGDA-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@H](c2ccccc2)C1 554158617 BENKGCLNXXLBHK-HNNXBMFYSA-N 408.376 4.556 5 20 HJBD CCc1c(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1ccccc1C 579434040 GDKLKQFXKFWJIF-UHFFFAOYSA-N 403.442 4.918 5 20 HJBD C[C@H](Oc1ccccc1Cl)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742189 NRPCPLHXNFNUCK-LBPRGKRZSA-N 416.783 4.607 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc1 604040300 FCGYVHRVUQHUTK-KRWDZBQOSA-N 415.298 4.569 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2cc(Cl)ccc12 609148340 WPQNVZLNXUWSOZ-UHFFFAOYSA-N 414.893 4.892 5 20 HJBD Cc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2c(C)nn(C)c2n1 609755763 RMTQWNUACZLNHK-UHFFFAOYSA-N 417.425 4.538 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1 610104547 QQNIFQJIWUEBKK-JOCHJYFZSA-N 411.502 4.863 5 20 HJBD COc1cc(C(=O)NCc2ccc(-c3cccc(C)c3)cc2)cc([N+](=O)[O-])c1OC 610176409 KJMOWAAROAXGGU-UHFFFAOYSA-N 406.438 4.517 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)CCNc1ccccc1[N+](=O)[O-] 610191279 JLGNWEGNBMBHNK-UHFFFAOYSA-N 404.470 4.508 5 20 HJBD CCc1ccc([C@H](Nc2ccc([N+](=O)[O-])s2)c2cccc(S(C)(=O)=O)c2)cc1 610282162 ATDHYTFTQXBZLH-FQEVSTJZSA-N 416.524 4.824 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1cccc([N+](=O)[O-])c1 610705499 FIDVQWHQQCUGCJ-CQSZACIVSA-N 409.408 4.853 5 20 HJBD CCOC(=O)Nc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728166678 LOMFRKBSFAPDPM-UHFFFAOYSA-N 417.849 4.873 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)cc1[N+](=O)[O-] 728834978 HAEIJWLKOCRZSF-CYBMUJFWSA-N 403.460 4.803 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccccc1COc1cccc(C=O)c1 731597189 CBBQFUVFDADQBS-UHFFFAOYSA-N 404.422 4.855 5 20 HJBD O=Cc1cc(-c2ccccc2)ccc1OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl 749457800 PUAQWQRJTFHZJX-UHFFFAOYSA-N 410.813 4.745 5 20 HJBD CSc1cccc(C(=O)N[C@H]2CCOc3c2ccc(Cl)c3Cl)c1[N+](=O)[O-] 754486378 QVJHJQAEYJTNMW-LBPRGKRZSA-N 413.282 4.877 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 760689091 DHNJOQPUJHPBJP-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@H](OC1CCOCC1)c1ccccc1 761528558 WZCRXOQIGVALFQ-BTYIYWSLSA-N 404.894 4.663 5 20 HJBD COc1cc(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 763014651 QTZFERZOEPGMPK-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD CC[C@H](Oc1cccc(C)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217442 IKGISGYISVLNKF-FCHUYYIVSA-N 406.438 4.788 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 776387142 IJAMEFINLHHZFC-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 780039668 WPKBOAXEZNYBGO-UHFFFAOYSA-N 423.878 4.631 5 20 HJBD CC[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc(OC)cc1 781260437 OMRBFUICOGIGRY-QFIPXVFZSA-N 415.445 4.508 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1cc(F)ccc1[N+](=O)[O-] 790746025 LLZOTBGZSUYUHX-UHFFFAOYSA-N 418.421 4.757 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)c1F 790905342 OCFQLLUMBCYVTJ-IBGZPJMESA-N 413.836 4.805 5 20 HJBD O=[N+]([O-])c1cc(CN(CCC(F)(F)F)CC(F)(F)F)ccc1Br 790933175 OWXDSXUOIMZMTP-UHFFFAOYSA-N 409.124 4.674 5 20 HJBD Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@@H]1N2C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 791981623 VNZXJGJIAXUFAH-HKUYNNGSSA-N 407.495 4.566 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1C 792593677 JLCSIRKJYSIDQZ-UHFFFAOYSA-N 406.776 4.581 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)[C@H](C)CC(=O)Nc1ccccc1 800240571 KMIRIIIHQZVAEJ-CQSZACIVSA-N 403.866 4.518 5 20 HJBD Cc1ccc([C@@H](O)[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804470295 AAUAOLAIMNCKKW-BTYIYWSLSA-N 414.527 4.790 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])o1 811084600 OPHIGEQOSFVDIQ-UHFFFAOYSA-N 401.246 4.863 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCNC2=O)cc1 812900192 QMRGGKODPXQHEU-GFCCVEGCSA-N 423.300 4.672 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCC2=O)cc1 812932010 YANXWOSTKGYTAY-LLVKDONJSA-N 422.268 4.519 5 20 HJBD C[C@@H]1CN(c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)C[C@@H](C)O1 812953375 ZEGXWBSWYQADFQ-VXGBXAGGSA-N 424.284 4.768 5 20 HJBD Cc1cc(NCc2ccc(C(=O)N3CCCC3)cc2)c([N+](=O)[O-])cc1C(F)(F)F 814819476 MFMNVAFONSLKOI-UHFFFAOYSA-N 407.392 4.770 5 20 HJBD O=Cc1ccc(OCc2csc(-c3ccc(Br)cc3)n2)c([N+](=O)[O-])c1 916617285 ODSMKFJFLQQLRB-UHFFFAOYSA-N 419.256 4.872 5 20 HJBD CCOc1cc(C(=O)O[C@H](C)c2ccc(OC(F)F)cc2)c([N+](=O)[O-])cc1OC 920502381 NUTKUGKYNJMIBT-LLVKDONJSA-N 411.357 4.522 5 20 HJBD Cn1c([C@@H](NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 920752336 CVMDXFAVVKGNKP-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD Cc1ccc(Sc2ccc(CNCCc3nc(C(C)C)no3)cc2[N+](=O)[O-])cc1 1116021270 ITZMJUCXJCQFHN-UHFFFAOYSA-N 412.515 4.893 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCCc1coc(-c2ccccc2)n1 1251796838 XFOUAQBPQCFRFA-UHFFFAOYSA-N 422.319 4.831 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(CCSc3ccc(F)cc3)o2)cc1SC 1322932786 VPTBJDDLBMAGOQ-UHFFFAOYSA-N 421.475 4.849 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4ncoc4[C@@H]4CCCO4)n3)cc2)cc1 1323551894 VNYSPTJQLXXLSQ-KRWDZBQOSA-N 420.381 4.944 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1324069475 DWNJYINLYLZDDH-LLVKDONJSA-N 419.268 4.798 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(CSc3ccc(C)cc3)o2)cc1OC 1324108407 APKRVPOQUPAESM-UHFFFAOYSA-N 401.444 4.653 5 20 HJBD C[C@@H](Cc1ccccc1)n1cccc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1331874021 ITUQFTIFAMDONY-INIZCTEOSA-N 404.426 4.829 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 1338399202 HQLPTMBZUHAMST-MRXNPFEDSA-N 420.897 4.501 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11117390 ANZVIISRGUSDIT-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CNC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 26836993 QJFBWIXLXKQXRJ-UHFFFAOYSA-N 422.466 4.750 5 20 HJBD COc1ccc(C(=O)Nc2ccc3nc(-c4cc(F)ccc4F)[nH]c3c2)cc1[N+](=O)[O-] 59850097 SQWNVTWYXMZGCW-UHFFFAOYSA-N 424.363 4.677 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2cn3c(n2)CCCC3)c1 108853353 NNJHJZFNPABGDT-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD COc1ccc(-c2csc(/C=C\c3cc(OC)c(O)c([N+](=O)[O-])c3)n2)cc1OC 211879200 WPVHIWFJXXQIMM-DAXSKMNVSA-N 414.439 4.620 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(F)cc3Cl)C2)cc1OC(F)F 302456212 UNHGHHFBIJNLCE-MRXNPFEDSA-N 402.756 4.567 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)Nc1cnns1 428935380 XUPDPADQJXLLRE-UKTHLTGXSA-N 423.479 4.625 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2sc(C(=O)O)cc2c1 431530254 GZFGVICFIOQJAW-UHFFFAOYSA-N 402.453 4.872 5 20 HJBD CC(C)(C(=O)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1)c1ccccc1[N+](=O)[O-] 431999655 RBMDLBKZZSKVGC-UHFFFAOYSA-N 407.445 4.809 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)s1 443834995 DZDDKCLJDZMWJH-NSHDSACASA-N 418.500 4.833 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccccc1COCc1ccccc1 446497513 IAPYBIVGSHNWEE-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD CNC(=O)c1ccc(N2CC[C@H](C)[C@@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 446879223 LHVIXZVSEFLWPN-KPZWWZAWSA-N 407.392 4.561 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NC1(c2cccc(C(F)(F)F)c2)CCC1 460518208 FHSHWVBRYBQQIC-UHFFFAOYSA-N 410.417 4.901 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccc(C)c(C)c2)CC1 462427825 KNJYFFSFWBYNAF-HXUWFJFHSA-N 410.514 4.850 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@H]2c2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCC 463639222 AVRYMIPJJVTVNJ-RYUDHWBXSA-N 424.375 4.552 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 463970443 KTRLCBFHIOCFHW-AWEZNQCLSA-N 412.421 4.903 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@H]2CC[C@@H](C)C2)cc1[N+](=O)[O-] 464468447 BFISTUQVSHAUPA-ZBFHGGJFSA-N 412.511 4.808 5 20 HJBD COc1cc(C(=O)N2CCCC3(CCCCCC3)C2)c([N+](=O)[O-])cc1OC(F)F 464675125 VEWCGNXQCGFGGP-UHFFFAOYSA-N 412.433 4.781 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1CC)O[C@H](C)C2 464721365 WJNAOBBZWCHMEX-XVGQJIODSA-N 410.470 4.622 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 470270622 LKZGRQDPQUXKPB-UYAOXDASSA-N 423.494 4.677 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@H]1c1nc2ccccc2n1C(C)C 471033860 XBAHDAPTKWFTHF-FQEVSTJZSA-N 421.501 4.609 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C1)OCc1ccccc12 471591748 ZMUDWDQVDFNFFO-QFIPXVFZSA-N 412.511 4.615 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc3c(c2)CCC(=O)N3CC2CC2)cc1[N+](=O)[O-] 474198306 PQUPKLAWAMYEOX-UHFFFAOYSA-N 407.470 4.660 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3F)c(C)c2)s1 477063518 JENQVGALNBMLGC-UHFFFAOYSA-N 418.475 4.535 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OC(F)F)c2cccnc12 479251387 SLUOUJOZELZCOA-UHFFFAOYSA-N 407.760 4.579 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@H](c2ccc(F)cc2Cl)C1 479287919 SKLRGINBQIKZKZ-INIZCTEOSA-N 420.849 4.816 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(-n2nc(C)cc2C)cc1C(F)(F)F 479369252 WRXVHMNAMWDOFB-UHFFFAOYSA-N 422.411 4.553 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 482093380 JHGDQUPSCGWBEN-UHFFFAOYSA-N 400.909 4.703 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 482140128 QSNRYPPXJSGWSH-KRWDZBQOSA-N 411.355 4.712 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OC 483132032 UXQBKTBCGQZRDU-UHFFFAOYSA-N 424.906 4.698 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@H](C)c2cccc(Cl)c2)c(C)c([N+](=O)[O-])c1 486883478 HPAZOVQARNXXDQ-GFCCVEGCSA-N 405.838 4.568 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 488836204 ZTLHPLUPTRMUBG-MRXNPFEDSA-N 412.471 4.559 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCCC[C@@H]3c3ncon3)cc2[N+](=O)[O-])cc1 490012194 SFBCCRRHPPOOSL-QGZVFWFLSA-N 424.482 4.805 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497869432 LOQHBPQHKURLGF-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 498311522 PQIUANLCIZXDCT-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])c2cccnc12 498646676 MWNQPDKPXFGZSP-UHFFFAOYSA-N 411.830 4.567 5 20 HJBD Cc1nn(Cc2ncc(-c3ccc(Br)cc3)o2)c2ccc([N+](=O)[O-])cc12 502852096 AFTQRLHNZXQARS-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc(C(C)(C)C)n1 504583948 DVGGERNIKXCSLQ-UHFFFAOYSA-N 407.561 4.823 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)ccn2)CC1 506815742 COXFOSQMXUGJHB-LFDOHDQPSA-N 412.490 4.508 5 20 HJBD CC(C)(C(=O)N[C@H]1CSc2ccc(Br)cc21)c1ccccc1[N+](=O)[O-] 508740875 IKGXMBXQGKBNHB-AWEZNQCLSA-N 421.316 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(Br)c1)C(C)C 513987583 NVLJBGZEXHDTDV-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)NCCOc2ccc([N+](=O)[O-])cc2)c(C)c1 518313498 FDJXNJJMQBXCGG-OAHLLOKOSA-N 403.504 4.994 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)cc1 525019733 XTMDFSDYIRBDPJ-KRWDZBQOSA-N 420.465 4.869 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 533763768 SXVFALGVBBTXJJ-CYBMUJFWSA-N 401.492 4.726 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533969597 UFZZIOTWQWRSFZ-GFCCVEGCSA-N 405.376 4.857 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 536663532 MLWDAHXILITUEE-MUUNZHRXSA-N 418.902 4.878 5 20 HJBD COc1cc(Br)c(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1OC 536864092 MOPCRQRRJRUWCX-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD CC[C@@H]1CC[C@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)O1 538251614 LGPIOWMZENMSLM-RDTXWAMCSA-N 403.504 4.665 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(Cl)sc1Cl 540001569 JOSYXTVLEBVSAU-UHFFFAOYSA-N 421.327 4.632 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(-c3cncnc3)cn2)ccc1Oc1ccc(F)cc1 542146827 NQMIBUWIICSAPT-UHFFFAOYSA-N 417.400 4.990 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC(CCCO)CC3)cc2[N+](=O)[O-])cc1 543022186 BZIMGUCYPNVFHB-UHFFFAOYSA-N 414.527 4.679 5 20 HJBD C[C@H](C(=O)NCc1ccc(CN2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1F 543552959 JKIYJGOOIJOCHH-KRWDZBQOSA-N 413.493 4.530 5 20 HJBD CCCCS(=O)(=O)Nc1ccc(N[C@H](C)CCc2ccccc2[N+](=O)[O-])cc1 547361196 VUOBHSOGKUKAFO-MRXNPFEDSA-N 405.520 4.570 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3ocnc23)cc1[N+](=O)[O-])c1ccccn1 551632446 ZFFQFALRIFYIFH-ZDUSSCGKSA-N 403.398 4.556 5 20 HJBD CCCN(CCc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 557472169 XGPGZLPDUQIFME-UHFFFAOYSA-N 401.532 4.833 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(Cc2nc3ccccc3[nH]2)CC1 560600040 IDTSFBVZPBSBNE-UHFFFAOYSA-N 420.494 4.781 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cn2)c1 562395219 OPUJZCBEPOSGSG-QGZVFWFLSA-N 405.454 4.819 5 20 HJBD CCc1nnc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 570012253 KWXCHTMUEBOUOJ-UHFFFAOYSA-N 404.879 4.744 5 20 HJBD COc1ccc([C@H](Nc2ccc(C(=O)NC3CC3)cc2[N+](=O)[O-])c2ccccc2)cc1 589797540 HQMCCCQUVSYKIS-HSZRJFAPSA-N 417.465 4.697 5 20 HJBD CC(C)n1ccnc1S[C@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 590717960 SLXPGRDWQIKYTI-GFCCVEGCSA-N 417.516 4.615 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-n4ccnc4)c3)cc2[N+](=O)[O-])C1 603964206 WUKCRBMPPOSIKH-IAGOWNOFSA-N 419.485 4.515 5 20 HJBD O=C(c1ccc([N+](=O)[O-])o1)N(Cc1ccsc1)c1ccc(Br)cc1 603987769 SNTPQLUWEVKTLN-UHFFFAOYSA-N 407.245 4.859 5 20 HJBD CCCCN1Cc2cccc(N[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2C1 608981335 PRYKTPBJEXWIEX-HNNXBMFYSA-N 407.474 4.934 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609856990 MHZPWCVVBRXIDV-LJQANCHMSA-N 410.861 4.931 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1 610204509 LMZITFLUYNWUTB-CQSZACIVSA-N 416.437 4.638 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([S@@](C)=O)cc3)c([N+](=O)[O-])c2)cc1 610588986 OAYYYFBLUUTYFZ-SSEXGKCCSA-N 423.494 4.505 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)[C@H]2CCCc3c2cnn3C)cc1[N+](=O)[O-] 610977163 VFHIOICBPLSUJL-KRWDZBQOSA-N 416.547 4.616 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC 611040714 SQVFDYUTPTYYIH-INIZCTEOSA-N 403.410 4.510 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NCc2nc3cc([N+](=O)[O-])ccc3o2)cc1 611385070 PXWWSCZRYLECSY-HXUWFJFHSA-N 421.428 4.957 5 20 HJBD Cc1nc(-c2ccc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])cc2)oc1C 611497479 LUFDOOUYCIQAFS-UHFFFAOYSA-N 414.849 4.884 5 20 HJBD CCCOc1cc(NC(=O)[C@@H]2Cc3ccc(F)cc32)c([N+](=O)[O-])cc1OCCC 619516109 MQFPEWGKEHZCSR-MRXNPFEDSA-N 402.422 4.590 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1csc(COc2ccc(F)cc2)n1 728868612 XFOJFWBWLDKMLM-KMKOMSMNSA-N 414.414 4.526 5 20 HJBD Cn1c(C(=O)Nc2ccc(Nc3ccc(F)cc3)c3ccncc23)ccc1[N+](=O)[O-] 732103728 GXPQZOVRRBBIAZ-UHFFFAOYSA-N 405.389 4.617 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 732124430 APDSPDNXHFRDRT-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD COc1cc(COC(=O)C[C@@H](C)c2cccc(F)c2)c([N+](=O)[O-])cc1OC(F)F 734906722 RGMXAESYIXAUHM-LLVKDONJSA-N 413.348 4.581 5 20 HJBD CC[C@@H](C)NC(=S)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 735423229 OWBAGTSPOVYHSD-LLVKDONJSA-N 411.886 4.942 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccccc1Br 736159935 IFABLFRCVNAWET-JTQLQIEISA-N 413.655 4.725 5 20 HJBD CC[C@@H](CC(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccc(C)cc1 739173680 TZYISMRTLNNRIX-IBGZPJMESA-N 411.502 4.642 5 20 HJBD Cc1ccc(-c2cc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])on2)cc1 745567637 OJHMXNQMYMYSOB-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD C[C@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)s1 746079035 KTOQUDYCIDQUGR-QMMMGPOBSA-N 404.669 4.919 5 20 HJBD O=C(CNC(=O)c1c2ccccc2cc2ccccc12)Nc1ccc(F)c(F)c1F 746510102 SUDWEMCXYKMNCC-UHFFFAOYSA-N 408.379 4.779 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)sc2C1 751094719 OEUUGZMPQIVUPR-VIFPVBQESA-N 410.293 4.581 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)c1cc(F)ccc1[N+](=O)[O-] 751195136 WJDWQPFXFQOMSL-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1N1CCSCC1 752659071 DNMWNVOEBXIBCJ-INIZCTEOSA-N 414.531 4.648 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)c1[N+](=O)[O-] 753100221 ZCOYWRRUFBSXKU-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H]1COCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 754236201 UYNLYWZXCZSMEZ-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2C(F)(F)F)c1 754803366 FOJAMTDMPIXPRB-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNCc1cc([N+](=O)[O-])ccc1Cl 756900627 SGRWHRSRJUXMOG-UHFFFAOYSA-N 423.275 4.884 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CCO2)cc1 757535536 ZSZMETOEVYIVQD-ROUUACIJSA-N 409.360 4.999 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c2)n1 758650763 CWASXXGYOJYJBG-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 760364632 IKXJMGXUTOVAFD-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1c(C)cc(C)cc1C 765524076 IBCCIWUBPCNQGZ-IEBWSBKVSA-N 412.486 4.659 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(=O)Nc1ccc(F)cc1Cl 765529062 NQVFCKVXGAXXHH-GXTWGEPZSA-N 422.840 4.526 5 20 HJBD COc1cc(CNc2ccc([C@H](C)NC(=O)OC(C)(C)C)cc2)c([N+](=O)[O-])cc1F 766067558 WPDVODVVQZHTOC-ZDUSSCGKSA-N 419.453 4.940 5 20 HJBD Cc1ccc(OCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C 776132782 KUCGAZVMRVPRRA-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 776775767 DGOWRINSPDTYAG-UHFFFAOYSA-N 411.386 4.926 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCc1ccc(S(=O)(=O)C(F)F)cc1 778625174 VWAXYGKFLLVXPX-LBPRGKRZSA-N 401.456 4.894 5 20 HJBD CC(=O)OCCCc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 783677888 KCMGKYRSGOWBJB-UHFFFAOYSA-N 411.483 4.826 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 783725949 NALXZRFMSHNIQW-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CC(C)c1ccc(-c2nc(C[C@@H](C)N(C)C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 783945623 OBVMWSZHCHBQRG-CYBMUJFWSA-N 404.467 4.566 5 20 HJBD CO[C@H]1Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3NCc3ccccc3)cc2C1 784227264 YRNCMQLVBNXWHT-NRFANRHFSA-N 417.465 4.573 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(N2CCCCC2)cc1 785617754 UMNBJZTZTJTGQC-UHFFFAOYSA-N 422.407 4.926 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])c(F)c3)n2C)cc1 787489690 TWDKJBQKIWSAIZ-UHFFFAOYSA-N 415.494 4.668 5 20 HJBD C[C@@H](C(=O)OCC(=O)c1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1F 801855940 RRCFUEBWTZLSFP-SECBINFHSA-N 400.189 4.570 5 20 HJBD O=C1CC[C@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n2cccc21 809363246 NDYHJPPMXQGNCY-LJQANCHMSA-N 416.393 4.805 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(O)(Cc2ccc(F)cc2)CC1 809979942 GGPXRSXXVYRCSY-UHFFFAOYSA-N 413.276 4.610 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 813245452 CZIDBLBRYQROOC-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD O=C(Nc1ccc(Br)cc1O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813408980 FRQNWGHRCSWJOH-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1nc(SC(F)(F)F)sc1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038172 NFCQWFKHBSDLBN-UHFFFAOYSA-N 419.450 4.566 5 20 HJBD CC(C)OC(=O)NCc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 864030081 WLORYZCKKOWSBD-UHFFFAOYSA-N 411.380 4.860 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4c(C)ccc([N+](=O)[O-])c4C)n3)co2)cc1 904325494 BPGUZAJKTVPEPX-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4cc(Br)cs4)no3)c2c1 904393712 CSZAVHJUDLOFCT-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@H]1CSCCS1 916827690 QYLGPGAENVGEMR-QGZVFWFLSA-N 424.931 4.614 5 20 HJBD Cc1noc(-c2ccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)n1 1251343553 TYBQNGOPFGMUED-UHFFFAOYSA-N 412.833 4.663 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2-c2nnc(COc3ccccc3F)o2)CC1 1257693335 HSOSHZZZVZKKIT-UHFFFAOYSA-N 412.421 4.599 5 20 HJBD COc1ccc(OC(=O)c2csc(Cc3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 1326295342 DXOUNMCSKMUPEQ-UHFFFAOYSA-N 404.831 4.523 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3c(C)cncc3[N+](=O)[O-])C2)n1 1326443357 ONICCHHSZVBITG-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD COc1cc(-c2nnc(CSc3ccc(Cl)cc3)o2)c([N+](=O)[O-])cc1OC 1341385770 VJISHMIIIOBCQV-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD O=C(CSc1ccc2ccccc2c1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 28614412 OMCFHNVAQLCCNI-UHFFFAOYSA-N 421.434 4.634 5 20 HJBD CC(C)Cn1c(SCC(=O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccccc1F 39749508 GISXKFLHKDKFJL-UHFFFAOYSA-N 414.462 4.623 5 20 HJBD Cc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1C(F)(F)F 64766429 HEJBRERQNJGFEY-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cc(F)ccc2Cl)c(-c2cccs2)c1 97549529 NIKGDMQPINZXLT-UHFFFAOYSA-N 413.835 4.884 5 20 HJBD Cc1nc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c2c(C)c(C)sc2n1 107842143 RRCREBJGGSEAFL-UHFFFAOYSA-N 413.484 4.867 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1Nc1cccc(COC2CCCCC2)c1 302637080 KODRTJXIWSZOGN-UHFFFAOYSA-N 404.488 4.591 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1c(F)cccc1Br 328306996 KYABGHSNKXIAOO-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426442810 BTLNPEBNNQLIGR-UHFFFAOYSA-N 405.458 4.825 5 20 HJBD Cc1ccc(C(=O)N2CCC(c3ccccc3Br)CC2)cc1[N+](=O)[O-] 439034214 PHLVNKZNLRXZJN-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CCN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ccc(Cl)cc1F 441396973 DRDWKHMCQXRHGJ-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 444605752 DLOSEOIBJNQGTC-GOSISDBHSA-N 409.408 4.905 5 20 HJBD CCNC(=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 446886500 ZKBRTXCDEKWHHV-UHFFFAOYSA-N 409.417 4.888 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)c1nc(O)c2c(-c3ccco3)csc2n1 448105253 PVLPCGVXHCCKMX-SECBINFHSA-N 400.441 4.813 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccncc2)nc2ccccn12 462630736 KTIJFEFVESVLGY-KRWDZBQOSA-N 415.453 4.512 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cccc(CN3CC[C@@H](O)C3)c2)s1 462853601 HRWLGGJZRSAHCD-GOSISDBHSA-N 409.511 4.502 5 20 HJBD CCOCCCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464449841 LILXEGJZXXGUSU-UHFFFAOYSA-N 408.907 4.946 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OCC)cnn1-c1cccc(F)c1 466521585 YCISFKRUMKFUBY-UHFFFAOYSA-N 412.421 4.523 5 20 HJBD CN(Cc1ccccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1ccccc1 469307807 ZIYSNLJSIQTRKF-UHFFFAOYSA-N 414.465 4.825 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 470377086 WMXAMFRHADHSBI-NSHDSACASA-N 404.806 4.660 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Cl)cc1 472613248 RFFCCUGPVKGQJA-QGZVFWFLSA-N 421.856 4.620 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCSC4(CCOCC4)C3)o2)c(Cl)c1 473068921 BRZWPQTUAKMKMN-UHFFFAOYSA-N 408.907 4.606 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 477313955 LHPPTIYBWFIXIB-DOTOQJQBSA-N 408.907 4.595 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 478604929 BDAFXYUXSMOOFY-ROUUACIJSA-N 419.591 4.579 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nc4cc(F)cc(Cl)c4o3)n2)c1 478846732 WBJLFAREMHJUST-UHFFFAOYSA-N 406.782 4.871 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OC(F)(F)F)cc1 481670738 AHKGMRGJONZLBR-MNOVXSKESA-N 400.328 4.613 5 20 HJBD Cc1cnc(Sc2nnc(-c3cccnc3)n2-c2ccc(Cl)cc2)c([N+](=O)[O-])c1 481835492 DCBALFGONDXQKA-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD CCc1c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cnn1C(CC)CC 482719603 OFLMVHGIQNXUQR-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 484052872 YPKGYLATTGTGNL-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD CC(C)(C)Oc1cc(N2CCC3(CC2)OCCc2ccsc23)ccc1[N+](=O)[O-] 485164994 DMFHRZJHVMTFKX-UHFFFAOYSA-N 402.516 4.902 5 20 HJBD C[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 485558291 BCTRKXPZBYWAKV-MRXNPFEDSA-N 424.428 4.569 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)CCc2ccc(OC(C)C)cc2)c1[N+](=O)[O-] 485744235 OMYQKEAOVCHIDQ-OAHLLOKOSA-N 402.516 4.855 5 20 HJBD CC(C)CN(CC(F)(F)F)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 485868277 HVHOYCYNFVRUHP-GASCZTMLSA-N 415.456 4.738 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2F)C1 485919422 KWUNXPOXAHPGOP-LJQANCHMSA-N 418.490 4.696 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc2ccccc2n1CC(F)(F)F 486131874 VWKLGPCAWOGYRP-UHFFFAOYSA-N 414.343 4.912 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@H]2C)c1[N+](=O)[O-] 486253230 RJYXDIHYKHOCTO-WBMJQRKESA-N 406.891 4.572 5 20 HJBD C[C@@H](Nc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1nc2ccccc2n1C(F)F 486439784 SLVOQNBSSAWPCG-SNVBAGLBSA-N 400.345 4.563 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)[nH]c2c1 489822223 DDYQQLLGXDSPSF-AUUYWEPGSA-N 410.499 4.624 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@H](Oc2cccc(Cl)c2)C1 490991402 AUSJVGBGQCNYHM-CQSZACIVSA-N 414.767 4.561 5 20 HJBD O=C(Nc1c(O)c(Cl)cc(Cl)c1Cl)c1c[nH]c2cccc([N+](=O)[O-])c12 496846968 RBWNBYKEILDBRA-UHFFFAOYSA-N 400.605 4.994 5 20 HJBD CCCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)[C@@H](C)CC(=O)Nc1ccccc1 500690045 WKAYPPYAJOFCNC-HNNXBMFYSA-N 415.515 4.586 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 502127299 NHKGYOVMVOAVOD-NSHDSACASA-N 403.797 4.548 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)c(F)c2)C1 506209708 LGXYPUSQIZUYES-SFHVURJKSA-N 422.453 4.589 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(OC(F)(F)F)cc1 507613425 BJDWTFFKFGBSMU-JTQLQIEISA-N 421.418 4.750 5 20 HJBD Cc1cc(Cl)c(NC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)cc1Cl 507965654 KWTJPEVYTFZXGN-UHFFFAOYSA-N 424.240 4.537 5 20 HJBD Cc1cc(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCOCC2)ccc1[N+](=O)[O-] 508758073 HQEXFNQYPIVGLM-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD COc1cc(C)c([C@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 509262735 IKWVHUWUEVSRDM-INIZCTEOSA-N 415.490 4.602 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 509677335 AFVGRWVGZLKPSD-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(CCc3ccc(O)cc3)CC2)c1 514905168 OJPZWCJVOONPEJ-UHFFFAOYSA-N 400.500 4.507 5 20 HJBD Cc1c(NCc2ccc([N+](=O)[O-])cc2)cccc1C(=O)N1CCc2sccc2C1 515664368 VPTFTSLFQYXWPZ-UHFFFAOYSA-N 407.495 4.775 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c(OC)c1 518725195 LQSUPQOZYBEBHH-OAHLLOKOSA-N 422.437 4.685 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)ccc2o1 518888808 FIFJXAYUCKGCEK-UHFFFAOYSA-N 406.398 4.540 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(=O)c1cc([N+](=O)[O-])ccc1C 520057251 GLWNRBZRMPMOTJ-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 521338600 YBBDJJSIEVCOGX-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1C[C@H]1c1c(F)cccc1Cl 525336851 YAKBUTCWWXJHSE-APWZRJJASA-N 420.871 4.620 5 20 HJBD O=C(C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1)N(Cc1ccccc1)C1CC1 525460258 RQUSGCGCMYLWHD-UHFFFAOYSA-N 413.905 4.656 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccc(CN3CCOCC3)cc2)s1 532746755 DMVRMDZNDURRDN-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD C[C@@H]1CC(CCS(=O)(=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C[C@@H](C)C1 534796162 CKTUBSRARYTSFC-GJZGRUSLSA-N 406.504 4.627 5 20 HJBD CCCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 541506266 MWMMGRZZAJBQOJ-UHFFFAOYSA-N 411.437 4.536 5 20 HJBD COc1cc(CNC[C@H](C)c2nccs2)c([N+](=O)[O-])cc1OCc1ccccc1 544622098 QNEOOYUEJPTWBP-HNNXBMFYSA-N 413.499 4.532 5 20 HJBD CC(C)(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 551379941 CIECWNKNAZGUBI-UHFFFAOYSA-N 403.685 4.737 5 20 HJBD COc1cc(F)c([C@H](C)NCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OC 555420608 NDBRFGQJVUQFOA-JTQLQIEISA-N 402.344 4.621 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 557512214 SKEOYOUSUQLFKN-BHDDXSALSA-N 419.525 4.606 5 20 HJBD CC(C)n1nccc1[C@H]1OCC[C@@H]1Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 557708375 PZFWKHXGOFYZAG-CVDCTZTESA-N 420.469 4.545 5 20 HJBD CCC1(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCOCC1 561408260 VZJKJGXSDLOVMB-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)C(F)(F)F 575784870 VNHOHVQOFLFGIA-ZDUSSCGKSA-N 423.391 4.777 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC3CC(C(F)(F)F)C3)cc2[N+](=O)[O-])n1 577138258 NAGDWSVKXOPXCD-UHFFFAOYSA-N 417.434 4.582 5 20 HJBD O=C(Nc1nnc(SCc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 603940588 PGKIWWOFVWVFBA-UHFFFAOYSA-N 424.866 4.783 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCC[C@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])C1 604485655 OJFKITAWPRJTTD-LZJOCLMNSA-N 423.513 4.760 5 20 HJBD Cc1cc(Sc2ccccc2)ccc1NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 608805978 ZBOZGRBEJPPFTF-UHFFFAOYSA-N 410.499 4.897 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3oc(C(C)C)nc3c2)cc1SC 609128903 WLKUDCJVMSPIKP-UHFFFAOYSA-N 401.444 4.842 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccccc1F)c1ccccc1F 609229056 XKYCGLIYHJQUJW-UHFFFAOYSA-N 412.392 4.875 5 20 HJBD C[C@H](NC(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1cccc([N+](=O)[O-])c1 609291418 UCUDPIIXJWCFJV-ZDUSSCGKSA-N 411.487 4.655 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4ccc([N+](=O)[O-])cc4Cl)n3)c2)n1 609475754 LSSCWUTYBLAOSW-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(CC(F)(F)F)cc1 610179650 WXZPWVSNCDABKX-JTQLQIEISA-N 402.756 4.759 5 20 HJBD CCOCCC1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 611039981 FXKOSFJILMMVPU-UHFFFAOYSA-N 423.307 4.914 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 611199407 SSRYMPXHGLABMD-LJQANCHMSA-N 400.500 4.747 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3ccc4ccccc4n3)no2)c(Br)c1 612894184 XGCHCMCWLFXTHS-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(OCc2cn3ccccc3n2)c1 617179445 DQWKKNOXNWDKBG-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD CN(C[C@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)C(=O)OC(C)(C)C 729392459 PMPLVGMEFMPALG-QGZVFWFLSA-N 419.525 4.717 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)s1 730189174 BKRXXGOZNDPJRR-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)CCc1nc2cc(Cl)ccc2s1 730606761 LRIAPNQFJXTSOE-UHFFFAOYSA-N 406.847 4.543 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cc(-c2ccc(C(F)(F)F)cc2)no1 730750319 DZNZFIMPZGWYMS-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CCCCCc1ccc(OC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 732494864 PASMSGOXRAVNHE-KRWDZBQOSA-N 416.499 4.599 5 20 HJBD C[C@@](O)(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc(F)cc1F 734004110 NZJNJCJAVDJFGD-HXUWFJFHSA-N 404.438 4.599 5 20 HJBD COc1ccc(OCCOC(=O)c2c(Cl)ccc(Cl)c2Cl)c([N+](=O)[O-])c1 741816453 LYFSHTMTPIKCQM-UHFFFAOYSA-N 420.632 4.799 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 745352485 ZJIFWCXASXAESX-IAGOWNOFSA-N 424.236 4.615 5 20 HJBD CC(=O)[C@@H](C)OC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 748579256 LQEHUDUYCYDXBA-VQCBNXJZSA-N 410.451 4.656 5 20 HJBD CC(C)(CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1cccs1 749436868 QEYKXXHJBLOJRS-UHFFFAOYSA-N 417.712 4.780 5 20 HJBD CCC[C@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)sc2C1 751125050 DEBQDPMCJRLFOK-JTQLQIEISA-N 424.320 4.971 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1cccc([N+](=O)[O-])c1Br 753828785 OJBGSKXFRXJJQW-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2C[C@H](O)c2cccs2)cc1[N+](=O)[O-] 754396252 PMGKXNCVTQHLKU-YJBOKZPZSA-N 402.516 4.682 5 20 HJBD CCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@@H](C)c1cccc(O)c1 755024930 RISOSTPCNUJECG-NSHDSACASA-N 408.252 4.678 5 20 HJBD NC(=O)c1cc(NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)c[nH]1 756969850 UJAHASLMRYNEQM-UHFFFAOYSA-N 409.445 4.613 5 20 HJBD O=C1S/C(=C\c2cccs2)C(=O)N1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760311845 RHDKOPNAXTZQTG-NVNXTCNLSA-N 413.436 4.548 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Br)s1 760533237 SQDZODNFAMFHMV-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1c(Cc2noc(-c3ccc(OCc4cccnc4)cc3)n2)cccc1[N+](=O)[O-] 762318723 GBMWMRUPQKTTLZ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1ccc([N+](=O)[O-])c(Cl)c1 764198520 ZITRHDDCUGNMHG-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD COc1ccccc1SCC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 764218249 ZKHGZIFMPVMXET-UHFFFAOYSA-N 406.891 4.792 5 20 HJBD O=C(Nc1c[nH]nc1-c1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 764874492 ASJYSWJHSMUAKJ-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD COC(=O)c1ccccc1-c1ccccc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 766387220 IPSQOOSFJCJHBS-UHFFFAOYSA-N 412.348 4.579 5 20 HJBD O=[N+]([O-])c1cc(COc2ccc(-c3noc([C@H]4CCCO4)n3)cc2)ccc1Cl 768376578 JRRCYAYATKEHSK-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD COc1ccc(N[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cc1OC1CCCC1 774322989 CTUQUBFSGCFCSE-QZTJIDSGSA-N 400.479 4.717 5 20 HJBD COc1c(Br)cc(Cl)cc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 774937285 XDCJKSXVXVFYLJ-UHFFFAOYSA-N 416.615 4.540 5 20 HJBD CCOc1ccc(N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 780776449 KVVAWFZTVAJBCD-UHFFFAOYSA-N 408.404 4.635 5 20 HJBD C[C@@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccc(Cl)c1Cl 782529208 HPVQHIWODXGJJX-SNVBAGLBSA-N 400.237 4.720 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Oc1cc(Cl)cnc1Br 790274127 MXWJZTCXHKOAKR-UHFFFAOYSA-N 422.018 4.638 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1cc([N+](=O)[O-])ccc1Cl 791233296 GAEGXIOPRFRXSI-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD COC(=O)[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 791377073 ZLXYSZXZKURHJS-CQSZACIVSA-N 418.616 4.626 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Cl)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cn1 794942961 GIXQIHBXBRKKKF-GHXNOFRVSA-N 400.740 4.766 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nc3c(Br)cccc3s2)c1 795543716 APOVJAPWPGPZEZ-LBPRGKRZSA-N 411.302 4.793 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cn(-c2ccc(Cl)c(Cl)c2)cn1 797510874 RPJWOYZEQMBLAY-UHFFFAOYSA-N 410.188 4.583 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2nc3ccccc3s2)c(Br)c1 799978270 ATRYAQIDNWAXFF-OAHLLOKOSA-N 420.288 4.545 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H](c1cccc(F)c1)c1ccccn1 809917876 NQCPJSJUFOUNAJ-SFHVURJKSA-N 400.797 4.693 5 20 HJBD C[C@@](CO)(NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 809948457 XPQRRDGNVXUJMZ-INIZCTEOSA-N 423.218 4.918 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809963029 JBSCSCPYFHZKDO-UHFFFAOYSA-N 410.301 4.979 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(N2CCCCC2=O)cc1 810145327 ZAYFLBJAZQMIKW-CYBMUJFWSA-N 416.865 4.648 5 20 HJBD CC(C)(CCc1noc(-c2ccc(Oc3cccc(Cl)c3Cl)nn2)n1)[N+](=O)[O-] 812813106 BPRFKOBUDPXLEP-UHFFFAOYSA-N 424.244 4.614 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2)n1 920248137 UOEHGAMXIUGGMJ-UHFFFAOYSA-N 423.498 4.956 5 20 HJBD Cc1ccc(N(Cc2cccs2)C(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 921266480 KTXMMINHFBNUAN-UHFFFAOYSA-N 400.431 4.716 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(-n3cnc4ccccc43)cc2)o1 1117214843 ODHISXMUAARUJF-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc([C@@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1353963868 CMLLRUJIRRIBDI-SFHVURJKSA-N 424.457 4.876 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1ccccc1[N+](=O)[O-] 5032696 IVHDWOAQUMCNSK-UHFFFAOYSA-N 406.419 4.581 5 20 HJBD Cc1cccc(Nc2nnc(S[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])s2)c1 6057749 CJEAXEAMNNFYIR-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD C[C@@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccc(Cl)cc1 7798089 OUTAVUZMAKZRJS-SNVBAGLBSA-N 407.904 4.827 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3c(c2)Cc2ccccc2-3)c([N+](=O)[O-])cc1OC 15760672 BIGYBZOUHAFOLN-UHFFFAOYSA-N 404.422 4.826 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1ccc(Cl)cc1[N+](=O)[O-] 33195123 OELWEZHVXKXLHG-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53509786 NZWWZUMUZNOABX-IERDGZPVSA-N 402.454 4.750 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 55686794 KMKNSUTWWQUTPF-CQSZACIVSA-N 415.515 4.633 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 109194962 WPXOTLADUFYYHY-UHFFFAOYSA-N 405.888 4.945 5 20 HJBD COc1cc(CNCC(C)(C)c2cccs2)c([N+](=O)[O-])cc1OCC(F)(F)F 237127876 OZKBCURVIANPHQ-UHFFFAOYSA-N 418.437 4.673 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)cc2)n1 301742703 LYFNSFDIHDEBAY-INIZCTEOSA-N 421.457 4.527 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)c2ncccc12 302186472 PMQVZLUGKQGNRJ-GOSISDBHSA-N 403.360 4.740 5 20 HJBD CCOc1cc(NC(=O)Cc2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OCC 302898541 RXVFBMQCYFSMSG-UHFFFAOYSA-N 412.364 4.592 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(OCc3ccc(Cl)cc3)c2)c1[N+](=O)[O-] 303430058 BTPLFNWTAUYTAB-UHFFFAOYSA-N 414.849 4.755 5 20 HJBD C[C@@H](Cc1cccc(C(F)(F)F)c1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 303757562 DHZYCHHRDMDFEE-HNNXBMFYSA-N 408.420 4.931 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@H](c4ccccc4F)C3)cc2[N+](=O)[O-])CC1 410288383 LCNYGROCWWOTGT-SFHVURJKSA-N 411.477 4.600 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)C2CCCCC2)c1 427457114 SDRXQHDECJLQMH-UHFFFAOYSA-N 413.499 4.844 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 431650081 ZBMIPOHWLYDVOS-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1 431772650 LYCREFSJVLUHQB-AWEZNQCLSA-N 417.181 4.781 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccc(Br)cc2)C2CCC2)c1[N+](=O)[O-] 435570230 VQVMQJUIIZBBSA-QGZVFWFLSA-N 419.275 4.637 5 20 HJBD COc1cccc(C(=O)Nc2ncc(Cc3ccc(C)c(Cl)c3)s2)c1[N+](=O)[O-] 435667566 MTFBEEJZYNOMAH-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD O=C(NCCc1ccccc1Cl)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436318945 PXUCEXHXAFTGTF-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2O)cc1 436970072 BWXKIFOROOSBHV-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1ccc(Cl)cc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 443719709 CNZIRHSSPZXWDM-AWEZNQCLSA-N 423.856 4.731 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1F 444226503 PBHHYUOCKSKOJD-GFCCVEGCSA-N 410.395 4.898 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](c3ccccc3C(F)(F)F)C(F)(F)F)[nH]c2c1 444672726 GJFOXLUBOHCEBQ-OAHLLOKOSA-N 418.297 4.883 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@H]3c3cccc(C(F)(F)F)c3)n2)c1 445580229 PTNVAUIYSRUCQN-JKSUJKDBSA-N 419.359 4.867 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 446485666 PNDXERTVLLDZLA-ZDUSSCGKSA-N 407.392 4.705 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446905088 FAJDHHBMVMXBMG-OAHLLOKOSA-N 407.474 4.544 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(OC)cc1)C(F)(F)F 462600380 FEGNQRBNNRZUJF-KDOFPFPSSA-N 410.392 4.592 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccco2)nc2ccccn12 462623366 YSONENHIWYHTRB-MRXNPFEDSA-N 404.426 4.710 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)CCc2cccs2)cc1[N+](=O)[O-])c1ccccn1 464346889 RVGPOSMZLPRRBG-HNNXBMFYSA-N 410.499 4.539 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1cc(F)cc(Br)c1 466288939 MIWKJDVXIHYAMB-INIZCTEOSA-N 411.202 4.613 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OCc1ccccc1 466401449 ZGVWCRPVTDNGEV-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD O=C(Nc1ccc(F)cc1)[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 467006878 PGXYIDGLXCNJBU-HXUWFJFHSA-N 413.836 4.857 5 20 HJBD COc1cc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc(OC)c1C(C)C 467466807 KAYASOLPQDTZTL-UHFFFAOYSA-N 407.854 4.711 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 471831831 MYCLUXQYOUTDRF-GOSISDBHSA-N 421.501 4.853 5 20 HJBD C[C@H](NC[C@@H]1CCN(c2cccc(Br)c2)C1)c1ccc([N+](=O)[O-])cc1 481570458 WVBMNNRPKGGVIC-GJZGRUSLSA-N 404.308 4.534 5 20 HJBD C[C@@H](NCc1cccc(-n2cncn2)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483168771 NWOHZKDKVLKBSU-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD CCCc1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1C1CCCC1 486728474 PWTCSFLZHLEBNL-UHFFFAOYSA-N 424.423 4.808 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(Cl)c1Br 486818297 BOBJJWUVJZSIGA-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD CCCn1ccc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SCCOC)ccc21 487030249 RNSZFCFZNHKUKJ-UHFFFAOYSA-N 413.499 4.950 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc2ccccc12 488420426 NSRBLGJULITIGV-OAHLLOKOSA-N 410.495 4.978 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2nc(-c3cccc(C(F)(F)F)c3)no2)C2CC2)c1 490186973 JXRFQRYOTQIZDY-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 490978635 BWCNBISZQUXGKT-QGZVFWFLSA-N 421.419 4.661 5 20 HJBD COc1cc(CNc2ccc(C)c(-c3ncco3)c2)c([N+](=O)[O-])cc1OCC(F)F 491017949 GZDXQSVNQMTUMS-UHFFFAOYSA-N 419.384 4.823 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc(C)nc21 502013018 GGSLGIKYRCQXKI-MRXNPFEDSA-N 409.490 4.670 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)sc2C1 502972141 VHKBEAKZLDLIQW-HNNXBMFYSA-N 417.534 4.765 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(Br)o1 505788756 WVTSVEYDRNHEBE-UHFFFAOYSA-N 413.293 4.725 5 20 HJBD CC(C)[C@H](NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1nncn1C 512506452 MBMXWWWMWAPUOY-IBGZPJMESA-N 415.881 4.656 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)c2)cn1 512948875 PQQSTNCPJUKRGL-CQSZACIVSA-N 409.417 4.855 5 20 HJBD CCOc1cc(NC(=O)c2cnc(-c3ccccc3)s2)c([N+](=O)[O-])cc1OCC 514431287 KDUKINHEWTYAFY-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD C[C@@H]1CC[C@@H](C)N1[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 518866029 KSRWMFNUHJFOOT-GGPKGHCWSA-N 423.513 4.865 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OCC(F)(F)F)cc1 520379059 QSOJYHVVYVHINS-SNVBAGLBSA-N 418.755 4.595 5 20 HJBD COc1ccccc1Oc1ccc(N[C@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)cc1 520971517 KWNOQDMOWJMDOP-FQEVSTJZSA-N 419.437 4.613 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])[nH]c2c1 524767802 HPNFKLIFOWJPPK-MRXNPFEDSA-N 418.375 4.776 5 20 HJBD CCn1c(SCc2ccc(OC)c(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 534243106 QTZNJUVJKVECBO-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC[C@H](c3nc4ccc(F)cc4o3)C2)c1 535810261 AFTISHOXPFKVSH-LBPRGKRZSA-N 421.375 4.856 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNc1cccc(CS(=O)(=O)C2CCCCC2)c1 536099836 STHRAKKKWQWNJY-YFHOEESVSA-N 414.527 4.968 5 20 HJBD CO[C@@H](c1ccccc1F)[C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 538487060 LVFVBMDBQLDNEH-BFUOFWGJSA-N 401.463 4.723 5 20 HJBD COc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)cc(Br)c1OC 539078891 COEFRIJBRNZGCQ-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1Cl 539845843 IPMIIBPLDIDPGK-UHFFFAOYSA-N 417.893 4.908 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4nc5ccccc5s4)o3)n2)nc1 546485893 WITLRVULKMWDNT-UHFFFAOYSA-N 405.395 4.500 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)c1[N+](=O)[O-] 548259153 ODHYYQYQZYMKGJ-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(-c4ccccc4)c3C)n2)cc1[N+](=O)[O-] 553573076 DGKWKQPVTPXUEO-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(OC2CCCC2)c(F)c1 554882605 YDTCROIUUYHDDO-UHFFFAOYSA-N 401.438 4.762 5 20 HJBD COc1cc(CN(C)Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1OC(F)F 555294923 JNARJIHVGHXARW-UHFFFAOYSA-N 420.334 4.856 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(C)c2ccc(Br)cc2Cl)c1 558756010 WACJESLFLRAMME-UHFFFAOYSA-N 413.655 4.686 5 20 HJBD COc1ccc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)cc1OCc1ccc([N+](=O)[O-])cc1 566036472 KDOQNAOYXCQARC-IEZKXTBUSA-N 416.477 4.610 5 20 HJBD CS[C@H]1CCCCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2Cc2ccccc2)C1 572452441 BJXVYPHORYNOAS-FQEVSTJZSA-N 423.542 4.624 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(CCc3ccc(Cl)cc3)CC3CC3)n2)c1 574115208 MEUKGZPEYAXNJC-UHFFFAOYSA-N 412.877 4.753 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(SCc3cccnc3)cc2)c1 577958817 CRKPNXNMPFQPGM-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 581854287 TZUZQTASDLJIFM-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCCc2sccc2C1 609332355 PWSNFIOGRYSWKC-UHFFFAOYSA-N 407.495 4.857 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C\c4ccc([N+](=O)[O-])cc4)n3)c2)n1 609475723 BEVKZMDJNBLWFR-FLIBITNWSA-N 401.426 4.677 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1ccc(Cl)cc1)CC1CC1 609725952 OVIFCVXGEOFWAJ-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@@H](C)c1cccc(OC)c1 610039090 UIDXYWMTLMYFCY-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD COc1cccc([C@@H](C)c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 612918134 SWHBODRQZMRPFM-NEPJUHHUSA-N 403.822 4.932 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](Cn4cnc5ccccc54)C3)co2)cc1 614235718 FZXURSQIBIXPIB-KRWDZBQOSA-N 417.469 4.512 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCC[C@H]3c3ncc(-c4cccc(F)c4)[nH]3)nc2c1 616139352 WZLJZDUABKIGHK-IBGZPJMESA-N 421.432 4.992 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2)n1 642283195 IVQBWFRIQNJOAW-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD O=C(Nc1ccccc1)C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 643126507 CJJRKIQUVFIWJQ-UHFFFAOYSA-N 409.489 4.879 5 20 HJBD CC(C)(C)OC(=O)NCCc1ccc(CNc2ccc3ncccc3c2[N+](=O)[O-])cc1 725791804 IPFZXNVPFNSRPP-UHFFFAOYSA-N 422.485 4.822 5 20 HJBD Cc1c(C(=O)N2Cc3ccccc3-c3ccccc3C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 726174560 YJPONKBIEXKURZ-UHFFFAOYSA-N 403.394 4.634 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)OCc1c(F)cccc1[N+](=O)[O-] 728993072 CJXYWOBBNJTAOQ-UHFFFAOYSA-N 404.394 4.586 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2csc3ccccc23)cc1OC 729958959 HNIKJEBGCORTNV-GFCCVEGCSA-N 400.456 4.708 5 20 HJBD CC(C)Oc1ccc([C@@H](O)CNC(=O)c2cc3ccccc3c3cccnc23)cc1 734873810 KLYGVKPVPUFOEG-QHCPKHFHSA-N 400.478 4.639 5 20 HJBD O=C(CCCc1ccc(Cl)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443768 UCRXLBUFBWFMTE-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD CC(C)(C(=O)Oc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1 739569569 PZJFMONMUSNGCN-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCC1CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 740898796 YRDZPBJRYDOPRH-NSHDSACASA-N 407.829 4.615 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 747511591 VDPPBXNEOPFPCY-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD O=C(NOCc1cccnc1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 747581160 MWEKUPMZRMVLJI-UHFFFAOYSA-N 415.858 4.656 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cc(Cl)ccc1[N+](=O)[O-] 748663486 VIWDMAKMTAMACS-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD CC(C)(NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1cccs1 750880001 NWFAEUHGMBMJHT-UHFFFAOYSA-N 403.685 4.737 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)o2)c1 753423764 SHVGBDSYXSVKDV-CYBMUJFWSA-N 413.405 4.674 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762999455 OFJMYQUVOOUFQX-GFCCVEGCSA-N 422.840 4.544 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768092390 YOQGBNDATGJKQU-NRFANRHFSA-N 420.494 4.652 5 20 HJBD Cc1n[nH]c(C)c1[C@@H](C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 770876615 SYZRIFZFCGGKLM-GFCCVEGCSA-N 405.414 4.929 5 20 HJBD CC(C)n1cc2c(n1)[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 771764619 HJCIYTAPBZQWDA-CQSZACIVSA-N 411.384 4.590 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nnc(-c3ccccc3)n2-c2ccccc2)cc1 776279272 WNJDNMYGBOTYQQ-HXUWFJFHSA-N 418.478 4.668 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)OCc1ccc([N+](=O)[O-])c(F)c1 782534122 MDDRXHUVZZAFGU-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD Cc1cnc(COC(=O)c2csc(-c3ccc(Cl)s3)n2)c(C)c1[N+](=O)[O-] 789441733 GHELTKHHUIOYCE-UHFFFAOYSA-N 409.876 4.802 5 20 HJBD CN(C(=O)c1cc(-c2ccc(F)cc2)nc2ccccc12)c1ccc([N+](=O)[O-])nc1 808794845 NTFBCWIVNFFLNR-UHFFFAOYSA-N 402.385 4.621 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 808842883 GUGCSYBEUGSEPE-GFCCVEGCSA-N 414.330 4.912 5 20 HJBD O=C(CCc1nnc(-c2cc3ccccc3o2)o1)Nc1cccc([N+](=O)[O-])c1Cl 813245337 QPEWCZPGHQQEKU-UHFFFAOYSA-N 412.789 4.616 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H](c2ccccc2)C1 817105724 CGGCYXBTXAXILA-ZDUSSCGKSA-N 407.239 4.516 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2cccnc2)nc2ccccc12 897444655 NMZSQGKGIAEAIT-UHFFFAOYSA-N 406.348 4.736 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2ccc(Cn3cnc4ccccc43)cc2)no1 904475188 UGAFLDXUBOKEPT-UHFFFAOYSA-N 415.384 4.849 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(N4CCCCC4)cc3)no2)c2ncccc12 904612718 VJOVRIBBLNFISN-UHFFFAOYSA-N 401.426 4.850 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 915854505 LDFVLXJTCLIWLH-UHFFFAOYSA-N 407.382 4.568 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nnc(-c2cccc(Cl)c2)o1 917329914 MBSDCDZQHIWDIK-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD Cn1cc(/C=C/c2ccc3c([N+](=O)[O-])cccc3n2)c(-c2ccc([N+](=O)[O-])cc2)n1 920065319 VQMCAFABEZZHQI-VMPITWQZSA-N 401.382 4.622 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(-c2ccccc2)s1 1318555201 BCWCBLSWWLHNRN-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD O=C(N[C@H](CCc1ccccc1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319234263 HPPDWSLKCDJDAV-HSZRJFAPSA-N 401.466 4.994 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)s1 1326043606 XVXSANSGHYOSIN-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)cc1C 10842415 ZNWRCMYMVLRWEH-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD CSc1ccc(C(=O)OCC(=O)N[C@H](C)c2cccc3ccccc23)cc1[N+](=O)[O-] 19013585 BATUPHMVULVONJ-CQSZACIVSA-N 424.478 4.504 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 22139692 YZZPKLCFOSCQNH-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 24933395 WPEBJQVIARUSMX-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)CCNc1ccccc1[N+](=O)[O-] 44634290 ZGFSEPSGUVUZMF-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD O=C(c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1)N1CCSCC1 58370455 YUGJPCKSQUVRMI-UHFFFAOYSA-N 417.537 4.545 5 20 HJBD C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1cccc(OCc2ccccn2)c1 58571421 YETNNTWTNXLZGN-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD CC1CCN(Cc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)CC1 60846858 BVVROJOGEJEWLV-UHFFFAOYSA-N 422.529 4.679 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)C2CC2)cc1 105401291 TUTBRPJQOUXKAR-JOCHJYFZSA-N 418.497 4.646 5 20 HJBD O=C(c1cccc(Oc2ncc([N+](=O)[O-])cc2Cl)c1)N1CCc2ccccc2C1 301296863 YCBCZJSJWCCIPV-UHFFFAOYSA-N 409.829 4.634 5 20 HJBD CC(C)[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(F)cc1F 301643407 OJWZKDMKRBSPMH-FQEVSTJZSA-N 403.429 4.918 5 20 HJBD Cc1cc(N[C@@H](C)c2noc(Cc3ccccc3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301706048 GIXSRKUFHSNZGU-HNNXBMFYSA-N 416.441 4.507 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2ccccc2Br)o1 301743623 MNVZRDAITICBEH-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCCc2cc(Br)cc(Cl)c21 303956268 ATIYUIGWDIPPKR-UHFFFAOYSA-N 409.667 4.533 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccc(F)cc2)CC(F)(F)F)c1 304076333 VFEMWTAVFSGHBP-UHFFFAOYSA-N 402.369 4.661 5 20 HJBD C[C@H](NCc1cc(Br)ccc1OC(F)F)c1cccc([N+](=O)[O-])c1 391878327 SMDNMUJSTLNMFC-JTQLQIEISA-N 401.207 4.810 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 433959094 KEODQULXKFDBGA-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1Sc1cccs1)NCc1cccs1 434882788 IACZCVJFONXDOX-UHFFFAOYSA-N 405.526 4.597 5 20 HJBD CCCN(C(=O)c1cc(C2CC2)nc2c1cnn2C(C)C)c1cccc([N+](=O)[O-])c1 441452735 PUJWZSMGPLZGFQ-UHFFFAOYSA-N 407.474 4.855 5 20 HJBD CC(C)(C)c1cnc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])o1 442680412 LXKNFIRIOABLNP-UHFFFAOYSA-N 411.483 4.962 5 20 HJBD CC(C)c1ccc([C@@H]2CCCN2C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 446904300 KOGQHXYGOUHOMT-FQEVSTJZSA-N 407.474 4.641 5 20 HJBD Cc1ccncc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 460129188 IGQIHUBKEFYMQX-UHFFFAOYSA-N 415.808 4.813 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)cc2[N+](=O)[O-])cc1 462191963 ABDITUMAFHEIGF-XLIONFOSSA-N 412.511 4.742 5 20 HJBD O=C(c1cccc2c3c([nH]c12)CCCC3)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638144 KZWZDWMGGAHQCW-UHFFFAOYSA-N 419.481 4.639 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1 464722342 DYSAIOFLPYSRIR-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD COCc1c(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)sc2cccc(F)c12 466290500 SDKWUFPPBGKZDN-UHFFFAOYSA-N 408.838 4.678 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2cccc(Br)c2)C2CCOCC2)c(F)c1 467298445 DDTKDTKDZRDNQC-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c2o1 467855930 WEBQXEZEXQFWMC-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD CCOc1cccc(-c2cccc(NC(=O)c3c(OCC)cccc3[N+](=O)[O-])n2)c1 471151164 KJWIHXLIHFQUGZ-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD CCc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 471261963 VAXWXJMKDMPYNA-MRXNPFEDSA-N 404.850 4.621 5 20 HJBD COc1cc(C(=O)NCC2(Cc3ccccc3)CCCCC2)c([N+](=O)[O-])cc1OC 471562626 JJTHEBYDPSFXRB-UHFFFAOYSA-N 412.486 4.535 5 20 HJBD Cc1cc(Br)c2ccccc2c1NCc1ccc(C(N)=O)cc1[N+](=O)[O-] 477578670 UARZLLAWFSMXRN-UHFFFAOYSA-N 414.259 4.530 5 20 HJBD COc1cc(C(=O)N2CCC(c3c(C)[nH]c4ccccc34)CC2)cc([N+](=O)[O-])c1C 479637030 NWVVNPDWAWBJQL-UHFFFAOYSA-N 407.470 4.721 5 20 HJBD CCC(=O)c1ccc(N2C[C@H](CC(=O)N(C)C)c3cc(Cl)ccc32)c([N+](=O)[O-])c1 480008137 VGIJZQGLOVLZKM-AWEZNQCLSA-N 415.877 4.555 5 20 HJBD Cc1ccc(N(C(=O)c2cc([N+](=O)[O-])nn2C)c2nc3ccccc3s2)c(C)c1 483984147 WVUJBCBETQBDBC-UHFFFAOYSA-N 407.455 4.533 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OCC(C)C 485753103 OZLFTTAGGFEGFS-AWEZNQCLSA-N 418.515 4.851 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 485880483 AURDHKQTZJOZDN-GFCCVEGCSA-N 415.284 4.555 5 20 HJBD Cc1cc(NC(=O)C[C@@H](c2ccccc2)C(F)(F)F)n(-c2ccc([N+](=O)[O-])cc2)n1 486504299 LOVGHKVSXWVYHB-KRWDZBQOSA-N 418.375 4.764 5 20 HJBD Cc1cccn2cc(CNC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)nc12 488271886 YGUKIVYEYQLSJJ-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD O=C(Nc1cc(C2CC2)[nH]n1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 490110634 DNWLHFCHYZWNDO-UHFFFAOYSA-N 411.849 4.713 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1C(=O)N1CCCCC1 490145585 LLIJPEOCZZBJGM-QGZVFWFLSA-N 424.501 4.720 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(Cl)c2OCC(F)F)c1 493176617 ARZACXHMYCRLKH-UHFFFAOYSA-N 414.792 4.608 5 20 HJBD O=C(Nc1cccc2oc(C(F)(F)F)nc12)c1ccc(NC2CC2)c([N+](=O)[O-])c1 494648692 PIJWOFITKSQXAK-UHFFFAOYSA-N 406.320 4.581 5 20 HJBD Cc1c(NC(=O)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)cccc1[N+](=O)[O-] 495127497 JSYSKGCGAHBJFT-CQSZACIVSA-N 400.504 4.650 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 500572878 JKMLIMDASCICHF-UHFFFAOYSA-N 415.453 4.893 5 20 HJBD CC(=O)C[C@@H](C)NC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 501455894 SPJHEMYRIHMOEM-MTOJCTJASA-N 423.494 4.619 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4nccc5ccccc45)no3)cs2)c1 507684186 QCSUXURYURHEEQ-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 510434220 MESYNCHYIAANOR-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nc(-c4ccco4)nc4ccccc34)c1)OCOC2 514892061 BSBFPFIHTMBSFO-UHFFFAOYSA-N 421.434 4.957 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc2c(c1)OCO2 522672221 AVYKHMJKPWSBHB-AWEZNQCLSA-N 421.409 4.555 5 20 HJBD O=C(Nc1ccnn1[C@@H]1CCCc2ccccc21)c1cc2cc([N+](=O)[O-])ccc2o1 523381914 IKFRSGNUIADOOH-GOSISDBHSA-N 402.410 4.716 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)c1 525142016 GSXAOAJQNKJLLT-FQEVSTJZSA-N 418.428 4.563 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cn2)CC1 530874108 HFQYQMNMYZMRPY-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCN(CC)CC2CC2)CC1 536592362 VOIWWAZUVKBDEA-UHFFFAOYSA-N 419.591 4.681 5 20 HJBD COc1ccc(NC(=O)CCN[C@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 537102358 YVVFKDKHGNNTAS-OAHLLOKOSA-N 417.531 4.783 5 20 HJBD CN(CCC1CCOCC1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 539818180 HTBZFJDLHQGCGB-UHFFFAOYSA-N 423.307 4.866 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@@H](c2ccsc2)C1 540471605 NQRUQPSHFYSDBL-SUMWQHHRSA-N 406.529 4.759 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)[C@H]1CCCO1 544097641 SHOJEGXROJZPDE-VQIMIIECSA-N 424.881 4.516 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 544447576 IYBKFHDMEDJRLL-QYSRSOONSA-N 406.479 4.523 5 20 HJBD COC(=O)c1cc(-c2nc(-c3cccc(-c4csc(C)n4)c3)no2)cc([N+](=O)[O-])c1 547102498 OKWPRPTXQURKGY-UHFFFAOYSA-N 422.422 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cc(NC(=O)C(C)C)ccc2Cl)n1 547111995 TUZOHMBMCCNVLA-UHFFFAOYSA-N 400.822 4.868 5 20 HJBD CCOc1ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c(C(F)(F)F)c1 548943325 PUUGFUFMGBEKRE-UHFFFAOYSA-N 411.380 4.719 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2N[C@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 549710812 JCKUXPWQQPOIOE-OAHLLOKOSA-N 407.474 4.610 5 20 HJBD COCC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)c(Cl)c1 551336683 SVTLFRNDTJQHGC-INIZCTEOSA-N 401.221 4.548 5 20 HJBD CC(C)(C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccccc1[N+](=O)[O-] 552546440 KCYZXCAUHUVSIB-KOMQPUFPSA-N 417.303 4.697 5 20 HJBD COc1ccc(-c2ccc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])c(F)c2)cc1 560720496 CTIWJOXLFOTRCL-UHFFFAOYSA-N 408.429 4.724 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 563923241 VXHGBNQGBOMURE-ROUUACIJSA-N 408.420 4.701 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 574281246 GLCWUULOHQFHNA-CYBMUJFWSA-N 420.391 4.727 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@H]1CCN(Cc2ccccc2)C1 579527629 VCMVSKWQNWAZIM-YJBOKZPZSA-N 407.436 4.614 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1 608898161 PVHZJBCUGFNWGJ-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD CSCc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 608953532 IEGBIQJNEVVLDM-UHFFFAOYSA-N 410.499 4.572 5 20 HJBD O=C(Nc1ccc(Cc2nnc3ccccn23)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 609546058 ODXYQOLEARRUHZ-UHFFFAOYSA-N 422.832 4.526 5 20 HJBD CCc1cc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n(C)n1 609697386 UOOZMHSMWUCYFW-UHFFFAOYSA-N 400.822 4.589 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 611145973 LMVQBZSRMFHXJI-INIZCTEOSA-N 402.454 4.506 5 20 HJBD C[C@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611215938 WMCGSVZPPWOVFR-CYBMUJFWSA-N 402.472 4.614 5 20 HJBD O=C(NCCSCC(F)(F)F)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611284162 OLKLGALJJLKKKO-UHFFFAOYSA-N 408.467 4.655 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC(Cc2ccccc2)C1 611520362 KUEKKHGBTJTEIV-UHFFFAOYSA-N 401.466 4.522 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H](CN3CCCCC3)c3ccccc3)co2)cc1 611934811 AIIPCDABTUYVMI-QFIPXVFZSA-N 406.486 4.567 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(Cc2csc(-c3ccccc3Cl)n2)n1 612864468 GLANXVVJFLHYJY-UHFFFAOYSA-N 412.858 4.936 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(c2nc(-c3ccccc3)no2)CC1 616121657 XIGFPWXZJJFGLW-UHFFFAOYSA-N 421.457 4.673 5 20 HJBD O=C(OCc1csc(Cc2ccc(Cl)cc2)n1)c1cc(F)c(F)cc1[N+](=O)[O-] 725935213 LNLWABOAUAKHSQ-UHFFFAOYSA-N 424.812 4.931 5 20 HJBD Cc1c(C(=O)Nc2ccc(SC(C)(C)C)cc2)nnn1-c1cccc([N+](=O)[O-])c1 726760480 MZVPFMGHVIBVIZ-UHFFFAOYSA-N 411.487 4.627 5 20 HJBD Cc1nc2ccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc2nc1C 728165675 OUNVUXBWGMLVCJ-UHFFFAOYSA-N 410.861 4.865 5 20 HJBD Cc1c(C(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 729832580 YZLBZTLLVYHCOH-UHFFFAOYSA-N 419.262 4.718 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 731485144 XENAMVYQLYVWDY-QFIPXVFZSA-N 418.921 4.981 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1c(Cl)cccc1[N+](=O)[O-] 731958726 DUEGXCVGBCNYQH-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 736525798 DUEASLVWXOIYJL-SJLPKXTDSA-N 411.477 4.742 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)O[C@@H]1CCCc2nc(-c3ccccc3)sc21 741195734 QOWDBJXDXSEPMT-GOSISDBHSA-N 410.451 4.718 5 20 HJBD O=[N+]([O-])c1cn(CN2Cc3ccccc3C[C@H]2c2ccccc2)nc1-c1ccncc1 743377155 NGAKOHWRKCOPNP-QFIPXVFZSA-N 411.465 4.610 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745074871 WTDOGYRLGSMHTQ-CVEARBPZSA-N 412.486 4.956 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 745224584 ZCSDMWOSAUTTAE-OLZOCXBDSA-N 424.375 4.678 5 20 HJBD C[C@@H](CC(=O)c1cccc(Br)c1)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 745225849 KALAUGVDVRKWDP-QWHCGFSZSA-N 420.259 4.871 5 20 HJBD O=C(NCCCS(=O)(=O)c1ccccc1)c1c2ccccc2cc2ccccc12 746516468 ZEDJINBIBIOHMV-UHFFFAOYSA-N 403.503 4.587 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1Cl 749919345 PXSAMXUIQHTAOH-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1cnc(-c2cccs2)s1 751443457 JWANCDMYGXRPPH-YRNVUSSQSA-N 417.855 4.778 5 20 HJBD CCc1ccc([C@H](Nc2cccc(CN3CCOC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753705507 OXOPAPAZRSJBRK-JOCHJYFZSA-N 421.453 4.904 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC(c2ccccc2)CC1 754630625 KMCGZIRYJFGKEE-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CCO2)cc1 757526266 LPFHFGVEYKGFFN-DENIHFKCSA-N 410.470 4.581 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)CSc3ccccc3[N+](=O)[O-])o2)cc1C 758908162 DCYJKEYFVYBQJT-CQSZACIVSA-N 413.455 4.658 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1cccc([N+](=O)[O-])c1Br 759328090 NWADITJZYFVFQS-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD Cc1cc(F)cc(C(=O)N2CC=C(c3cccc(Br)c3)CC2)c1[N+](=O)[O-] 762485621 MPPFXHMJKNXQAV-UHFFFAOYSA-N 419.250 4.734 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H](c2nc3ccccc3o2)C1 768243019 GFBSPACISDDRAW-CYBMUJFWSA-N 416.275 4.878 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cncc(-c2ccc3c(c2)CCO3)c1 770477660 JTPAWRZXWOQGEY-UHFFFAOYSA-N 423.856 4.517 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(C(F)(F)F)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 776916425 JXCHIMYAHHFNQA-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD COc1cc(CNc2ccc(N(C)C)c(Cl)c2)c([N+](=O)[O-])cc1OCC(F)F 779042481 DDIKMGPXRNGSCW-UHFFFAOYSA-N 415.824 4.579 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781693119 IOFGSEMATVNBLP-UHFFFAOYSA-N 415.446 4.698 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2[nH]1 782123423 LPLFPQQOAASNQO-UHFFFAOYSA-N 417.263 4.829 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)ccc1O 782939988 BQDLUCCYCLHCBF-WQRHYEAKSA-N 423.396 4.732 5 20 HJBD COc1ccc(-c2nc(COC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cs2)cc1 796439990 PZWSSGQFNDOSFT-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)Nc1ccccc1C(=O)Nc1ccccc1 800838718 KQHAZWRKBSTOGC-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CO[C@H](COC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 801859256 ZUNFGATYNSYOSA-HXUWFJFHSA-N 409.388 4.504 5 20 HJBD O=C(OCc1nc2cccc(Cl)c2[nH]1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 802885809 CGCKJTZSHBHIGB-UHFFFAOYSA-N 414.830 4.605 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3ccc(C(F)(F)F)cc3)o2)cc1 808426145 PSENOZMSNDTWJO-SFHVURJKSA-N 406.360 4.697 5 20 HJBD COc1ccccc1C(C)(C)C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218483 BTSVKACZGFSQCK-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD Cc1nn(-c2ccccc2)c(Cl)c1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 915283357 NHUSWWCXSAAABT-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD C[C@@H](CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 920721642 GXERTEVGHSHZQQ-RBZFPXEDSA-N 416.861 4.907 5 20 HJBD Cc1ccc(C2=CCN(C(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 920765894 MKQTYSULZPXTEJ-HNNXBMFYSA-N 400.862 4.640 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@@H]1CCCc3c1cnn3Cc1ccccc1)CCC2 1320861429 BHDZQRZRNIJBHK-DHIUTWEWSA-N 402.498 4.884 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)cccc1[N+](=O)[O-] 1338953451 LAMYZLPQNLXQNZ-UHFFFAOYSA-N 418.425 4.711 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)Nc1ccc(N2CCCC2)cc1 16054078 SXQQPCYBXJHCQL-UHFFFAOYSA-N 414.512 4.536 5 20 HJBD CN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1ccccc1 20890472 ZXISOLDHXFPTIS-UHFFFAOYSA-N 412.449 4.653 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(Cl)c(Cl)c1 22668420 JFVNLEGITXWCDZ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1cc(F)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 53459130 VMYVQJKWFAQJMB-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCc2ccc(F)cc2)cc1 61555122 AJZMUEFPMKASJS-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)ccc1Oc1cccnc1 72028810 FFEHGOXFWCVPKU-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD COCCOc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 237211453 KMCBITLTYWMVPH-UHFFFAOYSA-N 416.861 4.823 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC[C@@H](c4nc(-c5ccc(F)cc5)no4)C3)ccc2c1 301285986 ZHYGVVXCCSXTPR-MRXNPFEDSA-N 419.416 4.716 5 20 HJBD C[C@@H](NC(=O)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1)c1cccc([N+](=O)[O-])c1 409821636 NCUGEPAXOKENGE-SPLOXXLWSA-N 412.392 4.871 5 20 HJBD CN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)c1cc2ccccc2c2cccnc12 437053748 IWOBAZKSSZJCIR-OAQYLSRUSA-N 409.533 4.982 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F 443515651 AMCYXSHMZYCOKJ-UHFFFAOYSA-N 401.772 4.934 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4ncoc4C)no3)cc2[N+](=O)[O-])n1 445807873 NTWZTIFKIHQHKW-UHFFFAOYSA-N 401.429 4.524 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446893279 RYEWXEKLYFKWGS-SNVBAGLBSA-N 422.272 4.681 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC=C(c2ccc(O)cc2)CC1 447670568 NCQWKCFFXNJADO-UHFFFAOYSA-N 415.318 4.643 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 462630741 KULDSSMBFKBWGB-LBPRGKRZSA-N 415.437 4.690 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(F)c1)C[C@H]1CCCO1 463963935 LEQOMXJULNWFST-GOSISDBHSA-N 401.438 4.564 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1Cc1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465950382 GXNQTTCSZOEWTE-AUSIDOKSSA-N 423.513 4.559 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1)c1ccc(Cl)cc1 466304161 AKCBMLGULRMVDL-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)C[C@H]2C)cc1SC 466869903 GBLRZAJTTWUUST-GDBMZVCRSA-N 400.500 4.734 5 20 HJBD CCC[C@@H](CCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467915876 KUZDMNDZQRTRMQ-AWEZNQCLSA-N 422.934 4.928 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccc(Br)c1 470151610 MZIHMVKLNBXEMP-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD C[C@H](Oc1cnc2ccccc2c1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 470724423 WPICWCLYWYPTOI-ZDUSSCGKSA-N 420.450 4.673 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccccc1COCc1ccccc1 470808716 SQVQKBBFARIDQD-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCCc2ccccc2C1 477170407 MHIUGHQTJGMHKR-UHFFFAOYSA-N 404.416 4.548 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc(Br)cc1[N+](=O)[O-] 478233537 ULARXJIRLZWTOX-DOMZBBRYSA-N 407.239 4.563 5 20 HJBD COc1ccc(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 478909507 POJKAMUQBNCPKT-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD C[C@@H](c1cccc(Cl)c1)S(=O)(=O)c1ccc(Br)cc1[N+](=O)[O-] 479979308 ZBNNSNLVZHWPEI-VIFPVBQESA-N 404.669 4.546 5 20 HJBD Cc1sc(NC(=O)c2cccnc2OCC(C)C)nc1-c1cccc([N+](=O)[O-])c1 483374322 GYWVPBFDAXBQBK-UHFFFAOYSA-N 412.471 4.709 5 20 HJBD Cc1ccc2c(CCC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c[nH]c2c1 486685550 PRTOKFGCJDEZPF-UHFFFAOYSA-N 405.376 4.652 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1CN(c1ccccn1)C2 489122325 MKSLYXONJOJYIM-UHFFFAOYSA-N 420.494 4.874 5 20 HJBD Cc1cccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1Cl 489131416 QAUODONEFSFHCD-UHFFFAOYSA-N 400.784 4.690 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492964976 XZXWWUBBBCPAJP-RBUKOAKNSA-N 404.388 4.908 5 20 HJBD Cc1cccc([C@H](NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccn2)c1 498601124 YJZQLYKRHQXCPC-IBGZPJMESA-N 415.371 4.836 5 20 HJBD COC[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 498614425 IEMGKFHQYXQJQJ-MRXNPFEDSA-N 400.500 4.553 5 20 HJBD CCOc1cc(C(=O)N(C)C)ccc1NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 508516492 OEZPIUKSNFOANJ-UHFFFAOYSA-N 409.442 4.567 5 20 HJBD COc1cc(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)ccc1[N+](=O)[O-] 511508558 VIVLYBMEDGBNDC-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H](COc2ccccc2Cl)C1 513882594 LVOJXBDOCVRDTF-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD O=c1c(Cl)c(N[C@H]2CCC[C@H]2c2ccccc2)cnn1-c1ccc([N+](=O)[O-])cc1 521964014 OIBKOCFDPQDXMK-ROUUACIJSA-N 410.861 4.542 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1F 535506840 IBGOLJQKPHPVIL-CYBMUJFWSA-N 415.446 4.958 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@H](c4noc(C5CC5)n4)C3)o2)c([N+](=O)[O-])c1 538759214 LJULUDVKKGNPNN-HNNXBMFYSA-N 424.457 4.503 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])[C@@H](C)CO1 539431694 UNRJESMCYLEZCX-UWJYYQICSA-N 417.465 4.567 5 20 HJBD COC1(c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)CCC1 546515533 SIEYAXTVYQKXDT-UHFFFAOYSA-N 404.473 4.587 5 20 HJBD NC(=O)Nc1cccc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)c1 547199962 GKHHTHMFXGCXFR-UHFFFAOYSA-N 417.381 4.595 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c(-c2nc(C3CC3)no2)c1 549212340 IMAFFORWYRQOFU-UHFFFAOYSA-N 421.457 4.537 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(Cc1cccs1)Cc1cccs1 552456724 KTRJMSUTMNIAMW-UHFFFAOYSA-N 401.513 4.667 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1ccncc1 554971855 YZUPCLIWMOBQRY-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3c4ccccc4CC34CCOCC4)cs2)c1 568451852 VMUVGCHJOSRHGI-OAQYLSRUSA-N 421.522 4.902 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)n(-c2cccc(C(F)(F)F)c2)n1 590772734 DXLFUHJLDVNSNE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603737451 IHUMSSHKOWPUNV-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1OCC(F)F 610043802 NVOCVANADPFXCT-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610105572 LTVUUIRFGKRAGL-NRFANRHFSA-N 411.502 4.863 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@H]1CCCO[C@H]1c1ccccc1 611170448 NWVASGXMNDHKTE-KNQAVFIVSA-N 407.470 4.850 5 20 HJBD Cc1ccc(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)cc1[N+](=O)[O-] 726632223 ARGZFSFBABAQBV-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD Cc1ccc(C(C)C)cc1OC(=O)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 726729640 LFCXAXXVAWNBJQ-FQEVSTJZSA-N 410.470 4.545 5 20 HJBD Cc1c(C(=O)NC(C)(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 728849015 CKCBBLZBFJXKOO-UHFFFAOYSA-N 405.410 4.505 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1cc([N+](=O)[O-])ccc1Cl 729245521 NZRBAVWLMPDHGL-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)cc1F 732963574 LGPHEWDLTFCKDQ-JIQDCKGJSA-N 423.419 4.919 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 733104405 DQLYIBRTURPPFW-HXUWFJFHSA-N 415.371 4.659 5 20 HJBD CC(=O)N/C(=C\c1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735248788 GIGXWSQMJYNRTF-HMAPJEAMSA-N 417.421 4.503 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)OCc1ccc([N+](=O)[O-])cc1Br 739579605 KFGNZZUYNTUONC-LBPRGKRZSA-N 408.248 4.603 5 20 HJBD CSc1ccc2cc(COC(=O)Cc3cccc([N+](=O)[O-])c3)c(Cl)nc2c1 745333507 NEGDBLMLGXLRCY-UHFFFAOYSA-N 402.859 4.804 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Oc2ccccc2Cl)nc1 746512690 VVQIVZOEAPCFFZ-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD O=C(NCc1ccnc(OC2CCOCC2)c1)c1c2ccccc2cc2ccccc12 746522857 NDMFEDNICCUIST-UHFFFAOYSA-N 412.489 4.876 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cn2)cc1 748621293 PEWCGPYOGFBMKV-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)c2)oc1C 751099339 JGLQATFOTSCZCI-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(-c2ccccn2)n1 751643957 NNZACUYDQJWIKG-UHFFFAOYSA-N 421.887 4.602 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1cccc([N+](=O)[O-])c1Br 753824976 KUBVJVVZLSUOTK-UHFFFAOYSA-N 423.313 5.000 5 20 HJBD CN(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 758267208 NEHGLHFCYNFYFV-LPHOPBHVSA-N 418.856 4.547 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 760470626 PRPLIBITCWUHMK-UHFFFAOYSA-N 418.881 4.665 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCc2ncccc21 767101803 VQUHJCTTYMSHEO-UHFFFAOYSA-N 411.870 4.997 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)c1[N+](=O)[O-] 767143747 DQOGMPCGFFTVOD-UHFFFAOYSA-N 422.281 4.957 5 20 HJBD CCOc1c(Cl)cc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1Cl 767633146 KNSHGCWPUHDNQE-UHFFFAOYSA-N 409.229 4.962 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CC(OCc2ccccc2)C1 776134606 MPUOWTRMWWEEJW-YABDQXBESA-N 418.449 4.618 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O 781013982 OGKJAUKFWOWRJV-UHFFFAOYSA-N 411.823 4.616 5 20 HJBD C[C@@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cc(Cl)ccc1Cl 782531370 MCAWDDLRXUNXCD-SNVBAGLBSA-N 400.237 4.720 5 20 HJBD Cc1ccc(N2CCNC2=O)cc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 785552450 WRTXLPXIXRWPJJ-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Br 786271998 VHPMBZLROGCPFN-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790499690 YNLRYVXVFLKLGZ-GOSISDBHSA-N 406.841 4.692 5 20 HJBD CCc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1Cl 791576768 MZMFFOCMKITHIY-UHFFFAOYSA-N 420.803 4.727 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc3c4ccccc4n(C)c3s2)c(C)c([N+](=O)[O-])c1 792631215 GESYXJHDIJNIOR-UHFFFAOYSA-N 423.450 4.649 5 20 HJBD CC[C@@H]1CCCC[C@H]1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 796532740 UUJQMLOZTXZYJQ-CRAIPNDOSA-N 400.479 4.598 5 20 HJBD Cc1cc(C(=O)NC(C)C)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812926883 PCVKSMFUDZNSMH-UHFFFAOYSA-N 410.257 4.601 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 813246318 HJKZYXBNYOQTNU-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 918571163 ZNCMDSVIJRZWEB-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 920778850 QISMAEITKBOZAR-WDEREUQCSA-N 415.776 4.921 5 20 HJBD COc1ccc(-c2cc(NC(=O)COc3ccc([N+](=O)[O-])c(C)c3)ccc2OC)cc1 1256427246 HKKSCWQTXZQZEI-UHFFFAOYSA-N 422.437 4.605 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CN[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 1321283097 PGZCZSOGORIGHP-OAQYLSRUSA-N 411.502 4.787 5 20 HJBD Cc1cc(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc([N+](=O)[O-])c1 1339049228 YEDQNBQWIBJRNT-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD O=C(CCOc1ccc2ccccc2c1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 1341786320 GZMIWJGQPDIGGI-UHFFFAOYSA-N 418.405 4.915 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)CC(c1ccccc1)c1ccccc1 5779824 JGAHWDLXOUPJTB-UHFFFAOYSA-N 418.449 4.607 5 20 HJBD C[C@@H](OC(=O)c1ccccc1Oc1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 6351640 DADPNEIMRJTMNR-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD CCc1ccc([C@H](C)NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1 7797739 ADDVQGVOUPSOQE-LBPRGKRZSA-N 401.513 4.736 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054205 ZOMXTBUSVBMEOP-NSHDSACASA-N 403.485 4.723 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 44567323 SFZJBRKIWBWWCO-UHFFFAOYSA-N 417.469 4.621 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)Cc2ccsc2)cc1[N+](=O)[O-])c1ccccn1 54140686 MEBUTAKHLBSGLF-CABCVRRESA-N 410.499 4.585 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 55155273 ARYKJUGEPDPYKZ-MRXNPFEDSA-N 418.453 4.595 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccccc2[N+](=O)[O-])ccc1OCc1ccccc1 55701624 OEQYWDVGUWJLAJ-INIZCTEOSA-N 406.438 4.673 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1Sc1nnc(N2CCCC2)s1 59985077 UQJMXEAXYLZKCD-UHFFFAOYSA-N 410.830 4.870 5 20 HJBD CC(C)CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)CCn1cc([N+](=O)[O-])cn1 65810037 KCYUELDYVCYLQT-UHFFFAOYSA-N 413.305 4.563 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(OC4CCCC4)nc3)cs2)c1 238008926 MCZBADGPYCUWCY-UHFFFAOYSA-N 410.499 4.725 5 20 HJBD O=C1CCCN1Cc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 238061566 FOVIYSYUSFLTRC-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD CCCc1cc(=O)oc2cc(Oc3ncc([N+](=O)[O-])cc3Br)ccc12 301558863 TVSUIXJUIJLJDF-UHFFFAOYSA-N 405.204 4.604 5 20 HJBD C[C@H](CCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 410222903 GQXOORIZLRJRLN-CQSZACIVSA-N 406.432 4.883 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCS1 427918126 REPGOWGOSLKNLK-AWEZNQCLSA-N 419.934 4.828 5 20 HJBD CCCOc1cc(NC(=O)N2CCC(C)(OCC)CC2)c([N+](=O)[O-])cc1OCCC 432642451 MDTJIDJJUAHMHT-UHFFFAOYSA-N 423.510 4.595 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c(OC[C@H]2CCCO2)c1 432756384 HGUANROPFRIWKF-MRXNPFEDSA-N 413.474 4.518 5 20 HJBD CCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(C)c1 435872215 XHFCBDBNVKTVNK-NRFANRHFSA-N 423.444 4.698 5 20 HJBD Cn1cc(C(=O)Nc2ccc(CCC(F)(F)F)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 439653188 UQAWBNRJXOYXKT-UHFFFAOYSA-N 418.375 4.743 5 20 HJBD CCN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 441428276 YCAYHLBSBNJRAV-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD CCCN(C(=O)c1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cccc([N+](=O)[O-])c1 441436649 FMSYOLVTMVNSMB-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD O=C(Cc1noc(-c2ccc([N+](=O)[O-])s2)n1)Nc1ccc(Oc2ccccc2)cc1 445375633 YSKUFMICGFQALA-UHFFFAOYSA-N 422.422 4.680 5 20 HJBD COc1cccc([C@H](C)CCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c1 448137318 MVTUYGLWULHRFU-OAHLLOKOSA-N 414.458 4.677 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3ncc(C(F)(F)F)cc3Cl)CC2)cc1 462942120 FGMCWEAPQFORAE-UHFFFAOYSA-N 401.772 4.710 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Br)c1 477118706 WHBIWGABEWSQAX-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 477570319 PGUOOTPFNBGXDG-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 477879761 PMBFAAXRDDBKES-NRFANRHFSA-N 406.548 4.908 5 20 HJBD CC[C@@H](C)n1ncc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1C 479502526 ZIHMWZDHQJLTFZ-GHMZBOCLSA-N 413.400 4.529 5 20 HJBD CCOc1ccc(C(=O)NCc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 480210488 CRXPDICWBMCFGY-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)C(F)(F)F)cc1 482356273 ZZLANVAFPLZUAX-YVEFUNNKSA-N 411.380 4.576 5 20 HJBD CO[C@H]1CCC[C@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)C1 483608657 VLCAEPXJIHKOPH-YJBOKZPZSA-N 424.457 4.574 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(/C=C/c2ccco2)s1 484113061 OFGXRMKHPZGXKA-MDZDMXLPSA-N 413.459 4.652 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 486184610 FDZGYJDNPIVDIG-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](c3ccccc3)C(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 487440499 DCXKRZBRFXEQFN-JOCHJYFZSA-N 420.513 4.974 5 20 HJBD CNC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 488550996 FYDZLZCJLOXMGO-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD CCOc1ccc(C(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)cc1[N+](=O)[O-] 490930203 BAHCEFBADOFNSU-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(CN(C)Cc3ccccc3)CC2)cc1[N+](=O)[O-] 493307504 AKQGKMQJOPZIQE-IBGZPJMESA-N 424.545 4.518 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(Br)cc1F 494512755 RTCAAGLRVHPSQX-MRVPVSSYSA-N 422.176 4.761 5 20 HJBD CC(C)(C)c1nnc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])s1 495126276 CCEGOTTVMBNYTJ-UHFFFAOYSA-N 412.471 4.575 5 20 HJBD O=C(Nc1nc(-c2c(F)cccc2F)cs1)c1ccc([N+](=O)[O-])c2cccnc12 498879278 XEJCUZHPDHEQIT-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 504890369 AGRKSWFXYIWYMY-SNVBAGLBSA-N 420.309 4.993 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 514487473 UXAJHSIVKGUSGM-UHFFFAOYSA-N 408.907 4.539 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 518724262 PFNZOZZLVJZOPZ-INIZCTEOSA-N 404.422 4.870 5 20 HJBD CCOC(=O)[C@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c2ccccc21 526091592 LWFMMVZFHBMWEC-INIZCTEOSA-N 410.451 4.507 5 20 HJBD O=C(NCCc1nc2cc(Cl)ccc2s1)c1cc2cc([N+](=O)[O-])ccc2o1 531273537 FQENUSSQOFCGDX-UHFFFAOYSA-N 401.831 4.577 5 20 HJBD O=C(CN[C@H](c1ccccc1)c1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 533055793 UYSXAHGOBIAQRP-OAQYLSRUSA-N 413.836 4.705 5 20 HJBD CCCOc1cccc(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 537149773 KFDVNWMGZRJPFU-UHFFFAOYSA-N 411.483 4.713 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537211224 QRCWHMDFIHCRJH-KRWDZBQOSA-N 409.829 4.564 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@H](C3CCOCC3)C2)ccc1Oc1ccc(F)cc1 537620481 XNFCYPHIBLMEPW-SFHVURJKSA-N 400.450 4.775 5 20 HJBD Cc1ccc(OCc2nc(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)cs2)cc1 540860352 ZHICJAQVAZTDEO-UHFFFAOYSA-N 406.467 4.851 5 20 HJBD Cc1cc(N(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 542554880 HPLNKQJLPWJLCC-LEWJYISDSA-N 422.460 4.856 5 20 HJBD CC(=O)N1CCC[C@H](c2noc(-c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)n2)C1 545016854 DXPOSDIHJHZFRL-INIZCTEOSA-N 418.519 4.509 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2cnc(Oc3ccccc3)cn2)no1 545105645 GHJBACMWAGVICX-VQHVLOKHSA-N 405.345 4.537 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cc(Br)ccc2OC(F)F)c(Cl)c1 545928461 GDHGPKBZJPVKJB-UHFFFAOYSA-N 421.625 4.902 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2CN2CCCC2=O)no1 546981601 HBZRFGQQLVOENW-CQSZACIVSA-N 424.482 4.621 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2cn3cc(Cl)cc(Cl)c3n2)no1 547070993 GPBOUCIHEXEZJY-AATRIKPKSA-N 416.224 4.694 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3c(F)cc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 554276555 WVBZHVZSYJQYDC-CYBMUJFWSA-N 424.407 4.644 5 20 HJBD CC(=O)c1cc(N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)ccc1[N+](=O)[O-] 557574644 ZKKGEEIGKJUDMN-CQSZACIVSA-N 404.426 4.618 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 574409445 MCKCABLPMBLIAZ-CYBMUJFWSA-N 407.854 4.546 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1c(O)cc(Br)cc1Cl 582024921 RQUGRFVGHVYNQX-UHFFFAOYSA-N 420.046 4.551 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cc(Cl)ccc2O[C@H]2CCOC2)no1 582991010 ZIWXZIGZQPCOCQ-LRDDRELGSA-N 415.833 4.618 5 20 HJBD O=[N+]([O-])c1cc2c(N[C@@H]3C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)ncnc2s1 587165126 OYIRULPPIXGCDL-JIGDXULJSA-N 414.331 4.921 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCO2)N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 603519559 DJYMDWPFZVZKNV-UHFFFAOYSA-N 424.453 4.528 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)N1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 603898189 PPCRMMGVRUNRNP-LJQANCHMSA-N 412.486 4.643 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604093338 JAGMQBAPXWQDCI-UHFFFAOYSA-N 420.238 4.640 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 609315871 GGCFAFYDFRRCTC-KRWDZBQOSA-N 403.212 4.753 5 20 HJBD O=C(Nc1ccc(NCCc2ccccc2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 609477095 MCTAJMJPDSCFRS-UHFFFAOYSA-N 400.438 4.983 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548557 JRAGPGIBZCJGHR-UHFFFAOYSA-N 423.444 4.620 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1cccc(Oc2ccnc3ccccc23)c1 609646457 CDOSCVYBCXAEBD-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H]3c3cccc(Br)c3)nc2c1 610581200 MKUJLHGAVSADOF-MRXNPFEDSA-N 402.248 4.836 5 20 HJBD COC[C@H](NCc1cc([N+](=O)[O-])ccc1OC(C)C)c1cccc(C(F)(F)F)c1 611396692 GAGWMYBBDWHEQE-SFHVURJKSA-N 412.408 4.878 5 20 HJBD O=C([C@@H]1CCc2c(Cl)cccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 611417128 QKROVHFAGFFFHK-OAHLLOKOSA-N 412.795 4.869 5 20 HJBD C[C@@H](C(=O)N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 617698388 CSJOBTSPRLTDJL-XEZLXBQYSA-N 412.330 4.724 5 20 HJBD CCOC(=O)Nc1ccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 730753292 DGRPTGCOTKRONH-UHFFFAOYSA-N 413.411 4.506 5 20 HJBD Cc1cc(-c2noc(CCC(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)n2)ccc1F 735820687 LKMFQZYSEWCDES-UHFFFAOYSA-N 418.812 4.625 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)OCc1ccc([N+](=O)[O-])cc1Br 739579832 LNBNHESPIYIXRC-UHFFFAOYSA-N 403.232 4.515 5 20 HJBD Cc1cc(COC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2ccccc2n1 744134150 OMOQERGFGKQCBM-UHFFFAOYSA-N 420.343 4.750 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)Nc1ccc([N+](=O)[O-])cc1F 745340846 UQNHLPPAGWENEX-UHFFFAOYSA-N 408.476 4.620 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 752925398 KMGRJSGFEJNEFB-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cccc21 753784226 IOVCPBPJBBMHRL-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc(Cl)ccc1Br 756992426 ASFBUOHFNHHSCY-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1Br 758209324 YSKKDWDZQAOOFH-AWEZNQCLSA-N 407.264 4.637 5 20 HJBD Cc1nn(CC(F)(F)F)cc1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 763494657 GPBYVHBUCMWBCK-UHFFFAOYSA-N 405.332 4.548 5 20 HJBD C[C@H](OC(=O)C1CCC(C)(C)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 768690278 LTQTUQPQHKDKID-NSHDSACASA-N 416.396 4.700 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC[C@@H]1NC(=O)OC(C)(C)C 769428320 YFYJBYBOJMJAPB-BEFAXECRSA-N 415.490 4.590 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 779343211 ZVXVVRHXZLQQIF-UHFFFAOYSA-N 423.372 4.796 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cc([N+](=O)[O-])ccc1F 787656232 WAZXQACCVGZRGO-LJQANCHMSA-N 419.368 4.730 5 20 HJBD O=C(Nc1cc(Br)cnc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 787955905 QVEWHVASLRFSIH-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c([N+](=O)[O-])c1 792873643 DHFVBUARNLNYLL-AWEZNQCLSA-N 423.269 4.915 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@@H]1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 795118786 CJCLSRDPPYFSON-KRWDZBQOSA-N 420.263 4.679 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1cc2cc(Cl)ccc2s1 800051484 UGZIBMXJFARIHB-UHFFFAOYSA-N 400.840 4.711 5 20 HJBD COc1cc(COC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc(Cl)c1OC(F)F 892080636 KTOZCNYLQYBQAP-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD CO[C@@H]1CCC[C@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 912591615 NUIMVWPHBRFMTB-KGLIPLIRSA-N 408.501 4.625 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cn2)cc1 916088792 KLICYINHKLTGLE-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC(C4CCCCC4)CC3)cc2)c(C)c1[N+](=O)[O-] 916440047 XOGQUTUZIALAOT-UHFFFAOYSA-N 424.545 4.889 5 20 HJBD Cc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Br)ccc1[N+](=O)[O-] 3117646 FXUNBISKPGJJKF-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cc2nc3ccccc3s2)cc1 11705876 SGIUEQGYPXXRGF-UHFFFAOYSA-N 419.462 4.813 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1c(Cl)ccc(Cl)c1Cl 16049067 YFVNNFBNUPHQIO-UHFFFAOYSA-N 418.616 4.779 5 20 HJBD C[C@H](OC(=O)Cc1cccc(C(F)(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528254 MFVVPGADXJLRBW-NSHDSACASA-N 421.331 4.511 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1Cl)c1ccccc1)Nc1cccc([N+](=O)[O-])c1 19009056 MALAUDZNDFYHPK-HXUWFJFHSA-N 423.856 4.748 5 20 HJBD Cc1ccc([C@H](NC(=O)COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C2CC2)cc1 25374582 WMPDFUYOIKILAF-NRFANRHFSA-N 424.478 4.542 5 20 HJBD Cc1ccc([C@H](C)N(C)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 45247624 QDGAKESKLDJLIR-KRWDZBQOSA-N 406.486 4.598 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@H](c2ccc(F)cc2)C1 108079297 RYGKSKLZZLIPEJ-QFIPXVFZSA-N 422.412 4.740 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cccnc1 248277961 ZKPWGDIAWIPAFJ-CQSZACIVSA-N 410.861 4.746 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCOCC2)cc1[N+](=O)[O-])c1cccc(Cl)c1Cl 301301815 VOFFBJURVPSKBS-LBPRGKRZSA-N 424.284 4.547 5 20 HJBD CC(C)c1ccc(CN[C@@H](Cc2cc(Cl)cc(Cl)c2)C(=O)O)cc1[N+](=O)[O-] 426738658 XUVYYQJDVCUGHU-KRWDZBQOSA-N 411.285 4.811 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)c2)n1 431492502 CZSFCRKDOUCKJC-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2ccc[nH]2)cc1OCc1ccc([N+](=O)[O-])cc1 435769194 JBPSFOMJVOUFDI-NRFANRHFSA-N 407.470 4.848 5 20 HJBD COc1ccc(NC(=O)N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 436378694 MZIQHSIKJPVAPX-NNUKFRKNSA-N 409.364 4.690 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCC[C@H]2CNC(=O)Nc2ccccc2)cc1[N+](=O)[O-] 439418383 VMGRQESZWKQZNA-IBGZPJMESA-N 424.501 4.535 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(CCC(F)(F)F)cc2)cc1OC 439641277 CANLWTONDSOWSG-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 439680451 KNVQPDXOKSIRMP-UHFFFAOYSA-N 406.417 4.648 5 20 HJBD O=C1CCc2ccc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc2N1 440616043 VJWZIHMOYBQJCS-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD CC[C@H](C)CN(CC)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 442163623 LGARTFJSOZVFHE-ZDUSSCGKSA-N 421.544 4.641 5 20 HJBD C[C@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ncc(C(C)(C)C)o1 443201632 YPWAFFFMEAGLJN-LBPRGKRZSA-N 405.476 4.673 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](CSc2ccccc2)C1 444153702 SVFGVZBDROGNMT-KRWDZBQOSA-N 414.530 4.936 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1C 444241624 RMBVYEVHTYURHZ-UHFFFAOYSA-N 410.424 4.517 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1[C@@H]1CCCCC1=O 444559823 YJHUIYBUKBSAPG-SNRMKQJTSA-N 404.532 4.606 5 20 HJBD O=C(Nc1ccc(CN2CCCC2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444599853 UOAMXXVNDPTUBO-UHFFFAOYSA-N 415.468 4.669 5 20 HJBD CCCCc1ccc(NC(=O)Cc2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1 445319286 HWZAKUOWLLNVCG-UHFFFAOYSA-N 419.441 4.650 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1C(F)(F)F 448072041 QLRXZNMEIFRMJP-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD O=C(CCCOc1ccc(Cl)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460357564 SYBLVCOGGGBVJH-LJQANCHMSA-N 406.891 4.681 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC(C)C)s1 460631866 SYEWNUOLQLWMBH-CQSZACIVSA-N 414.535 4.780 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CCCC2(C)C 461217869 HGGQRGNARPTEAG-UHFFFAOYSA-N 421.544 4.656 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467232845 YVHJJLULLHSXGD-ORAYPTAESA-N 403.866 4.550 5 20 HJBD CC(C)[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1F 468386597 ZTQWEADRDCJVHJ-OAQYLSRUSA-N 417.456 4.603 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OC(C)C)cc2)cc1SC 482608614 UOIXEPJKDRMWGD-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD CC(C)(C)OC1CCN(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CC1 485729822 HVWVLYRURAZROJ-UHFFFAOYSA-N 412.486 4.594 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N(CCC(F)(F)F)CC1CC1 486180511 WUWCURICENKVAK-UHFFFAOYSA-N 422.403 4.978 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486691553 QXPQKFIHQZZOSX-UHFFFAOYSA-N 411.767 4.607 5 20 HJBD CCOC(=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 489563628 CSHVRVKCVPJVFL-UHFFFAOYSA-N 416.499 4.701 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496500992 YKJKGCZKIHDSPY-NRFANRHFSA-N 411.408 4.828 5 20 HJBD Cc1ccccc1-c1nn(-c2ccccc2)cc1C(=O)NCc1ccccc1[N+](=O)[O-] 500787619 NNCNULGFPBIBQL-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD CCOc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1Cl 502596064 ZGJZETLFNGFFJO-UHFFFAOYSA-N 403.866 4.502 5 20 HJBD CC1(C)CN(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@@H]1c1cccs1 503563155 QVCXMPPXTFIZQT-OAHLLOKOSA-N 413.421 4.968 5 20 HJBD C[C@](NC(=O)c1ccc([N+](=O)[O-])cc1Br)(c1ccccc1)C(F)(F)F 504564126 TYRUNERNWLRDGV-HNNXBMFYSA-N 417.181 4.565 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(=O)NC3CC3)cc2)cc1[N+](=O)[O-] 504906477 YRLKYAXYKQMVQM-GJZGRUSLSA-N 412.490 4.689 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@H](c2ccccc2Cl)C1 505125580 OQURYXHZQCTDEJ-INIZCTEOSA-N 402.859 4.677 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(N(C)C)nc2c1 509255496 FNOOIMAHUIHLKW-UHFFFAOYSA-N 400.460 4.556 5 20 HJBD CSc1ccc(C(=O)Nc2c(-c3cccs3)nc3ccccn23)cc1[N+](=O)[O-] 509833743 KKGQCNRCAGZSKE-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cnn(-c3ccc(C(F)(F)F)cc3)c2)c(Cl)c1 511897637 UOXKAUGLNGSSJU-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD CCCc1nc(C)c(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)s1 514542453 IVXWHFCXRBCSCU-UHFFFAOYSA-N 409.467 4.796 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2)cc1[N+](=O)[O-] 515694060 DCSDGMAHTIQRFF-CQSZACIVSA-N 413.499 4.584 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2ccccc2Cl)cc1 516003767 LORMVQJJSVHQDA-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CCc2ccccc2)c2ccccc2)cc1OC 520661750 PJGWVOCQBQSUOQ-UHFFFAOYSA-N 420.465 4.892 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CCCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 522244131 XYCSMGLIGQPJJH-UHFFFAOYSA-N 402.834 4.566 5 20 HJBD O=C(COC1CCCC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522732442 KWPCZVMZWNQCKU-UHFFFAOYSA-N 422.840 4.755 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 523310748 ZTVUGGKYWWSWQK-UHFFFAOYSA-N 421.457 4.736 5 20 HJBD COc1ccc(C2(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])CCCC2)cc1 524244935 AWKYCGUKOITMRO-CQSZACIVSA-N 418.877 4.610 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccccc1Cl 525039643 PSTBDEJQWTZRGN-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1 525646823 DXSMGTGCROLLAS-UHFFFAOYSA-N 415.877 4.826 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 535417748 WEIGHMCDHSDSOU-UHFFFAOYSA-N 415.381 4.785 5 20 HJBD COCCOC[C@@H]1CCN(Cc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 536665993 FEFOITDIGUFYLJ-LJQANCHMSA-N 416.543 4.539 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCS[C@@H]3COCC[C@@H]32)cc1 538809265 BEDFUMYQVSBFRQ-FXAWDEMLSA-N 420.918 4.747 5 20 HJBD C[C@H](NCc1ccc(-n2cncn2)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 539083387 IAJDODWFTTWVEI-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CCC[C@H](Nc1cccc(C(=O)NCc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 539651241 ZOWRFXNGWDWQNU-QFIPXVFZSA-N 404.470 4.873 5 20 HJBD CCC[C@H](Nc1cccc(CS(=O)(=O)N[C@H](C)CC)c1)c1cccc([N+](=O)[O-])c1 539727295 UGUPFVYDFHNMRZ-IERDGZPVSA-N 419.547 4.766 5 20 HJBD Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C(=O)NC(C)C 539920353 JHNGWZQCORYGOL-UHFFFAOYSA-N 410.499 4.777 5 20 HJBD C[C@@H](C(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1F 541592229 VPHHEKGCEATYSI-QLJPJBMISA-N 402.319 4.786 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1ccc([N+](=O)[O-])cc1F 543442589 HLFAJVHDCNVGCV-LLVKDONJSA-N 413.430 4.535 5 20 HJBD C[C@@H]1N(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CCC12CCOCC2 544616914 QGZAODJIQFATKH-INIZCTEOSA-N 400.450 4.917 5 20 HJBD C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc(Cc2nc3ccccc3n2C(F)F)no1 545621668 AYOLMDMQSZJKDH-ZRDIBKRKSA-N 411.368 4.874 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cnc(-c4ccccc4)nc3)no2)cc(C(F)(F)F)c1 545672608 CNDPPJIYFAGDGF-UHFFFAOYSA-N 413.315 4.788 5 20 HJBD O=C(Nc1cccc(-c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)c1)C1CCC1 546276743 XWKGWTSJNFVTPB-UHFFFAOYSA-N 412.833 4.628 5 20 HJBD Cc1c(Cc2noc(Cc3ccc(NC(=O)CC(C)(C)C)cc3)n2)cccc1[N+](=O)[O-] 546492273 ZXWUFZNQGMUVQC-UHFFFAOYSA-N 422.485 4.843 5 20 HJBD COc1cc(CNc2cnc3ccccc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 546763400 KVIYBHCLZRORQW-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD Cc1ccc(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c(OCCC(C)C)c1 552248625 NJBBPSXQWZLQGI-QHCPKHFHSA-N 422.529 4.941 5 20 HJBD Cc1cc(N2CCC[C@H](c3nc(C(F)(F)F)cn3C)C2)c2cccc([N+](=O)[O-])c2n1 553343070 CNQINMMOLLJTDN-ZDUSSCGKSA-N 419.407 4.588 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 554889400 NKQOFVFGZIKRTE-OAHLLOKOSA-N 407.474 4.681 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCCC[C@H]2O)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 556249234 NANNRDXCEUHBRO-MSOLQXFVSA-N 424.375 4.548 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]2[C@H](CCCN2Cc2ccccc2)C1 558510752 FWGLCFLBDKEWLQ-NFBKMPQASA-N 422.529 4.676 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)c1 560725314 XUEBPORHDUGRCR-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@H]1CCN(Cc2ccccc2)C1 579527628 VCMVSKWQNWAZIM-QAPCUYQASA-N 407.436 4.614 5 20 HJBD COc1ccc([C@@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 603518480 URPDZEISXNYBPT-LLVKDONJSA-N 414.405 4.592 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@H]2CCC(C)C)c([N+](=O)[O-])cc1OC(F)F 609033946 CQYCPGIVLKTITA-GXTWGEPZSA-N 400.422 4.540 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 609304633 PHOYWWLGZGHHHY-IIBYNOLFSA-N 416.481 4.889 5 20 HJBD O=C(Nc1cccc(Sc2ccccc2)c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 609375171 INCHWQCCKSRCGE-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@@H](c2ccc(Br)cc2)C1 609705186 CIETVWGXLHLSKM-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610035731 BXEACUCQUYUOMN-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1NC(=O)Nc1ccccc1 610152493 CCPKHWLCOIGTQR-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD C[C@@H](NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 610640385 SNRAWBDCKIUEPU-CYBMUJFWSA-N 407.392 4.559 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NC3C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C3)c2c1 611700833 HQBNSWUJTYJTAW-IUCAKERBSA-N 408.302 4.859 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cc(F)ccc2Oc2ccccc2)cc1[N+](=O)[O-] 727715977 XWENQHQQZWQJJI-UHFFFAOYSA-N 411.345 4.995 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 727926287 CEHQVKKGOCJTRQ-GJZGRUSLSA-N 424.236 4.615 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(N3CCSCC3)c(Cl)c2)cc1[N+](=O)[O-] 748663372 PGWCIYYEOVODFL-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(N2CCc3sccc3C2)cc1 748995514 SLQVLWUFFYFOSQ-UHFFFAOYSA-N 408.483 4.541 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ccnc(Cl)c3)cc2[N+](=O)[O-])n1 750631984 NVXKPPHWYRMJFZ-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(Cl)ccc1Br 751645014 OVIXYPAZJJYQKN-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnc(Cl)c1Br 759280499 ASWBPIJGRRIXTF-UHFFFAOYSA-N 419.062 4.549 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@H](C)C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 761876812 ZDSHQKDGZRHQBY-RHSMWYFYSA-N 406.504 4.568 5 20 HJBD CC(C)(C(=O)Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 762441898 WAGUMHDYASCVEW-UHFFFAOYSA-N 407.392 4.529 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(N2CCOC2=O)cc1 768098077 QYZZWFMKCIWPBE-RDJZCZTQSA-N 415.515 4.773 5 20 HJBD O=C(Cc1ccc(-n2cccc2)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135168 RFKSTFXVMVSCJH-XMMPIXPASA-N 413.433 4.656 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 776391861 VZBXRRUSTTZXJK-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@@H]2NC(=O)c1ccccc1[N+](=O)[O-] 778265011 WZVYMAVRNVBTJO-SFHVURJKSA-N 411.458 4.749 5 20 HJBD CCn1c(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2c(F)cccc21 781259551 LIRPXLATAVNNTN-UHFFFAOYSA-N 415.424 4.547 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](Cc2ccc(Cl)cc2)c2ccccn2)c1F 790905345 OCFQLLUMBCYVTJ-LJQANCHMSA-N 413.836 4.805 5 20 HJBD C[C@H]1c2nncn2CCN1C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 791160981 HHDIXCAZJFGAJN-INIZCTEOSA-N 422.488 4.742 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 794125461 KNRORRQWTRQLMN-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD O=C(O[C@@H]1CCCOc2c(F)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 797092440 AUZZADVICRIOQI-OAQYLSRUSA-N 403.409 4.891 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@@H](O)c3ccccc3)CC2)nc1-c1ccc(F)cc1 797434637 SKBLJWPIBRQELE-QHCPKHFHSA-N 407.445 4.746 5 20 HJBD NC(=O)CCNC(=O)Nc1cccc(NCc2c3ccccc3cc3ccccc23)c1 797892092 DLGJOSFPGPQXKY-UHFFFAOYSA-N 412.493 4.602 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)OCc3c(F)cc([N+](=O)[O-])cc3F)o2)cc1 803269394 GRCMXMSWUZYVEP-UHFFFAOYSA-N 402.353 4.512 5 20 HJBD CC(C)Cc1cccc([C@H](C)C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 803746628 JINKPWUHOACTCJ-HNNXBMFYSA-N 409.442 4.690 5 20 HJBD O=C(CSc1ccc(F)cc1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811214559 CRXPXFLLWYMXNN-UHFFFAOYSA-N 404.444 4.693 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)N2CCC[C@H]2c2cccs2)cc1[N+](=O)[O-] 815405809 KICUQMRRSZKSRR-DOMZBBRYSA-N 422.890 4.527 5 20 HJBD COc1cc(COC(=O)CCc2ccc(Cl)s2)c([N+](=O)[O-])cc1OC(F)F 917294624 IQGDPIZFDNXAEW-UHFFFAOYSA-N 421.805 4.596 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3cc([N+](=O)[O-])ccc3F)cn2c1 917610823 CYKYYBZKXCRAAO-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CCC(C)(C)C1CCC(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])CC1 11545240 DYSBZAURLMMJMC-UHFFFAOYSA-N 414.449 4.930 5 20 HJBD Cc1csc(N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc2)n1 14586738 HBVKZFMWRNHVDY-UHFFFAOYSA-N 422.510 4.941 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2c(C)cccc2[N+](=O)[O-])c2ccccc2)cc1 26115663 NQAMZWUJRFTNRL-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OC 26770480 OHAVULRSSYHEOQ-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(OCc2ccccc2)cc1 45793123 XBVXMXGOCILHOF-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cccc(F)c2)s1 58512665 HRBATDLZSNKREU-UHFFFAOYSA-N 414.462 4.612 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)sc1Cc1ccccc1F 59772768 CUSWOSOWOFJFED-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCc2cccs2)cc1 61555622 PMLBNPRELPRKLR-UHFFFAOYSA-N 404.495 4.841 5 20 HJBD CC(C)(Cc1ccccc1Cl)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 97548339 STVJSFISVQHPLG-UHFFFAOYSA-N 418.924 4.946 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)cc1[N+](=O)[O-] 108329603 VZAADJINCJXJRV-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD COc1ccc(-c2ccc(CNCC(=O)Nc3c(C)cc(C)cc3C)o2)c([N+](=O)[O-])c1 237424856 DWXOGJCTJJLCSR-UHFFFAOYSA-N 423.469 4.517 5 20 HJBD Cn1c(CSc2nc3ccccc3s2)nnc1Sc1ccc([N+](=O)[O-])cc1 301063454 BKISTOXDYDQJLB-UHFFFAOYSA-N 415.525 4.777 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCCc1ccc(-c2csc(C)n2)s1 303094677 FIIDDJBLENPPIZ-UHFFFAOYSA-N 403.529 4.819 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](Cc2ccc(Br)cc2)C1 432484014 DAOQKUAXLDHAFS-HNNXBMFYSA-N 418.291 4.762 5 20 HJBD C[C@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)NC2CC2)cc1 433145615 IBIXKRCSEGRUJG-LHSJRXKWSA-N 408.502 4.952 5 20 HJBD C[C@H]1CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCN(C2CCC2)C1 433165601 CMKHOFFIVYMXQO-QGZVFWFLSA-N 424.501 4.725 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 435439350 UMAQKBCJVWYZHG-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3cc(Cl)ccc3s2)cc1SC 435970276 GNOOZSBJCXBLPE-UHFFFAOYSA-N 423.903 4.865 5 20 HJBD CCc1nc2cc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)ccc2o1 436057505 GRZWAQZCSDCPIX-UHFFFAOYSA-N 419.387 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)c1 436306029 NPPNHAHTOYDDGJ-UHFFFAOYSA-N 404.291 4.818 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN(Cc2cccc3ccccc23)CC1 443944158 DBMYWPVTDZYPGF-UHFFFAOYSA-N 418.497 4.715 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])sc2C1 445192997 ZEKGADDMIGFQRA-ZETCQYMHSA-N 416.322 4.643 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3cccc([N+](=O)[O-])c3)n2)cc1 445277370 VLBMFLGUSHXHKL-BLMSOEDDSA-N 406.442 4.843 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1ccc([N+](=O)[O-])c2cccnc12 448450700 VOEQSZCMMPYKJI-UHFFFAOYSA-N 422.347 4.861 5 20 HJBD CCC(CC)(CNC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccccc1 464692188 ORSHLCGNZBTYBO-UHFFFAOYSA-N 422.428 4.693 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(Cl)cc2F)cc1OC 466580410 YEHHEAADAGXIJU-UHFFFAOYSA-N 403.193 4.700 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3c(c2)OC(CC)(CC)O3)c([N+](=O)[O-])cc1OC 469532307 BZCQNCVVDCNOMC-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 470040134 ZTCWSWQTFQKGBV-GOSISDBHSA-N 415.490 4.589 5 20 HJBD O=C(Nc1cc(F)ccc1OC(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 470111245 RSHLNILABFHVOH-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 470369143 JNWIGRHISXNURS-OLZOCXBDSA-N 424.906 4.781 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@H](C2CC2)O1 470688965 IXLBIJBZRWZNJL-RNODOKPDSA-N 416.861 4.680 5 20 HJBD Cc1cc(Br)cc(C)c1N(CC(F)F)C(=O)c1ccccc1[N+](=O)[O-] 472025013 KURMIWLTQDCJAI-UHFFFAOYSA-N 413.218 4.886 5 20 HJBD Cc1ccc([C@@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccn2)cc1 480584015 UMDFXAARJVRLPR-JOCHJYFZSA-N 404.470 4.638 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 481124333 YJWWYTSFXMIVSI-LJQANCHMSA-N 413.543 4.955 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1C(F)(F)F 485581092 PXOYQDBVNGBYBW-UHFFFAOYSA-N 407.270 4.954 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(OCc3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 485617576 FQBYLONCJULYFO-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD Cc1ccc(C(C)C)c(OCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 486119319 LMJYQSWOJQTZAD-UHFFFAOYSA-N 424.419 4.997 5 20 HJBD O=C(Nc1ccc(SC2CCCC2)cc1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487926917 DZEYCXWJGDZDII-UHFFFAOYSA-N 415.519 4.695 5 20 HJBD COC(=O)c1oc([C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1C 488772082 WVRTURURHNPHAN-ZDUSSCGKSA-N 408.479 4.628 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(Oc2ccc(Br)cc2)nc1 492849820 DZWAKIVAPUQUGJ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2CN2CCCCCC2)c1 493958433 RCGSBBKVARGZAF-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(OC(F)(F)F)cc1 495378938 HUJGBGVESIGJCR-GFCCVEGCSA-N 422.363 4.736 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 498438271 DDSFMZHSYOKZBG-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc(C)c([N+](=O)[O-])c3)c2)cc1 502429489 ZGKLUAJHQCFBSB-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccc(OC)cc2)C1 503003663 MEDYCWHAUDRNTM-MRXNPFEDSA-N 400.500 4.735 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1c(F)cccc1[N+](=O)[O-] 505606671 GGIBPPRRGOCOTK-UHFFFAOYSA-N 400.237 4.501 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])CC2)cc1 513784363 PYQPPEWFCBGKGQ-NZQKXSOJSA-N 421.541 4.509 5 20 HJBD CC[C@@H]1C[C@@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 514184028 QBVFLTJJNYOVGH-MAUKXSAKSA-N 424.457 4.574 5 20 HJBD CC[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1nnc2n1CCCCC2 517551583 QAONDZAAGLTCHN-SFHVURJKSA-N 411.531 4.882 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 518447173 PTLBKGLTNHYBAB-QZTJIDSGSA-N 424.284 4.586 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCCC[C@H]2c2nnc([C@H]3CCCO3)o2)o1 522035400 ROMJDPYFLYCFRH-AZUAARDMSA-N 424.457 4.817 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1Br 523530657 CQYQVTQZONBVAM-UHFFFAOYSA-N 413.231 4.748 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2)oc1C 523956863 GVJDULCVFZQOEO-UHFFFAOYSA-N 415.833 4.928 5 20 HJBD CC(C)(C)N(Cc1ccccc1)C(=O)COc1ccc(Br)cc1[N+](=O)[O-] 525626306 TZLRCGVEIMUPRO-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(CCc2ccc(O)cc2)CC1 525750722 OLHLHKWYFFZXOR-UHFFFAOYSA-N 422.403 4.804 5 20 HJBD Cc1ccc(Br)c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 531669274 VSFBCSQINAWEMU-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H](C[C@@H](C)O)c3ccccc3)o2)c([N+](=O)[O-])c1 532346623 TUYZRSMFPAYDRR-SJLPKXTDSA-N 410.470 4.508 5 20 HJBD O=C(C1CCCCC1)N1CC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 532374046 UGTQKWXTUCKAAQ-GOSISDBHSA-N 413.543 4.594 5 20 HJBD CC(=O)NCc1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 532736709 UIFGHCPXDHYGMA-UHFFFAOYSA-N 404.470 4.611 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)Cc3ccc(C(N)=O)cc3[N+](=O)[O-])s2)cc1 532966695 KVWOMPVHKAQLBI-HNNXBMFYSA-N 424.526 4.627 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])c2C)c1 534480873 WLBBJIFXMKWCRG-UHFFFAOYSA-N 424.457 4.590 5 20 HJBD O=C(NCc1ccccc1)c1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 535681577 PZLDWIJXZOHXTB-UHFFFAOYSA-N 415.405 4.523 5 20 HJBD CSc1ccc(C(=O)Nc2cccc3c2CN(c2nccs2)C3)cc1[N+](=O)[O-] 536247567 FNWJGWBSPDFIAT-UHFFFAOYSA-N 412.496 4.546 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(C(=O)c2ccccc2)c1 536428987 BHGJXWBWMDYNII-DLBZAZTESA-N 402.450 4.807 5 20 HJBD CCN1CCC(NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 537057987 DCBYLWMNJIDBAN-UHFFFAOYSA-N 407.873 4.754 5 20 HJBD CC(C)[C@H]1C[C@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 537864319 VSAZPGRYAKOZHU-NFBKMPQASA-N 420.513 4.741 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@@H]1C1CCOCC1 538617546 RSIMWHINPUZDHG-OAQYLSRUSA-N 411.458 4.810 5 20 HJBD C[C@@H](N[C@@H](Cc1ccccc1)C(=O)N(C)c1ccccc1)c1ccc([N+](=O)[O-])cc1 539089587 UOVHUJQLRRHOJA-JPYJTQIMSA-N 403.482 4.520 5 20 HJBD COc1ccc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)sc2c1 540395029 NVIAHMQSYJZQKF-UHFFFAOYSA-N 403.485 4.966 5 20 HJBD O=C(N[C@H]1CCO[C@H](c2ccc(F)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 541628471 OYEGXCFGDQZRFB-RDJZCZTQSA-N 400.431 4.599 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 542224906 XTHSAYUCLQYMDC-UHFFFAOYSA-N 419.890 4.636 5 20 HJBD Cc1cc(CN[C@H](C)c2cc([N+](=O)[O-])ccc2C)ccc1I 545274873 FMASZNDHOQJTDG-CYBMUJFWSA-N 410.255 4.667 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCO[C@@H](c2ccc(F)cc2F)C1 548314743 HOTSVACNPHIYOE-JOCHJYFZSA-N 404.416 4.880 5 20 HJBD CCc1nc(C)c(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 558190399 FHTWKZFCZJMLLS-UHFFFAOYSA-N 415.833 4.829 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)cc([N+](=O)[O-])c1C 558720986 WDOKJUIFOUUGHY-AWEZNQCLSA-N 411.483 4.541 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccncc3)c(C)c2)cc1SC 561100662 FZWODJVEYMJOCW-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@H]1C[C@H]1C 561653969 BHCLHKCDKKFAMG-DIFFPNOSSA-N 421.375 4.627 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)cc2)n1 581144260 JYCOCXCSALEMRT-UHFFFAOYSA-N 423.473 4.523 5 20 HJBD CCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC 603639220 ZWVGCBYBKPYSMR-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 603966502 BKLQTDYWRBIIGY-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(C)cc2OCc2ccccc2)ccc1[N+](=O)[O-] 604457173 KXECBOZXQCRHKP-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD Cc1ccc(C(=O)N2CCCc3cc(Br)cc(Cl)c32)cc1[N+](=O)[O-] 609219290 MBTNCIXATLIKSC-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(c4c[nH]c5cc(F)ccc45)CC3)o2)cc1 609540690 FXSNXCOKHIEMFN-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)cn1 609894762 QNSUBXSLBYFLMJ-UHFFFAOYSA-N 400.822 4.841 5 20 HJBD Cc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c(Br)c1 610091837 DODLVMXWSXYPMN-UHFFFAOYSA-N 406.280 4.810 5 20 HJBD O=C(c1cc2ccc(F)cc2s1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 610305648 SBRQILSCODHUOY-UHFFFAOYSA-N 400.431 4.632 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 612443321 RFYSBOAMGPBBAR-IVZQSRNASA-N 408.429 4.752 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730644084 JBXIIXSMIZIAJN-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4cccc(Br)c4)C3)sc2c1 731210130 OZERZXIDFYVKOI-OAHLLOKOSA-N 420.288 4.545 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2s1 731982341 ZWSVZEIKURJEHU-UHFFFAOYSA-N 420.834 4.679 5 20 HJBD CCCCC[C@@H](NC(=O)c1ccc(Sc2nncn2C)c([N+](=O)[O-])c1)C(C)(C)C 734346632 VFMSMJSQYIHYAJ-QGZVFWFLSA-N 419.551 4.599 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 742361125 LZDOPMHUCXLXHL-CQSZACIVSA-N 415.446 4.656 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 742840666 OPWJFKPCAVDIIZ-QGZVFWFLSA-N 414.465 4.831 5 20 HJBD C[C@H](OC(=O)C1(c2cccc(Cl)c2)CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 744777889 GZTBNLXTBXVYPS-NSHDSACASA-N 423.252 4.504 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)c1 745387913 DEFAKWMNBVJANM-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Cl)c(C(=O)Nc3ccccc3)c2)c(N)c([N+](=O)[O-])c1 749392000 PZZANZQFPQOUEX-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1cc([N+](=O)[O-])c(F)cc1C 758181505 KZRCOGAIWLQTTR-UHFFFAOYSA-N 410.467 4.548 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1cccc([N+](=O)[O-])c1Br 758675963 XDLLHWBLHMJCMQ-CQSZACIVSA-N 417.259 4.534 5 20 HJBD Cc1c(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cccc1[N+](=O)[O-] 762318718 FUQQVGXJPSIULP-LLVKDONJSA-N 418.793 4.579 5 20 HJBD C[C@]1(c2noc(-c3ccc(NCc4ccccc4Cl)c([N+](=O)[O-])c3)n2)CCCO1 763332085 HMXDBBUBTRFNBB-HXUWFJFHSA-N 414.849 4.936 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)on1 782198279 BFYPSPCFKBIQKG-UHFFFAOYSA-N 402.403 4.680 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C)c2ncccc2F)cc1 791804299 YLCRCKPVRVUBTA-CYBMUJFWSA-N 412.373 4.848 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 803672170 DGXRHICYEIWXAO-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809972610 AMAMGPHQKGZODD-NEPJUHHUSA-N 418.321 4.637 5 20 HJBD COc1nc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc2ccccc12 811215792 XTRLCTNODZUWOF-UHFFFAOYSA-N 421.434 4.632 5 20 HJBD C[C@H](CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2ccccc2)no1 811485209 CQMQPABFAGJETF-LLVKDONJSA-N 407.257 4.845 5 20 HJBD CCOC(=O)Oc1ccc(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 815243444 RMQBHTUEZRDLMY-UHFFFAOYSA-N 413.304 4.506 5 20 HJBD Cc1cc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc(N2CCN(C(C)C)CC2)c1 864039139 NVPVDASGBBWOKP-UHFFFAOYSA-N 402.926 4.699 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccc(OCc3ccccc3)cc2)no1 904422348 DHKCDPOICLRZPU-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD Cc1cc(C(=O)NCc2nc(-c3ccc(-c4ccccc4)cc3)no2)ccc1[N+](=O)[O-] 904551733 YBFDXHABSJSOFE-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 920286353 SVNYYIWHENNBGP-JTQLQIEISA-N 402.756 4.680 5 20 HJBD Cn1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 1116866456 DQCPLRXPDLEIPY-UHFFFAOYSA-N 420.450 4.992 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)o2)cc1 1117182634 BEZXOSXUBSBVDD-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1252222593 SKPYXHAFVGMYDY-UHFFFAOYSA-N 416.437 4.703 5 20 HJBD COc1ccc(Br)c(-c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)c1 1322996148 GXQCUZFOGCRGDX-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@](CO)(c3ccccc3)C2)o1 1324691159 OTAWSAASWPJUDM-QFIPXVFZSA-N 412.873 4.644 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c(OCc2ccccc2)c1 1338680501 BOUIPPXBPUENJO-UHFFFAOYSA-N 419.437 4.730 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 7505802 JVGAFCMKDDVMSP-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD CCOc1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 8264883 QUDBUAXZFSARNS-HNNXBMFYSA-N 411.483 4.623 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccccc2Br)CC1 22924702 MPGLCEUNCALNHA-UHFFFAOYSA-N 418.291 4.846 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nc2ccccc2n1C(F)F 26417274 BXHKGBBEUXOUFY-UHFFFAOYSA-N 406.414 4.769 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 55464801 HHROJGJXEQVMJF-ZDUSSCGKSA-N 411.483 4.983 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(-c3ccccc3F)n2C2CC2)cc1 59738349 VASAZHFSGXQTRW-UHFFFAOYSA-N 414.462 4.889 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(c2ccc(Cl)cc2)CC1 72091338 CDQYWUQKAUICJR-UHFFFAOYSA-N 416.908 4.656 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 117597321 XXPDKJYVUUBOGA-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1ccccc1-n1c(S/C=C\c2ccc([N+](=O)[O-])o2)nnc1-c1cccnc1 205677074 RGBPCDSCXQMJBB-BENRWUELSA-N 405.439 4.902 5 20 HJBD O=C(NCCc1ccc(F)c(Br)c1)Nc1ccc([N+](=O)[O-])cc1Cl 303001337 UTBMHUPGLRMVNS-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD C[C@@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 426307592 SELOVCCVSJUJNP-MRXNPFEDSA-N 411.483 4.710 5 20 HJBD O=C(c1cc(NCC[C@H](c2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 432166649 YQQTUAIRLJFBND-GOSISDBHSA-N 421.419 4.979 5 20 HJBD COCCOc1ccc([C@@H](C)N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168742 TZKGECXTLKTLLO-FOIQADDNSA-N 414.502 4.702 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433939492 GYQPOLQOPQUHAN-CYBMUJFWSA-N 413.503 4.706 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)cc2o1 435874359 JQOOPPJPRRXNTQ-UHFFFAOYSA-N 405.360 4.661 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2cc(F)ccc2Cl)cc1 439230869 STOUYEFYLAORDZ-UHFFFAOYSA-N 420.849 4.783 5 20 HJBD Cc1cccc([C@H]2CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)c1 444297850 VBDWDQXRSYPKKY-KRWDZBQOSA-N 400.453 4.759 5 20 HJBD Cc1cc(Cl)cc2cc(-c3noc(-c4ccc(-n5cncn5)c([N+](=O)[O-])c4)n3)oc12 445860256 YDTNJLTZVPAFCZ-UHFFFAOYSA-N 422.788 4.601 5 20 HJBD COc1ccc(Oc2cc(CN[C@H]3CCCc4ccc([N+](=O)[O-])cc43)ccn2)cc1 447532475 WFVIHFWWENNCNZ-QFIPXVFZSA-N 405.454 4.958 5 20 HJBD CCN(CC)CCS[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 461545706 OXJOCCLNUGNFQD-FQEVSTJZSA-N 401.532 4.658 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccc(S(=O)(=O)C2CCCC2)cc1 462215084 ZOOCXKJFXHENTO-UHFFFAOYSA-N 418.515 4.710 5 20 HJBD COC(C)(C)C[C@H](C)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 462770484 YZBUXCWWJRTYML-KRWDZBQOSA-N 408.502 4.741 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]2[C@@H](CCCN2Cc2ccccc2)C1 463967643 FXPVFVRGYAIPSX-RBBKRZOGSA-N 422.529 4.730 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 466229961 LEWZAVBTFRSVAP-LBPRGKRZSA-N 416.890 4.932 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2CC(c3ccc(F)c(Cl)c3)C2)cc1SC 467869984 ZLNLKTAJOWLGRL-UHFFFAOYSA-N 424.881 4.794 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc(-c2ccccc2F)cs1 468202530 SJRRXQXBNFWVBU-LBPRGKRZSA-N 417.487 4.654 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](c1ccccc1)C2 468923193 KDLVIIPGLRTQKG-QFIPXVFZSA-N 403.438 4.935 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCCC[C@@H]1c1ncon1 469554763 SEYAMSYRBPPPPH-LJQANCHMSA-N 424.482 4.887 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CNc1cc(C(F)(F)F)ccc1SCC(F)(F)F 470552039 QKDVEYMVRSHZEH-UHFFFAOYSA-N 414.331 4.614 5 20 HJBD C[C@@H](Nc1ncc(Br)cc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 474776820 PWPQVNROGYCAIT-MRVPVSSYSA-N 412.194 4.670 5 20 HJBD COc1cc(CN[C@@H]2CCCc3ccc(F)cc32)c([N+](=O)[O-])cc1OCC(F)F 475486917 OECJGUAMAZDMAW-MRXNPFEDSA-N 410.392 4.554 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)SCc1ccc(C(F)(F)F)cc1 475896167 LBLJHGVYKXHEFB-NSHDSACASA-N 414.405 4.883 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2[nH]1 476088096 AJPMYCFAMDQMLO-UHFFFAOYSA-N 417.263 4.829 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)cc([N+](=O)[O-])c1C 479899950 INERTLDFVQHAHO-IBGZPJMESA-N 422.485 4.820 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n[nH]1 486675176 JUBQAINCXURCIS-NEPJUHHUSA-N 403.870 4.991 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)cc(Br)c2O)c1[N+](=O)[O-] 486741401 WVBUBJRZEAIYOY-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc2oc(C(F)(F)F)nc2c1 500031312 RDPHDWXUBGASKM-UHFFFAOYSA-N 408.336 4.586 5 20 HJBD NC(=O)c1ccc(CSCc2ccc(Cl)cc2Br)c([N+](=O)[O-])c1 506236557 WPUFHZVYFUXSOW-UHFFFAOYSA-N 415.696 4.543 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 510228478 LTMNNRMGGJHQBG-BEFAXECRSA-N 408.458 4.627 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1c(C)[nH]c2ccc(Br)cc12 512195497 JFKNNVFSXNCVAS-UHFFFAOYSA-N 416.275 4.945 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NC[C@H]1CC2c3ccccc3C1c1ccccc12 514706144 HPSHCOGVMIADJF-UGRPMAQISA-N 424.460 4.953 5 20 HJBD Cc1c([C@H](C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cnn1C 518271241 PJEQIFGEHNPMGM-ZDUSSCGKSA-N 400.866 4.933 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520410227 WGDSJHPMJQEJIG-CYBMUJFWSA-N 422.865 4.842 5 20 HJBD Cc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2c(C)n[nH]c2n1 522674606 VPUQMSYCUINPCL-UHFFFAOYSA-N 403.398 4.528 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1ccc([N+](=O)[O-])cc1Br 524603443 MEYMFKBPQVZSKV-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 536640173 PLCLEPUMVBLSQC-WBVHZDCISA-N 422.403 4.617 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 538663727 DVYQATZGFNGJGV-UHFFFAOYSA-N 415.421 4.898 5 20 HJBD CCOc1cc(NC2CCN(C(=O)[C@@H](CC)c3ccccc3)CC2)ccc1[N+](=O)[O-] 538870224 NZUNKBDYKZBSIL-FQEVSTJZSA-N 411.502 4.590 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 539839916 BHXQTSOGZUDLJB-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4ccccn4)[nH]c3c2)c1 540856129 RCSUXZACKGUYCD-UHFFFAOYSA-N 405.439 4.507 5 20 HJBD Cc1c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)cccc1-c1ncco1 553070752 BAHVLNNXFSCJDV-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CCOCC2)cs1 553304771 PKXRJCLSXFRINF-UHFFFAOYSA-N 421.544 4.946 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(F)ccc1SCC(F)F 558762019 KXYXXNXNDQIBHY-UHFFFAOYSA-N 413.421 4.798 5 20 HJBD C[C@H](C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 561705920 XCPPHTUKJPFNTA-KRWDZBQOSA-N 416.477 4.981 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(-c2ccc(Br)cc2)c1 565263338 MKZNSJJXDJROPW-UHFFFAOYSA-N 412.243 4.859 5 20 HJBD COc1cc(CN[C@@H](C)Cc2cccc(O)c2)c([N+](=O)[O-])cc1OCc1ccccc1 568119920 INOZRWVABPHLQS-KRWDZBQOSA-N 422.481 4.609 5 20 HJBD CC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(OC)c(OC)c1 579158087 LBLTVMZFBYSLDB-CQSZACIVSA-N 412.364 4.512 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)cc2)C1 580473018 GGVORIGGKRUYFZ-LJQANCHMSA-N 423.856 4.524 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc2ccccc2c2cccnc12)c1c(F)cccc1F 604341172 GSYRFNSAOKYUDO-NRFANRHFSA-N 405.448 4.699 5 20 HJBD CC(C)(CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 608909209 BDOLWVJXXRYUQW-UHFFFAOYSA-N 406.364 4.652 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 609682565 OAUIZQWRRRRQKQ-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609723893 KDFZZWOVMSJXDR-QGZVFWFLSA-N 418.877 4.780 5 20 HJBD COc1cccc(CNC(=O)[C@@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609769282 OPTLSYCAQNGPQQ-MRXNPFEDSA-N 421.453 4.513 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609857361 HSAJMRXIOCWYII-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)nn1 610184315 QRZSPRNLSCUWGF-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccccc2OC(F)F)cs1 610227091 ROYKQQUJMKAYQS-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)CC3 610959730 QTQKCELDYQVSEP-UHFFFAOYSA-N 403.360 4.602 5 20 HJBD O=C(NC[C@@H]1OCCc2ccccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206052 HTYOOGZLJHZSPU-FQEVSTJZSA-N 412.511 4.673 5 20 HJBD COCc1ccccc1-c1noc(-c2cc(Br)c(C)c([N+](=O)[O-])c2)n1 612902372 JFDCKVBPFDMXIO-UHFFFAOYSA-N 404.220 4.529 5 20 HJBD COc1ccc(-c2nnc(Sc3ccccc3[N+](=O)[O-])n2Cc2ccco2)cc1 619683647 FODOELQRORGNLU-UHFFFAOYSA-N 408.439 4.654 5 20 HJBD CC[C@@H](C)c1ccc(OC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 726730153 ZNWSDHBZTFAVSU-VFNWGFHPSA-N 410.470 4.627 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CC[C@H](c3ccccc3F)C2)CC1 730507303 RUJKFRHAMOVKBD-KRWDZBQOSA-N 411.477 4.600 5 20 HJBD COc1ccc(COC(=O)CCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 730607029 RZGXFCLHAIQSCF-UHFFFAOYSA-N 406.847 4.543 5 20 HJBD C[C@H](OC(=O)c1cccc(OC2CCCC2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 731264973 XCSFYVDTVNEVDJ-AWEZNQCLSA-N 423.425 4.884 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1nc(-c2ccccc2)cs1 731413607 LADUCRNKLJKGHL-ZROIWOOFSA-N 411.826 4.716 5 20 HJBD CC[C@H](CC(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccc(C)cc1 739173468 QNPXFZPJZXLKCJ-LJQANCHMSA-N 411.502 4.642 5 20 HJBD COc1ccc(CN[C@H]2CC[C@@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 742383730 RZAZNSZBEAMIOP-PKOBYXMFSA-N 402.516 4.556 5 20 HJBD Cc1ccnc(-n2c(S[C@@H](C)c3ccccc3[N+](=O)[O-])nc3ccccc3c2=O)c1 742448689 HFQKCSXJJIWLMU-HNNXBMFYSA-N 418.478 4.851 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccncc1Cl 745947548 DDAMOCYEBVTOAN-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nc(-c2ccc(Cl)cc2)no1 746069310 RLCMTAWFZMZRRM-JTQLQIEISA-N 412.789 4.698 5 20 HJBD COc1ccc(-c2csc3ncnc(Oc4cc(C=O)ccc4[N+](=O)[O-])c23)cc1 748308750 WWRUYCDFMNFFJJ-UHFFFAOYSA-N 407.407 4.880 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755068265 UBOXPWFQZXQXNH-KGLIPLIRSA-N 416.449 4.533 5 20 HJBD CS(=O)(=O)Cc1ccc(NC(=O)c2c3ccccc3cc3ccccc23)cc1F 759483873 RBNMCIMXWPYKPX-UHFFFAOYSA-N 407.466 4.929 5 20 HJBD COc1ccc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c(Br)c1O 761009121 DXNMNDYVJDLZBX-POHAHGRESA-N 424.638 4.685 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2cc([N+](=O)[O-])ccc2F)on1 778104341 CTWSPYFACMYUNV-UHFFFAOYSA-N 422.368 4.908 5 20 HJBD Cc1nc2cc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)ccc2s1 781409179 SXRPEGLHAWSXPW-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])cc2)nc1 781668252 CFQSECVSXZQHGP-UHFFFAOYSA-N 422.397 4.570 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H](Cc1ccc(F)cc1)C(F)(F)F 781756145 KIGSNPFKJCWCHL-OAHLLOKOSA-N 403.353 4.543 5 20 HJBD Cc1c(CC(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cccc1[N+](=O)[O-] 782850498 ZRAFIZLWRCQUSS-UHFFFAOYSA-N 421.409 4.572 5 20 HJBD COc1ccc(NC(=O)[C@@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C(C)C)cc1 791224093 YFYLWJRUXSYIDL-KRWDZBQOSA-N 417.512 4.969 5 20 HJBD CCOC(=O)/C(=C\c1cc(Cl)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cn1 794949646 OGXAUPUEAJRJHM-AUWJEWJLSA-N 400.740 4.766 5 20 HJBD CO[C@@H](COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Cl)cc1 801247435 IKSMRIYDEOZURX-KRWDZBQOSA-N 418.858 4.916 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)C(F)(F)F)cc1 809916238 XGYDIFQOGASKLA-AWEZNQCLSA-N 403.744 4.682 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@H]2C[C@H](O)c2cccs2)c1 815060977 WUEFISFWSCYVBC-BBRMVZONSA-N 400.422 4.763 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)c2ccc(OC(F)F)cc2)cc1[N+](=O)[O-] 815406504 KDAGMGWIMQDPRS-SNVBAGLBSA-N 413.760 4.586 5 20 HJBD COc1ccc(OC(=O)C(C)(C)c2csc(-c3ccccc3F)n2)c([N+](=O)[O-])c1 815911604 HLNZCDNVMBYLGW-UHFFFAOYSA-N 416.430 4.749 5 20 HJBD O=C(NC1CC1)c1ccc(N2CCC[C@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 864006323 HKBHYQJWUALHJO-KRWDZBQOSA-N 419.403 4.847 5 20 HJBD COc1ccc2ccc(OC(=O)CSCc3ccc(OC)c([N+](=O)[O-])c3)cc2c1 914717200 BGHFMGVBDSGLKJ-UHFFFAOYSA-N 413.451 4.604 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCC(c2nnc3n2CCCC3)CC1 1325819842 HMUASTNGGWOCAN-UHFFFAOYSA-N 410.521 4.941 5 20 HJBD Cc1cccc(Nc2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)s2)c1 6268029 CZQQKZSDTSDAJF-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 14582963 HGRWREZOKDZPBC-UHFFFAOYSA-N 413.308 4.563 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 25616432 SQMFKCMHNXCGTL-MRXNPFEDSA-N 412.467 4.804 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 47571387 NCYNKPQNMUEYJP-MRXNPFEDSA-N 407.474 4.846 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(COc2ccccc2[N+](=O)[O-])cs1 54912399 JKNOBDNXOYSPBQ-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1Cl 55688332 QIXHUZCOAVXEMA-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)no1 142600347 MXTWLOVXZJIXQZ-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD CSc1ccc(C(=O)Nc2ncc(Cc3ccccc3F)s2)cc1[N+](=O)[O-] 195637159 HQFRQSSXLXYCSH-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3ccc(Br)cc3)CC2)c1 410422134 XCWXDHIPBDHHNQ-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c(C)c1)[C@@H](c1ccc(C)cc1)c1cccnc1 430707331 XJWHNUYIPJKZKD-DEOSSOPVSA-N 419.481 4.624 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])c2ccccc2)cc1 435532901 SFBUVBCRINQYSZ-NRFANRHFSA-N 406.438 4.522 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 436354913 BFFXETGILPAXLL-INIZCTEOSA-N 418.375 4.512 5 20 HJBD C[C@H](Nc1ccc(CCn2nnc(-c3ccccc3)n2)cc1)c1ccccc1[N+](=O)[O-] 439571193 LEVJNIMOVZXQQY-KRWDZBQOSA-N 414.469 4.664 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 447062970 GXQVOGKTLPAICJ-UHFFFAOYSA-N 405.458 4.629 5 20 HJBD CNC(=O)c1ccc(N[C@H](C)c2ccc(-c3ccccc3OC)cc2)c([N+](=O)[O-])c1 462887834 KORPTHPIKAZVJK-OAHLLOKOSA-N 405.454 4.803 5 20 HJBD O=C(N[C@@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1cccc([N+](=O)[O-])c1 464211190 INMYTNFRIVYGMB-NRFANRHFSA-N 424.840 4.539 5 20 HJBD COc1cc(CN[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c([N+](=O)[O-])cc1F 464486801 MNFMOLUAZNCGHP-OAHLLOKOSA-N 424.297 4.737 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)cc1Cl 466285836 DMDMZDDWMRLQGE-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD C[C@@H]1COCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 466540418 OICSOFCKULMFEY-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD O=C(NCCOCC1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466750359 CSBPPCKTKKWUIN-UHFFFAOYSA-N 406.891 4.556 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)c3ccc(F)cc3[N+](=O)[O-])C2)nc2ccccc21 467197902 RCYBYKDNZWLGRO-OAHLLOKOSA-N 410.449 4.684 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2c([nH]c3c(F)cccc23)C1 467791032 YVBIUZVBBMUUHD-INIZCTEOSA-N 409.461 4.759 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(Cl)cn2)cc1 467994273 LXFKSEDREKVADB-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD Cn1cc(CN2CC=C(c3c(F)cccc3F)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 473118784 AGPKVCHAJKRHCK-UHFFFAOYSA-N 410.424 4.563 5 20 HJBD COc1ccccc1Nc1nnc(Sc2ccc3ncccc3c2[N+](=O)[O-])s1 480437609 YBQQUHXIHQZZOD-UHFFFAOYSA-N 411.468 4.898 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3)cs2)cc1SC 482167000 WBDXHKKPVHIUJQ-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CC(C)CCn1ncc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1C(C)C 483506377 RWGRGBHXQUBOLI-UHFFFAOYSA-N 401.511 4.667 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(Oc3cccnc3)c(F)c2)c1[N+](=O)[O-] 486247075 XYUUUEJGYFVDLU-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 487449129 VUSHMBLWXRFVDJ-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 489078777 WIBLTCOBRFPCAL-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1ccc(F)cc1)CC1CC1 489933857 MGXHNCXQHJHSBE-UHFFFAOYSA-N 418.490 4.525 5 20 HJBD COc1cc(CCN[C@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 492781933 FGNZNXANQWUDTN-OAQYLSRUSA-N 406.482 4.728 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(F)c(C(F)(F)F)cc1F 493305685 QNAFHSWVFCVEAE-UHFFFAOYSA-N 412.274 4.534 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500663531 MIQMPSYLWFCCRI-FGZHOGPDSA-N 417.509 4.658 5 20 HJBD COc1ccc(CSCC(=O)N2[C@H]3C=C(c4ccccc4)C[C@H]2CC3)cc1[N+](=O)[O-] 500677538 JGEWWARSLZGKLP-WOJBJXKFSA-N 424.522 4.684 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)C(=O)c1cc([N+](=O)[O-])c[nH]1 501012986 KKBXHGZRDROTEF-UHFFFAOYSA-N 407.348 4.864 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)C(=O)c1ccc([N+](=O)[O-])[nH]1 501041173 OKGGONJPXJYJSC-UHFFFAOYSA-N 407.348 4.864 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1CCN(Cc2ccc(Cl)cc2)CC1 503976746 LSOSFIPKFPODIL-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1c(-c2cccc(Br)c2)noc1C 508144037 ABQPFZWYBDAQNR-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD C[S@@](=O)C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 508672760 WGJBHMGOQQRMSC-XRHLQHRESA-N 400.525 4.533 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2c(-c3cccs3)nc3ccccn23)c1 509796083 VLMPXDDVKGAFOV-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)oc12 510891203 CASBMXLMJQPTLD-OAHLLOKOSA-N 411.458 4.685 5 20 HJBD COc1ccccc1[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 520817944 PLVLTTKJENVYBL-KSFYIVLOSA-N 405.454 4.753 5 20 HJBD Cc1nccn1C[C@@H](C)CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520988170 WFVAORZEUNUHHL-HNNXBMFYSA-N 414.893 4.971 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 522045366 LXKRWDIZYCZVNZ-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(Nc3cc(C(F)(F)F)nc4ncnn34)c2)cc1 522691785 JPWMUKHECBFRAR-UHFFFAOYSA-N 416.319 4.587 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 523454793 RPNOVGKUCRHNTN-ZDUSSCGKSA-N 410.430 4.745 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1C[C@@H]1c1c(F)cccc1Cl 525336914 YAKBUTCWWXJHSE-QFBILLFUSA-N 420.871 4.620 5 20 HJBD CCCN(C(=O)c1ccccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)cc1F 525351341 YTQSVVAXZZQIEH-NRFANRHFSA-N 411.408 4.910 5 20 HJBD COC(=O)c1ccc(-c2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 538280181 VEKUZXNSVNUYJR-CQSZACIVSA-N 411.483 4.664 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccncc2)cs1 540810692 YDCSFSKFNQILKK-LLVKDONJSA-N 406.414 4.566 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)[C@H](C)c1ccccc1C(F)(F)F 541638162 KPFWALABQWHSPJ-UONOGXRCSA-N 409.408 4.642 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](c2ccc(Cl)cc2)c2ccn(C)n2)cc1[N+](=O)[O-] 546574542 JBXKPZOJIGKDGV-QKKBWIMNSA-N 414.893 4.821 5 20 HJBD COc1ccc(Cn2cc(NC(=O)c3cc4ccccc4c4cccnc34)cn2)cc1 552459400 QZZFAWISAVSPAP-UHFFFAOYSA-N 408.461 4.894 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1ncc(-c2ccc(Br)cc2)o1 554846281 QTDROWLLPKKOCL-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc2ccccc2[nH]1 556616197 INNVAMFUJFZPSQ-AWEZNQCLSA-N 402.410 4.754 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@H]1c1ccccc1OC(F)(F)F)c1ccccc1[N+](=O)[O-] 557969278 FEVNPUHOSSYDOL-KBPBESRZSA-N 408.376 4.648 5 20 HJBD C[C@@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1(F)F 566038454 VWJNALFELASTHI-ACJLOTCBSA-N 423.847 4.905 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(C(F)(F)F)c2)c(NCc2ncnn2CCF)c1 571012976 YZQORWZEJCRYPD-UHFFFAOYSA-N 424.358 4.530 5 20 HJBD C[C@@H](Nc1ccc(Oc2cccnc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 579056713 YHTWGHVFULURDO-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 589372856 LHTREMCQYJSNAP-LBPRGKRZSA-N 413.409 4.537 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 604460268 UEMQWKOHOSACOD-JOCHJYFZSA-N 423.444 4.526 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccs1 608826212 JCAVIZUOIPVEGH-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD COc1cc(CN(C)Cc2cc([N+](=O)[O-])ccc2OC(C)C)ccc1OC(F)F 609193736 XOOAIUWFVYKZDD-UHFFFAOYSA-N 410.417 4.624 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609843603 MWUZENCWDOEQJS-UHFFFAOYSA-N 411.458 4.515 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc(OC)c(OC)c([N+](=O)[O-])c3)n2)s1 610169082 SZWBQOYMNAXQSO-UHFFFAOYSA-N 419.484 4.612 5 20 HJBD COc1cccc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)c2ccccc2Cl)c1 610181035 QXVMBFXCMJBVCL-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD C[C@@H](CCO)C1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCC1 611428833 OERIEZJUYVFEIU-HNNXBMFYSA-N 406.548 4.548 5 20 HJBD CCn1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1ccc(Cl)cc1 611700757 DXDFVCCXEWKQAX-UHFFFAOYSA-N 412.862 4.621 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)CC(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 614897526 NDRZLKWDBPGSBB-LBPRGKRZSA-N 410.396 4.624 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 617836441 JRWNLOGJTFBAKU-LLVKDONJSA-N 421.375 4.704 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 681946640 KMSDAKRLPPOCJC-UHFFFAOYSA-N 410.395 4.673 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(F)cc2)C2CCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 729656471 DCGJPJDCEVADGX-SFHVURJKSA-N 401.394 4.530 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 729842155 BGNGOYCWSTWNGG-ZDUSSCGKSA-N 413.455 4.805 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)NCc1ccccc1Sc1ccccc1 730510687 BYVIJCNADKVSHT-UHFFFAOYSA-N 412.442 4.580 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nc(C(C)C)n(-c2cccc(F)c2)n1 736160748 SUNRWFYOLDXGFJ-UHFFFAOYSA-N 417.828 4.652 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c(Cl)c1 740776810 AOKVRQXWBVKBHC-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 741438984 DEQZKYVJCCHCMX-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2C(=O)N[C@H](C)c2ccccc2)c1 741889734 WXWZAVNPZOCEBW-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)c2ccc(C(C)C)cc2)cc1OC 744522177 XXOHIDQLNIRECH-AWEZNQCLSA-N 415.442 4.554 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 747093987 BHNZYXRDYLSXFM-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 748819414 IDHGXLZYGVHWEU-CQSZACIVSA-N 404.898 4.973 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N(Cc1cccs1)C1CC1 749409621 FHKGJUPFPCEPKK-UHFFFAOYSA-N 415.696 4.877 5 20 HJBD CCc1ccc([C@@H](NCCc2ccc(NC(=O)OC)cc2)c2ccc([N+](=O)[O-])cc2)o1 753677387 DNROKCFRWHBJST-QFIPXVFZSA-N 423.469 4.850 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OC3C[C@H](C)O[C@@H](C)C3)cc2[N+](=O)[O-])n1 756057219 SKTSFYQMMYIMEE-RYUDHWBXSA-N 408.501 4.624 5 20 HJBD C[C@@](O)(c1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1)C(F)(F)F 760369269 NABHMIBWSCRIOV-GOSISDBHSA-N 408.332 4.599 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763491395 IUJNPSWGCJQOGI-LLVKDONJSA-N 421.400 4.711 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 763815887 WMMXQFDGYVTJIC-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD O=C(O)C/C(=C/c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1nc2cc(Cl)ccc2s1 766035195 ULXTULDSGLPULO-YHYXMXQVSA-N 419.802 4.781 5 20 HJBD O=C(NCc1cccc(COCc2ccco2)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770018430 IDEZRUAPHIMWJA-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD O=C(Oc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Cl)c(NC(=O)c2cccs2)c1 771486241 HJGWHDAIPFNBLB-UHFFFAOYSA-N 420.805 4.920 5 20 HJBD COc1ccc(CC(=O)OCc2nc(-c3ccccc3Cl)cs2)cc1[N+](=O)[O-] 774970509 DLLKQOXUTGUPHX-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](O)c1ccc(OCc2ccccc2)cc1 780850006 UKRHEAACHHQNRP-QFIPXVFZSA-N 406.438 4.544 5 20 HJBD Cc1c(C(=O)N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 798021814 JTOOYXPNWFGQJC-MQMHXKEQSA-N 423.347 4.506 5 20 HJBD Nc1ccc(NCc2ccc(Oc3ccccc3C(F)(F)F)nc2)c([N+](=O)[O-])c1 804506605 ZGCVIPQXZBNWKL-UHFFFAOYSA-N 404.348 4.995 5 20 HJBD O=C(Nc1cnccc1-c1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 807928626 BSAGAEDMYRLIQX-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD CCC(=O)N1CCCC[C@H]1c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809362687 GXCDBQNAVMYGTO-IBGZPJMESA-N 422.441 4.901 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCCC2)c1 813050997 QOOYWPPGRKHBBJ-LBPRGKRZSA-N 408.285 4.993 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1Cl 817870411 OWDUOXAKJDUKOQ-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD COc1ccccc1C1(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CCC1 1116076391 NUDSZNPSTYGBGS-UHFFFAOYSA-N 409.467 4.536 5 20 HJBD CS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 1252198905 PFORIBHIABGZBH-UONOGXRCSA-N 423.585 4.867 5 20 HJBD CC(C)(C)Cc1nc(-c2ccc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)cc2)no1 1322140272 MDBGUNVYRHRULY-UHFFFAOYSA-N 405.414 4.950 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@@H](CO)c2c(F)cccc2F)o1 1339154489 GXJXQNIFKOVMSD-INIZCTEOSA-N 408.788 4.610 5 20 HJBD CCn1c(SCC(=O)c2ccc(OC)cc2)nc2cc3ccccc3cc2c1=O 7577863 GEWUXJGCKMPOIK-UHFFFAOYSA-N 404.491 4.553 5 20 HJBD O=C(NOCc1ccccc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 10318595 WBVXAMUHLCRFQP-UHFFFAOYSA-N 411.845 4.722 5 20 HJBD CCCc1cc(C(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)sc1C 12175582 SUTDURHJMYBVBV-SNVBAGLBSA-N 410.879 4.755 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(CC)cc2)C(C)C)c([N+](=O)[O-])cc1OC 26792601 FPGHRVMBXSXWCZ-OAQYLSRUSA-N 400.475 4.692 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)no1)C(=O)c1cccc([N+](=O)[O-])c1 63633253 BBFXWKVVNVNQGL-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 64782134 SKSYNPDGUMNICY-BFUOFWGJSA-N 406.866 4.538 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 65580165 IEBFACJZMPIIJA-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 109274688 GTLUDOJPSNZULG-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD O=C(Nc1ccc(Oc2ncnc3ccc(F)cc23)cc1)c1cccc([N+](=O)[O-])c1 301917927 BVZPBRILHUNEEK-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CC(C)[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 302054926 QCNORLPUXJMWBD-QGZVFWFLSA-N 400.859 4.600 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCCc2cc(Br)cc(Cl)c21 303288632 NQGOJAUESVLUCK-UHFFFAOYSA-N 409.667 4.533 5 20 HJBD Cc1cn2c(-c3noc([C@H](C)Oc4ccc(Cl)cc4[N+](=O)[O-])n3)c(C)nc2s1 426560863 RVVWBHNXCZOMDB-JTQLQIEISA-N 419.850 4.764 5 20 HJBD C[C@@H]1CC[C@@](CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426630706 VQLVZAQHIHHGTB-HXOBKFHXSA-N 411.502 4.733 5 20 HJBD Cc1cccc2c1CN(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 428442678 VDQQZARVATUHMM-KRWDZBQOSA-N 416.481 4.670 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1ccc([N+](=O)[O-])cc1Br 430525334 BEXQLDHMBSGGOD-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD COc1cc(NC(C)=O)ccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 435443685 PASVMGBNDOCTRA-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1c(F)cccc1Br 438444891 KOVMFJMQHCHUEA-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD CC1(C)CCc2ccccc2[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCCO)C1 442965744 MQDGHZBTDIPBHU-IBGZPJMESA-N 414.527 4.513 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccc(COCC(F)(F)F)cc1 443948554 QSBRIKBQHCVXJL-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC1(c2ccc(Cl)cc2)CCOCC1 443970472 IUXIHSNJSMNOHJ-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@@H]2C)cc1[N+](=O)[O-] 444407700 FFCJXEDUFZZMQA-YVEFUNNKSA-N 406.891 4.572 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 444861386 TYXVEIVFMFJPTG-ZETCQYMHSA-N 421.219 4.511 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 446482674 VLNCSDNLLQLDQJ-SFHVURJKSA-N 407.430 4.712 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Sc1ccc2c(c1)OCCCO2 447677072 SHBKWXKLHKRTQA-UHFFFAOYSA-N 422.331 4.956 5 20 HJBD COc1ccc(SC)c(OC)c1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 461093183 RGEKUAXWWFMAQY-UHFFFAOYSA-N 416.377 4.605 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 463908624 DPZBEFMSBNPDQY-LIRRHRJNSA-N 417.481 4.837 5 20 HJBD CSc1cccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccc(F)c(F)c2)c1 464113743 BAGQFOZEKOJUSU-GOSISDBHSA-N 404.394 4.707 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 468678004 TWPQRGBOFNXTOJ-WFASDCNBSA-N 405.376 4.855 5 20 HJBD CC[C@H](CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])Oc1ccccc1Cl 482600481 VRPTWYGXQJYSLU-GFCCVEGCSA-N 424.906 4.566 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(/C=C\c2ccco2)s1 484113059 OFGXRMKHPZGXKA-KTKRTIGZSA-N 413.459 4.652 5 20 HJBD O=C(CSc1ccc(Br)cc1[N+](=O)[O-])Nc1ccccc1Cl 485271081 KZFKKBGKOKQIDT-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(CCN1CCCCCC1)C1CCC1 488883773 XDDLNTBRHBTRDR-UHFFFAOYSA-N 417.553 4.552 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nnc(C3CCC3)n2c1 493945284 PPVOTOAGQDEFCG-UHFFFAOYSA-N 411.487 4.658 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC2(CCC2)[C@H]1c1ccccc1 495464396 CQKOPQFMIBNQHE-AREMUKBSSA-N 408.501 4.909 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](OC)c1ccc(F)cc1 504535183 YXLLHLAHLLQQKB-BFUOFWGJSA-N 406.479 4.742 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC2CCCCC2)c2ccccn2)cc1OC 507972219 GAFJNLFCLFQZHS-UHFFFAOYSA-N 413.474 4.624 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 509520003 MSDNWCWKXICWOE-UHFFFAOYSA-N 408.307 4.943 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1ncc(-c2ccccc2Br)o1 511098025 ZCCILSMGCOANQH-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1cccs1)c1ccc(F)cc1F 511822007 KPRAYFRTIWRXRT-UHFFFAOYSA-N 414.393 4.658 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)Nc1cccc(COC2CCCCC2)c1 518839520 PLVQNHXOQMGMJL-UHFFFAOYSA-N 413.474 4.645 5 20 HJBD COc1ccc(CNCc2ccc(Oc3cc(F)cc(F)c3)cc2)cc1[N+](=O)[O-] 520214103 GEAPPTNTSSPOLC-UHFFFAOYSA-N 400.381 4.964 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 521736311 KPDDZHBZDSVNHY-UHFFFAOYSA-N 410.336 4.637 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@H]3CCN(C(C)C)C3)cc2[N+](=O)[O-])cc1 522167356 CBHNCIFIZIBDAA-QGZVFWFLSA-N 413.543 4.515 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nc(-c3ccc(Cn4cnc5ccccc54)cc3)no2)c1 525238730 IHPSKQSFCKLGGX-UHFFFAOYSA-N 411.421 4.634 5 20 HJBD Cc1c(Br)cccc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 525285655 CRQLLHOWJLXFCW-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 536664678 RYOPVODIESTURI-MHZLTWQESA-N 400.525 4.693 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)CC(=O)N(C)Cc2cccc(Cl)c2)cc1[N+](=O)[O-] 536909332 JKWNSPFFVGGJHF-HOTGVXAUSA-N 403.910 4.644 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 538227686 BWPUMNBBJOUGEE-CABCVRRESA-N 415.465 4.509 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(NC(=O)NCc2ccco2)cc1 538747677 QCZQUYDRLGLYST-UHFFFAOYSA-N 422.441 4.687 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccnc2)cs1 539296782 DLDARPWFPQDPFV-UHFFFAOYSA-N 400.485 4.866 5 20 HJBD C[C@@H](CC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)C(=O)c1ccc(Cl)cc1 539688360 NEXYRVYTCZKYAP-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 541691657 ACTHDYNOKOYVSB-ZIAGYGMSSA-N 404.438 4.512 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NC2CCN(Cc3cc(Cl)cc(Cl)c3)CC2)c1 543156547 OCFMERBSMVCFKU-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1 543543966 CHUULYFWUNBCGW-CQSZACIVSA-N 419.441 4.713 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(N2CCCC[C@H]2C)cc1C 544273692 OXXVRGSMGMANGV-MRXNPFEDSA-N 412.490 4.612 5 20 HJBD C[C@H](Oc1cccc(F)c1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546451369 AVDXCTIICHLIDL-JTQLQIEISA-N 422.210 4.610 5 20 HJBD COc1cc(Cc2noc([C@@H](C)CC(=O)c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 547177668 GULIAMYLPHDCHM-LBPRGKRZSA-N 415.833 4.607 5 20 HJBD Cc1ccc(CN2CC[C@@H](CN(C)C(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)C2)s1 566002711 YHEAZMYZYCNKLK-KRWDZBQOSA-N 415.559 4.682 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2ccnc(Oc3ccc(F)cc3F)c2)n1 573365482 VJPXZSDXVAGONM-UHFFFAOYSA-N 410.336 4.701 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)C(F)(F)F 573878220 FPPOJLXASMVSTJ-CYBMUJFWSA-N 411.380 4.631 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 604124661 AXOMGQRXIFHHAY-LLVKDONJSA-N 402.501 4.649 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccco1)Cc1cccs1 604445770 IXIBXAUYHCTKFW-ZDUSSCGKSA-N 420.874 4.899 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 609442286 UUTGZUYRAURMQW-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD Cc1ccc(C[C@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccccn2)cc1 609670667 HRLFDLBAALCTFD-FQEVSTJZSA-N 402.454 4.579 5 20 HJBD C[C@@H](Sc1ncccc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609852111 CYTWIFNXLULKPS-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(CC(C)(C)C)[nH]n2)cc1[N+](=O)[O-])c1ccccn1 610204546 PQTBFICXYCPUQK-CQSZACIVSA-N 422.489 4.727 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NC1CC=CC1 610748030 BPDVTOQDSYGGGO-DTQAZKPQSA-N 405.479 4.970 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1cc([N+](=O)[O-])ccc1OC(F)F 611522897 UPKOBVOTCZGQKN-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CC(C)[C@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 733668321 HRQUKUZSLMGDOW-QGZVFWFLSA-N 424.284 4.696 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CS[C@@H](C)c1nc2ccccc2[nH]1 741934386 JWYRTBSEKKQGIA-NSHDSACASA-N 404.879 4.866 5 20 HJBD O=C(Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccoc1 744283037 FSAPWJIFZWSGKT-UHFFFAOYSA-N 421.192 4.966 5 20 HJBD COc1ccc2cc([C@@H](C)OC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)ccc2c1 745960121 VJWPNWCAULJTSQ-CYBMUJFWSA-N 418.405 4.928 5 20 HJBD NC(=O)[C@@H]1CCCN1Cc1cccc(NC(=O)c2c3ccccc3cc3ccccc23)c1 746513520 NMCIEQUNPPOAGG-DEOSSOPVSA-N 423.516 4.695 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc(F)c(F)cc1[N+](=O)[O-] 750564175 HUGINIFVBFXUKR-UHFFFAOYSA-N 412.392 4.520 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2ccc(Br)cc2[N+](=O)[O-])o1 750962807 DKAVDYUAUGXBBD-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 753035203 FICRNHUTASQCDQ-DYESRHJHSA-N 423.469 4.503 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCCC[C@H]2C[C@@H](O)c2ccco2)c1Br 754061714 MYAGQYPHRFBCJN-DZGCQCFKSA-N 424.295 4.526 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 754483013 KWKSGZMBQHRUHL-UHFFFAOYSA-N 401.488 4.511 5 20 HJBD C[C@H](C(=O)OCc1cccc(OCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 755053669 JWGHBLDVIRSFNE-NSHDSACASA-N 401.312 4.522 5 20 HJBD C[C@@H](C(=O)N1CCc2cccc(NC(=O)OC(C)(C)C)c21)c1cccc([N+](=O)[O-])c1 762275378 GXROVNWRUMMCRV-CQSZACIVSA-N 411.458 4.635 5 20 HJBD C[C@H](C[C@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762693777 WSFUYWSXPSRZKP-IERDGZPVSA-N 422.506 4.988 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1SCCc2sccc21 776217912 MZMCYTHCXJCSQM-MOPGFXCFSA-N 412.492 4.715 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C2SCCS2)cc1 778035421 PSIVHGCIMIKLCN-UHFFFAOYSA-N 408.932 4.699 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 778148099 WTMRITJHTRASDC-UHFFFAOYSA-N 423.878 4.629 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C(F)(F)F 778458276 VNJHAYUGQBKYOD-SNVBAGLBSA-N 415.181 4.593 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1cc2ccccc2s1 780634160 LHNWGHYQKTZNSY-INIZCTEOSA-N 416.524 4.775 5 20 HJBD C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 790540042 CWFPEMFJDRJWHZ-SECBINFHSA-N 404.747 4.826 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCC[C@@H]1c1nc2ccccc2o1 790953690 KHYGJCXFNSGLCS-MRXNPFEDSA-N 417.824 4.825 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(Cl)c1Br 791007221 PNZAPMBHNLDXBO-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 791142852 VACBCFICHWNTCI-AWEZNQCLSA-N 422.441 4.520 5 20 HJBD C[C@H](C(=O)OCC(=O)c1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1F 801855941 RRCFUEBWTZLSFP-VIFPVBQESA-N 400.189 4.570 5 20 HJBD O=C(Nc1ccnn1Cc1ccccc1F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812980038 LPFGYZVBDXFKJM-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD COc1cccc(C(=O)c2ccc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc2)c1 813246345 IVETUOXVXVUDRV-UHFFFAOYSA-N 410.813 4.740 5 20 HJBD C[C@@H]1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 816996597 PXWKKYCZMJJPMR-DDDWLJDVSA-N 420.387 4.615 5 20 HJBD O=C(Nc1csnc1-c1ccccc1)c1ccc(Br)c([N+](=O)[O-])c1 821627603 HUZBQDWIJDFQGO-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(CN1CCCCCC1)Nc1cccc(NCc2ccc(Cl)cc2[N+](=O)[O-])c1 864009261 IDVKPOULQPJACA-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD COc1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 915165233 ALQKNIZTQHZOBM-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1ccc(-c2nc(COC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cs2)o1 915232635 QWNOWHXSFVXKMF-LBPRGKRZSA-N 404.469 4.844 5 20 HJBD O=C(NCc1ccccc1OCC(F)(F)F)Nc1ccc(Cl)c([N+](=O)[O-])c1 918026246 CQTKZCVSONEQCG-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)OCCCOc2ccc([N+](=O)[O-])cc2)s1 918954171 GPTDWBUJTSVJLF-UHFFFAOYSA-N 418.496 4.643 5 20 HJBD C[C@@H]1CN(c2ccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2F)C[C@@H](C)O1 920213137 MXHQARJUDOODHE-VXGBXAGGSA-N 422.844 4.645 5 20 HJBD CCOc1cc(NC(=O)N(CC)Cc2ccccc2F)c([N+](=O)[O-])cc1OCC 1115919285 WCCCUBYOCSSAKB-UHFFFAOYSA-N 405.426 4.585 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccn(CCc3ccncc3)n2)o1 1319323512 KPIPKESPRVEWHR-UHFFFAOYSA-N 423.860 4.955 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1322584722 DPVPWPFMUCCJCC-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(F)cc1F 1324889058 BEPYQVJGFKYODL-NSHDSACASA-N 403.410 4.763 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nnc(-c3ccc(Cl)cc3Cl)o2)n1 1341773742 FCHMMNFDMXVHBH-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD COc1cc(Br)c(COc2ccc([N+](=O)[O-])cc2Cl)cc1OC 7932764 IDIJJAXXXHDIID-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OC(F)F)c(Cl)c2)c([N+](=O)[O-])cc1OC 18080368 CHTMIWSRPWZZFC-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 21120975 TUQDTOPXNQNCPJ-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c(Cl)c1 22468112 RPDLGMFNMPXZQY-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1ccc(NC(=O)c2nnc(/C(Cl)=C/c3ccc([N+](=O)[O-])cc3)s2)cc1 26228513 ULEQQIBGEVAVJT-GDNBJRDFSA-N 400.847 4.744 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)cc2)cs1 29103092 CUTREDUJLAJBHT-CYBMUJFWSA-N 410.499 4.584 5 20 HJBD COc1ccc(CSc2nnc(-c3ccccc3F)n2C2CC2)cc1[N+](=O)[O-] 42110593 XPRUBLFTCDYRHC-UHFFFAOYSA-N 400.435 4.628 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)COc2ccccc2C(F)(F)F)c1 55634387 KTCDBGGEGISKIQ-NSHDSACASA-N 414.405 4.533 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 58310812 RAKBAEABOBDXNN-UHFFFAOYSA-N 404.401 4.626 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N(C[C@H]1CCOC1)C1CC1 63089347 HYMQHRXVIGZLOA-CQSZACIVSA-N 416.861 4.682 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 97205930 GDMRKZCNBWXOSE-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD COc1ccc(-c2ccc(CNc3cc4ncnc(O)c4cc3[N+](=O)[O-])s2)cc1 301474922 NDLQLUHNYTYNGR-UHFFFAOYSA-N 408.439 4.593 5 20 HJBD O=C(Nc1cc(Br)cc(C(F)(F)F)c1)c1ccc(F)cc1[N+](=O)[O-] 326823034 HFNQRSWAQROFAX-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCOc1c(OC)cc(CN[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168813 OSMRDBGZOZBIGK-GOSISDBHSA-N 400.475 4.523 5 20 HJBD COc1ccc2nc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)sc2c1 435935514 JUKPPQPCPMMATC-UHFFFAOYSA-N 401.447 4.552 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436069563 XOLOUIVTCDVEQX-UHFFFAOYSA-N 412.489 4.933 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@H](c2ccccc2)C1 436232708 QDPALHIXZPWOSA-HNNXBMFYSA-N 404.416 4.589 5 20 HJBD Cn1cnc2cc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])ccc21 441070501 GJFLCECVDLNXBH-UHFFFAOYSA-N 404.451 4.885 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 443862545 NRCRDGRPQAKLFL-KRWDZBQOSA-N 408.376 4.990 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3c[nH]nc3-c3nccs3)o2)c(Cl)c1 447076424 NDAKJRDHVNSTKM-UHFFFAOYSA-N 401.835 4.967 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCc2ccccc2COCc2ccccc2)n1 447551755 RIMKPULBMCCWEM-XZOQPEGZSA-N 420.513 4.782 5 20 HJBD C[C@@H](CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(F)c(Br)c1 462173013 ZNLDRLSNCRJICL-LBPRGKRZSA-N 421.266 4.575 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 462703369 JYAATGVXPSITHE-WBVHZDCISA-N 408.907 4.513 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](c2ccccc2)C1 463095135 VICCQSBZUGMTKX-XLIONFOSSA-N 414.527 4.993 5 20 HJBD CC[C@@H]1CCC[C@@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 465041532 IDVKCSYLJZIKBB-CJNGLKHVSA-N 413.440 4.525 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2c3ccccc3OC[C@@H]2C)cc1[N+](=O)[O-])c1ccccn1 467305939 VMCLMUHYHIGKKP-HOTGVXAUSA-N 418.453 4.591 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(CS(=O)(=O)C(C)(C)C)cc2)cc1[N+](=O)[O-] 467839301 BMTFQKKXNZXDKT-UHFFFAOYSA-N 418.515 4.684 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(C(=O)c3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 468948487 LYSORHZXMLCXLS-UHFFFAOYSA-N 412.461 4.767 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472255840 IOKYKTORRYVJRF-CYBMUJFWSA-N 402.419 4.605 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(CCO)Cc1ccc(Cl)c(Cl)c1 475564140 LWTNGLKQXPRKHU-UHFFFAOYSA-N 412.273 4.545 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482851403 VYKHBNJIPHKJLZ-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OCCc3ccccc3)nc2)c1[N+](=O)[O-] 486220349 GTGLGSZXOQGLHC-UHFFFAOYSA-N 409.467 4.586 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)cc2)cc1 486763492 QQHSPIKYBDMBOL-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cc(Cl)ccc1Sc1ccccc1 488239821 DERYPKAYUMWHRU-UHFFFAOYSA-N 416.890 4.933 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)[C@H]1c1ccccc1 495348585 NOJWLBAVDOARNB-IFXJQAMLSA-N 400.862 4.769 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc([N+](=O)[O-])c2cccnc12 498187746 PIRNPJXZNBPPDJ-UHFFFAOYSA-N 413.437 4.595 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1 499724669 IQKPDHYGJJZSEJ-NRFANRHFSA-N 424.888 4.617 5 20 HJBD C[C@H]1CO[C@H](C)CN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 501596645 LJOCRHZUOICZAA-QWHCGFSZSA-N 406.891 4.649 5 20 HJBD COCCN(C(=O)C[C@@H]1C[C@H]2CC[C@H]1C2)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514620448 MGNLYHVFWSBVRK-XIRDDKMYSA-N 415.515 4.524 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(SCc3cccs3)s2)c1 518683796 PXULYOBQZDQSOR-UHFFFAOYSA-N 424.554 4.774 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC(c1ccccc1)c1ccccc1 522572497 ZNOLTEWXPYVZNQ-UHFFFAOYSA-N 410.857 4.923 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccc(OCc2cccc(F)c2)cc1 524265288 ADOMNFHZWQOUSH-UHFFFAOYSA-N 420.400 4.574 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCC(=O)Nc1sc(C)c(C)c1C 532357581 LQNNTNJBLQTKJW-UHFFFAOYSA-N 413.293 4.670 5 20 HJBD O=C(Nc1ccccc1CN1CCc2ccccc21)c1c[nH]c2cccc([N+](=O)[O-])c12 535682573 YYFZTYPLCDXNHQ-UHFFFAOYSA-N 412.449 4.891 5 20 HJBD COc1cc(C)c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 538189903 CGYBIVCNXWYFBP-CQSZACIVSA-N 418.515 4.912 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(Cc2ccccc2)CCCC1 544483248 TWQSXNLNTOMDQW-UHFFFAOYSA-N 410.517 4.826 5 20 HJBD CC(C)(C)c1ccc(-c2nc(Cc3ccc(C(F)(F)F)cn3)no2)cc1[N+](=O)[O-] 545000985 XSCHBQHJIVFVFN-UHFFFAOYSA-N 406.364 4.947 5 20 HJBD C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 549865465 LXUPVPLRCSJZEQ-KHXSAUHESA-N 400.422 4.544 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccncc1 553539320 ZKWRVFNILYNZST-HXUWFJFHSA-N 402.454 4.809 5 20 HJBD CCc1nnc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)s1 562079701 QLSYMAYIBFEIFP-UHFFFAOYSA-N 400.529 4.758 5 20 HJBD CC[C@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1ccc(OC)c(OC)c1 568613001 HGXSPKQQEWNXBT-LBPRGKRZSA-N 410.268 4.641 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 569412062 IRLOBBHIQRKRDU-IBGZPJMESA-N 424.284 4.590 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCCC[C@H]3c3nnc([C@H]4CCCO4)o3)o2)cc1 589191255 UWNDHFNNEUMLBE-AZUAARDMSA-N 424.457 4.817 5 20 HJBD COc1cc(-c2nc(O)c3cc4ccccc4cc3n2)ccc1OC[C@H]1CCCO1 590124353 JVEJYYRVQZVTMM-GOSISDBHSA-N 402.450 4.722 5 20 HJBD O=C(CN1CCC[C@H](c2cc3ccccc3[nH]2)C1)Nc1ccc([N+](=O)[O-])cc1Cl 603889884 BWJOJTATCNUOTL-HNNXBMFYSA-N 412.877 4.548 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1 604086313 ABTSQWWPDNHQLA-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD CCCN(CC1CCCCC1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 604202001 DLEDKTCLKMRKTO-UHFFFAOYSA-N 412.534 4.889 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 604521438 KERYASISHUSIHR-OZOXKJRCSA-N 422.485 4.806 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2CN(C)C2CCCCC2)cc1[N+](=O)[O-] 609009943 GRNRHQHABJHOHD-UHFFFAOYSA-N 411.502 4.549 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1 609126181 HGDXUTOKVSWYOL-UHFFFAOYSA-N 418.515 4.632 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(C(C)(C)c3ccccc3)s2)c1 609303784 CUZDUYXMUIPHTM-UHFFFAOYSA-N 414.512 4.746 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H]3CCC[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609511162 DKHGPEXDXRVSPR-YADHBBJMSA-N 418.497 4.523 5 20 HJBD O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1ccc(Cl)cc1[N+](=O)[O-] 609692867 QJHZMGPHESGYPB-UHFFFAOYSA-N 409.751 4.539 5 20 HJBD COCCN(c1ncc([N+](=O)[O-])cc1Cl)[C@H](C)c1cccc(C(F)(F)F)c1 609892187 PPOCYAWYFHTHDN-LLVKDONJSA-N 403.788 4.876 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 610624618 MPOJHLPIIHHDHD-UHFFFAOYSA-N 406.866 4.971 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1F 611207040 YKCVILNFSFZCBB-UHFFFAOYSA-N 418.446 4.808 5 20 HJBD CN(Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)C[C@@H]1CCCO[C@@H]1c1ccccc1 611266712 BNZHWMMSZUFJGY-GBXCKJPGSA-N 420.513 4.595 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc2c(s1)CCCCCC2 619214246 NDRXZSCQNOSBCT-UHFFFAOYSA-N 402.472 4.729 5 20 HJBD CC(C)c1ccc(-c2ncc(COC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)s2)cc1 726664053 VSTHOBMVYURPBE-UHFFFAOYSA-N 414.487 4.517 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 729956696 MQMOQQHPDVKMGS-MRXNPFEDSA-N 419.275 4.733 5 20 HJBD O=C(OCc1ncc(-c2cccs2)o1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 731506603 CAQWCIDOGQQPLL-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 733615141 UEQLAWSBNMVGLV-UHFFFAOYSA-N 414.245 4.961 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1cccnc1Cl 735317172 FSUSZCXKAFKPET-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)c1 741893382 ZDYXLTVCHMYNJV-UHFFFAOYSA-N 405.458 4.531 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(COc2ccccc2)c1 743637272 LFHOCGGVYGTQDU-UHFFFAOYSA-N 401.422 4.767 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751249355 SGPBPFJDWWRLRS-CCHVVGMOSA-N 401.356 4.926 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 751644075 PCINFKILXZGPQX-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754980893 ALUVEYNENHJFSG-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CCOc1cc(COC(=O)Cc2csc(-c3cccc(F)c3)n2)ccc1[N+](=O)[O-] 755511951 XADNQZUZSBDBEG-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764238679 YUKUGASKTHAVPB-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=[N+]([O-])c1cc(CNc2cccc(CS(=O)(=O)c3ccccc3)c2)ccc1Cl 764615980 PBEBJUOUJNOVMU-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD Cc1cc(NC(=O)COc2ccc(C3SCCCS3)cc2)ccc1[N+](=O)[O-] 777128935 IWPLPQXOOAFCBD-UHFFFAOYSA-N 404.513 4.789 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cc(Cl)ccc1[N+](=O)[O-] 778006965 ZICWOAZOYRNRJA-LLVKDONJSA-N 414.676 4.564 5 20 HJBD C[C@H]1C[C@@H](C)C[C@H](C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 780233541 FNCWYFFUGVJVFL-QNWHQSFQSA-N 416.396 4.556 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 781184478 WFEDNDJTGGFOEX-UHFFFAOYSA-N 422.224 4.739 5 20 HJBD O=C(Nc1ccc2nc(S)oc2c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 787438018 WYDOMHLAPMOAAX-UHFFFAOYSA-N 421.434 4.856 5 20 HJBD CC[C@@H]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796323128 DTYOUUXHHUNZAP-SCRDCRAPSA-N 416.396 4.700 5 20 HJBD O=C(OCC(=O)c1ccc(Cl)cc1Cl)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 798278350 OBBHVECSRAHXFJ-UHFFFAOYSA-N 423.252 4.542 5 20 HJBD C[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H](O)c1ccccc1 808832580 QGKQNVJNNGYVHC-BTYIYWSLSA-N 407.426 4.631 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 809993363 JGOBCQNEOXCSCM-UHFFFAOYSA-N 423.391 4.779 5 20 HJBD Cc1ccsc1[C@H]1C[C@@H]1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220863 RYZGLTRJQKQROP-HOTGVXAUSA-N 400.481 4.935 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@@H]2CCCN2Cc2ccccc21 812920032 CRWLVDUTLONJLU-AWEZNQCLSA-N 406.269 4.526 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813881212 JZSYUWWVSIAFCE-IAGOWNOFSA-N 403.523 4.876 5 20 HJBD O=C1/C(=C\c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC(=S)N1Cc1ccccc1 817937252 XKIVWUPPVOVPCK-LDADJPATSA-N 421.503 4.581 5 20 HJBD COc1ccc(-c2cnc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])n2C)cc1 819421757 MXRIEQMEHKUGFP-UHFFFAOYSA-N 421.240 4.563 5 20 HJBD COCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)cc1 819575951 YPHSEQHIFPILBK-UHFFFAOYSA-N 424.409 4.631 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(C(F)(F)F)c2)no1 877032621 MMIICQOKTKKICV-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c2C(C)C)cc1 914721932 USFAUIGFOSQCET-UHFFFAOYSA-N 400.385 4.743 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2nonc12)c1ccc(NC(=O)c2ccc(F)cc2)cc1 917391394 SZSLVIBPKUPESU-LBPRGKRZSA-N 421.388 4.696 5 20 HJBD CCn1c2ccccc2c2cc(CN(C)C(=O)c3cc([N+](=O)[O-])c(F)cc3N)ccc21 1256679771 KHOCBYFKDUERQQ-UHFFFAOYSA-N 420.444 4.716 5 20 HJBD O=C(Nc1ccccc1N1CCc2ccccc21)N1Cc2ccc([N+](=O)[O-])cc2C1 1318751808 IITXYPPRFJJOKR-UHFFFAOYSA-N 400.438 4.837 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNc1ncnc2c(Cl)cc([N+](=O)[O-])cc12 1327269623 SDFRUUUYMCVLMG-UHFFFAOYSA-N 408.849 4.606 5 20 HJBD COc1cc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 1328243830 VLXDNSPWRSSHNO-JTQLQIEISA-N 420.219 4.556 5 20 HJBD CC(C)(Oc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 1347066699 KNPZZHARIXJIRS-UHFFFAOYSA-N 418.247 4.645 5 20 HJBD Cc1sc2ncnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2c1C 3563255 RYRFNUKERGTWTD-UHFFFAOYSA-N 408.892 4.601 5 20 HJBD C[C@H](OC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 7628962 KDCVCCQKZYSWRP-HTAPYJJXSA-N 418.449 4.760 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 9899896 DSIVXKXSDNGZQK-HXUWFJFHSA-N 411.458 4.889 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)nc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17673206 ZRVNPOSNNDHKOL-LLVKDONJSA-N 424.800 4.764 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(=O)c1ccc(C)c([N+](=O)[O-])c1 18004247 VBECECDUCHARSM-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc(Cl)c([N+](=O)[O-])c1 72061921 QSDDCVGWQDMDQB-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc([N+](=O)[O-])cc1Cl 109908784 SLLJXSMFHYCFCS-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC(C)Oc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cn1 236791696 OFFUCWKWWPVEDB-UHFFFAOYSA-N 401.850 4.980 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 248015117 KBTZCDKCIQLFOK-UHFFFAOYSA-N 410.336 4.637 5 20 HJBD Cc1cccc(-c2nnc(Sc3ncc(C)cc3[N+](=O)[O-])n2Cc2ccco2)c1 301430761 IPHZUSXHDMPUBH-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD Cc1ccccc1-c1nc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)n[nH]2)cs1 303158346 HEENBSTXDVBPOM-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD COc1cc(C(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)ccc1[N+](=O)[O-] 410024806 GEFZWQJUZXQPPX-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](C(=O)O)C2(C)CCCCC2)cc1[N+](=O)[O-] 426725787 DXVKANGRUXZYLR-NRFANRHFSA-N 411.502 4.876 5 20 HJBD O=C(c1ccc(Br)c(C(F)(F)F)c1)N1CCc2c1cccc2[N+](=O)[O-] 426869987 YCUILMUEFZKJSN-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(NC(=O)C2CCCCC2)c1 427466463 MANZECQLLSHYBJ-UHFFFAOYSA-N 420.469 4.707 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 428395462 ZGGZHQHTIRXBBZ-CQSZACIVSA-N 419.934 4.532 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1ccc(Br)cc1[N+](=O)[O-] 430929347 WBGFXFGKBFFGNQ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(CCc2ccccn2)Cc2cccnc2)cc1[N+](=O)[O-] 431316348 CMGHFNKAPGZZJA-UHFFFAOYSA-N 418.497 4.567 5 20 HJBD COc1ccccc1-c1nc(C2CCN(c3c(Cl)cccc3[N+](=O)[O-])CC2)no1 432177338 IQXJTTSVGATSHA-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD C[C@@H](Nc1ccccc1NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])c1ccccc1 443528519 JGMKJSXRELEWLX-CQSZACIVSA-N 415.446 4.708 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2ccccc2Cl)C1 443650810 DDPBPFPPMVDGSO-CZUORRHYSA-N 414.849 4.513 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Cc1cccs1 444082840 BCONGHXUHUGAKE-GFCCVEGCSA-N 412.461 4.630 5 20 HJBD O=C(Nc1nc(-c2cccs2)ns1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444770095 KPYBXCIJGMSQAH-UHFFFAOYSA-N 404.476 4.514 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccc(NC(=O)c2ccc(F)cc2)c1 446497598 YUVXPANYEHLEHG-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CC)C[C@@H]1CCN(Cc2ccccc2)C1 462608351 WCUWXTQKAASRSN-DHIUTWEWSA-N 423.557 4.534 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 462635829 BXRZPKUFSNAPDT-MQMHXKEQSA-N 414.259 4.515 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cccc(CN3CC[C@H](O)C3)c2)s1 462853602 HRWLGGJZRSAHCD-SFHVURJKSA-N 409.511 4.502 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccccc1CCC(F)(F)F 464786143 VAXFZMOCIKCZCA-LBPRGKRZSA-N 411.380 4.537 5 20 HJBD C[C@@H]1OCC[C@@]1(C)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466236269 LNDJDWYUWMBOGR-HXPMCKFVSA-N 406.891 4.697 5 20 HJBD Cc1cc(C)c(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c(Br)c1 467462436 ZEVODGPMPNHSDP-UHFFFAOYSA-N 412.671 4.949 5 20 HJBD COc1ccc(CNC(=O)c2cc(SC)c(Cl)cc2Cl)cc1[N+](=O)[O-] 471051500 ARCFMJGUTHHJQN-UHFFFAOYSA-N 401.271 4.562 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 480999409 PIVSUSSJLUCEOB-HZPDHXFCSA-N 406.442 4.904 5 20 HJBD Cc1c([C@@H](C)NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)cccc1[N+](=O)[O-] 482024462 FPUITJGRNAAQLY-GOSISDBHSA-N 420.513 4.873 5 20 HJBD CCCCn1ncc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1C(C)C 482745531 MYGKSAUXTDZCFV-UHFFFAOYSA-N 416.525 4.913 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)NCc1cccc([N+](=O)[O-])c1C 483859400 NCVAJPSDVVHQKT-INIZCTEOSA-N 424.501 4.548 5 20 HJBD CCC[C@H](NCC1(N[C@H](C)c2ccccc2)CCOCC1)c1cccc([N+](=O)[O-])c1 484183521 ZNFAKFWSJWMMFX-XXBNENTESA-N 411.546 4.926 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 486183255 FSPIWCSLDYHQCA-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD CCNc1ccc(C(=O)Nc2cnn(-c3ccccc3Cl)c2CC)cc1[N+](=O)[O-] 486393171 HYPKIAPGQKVKKQ-UHFFFAOYSA-N 413.865 4.680 5 20 HJBD O=C(c1cc(F)cc(C(F)(F)F)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498153229 NJKPDRXFNNWRPB-INIZCTEOSA-N 400.353 4.641 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])CCC2 498467668 GLGNJIKJHOKHNW-HNNXBMFYSA-N 422.938 4.529 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccccc2)c1 500287016 KRPXDTRRABSYJO-OAHLLOKOSA-N 421.478 4.966 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2C)C2CC2)c([N+](=O)[O-])cc1OCC(F)F 505480295 NJSDUCNDSUOFKZ-OAQYLSRUSA-N 406.429 4.797 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])c(OC)c1 508768200 GAMQKXQYWCMQTM-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 513111509 GUFYMLJCBUUETN-QHCPKHFHSA-N 417.465 4.803 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](COc2ccccc2Cl)C1 513879291 BHCWDAWGQVCKOV-HNNXBMFYSA-N 420.918 4.658 5 20 HJBD COCCN(C(=O)c1ccccc1Cl)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514472136 SGIQBEOGYSESNQ-UHFFFAOYSA-N 417.874 4.665 5 20 HJBD Cc1ccc(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c(Cl)c1 515107639 MSKQFVMRHHWFNS-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD Cc1ncc2c(n1)CC[C@@H](NCc1ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc1)C2 517538888 RQNFZEPGIONQPT-GOSISDBHSA-N 424.888 4.786 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)CNc3ccccc3[N+](=O)[O-])s2)cc1 518612724 HNFIYJUASPBEDU-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD C[C@H](NC(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cccc([N+](=O)[O-])c1 519910799 QZVXZNJFFGVHIF-SGTLLEGYSA-N 405.401 4.634 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 521829319 ZFBPUEGDLKRCKY-UHFFFAOYSA-N 413.861 4.935 5 20 HJBD COc1ccc(CNC(=O)NC(C)(C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 523619785 SFJDXWNQCDVNNO-UHFFFAOYSA-N 412.273 4.645 5 20 HJBD CS[C@@H]1CCC[C@@H](NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 524209048 XIIJIHBJKXATDU-DJIMGWMZSA-N 414.531 4.567 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 530912558 KCVUTIYBMORVLC-UHFFFAOYSA-N 409.486 4.679 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1-c1nc(C2CCCC2)no1 530993537 RUYBKGOMHZHWJF-UHFFFAOYSA-N 415.837 4.547 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(OCC(F)(F)F)cc1 535671032 QLCBBPDVBPXPHD-NSHDSACASA-N 407.348 4.508 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2ccccc2)nc1 535715178 KNTUOQJIZQTNIH-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 535897688 GOROVSHAQZQSIQ-LLVKDONJSA-N 417.940 4.518 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(COc4ccccc4)cc3)n2)c1 537993175 JGHXKNAMBFELJD-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1 538813680 ZZJFZTCYZABBAJ-NDEPHWFRSA-N 402.516 4.820 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 542589436 BGCRKFMGBSGPHM-CABCVRRESA-N 410.499 4.705 5 20 HJBD O=C(NC1(c2ccc(C(F)(F)F)cc2)CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 542804401 NPNTXIJILRQERR-UHFFFAOYSA-N 422.359 4.542 5 20 HJBD Cc1nc(-c2ccc(Br)cc2)sc1[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 545474673 ATPZIJYAPOMOHQ-SNVBAGLBSA-N 420.292 4.752 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 546328082 HCBNNYSMSSTMOT-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 546520503 SKGPYVVQUIAQDN-AWEZNQCLSA-N 424.638 4.797 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2-n2cccc2)cc1SC 546756106 MQTBLWNQSQKJRA-UHFFFAOYSA-N 401.419 4.507 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3csc(-c4ccccc4)n3)no2)cc1 546940653 WOOIWCYOQBFDMZ-UHFFFAOYSA-N 410.480 4.984 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4cccc(SC)c4[N+](=O)[O-])n3)co2)cc1 548270156 GPZBFBCBTDYUHT-UHFFFAOYSA-N 424.438 4.621 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1cc(OC)ccc1Br 558513745 UUKMJTXBSWPKQE-UHFFFAOYSA-N 422.279 4.592 5 20 HJBD CC(C)N1C[C@H](c2noc(-c3ccccc3Sc3ccccc3[N+](=O)[O-])n2)CC1=O 560046892 BEUNOHYOFBVYGV-CQSZACIVSA-N 424.482 4.520 5 20 HJBD CC(C)C(C)(C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 560111694 XWJXVGQLIITBFM-UHFFFAOYSA-N 406.486 4.541 5 20 HJBD CSc1cccc(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 582185389 JXGYOIXZJNNUOD-MRXNPFEDSA-N 409.389 4.831 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)nc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590162715 IVZIEQCVRNPQPL-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1cc(C(=O)N(Cc2cc(Br)ccc2F)C(C)C)ccc1[N+](=O)[O-] 603526421 KIQUEWQZDSRENC-UHFFFAOYSA-N 409.255 4.856 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)cc1)c1ccccc1 608985245 NQRBSGILGNETDB-HNNXBMFYSA-N 419.437 4.791 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCc1ccccc1COCc1ccccc1 609783875 JZWPPOOGCRDQEX-UHFFFAOYSA-N 424.884 4.824 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 610175698 DFHAOKQJSFTDSH-UHFFFAOYSA-N 408.863 4.772 5 20 HJBD C[S@](=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 610910309 FBAYLSVXFDORTJ-NDEPHWFRSA-N 400.431 4.876 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC[C@@H](CCO)C1 613517148 XOORXOMKVNHUPZ-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD CN(CC(C)(C)C)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 726950080 HXAONQIXQQISDP-UHFFFAOYSA-N 403.866 4.619 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 727399282 ODRBGZLDJQQKKM-JEUDQZORSA-N 407.253 4.515 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 734351481 XJLSOQHJYUPSMB-HXUWFJFHSA-N 423.494 4.616 5 20 HJBD Cc1nc(CCC(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)oc1-c1ccccc1 735904440 NTIQVTJSZQXXDO-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD CN(C)CC1CCN(c2ccc(Nc3ccc4ncccc4c3[N+](=O)[O-])cc2)CC1 737414155 UBAYIXIQLTWHEH-UHFFFAOYSA-N 405.502 4.665 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 742630265 URRPPFARGPMOEN-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNC2CCN(c3nc4ccccc4s3)CC2)c1 748820418 ZHKWENKRRZOHSE-UHFFFAOYSA-N 402.907 4.617 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCCC[C@H]1c1nc(-c2ccccc2)no1 750188766 ZLRMCOXFLJBVJK-INIZCTEOSA-N 412.833 4.666 5 20 HJBD O=C(Oc1cccc(Oc2ncccn2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 751188738 AKPSTOKDPHCQOI-UHFFFAOYSA-N 420.406 4.520 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(Cl)nc2C)c([N+](=O)[O-])cc1OCCC 752772792 LFEYPECJXXORKK-UHFFFAOYSA-N 407.854 4.782 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)cc1[N+](=O)[O-] 754242618 HWKYRNICWSLCOF-UHFFFAOYSA-N 414.462 4.934 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@H](O)c2ccccc2)c1 754957465 RWEAZADTIJYFPA-NRFANRHFSA-N 406.438 4.522 5 20 HJBD CCOC(=O)/C(=C/c1ccc([N+](=O)[O-])c(Cl)c1)c1nccn1Cc1ccccc1 763175477 QXRXZSWXOFOLMG-SFQUDFHCSA-N 411.845 4.597 5 20 HJBD CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 763509346 SHZGNZBSLNWRDH-AWEZNQCLSA-N 408.710 4.902 5 20 HJBD C[C@H](OC(=O)c1cc(Br)ncc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 769839878 QJCFNCWPFHOARI-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@@H]3C[C@@H](O)c3ccco3)o2)c([N+](=O)[O-])c1 770327363 SPQMGKYNNRENPZ-FOIQADDNSA-N 412.442 4.545 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 773254193 ALTZNUIONGPLHC-CRAIPNDOSA-N 407.829 4.507 5 20 HJBD CSc1ccc(O[C@@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133111 GGKIHYTZDJRHDG-BTYIYWSLSA-N 424.478 4.812 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@H]1c1c(F)cccc1F 776133530 MKBKLANQTQEOBI-VWKPWSFCSA-N 410.376 4.704 5 20 HJBD CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1Br)c1cccc(Cl)c1 782168130 YYQBJFKECJMLTH-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccsc1 782835997 HTVRVDMMQBDSOD-FQEVSTJZSA-N 411.483 4.732 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H]2c2cccs2)cc1[N+](=O)[O-] 784258068 SNQJCUBJEXVMRX-WMLDXEAASA-N 416.499 4.689 5 20 HJBD C[C@@H](N(Cc1ccccc1)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)C(C)(C)C 785041742 HYNJCGOTUWHZPH-CQSZACIVSA-N 417.893 4.650 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccnc(Oc2ccc(F)cc2F)c1 790705751 RRIPXDKBMPAIKP-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790741610 OWCNEPOUGWEXLU-UHFFFAOYSA-N 410.810 4.698 5 20 HJBD COc1ccccc1[C@@H](C)N(C)C(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] 794497938 QROHVGPQZPAKCY-LLVKDONJSA-N 413.257 4.509 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 805202441 KKIHXELQTVNUHE-UHFFFAOYSA-N 408.163 4.554 5 20 HJBD C[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@H](O)c1ccccc1 811540059 SUVBHJZVLASFDG-VFNWGFHPSA-N 408.479 4.598 5 20 HJBD CC(C)(CCc1noc(-c2csc(-c3cccc(C(F)(F)F)c3)n2)n1)[N+](=O)[O-] 812815380 KVEOBFBASWAZEW-UHFFFAOYSA-N 412.393 4.867 5 20 HJBD CC(C)c1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1ccc(F)cc1 813245972 RLKXFUZMMAPBRA-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2SCC(F)(F)F)cc1[N+](=O)[O-] 819218220 DHQCVSVOSJOXPW-UHFFFAOYSA-N 415.349 4.718 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 917720098 GJYCUVAZTQFWPO-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n(-c2ccc([N+](=O)[O-])cc2)n1 918559598 PDEKTZFIZHGVTQ-UHFFFAOYSA-N 423.429 4.547 5 20 HJBD Cc1noc(C2CCN(Cc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)CC2)n1 1323290264 ADTHUPNEKAZMLM-UHFFFAOYSA-N 402.838 4.579 5 20 HJBD Cc1ccccc1-c1noc(CSc2nc3cc4ccccc4cc3c(=O)n2C)n1 7169635 KASPIMKWIJPXPN-UHFFFAOYSA-N 414.490 4.737 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(C2SCCS2)cc1 10898924 ORMTYZQJPVKLFF-UHFFFAOYSA-N 408.932 4.999 5 20 HJBD COc1ccc(CN(C)[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 16554753 QXJQDULMVCAEGX-HSZRJFAPSA-N 419.481 4.724 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cc2ccccc2o1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 24876655 QBMKITSXDJGNAL-NRFANRHFSA-N 417.377 4.769 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cccc([N+](=O)[O-])c1C)oc1ccccc12 71963124 VMRPXEMWFCHGMG-UHFFFAOYSA-N 406.394 4.829 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCc4cc(F)cc(F)c43)cc2[N+](=O)[O-])CC1 107827349 XDOHJPVFKOSBLD-UHFFFAOYSA-N 415.440 4.702 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1cccs1 410150507 BYIICWPMZYELEC-SFHVURJKSA-N 401.875 4.899 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccccc1F)C1CC1 426278618 NTRUSXKHEPWKFK-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD C[C@@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)[C@H]1OCCc2sccc21 426457459 GIXHPVDOQKRGRZ-NSPYISDASA-N 410.455 4.529 5 20 HJBD CN(c1ccccc1)c1ccc(CNCC2(CC(=O)O)CCCCC2)cc1[N+](=O)[O-] 426671145 KQONPIXQDREIBH-UHFFFAOYSA-N 411.502 4.878 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1coc(-c2ccc(F)c(F)c2)n1 431223254 QVSAODUSSXTNRR-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)cc2)o1 431652829 XDDAHIJCSDVNJE-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccsc1)c1cccnc1 440734035 SHORURKBWAJMCB-CYBMUJFWSA-N 417.874 4.705 5 20 HJBD CO[C@@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@@H](C)C1 441441934 CHQNRRHKDXFHSE-SUMWQHHRSA-N 404.850 4.680 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@H]1COc2ccccc21 447685635 AJKZJVPQHBOCSD-MWLCHTKSSA-N 403.307 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2c3cc(Br)ccc3SC[C@H]2C)c1 460164205 CQCQWISVSMUBOB-PIGZYNQJSA-N 421.316 4.879 5 20 HJBD Cc1ccnc(Oc2cc(NS(=O)(=O)c3ccc(C)cc3[N+](=O)[O-])ccc2C)c1 460825789 XEHWNGRCVIAPEZ-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 461336492 XCHNWSILJGAAOJ-CXAGYDPISA-N 406.841 4.766 5 20 HJBD O=C1c2cc(Cl)ccc2N[C@@H](/C=C/c2ccccc2[N+](=O)[O-])N1c1ccncc1 463013716 SWHNAQWMFLEGIF-MUPIXQSSSA-N 406.829 4.755 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 463183899 QVBPGVDYXYJZDS-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1C1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466279506 SITYWHFWRUSJHH-VQIMIIECSA-N 418.902 4.697 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@@H]1CCCOC1 466549947 GTDHMNKPMUAFLH-UONOGXRCSA-N 420.918 4.944 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 470117036 YAGZMABPCCCUCD-INIZCTEOSA-N 403.801 4.633 5 20 HJBD COc1cccc(OC)c1OC1CCN(C/C(C)=C\c2cccc([N+](=O)[O-])c2)CC1 470175323 PZQFUGPJLIRUGU-VKAVYKQESA-N 412.486 4.559 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(-c2nc(C3CC3)no2)c1 471635084 MVADXUKLUSBDND-UHFFFAOYSA-N 419.441 4.657 5 20 HJBD O=C(Nc1cc(F)cc(NCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 473260787 RXWUSXDHRVWYIT-UHFFFAOYSA-N 423.269 4.562 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2ccc3cc[nH]c3c2)cc1[N+](=O)[O-])c1ccccn1 473571166 QRCGWOQJIASVBX-OAHLLOKOSA-N 415.453 4.921 5 20 HJBD CN(C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc2cc[nH]c2c1 473582583 LXFUHXHGMSNKKH-UHFFFAOYSA-N 403.388 4.717 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 476928932 CKPSJSJNZQRVRS-UHFFFAOYSA-N 408.414 4.587 5 20 HJBD CC(C)c1c(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482717798 MDGAFPGLQNFIAM-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1-c1ncco1 483388641 OQZBYEBRFAKEHH-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc2ncccc12 484892195 JEKYHENUCGLVMT-OAHLLOKOSA-N 419.416 4.716 5 20 HJBD CCSc1cccc(F)c1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 484921121 OMGZVKSQCRELRW-UHFFFAOYSA-N 403.479 4.701 5 20 HJBD O=C(c1cnc(Cc2ccc(F)cc2)s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486116409 FDBSRFHPYRLRBB-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD Cc1[nH]nc(C(=O)N(C)C(c2ccc(Cl)cc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 488231038 KVIBAWZLYJUCNT-UHFFFAOYSA-N 419.268 4.795 5 20 HJBD C[C@@H]1CSCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 489549236 HLKOGLXADMOQDC-AWEZNQCLSA-N 419.934 4.685 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 496590347 GPBMQTHJKDBFGX-DEOSSOPVSA-N 403.482 4.733 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@H]2CC(=O)c2ccco2)c([N+](=O)[O-])c1 498852373 UHXCALINRSNKJA-SFHVURJKSA-N 404.422 4.661 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@H]1CCc2ccccc21 500010175 SZEBRKMSOMNSQC-INIZCTEOSA-N 420.412 4.745 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@H](C)CC(=O)Nc1ccccc1 500642178 KQLRLOAVSDRCOM-OAHLLOKOSA-N 409.442 4.611 5 20 HJBD Cc1c(NC(=O)NC[C@H](c2cccc(Cl)c2)N2CCCC2)cccc1[N+](=O)[O-] 501035000 FPSABYMHOYYMDU-LJQANCHMSA-N 402.882 4.515 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 503130839 KMVUHGYKKKVLCU-QGZVFWFLSA-N 402.472 4.517 5 20 HJBD CC[C@H](C)n1c(SCC(=O)Nc2ccc([N+](=O)[O-])cc2)nnc1-c1ccccc1 504322741 XMBYVHLKANZGMB-AWEZNQCLSA-N 411.487 4.555 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@H]1CCN(c2ccccc2OC)C1 504912540 XHKPCJPDAFPYHJ-QZTJIDSGSA-N 415.559 4.590 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(C(F)(F)F)cc1 507588075 UHLYKRCBMIBWIQ-SNVBAGLBSA-N 405.419 4.870 5 20 HJBD C[C@H](C(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1cccc(C(=O)c2ccccc2)c1 512996528 CLTDXEVCVCHCDJ-SFHVURJKSA-N 416.477 4.630 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](C)C(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 515829989 XMBHTNAGYAQATL-KRWDZBQOSA-N 419.481 4.623 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)Cc1ccccc1[N+](=O)[O-] 515986419 KOOZKKJKJFFHND-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cccc(OC(F)F)c3)cc2[N+](=O)[O-])CC1 516493941 QLEZKLDYCPYUEB-UHFFFAOYSA-N 405.401 4.685 5 20 HJBD CCc1sc(NC(=O)c2cc(OC)ccc2[N+](=O)[O-])nc1-c1ccc(OC)cc1 517097884 WVVATADKIBKLEV-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD Cc1cccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)c1[N+](=O)[O-] 517101968 IGUPAOZEHIYNHA-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)c(C)c2)s1 518791319 KJBBKAHOFRDXSE-UHFFFAOYSA-N 404.448 4.606 5 20 HJBD C[C@@H]1CN(c2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cn2)C[C@@H](C)O1 530309379 SJQNXFFIRHVPQQ-IAGOWNOFSA-N 412.493 4.649 5 20 HJBD CC(C)CCN1CCC(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 530953206 SYXPQVZFCRCKGC-UHFFFAOYSA-N 402.564 4.566 5 20 HJBD CC[C@@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])Oc1ccccc1C(F)(F)F 531002046 IDLLHQLSUPKRHC-NSHDSACASA-N 422.363 4.532 5 20 HJBD Cc1cc(C)c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c(Br)c1 536246162 XQHINACQHJKVPO-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc2c(cnn2C(C)C)c1 536533170 MUQHAGZDXCHILQ-UHFFFAOYSA-N 413.503 4.921 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1F 542762849 ZLYMORZMYVNWTI-QWHCGFSZSA-N 415.396 4.524 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2ccc(Br)cc2C1 556476897 NTCKXMCLXDGDJH-OAHLLOKOSA-N 417.303 4.511 5 20 HJBD C[C@@H](NC(=O)N(C)[C@H](C)Cc1ccccc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 557807082 BLHASPRUJMWZKE-ZIAGYGMSSA-N 409.408 4.947 5 20 HJBD C[C@H](C(=O)NC(C)(C)Cc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 574894473 ZGBANJIJOWLLJT-ZDUSSCGKSA-N 405.292 4.598 5 20 HJBD COc1c(-c2nc(-c3ccc(CN4CCC(C)CC4)cc3)no2)cccc1[N+](=O)[O-] 576430302 VBLPYIZMMJFTGW-UHFFFAOYSA-N 408.458 4.552 5 20 HJBD O=C(NC1CC1)c1ccc(NCc2ccc(COc3ccccc3)cc2)c([N+](=O)[O-])c1 603855847 YGJAPAPGOVXPCR-UHFFFAOYSA-N 417.465 4.678 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2c2ccccc2OC)cc1SC 609262951 BTYWFJFWPFDFFQ-INIZCTEOSA-N 416.499 4.701 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC(C)(C)OCC3(C)C)cc2[N+](=O)[O-])n1 609646529 SPHLWKFBOGXONY-UHFFFAOYSA-N 421.544 4.541 5 20 HJBD Cc1ccc(C(=O)N(CC(F)(F)F)c2ccc(Br)cc2)cc1[N+](=O)[O-] 609832285 SVJRBAGMOCCBAJ-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1 609864381 CEBPHNRAOVTDPN-UHFFFAOYSA-N 422.281 4.736 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4ncc[nH]4)c3)cc2[N+](=O)[O-])C1 609875912 IPZYIVCRQZMOBE-IYBDPMFKSA-N 419.485 4.720 5 20 HJBD C[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 610068166 AROPHPVPCYKPPI-ZDUSSCGKSA-N 415.833 4.550 5 20 HJBD C[C@H](Cc1ccc(O)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611213446 ZRMARSHNDJZQMY-CQSZACIVSA-N 400.500 4.696 5 20 HJBD CN(C(=O)Cc1c[nH]c2ccc(F)cc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400804 ZSHYEKFKBSDLST-UHFFFAOYSA-N 410.430 4.544 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCCN(c3nc4ccccc4s3)C2)n1 612935438 NANLJDFYZPTWKN-CQSZACIVSA-N 421.482 4.947 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2SCC(F)(F)F)c1 619583068 VWDBNJNZYQVIAH-UHFFFAOYSA-N 414.405 4.965 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(Sc3ccc(C)cc3)ns2)c1 619829948 OGIDLWNKCBLAKM-UHFFFAOYSA-N 416.484 4.557 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)n1 725745800 HDNDSIHFDLFPHG-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@@H](c1ccccc1)c1ccc(OC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)cc1 728852999 UBVKGIKCOCULQS-INIZCTEOSA-N 414.421 4.547 5 20 HJBD O=[N+]([O-])c1ccc2nc(Nc3ccc(N4CCc5sccc5C4)nc3)sc2c1 731208355 CMNGEYLHDUTRBV-UHFFFAOYSA-N 409.496 4.967 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C2SCCS2)cc1 731578411 IGRIPNBEAQQWPL-UHFFFAOYSA-N 412.496 4.511 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2F)cc1 733109336 IGHFYSWIQHOHDA-QFIPXVFZSA-N 407.445 4.943 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CC/C=C/c1ccc(Br)cc1 735233302 QJHGLURZUWRORB-DUXPYHPUSA-N 415.085 4.728 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 735800913 RCILXHAYUPHTNF-UHFFFAOYSA-N 408.483 4.961 5 20 HJBD CN(C)C(=O)Nc1cccc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 740538119 DYTZYXPFFBRGHU-UHFFFAOYSA-N 405.410 4.575 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 742097157 KHFUORZLWOSELX-UHFFFAOYSA-N 404.444 4.640 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cc1F 745292063 VAPHRZVWWSUTSM-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])co2)cc1 745568007 YLNQYPHHNDQXJK-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CCc1ccc([C@@H](NCc2nc3cc(F)ccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 753679682 NKSQKXIVXHRFFJ-QFIPXVFZSA-N 408.433 4.655 5 20 HJBD Cc1c(C(=O)N2CC(C)(C)Sc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 755624927 YTXZWCBXANVHCO-UHFFFAOYSA-N 401.444 4.651 5 20 HJBD COc1ccc(C(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 757024547 IUUVNKOSRPSHEI-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD O=C(O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(C2SCCS2)cc1 759949576 QLFDZUKYLYCSKU-HOTGVXAUSA-N 419.528 4.611 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764226235 VTMAPUJYLXAWKB-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CNc1c(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cccc1[N+](=O)[O-] 765433269 ZCFLELNCANJHRT-UHFFFAOYSA-N 408.483 4.513 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C 765524078 IBCCIWUBPCNQGZ-PKOBYXMFSA-N 412.486 4.659 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC([C@@H](O)c4ccccc4)CC3)cs2)c1 768235258 ZINDWNUQGCLLNR-NRFANRHFSA-N 409.511 4.664 5 20 HJBD Cc1ccc(Nc2nnc(SC[C@H](O)c3ccc([N+](=O)[O-])cc3)s2)cc1C 776270961 GQIXSGBMWQYIEH-INIZCTEOSA-N 402.501 4.632 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-])OCc1ccccc1 781687959 JBVXSDPUQDJJLU-UHFFFAOYSA-N 424.384 4.840 5 20 HJBD CC(C)(NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccc(C(F)(F)F)c1 782487935 RMBDXEISTFSCTE-UHFFFAOYSA-N 413.371 4.606 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 785806264 SSBIRMRNMKJSID-UAFMIMERSA-N 401.384 4.787 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 792593486 HVRMGYWKVAHQMB-OAQYLSRUSA-N 415.405 4.613 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(-c2ccc3c(c2)OCCO3)s1 800148453 LUPVNKZDWJFJGP-UHFFFAOYSA-N 414.414 4.794 5 20 HJBD O=[N+]([O-])c1cc(C(F)F)ccc1N1CCO[C@@H](c2ccc(Br)cc2)C1 809350245 QBDKOXXUGFUIIH-MRXNPFEDSA-N 413.218 4.873 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC([C@@H](O)c2ccc(F)cc2)CC1 809943847 DSESPFMHZDEDOE-IBGZPJMESA-N 413.276 4.986 5 20 HJBD Cn1cc([C@H](NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccc(F)cc2)cn1 809981064 YGHKWEGXGWNLJI-QGZVFWFLSA-N 409.248 4.653 5 20 HJBD CC(C)Cc1nc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 811216596 LQGLYWKAQHGBLU-UHFFFAOYSA-N 403.485 4.730 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)c12 812814695 MTXGPHJQXRPUJB-UHFFFAOYSA-N 411.418 4.644 5 20 HJBD O=C(NCc1cc(Br)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812917886 JHHMMOZDCHNOLI-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c(C)c1 815406731 SQGFHGKMQQZKPZ-AWEZNQCLSA-N 404.850 4.666 5 20 HJBD Cc1ccc(OC(=O)C2CCN(c3noc4ccc(Cl)cc34)CC2)c([N+](=O)[O-])c1 815912586 QRULXJWNVXDZKQ-UHFFFAOYSA-N 415.833 4.520 5 20 HJBD Cc1c(Br)cc(-c2nc(-c3nccc4ccccc34)no2)cc1[N+](=O)[O-] 904594972 UUDLJEWWWPBZRD-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=C(/C=C\c1cccc(F)c1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 909929464 HNFSNWGZSHRXJV-GHXNOFRVSA-N 406.369 4.605 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1COc1ccc(Cl)cc1 915308211 ULXKNVICMODNPL-AWEZNQCLSA-N 410.257 4.977 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cc(F)ccc2F)cc1[N+](=O)[O-])c1ccccn1 920546561 ZVQGDYHHOMERGG-CYBMUJFWSA-N 413.380 4.798 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C)c2c(C)c(C)sc2n1 5428724 DGPRMFUCXKIRHT-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD Cc1ccc(-c2n[nH]c(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n2)cc1 7113675 DLAMOZPFOCCFGG-LBPRGKRZSA-N 408.443 4.592 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1C 10082581 NRNQBKJZSDMDEH-CYBMUJFWSA-N 401.513 4.791 5 20 HJBD O=C(CCCc1nc2ccccc2c(=O)[nH]1)OCc1nc2ccccc2c2ccccc12 10394829 QJNNLBSYQAUVIW-UHFFFAOYSA-N 423.472 4.691 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1Cl)c1ccccc1)Nc1cccc([N+](=O)[O-])c1 19009055 MALAUDZNDFYHPK-FQEVSTJZSA-N 423.856 4.748 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(C(F)(F)F)c2)cc1OC 19358350 BFJYQHZIUMRSAD-LLVKDONJSA-N 412.364 4.512 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)CCSc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 23263785 VKNPGKVXPHMJBV-ZDUSSCGKSA-N 422.890 4.609 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(-c4ccc[nH]4)cs3)cc2[N+](=O)[O-])CC1 29352064 VTWGKMZXILYPCS-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)c1 31809926 WWAOFWRJNOPVIW-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccco1)c1ccc(F)cc1F 59785295 IORSVRYLWQDOCD-UHFFFAOYSA-N 404.394 4.792 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 108695903 ZEGCVKIAMONFAX-UHFFFAOYSA-N 416.481 4.715 5 20 HJBD O=C(CSc1nnc(-c2c[nH]c3ccccc23)n1C1CC1)c1cccc([N+](=O)[O-])c1 216816801 ADSCJDKLQGBUIU-UHFFFAOYSA-N 419.466 4.645 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(c1ncc([N+](=O)[O-])cc1Cl)C2 301256311 DLLGCZCLLXQHQZ-UHFFFAOYSA-N 423.860 4.850 5 20 HJBD CCOc1cc(NC(=O)c2csc(-c3cccs3)n2)c([N+](=O)[O-])cc1OCC 302894451 LCNLVGLOKQBPFJ-UHFFFAOYSA-N 419.484 4.830 5 20 HJBD C[C@@H](NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(Cl)c1Cl 303682083 MSLRVXNPCKCCRL-LLVKDONJSA-N 405.241 4.578 5 20 HJBD O=C(Nc1ccc2ncnc(O)c2c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 426426619 IUIAZPLYLMKGGY-UHFFFAOYSA-N 418.434 4.647 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(OCc3ccc(Cl)cc3)c2)c1[N+](=O)[O-] 433751685 OXWVGQOANDSJCM-UHFFFAOYSA-N 414.849 4.926 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1C 435328944 NPERLMWWXFUCFN-UHFFFAOYSA-N 410.243 4.859 5 20 HJBD C[C@H](N(Cc1ccccc1)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)C(C)(C)C 441395374 OEIHSIIDFHAGQP-AWEZNQCLSA-N 417.893 4.650 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1F 444323731 CFZKDJJMUAMAFI-UHFFFAOYSA-N 412.367 4.668 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CSc3ccccc3O2)no1 446014930 BNNYLAUWCAPQSQ-BONVTDFDSA-N 419.846 4.997 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)nc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446834341 HHMHYSAAUFEMEI-UHFFFAOYSA-N 408.389 4.511 5 20 HJBD COc1ccccc1[C@H]1CCN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447674677 FVJOXGKFBAJFCR-NSHDSACASA-N 417.334 4.646 5 20 HJBD O=C(CCCOc1ccc(Cl)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460357563 SYBLVCOGGGBVJH-IBGZPJMESA-N 406.891 4.681 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCC[C@H]1c1cccc(F)c1 462549861 LIPUFBANSAJMGT-AWEZNQCLSA-N 419.261 4.567 5 20 HJBD O=C(N[C@H]1CCO[C@H]1C1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466279504 SITYWHFWRUSJHH-LPHOPBHVSA-N 418.902 4.697 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1CN(Cc1ccc([N+](=O)[O-])cc1)C(C)C 467185411 FJHSDKCNWOFPKM-UHFFFAOYSA-N 423.469 4.837 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 470523679 NGLOVBOTYOBYED-CHWSQXEVSA-N 407.854 4.545 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)Nc3cccnc3)c(Cl)c2)cc1[N+](=O)[O-] 471868148 FZSHLZGZLDKDFF-UHFFFAOYSA-N 424.844 4.710 5 20 HJBD C[C@H](Oc1cccnc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 475084689 ARQFFDUWOOZSOP-INIZCTEOSA-N 418.453 4.720 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Nc2ccc3nc(C4CC4)sc3c2)c([N+](=O)[O-])c1 480519223 PICIYFHBQFAGBB-UHFFFAOYSA-N 419.466 4.790 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(NC(=O)C2CC2)cc1 480617548 DVQMPKCXKJGQQZ-CYBMUJFWSA-N 413.499 4.546 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 485546395 NUGKQHJNECDENY-UHFFFAOYSA-N 415.284 4.653 5 20 HJBD C[C@@H]1CSCCN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 488161185 LABPBWOOPGNIGE-IFXJQAMLSA-N 419.934 4.613 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)(C)CC)cc1 488249076 JNFBCQZTWPYEJU-UHFFFAOYSA-N 415.515 4.878 5 20 HJBD Cc1cc(F)ccc1CN(C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 489497567 MYAVSSRXKRJFAN-UHFFFAOYSA-N 410.395 4.679 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1c(Cl)cccc1[N+](=O)[O-] 497887206 SGSLJHFQBAWSMM-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCc2c(Cl)ccc(F)c21 498182897 NOIDENNTKBDNNT-UHFFFAOYSA-N 400.740 4.582 5 20 HJBD O=C(NCc1ccc(F)cc1F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 498925890 CWTXMYFYRCGJGD-UHFFFAOYSA-N 400.406 4.954 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1SC)OCCO2 502977458 ASLJCFPAIIKCSZ-UHFFFAOYSA-N 420.512 4.842 5 20 HJBD C[C@@H](NC(=O)NC1(c2cccc(Br)c2)CCC1)c1cccc([N+](=O)[O-])c1 503384966 ANAUAYVNVIVLCK-CYBMUJFWSA-N 418.291 4.797 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3ccc(C)c([N+](=O)[O-])c3)c(C)c2)s1 505939081 QFZFNSJKWWTYKI-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnco4)cc3)cc2[N+](=O)[O-])C1 511216855 NFKBEHWICCCCPZ-HZPDHXFCSA-N 420.469 4.984 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C1(Cc2ccc(C(F)(F)F)cc2)CC1 512978512 DNFIEDOBLDOSRA-UHFFFAOYSA-N 406.404 4.637 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2cc(C)ccc2NC(C)=O)cc1OC 513625389 PRYOUJAVUPOFOX-AWEZNQCLSA-N 416.474 4.874 5 20 HJBD Cc1noc(C)c1[C@H](C)CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 513952242 VKGNLQSVXGDRKR-SNVBAGLBSA-N 400.460 4.764 5 20 HJBD O=C(N[C@H](Cc1ccc(Cl)cc1)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 515459769 OTQLBIRDTPXRLI-GOSISDBHSA-N 424.840 4.691 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)c2ccc(Oc3ccccc3)cc2)cc([N+](=O)[O-])c1 519899166 HEJHILYUNFSPMO-UHFFFAOYSA-N 420.421 4.840 5 20 HJBD Cc1c([C@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 522588107 WDVAYUIOZZFVGZ-ZDUSSCGKSA-N 402.834 4.949 5 20 HJBD CCOC(=O)C[C@@H](C)S[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 523712131 FWFWTCQYBVMIDP-QRWLVFNGSA-N 416.499 4.658 5 20 HJBD CCOc1cc(Br)c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OCC 525141732 RXSOJAIYCCPLMX-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD COc1ccc([C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c(OC)c1 532755352 CFBQOYZGDLORIW-FQEVSTJZSA-N 423.469 4.647 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN(C)[C@H](C)c1sc(C(C)C)nc1C 533765833 ILAAABFSVIAPPZ-OAHLLOKOSA-N 404.536 4.813 5 20 HJBD C[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc(-c2ccccc2)cs1 533942472 BJSAOGSXDRYFGB-CYBMUJFWSA-N 406.467 4.620 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1NCCc1nc2ccccc2n1C(F)F 537982240 QFXKBIJIPCXRDE-RDJZCZTQSA-N 414.456 4.883 5 20 HJBD Cn1cc(CNc2ccccc2N2CCCCCC2)c(-c2ccc([N+](=O)[O-])cc2)n1 538821180 XCDMQDGHJCABPD-UHFFFAOYSA-N 405.502 4.988 5 20 HJBD C[C@@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)Cc1ccc(Cl)cc1 539030103 TTZQKLAPTJCNER-MRXNPFEDSA-N 416.905 4.887 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 539358839 GUUJQHCCPVXPFO-IAGOWNOFSA-N 419.481 4.948 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(SC)c(F)c2)cc1SC 543135999 ZWSSAMJLUGRCAI-UHFFFAOYSA-N 400.428 4.578 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)s2)cs1 544462703 JFHOSZOXUAJECB-NSHDSACASA-N 419.503 4.690 5 20 HJBD COc1ccc(Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1OC(F)F 545639749 UNNSTSGULSMHMO-UHFFFAOYSA-N 417.324 4.592 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(Oc4cccnc4)cc3)no2)cc1 545677682 AJNHNDQVOGSMAN-UHFFFAOYSA-N 410.336 4.972 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4ccccc4C(F)(F)F)n3)c2c1 545848799 BZLBLHWUGPZWHG-UHFFFAOYSA-N 402.332 4.660 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 557198657 SZIXTYMMOPCTKL-GFCCVEGCSA-N 420.309 4.765 5 20 HJBD Cc1c(Cc2noc(-c3cccc(C(=O)Nc4ccccc4)c3)n2)cccc1[N+](=O)[O-] 575025510 VLWQCULFJWYZHP-UHFFFAOYSA-N 414.421 4.796 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)ccc1OCC(F)(F)F 603864621 OTARVNZPVSSPKC-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD CCOc1ccc(Br)cc1N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 609076116 LXFCZTNDUCNAHJ-GFCCVEGCSA-N 422.279 4.504 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 609193884 VNXSCRQUBZGHAL-STQMWFEESA-N 414.346 4.945 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ccc(F)c(F)c2)CC1 609194922 REJTUPLNIBVOOV-CYBMUJFWSA-N 414.412 4.864 5 20 HJBD CN1CCC(CCNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 609223319 GJLNPFFPLYVZSM-UHFFFAOYSA-N 403.910 4.862 5 20 HJBD CCOc1cc(NC(=O)CCc2ccnc3ccccc23)c([N+](=O)[O-])cc1OCC 609906350 HTMCDTJCJODXNX-UHFFFAOYSA-N 409.442 4.512 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1 610189318 WEHUCYWOWWAWJN-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD COC[C@@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 610661370 GJIMVNHRJYIYNC-OAHLLOKOSA-N 411.380 4.740 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(OC)cc1)CC(F)(F)F 613175001 RJKNVSIZAKSEPL-KRWDZBQOSA-N 424.419 4.763 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)s1 730190318 TXSHCDCXWJEDII-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])CC2)cc1 730969925 SEKAYSCVMJNCDA-UHFFFAOYSA-N 417.456 4.569 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccnc(F)c1 735459424 ZDHBBHTYGHEXHY-UHFFFAOYSA-N 419.771 4.644 5 20 HJBD CCOC(=O)c1sc2ncnc(Oc3cc([N+](=O)[O-])c(Cl)cc3C)c2c1C 735669208 JIWVLYYVGXIJOQ-UHFFFAOYSA-N 407.835 4.839 5 20 HJBD CCCN(CC)C(=O)c1ccc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 736159329 GJTDJGSFBPQYFI-UHFFFAOYSA-N 403.866 4.681 5 20 HJBD COc1cc(N2CCC(OC(=O)/C=C/c3ccc(Cl)s3)CC2)ccc1[N+](=O)[O-] 739379012 BVJYZTHHFHWMNF-QPJJXVBHSA-N 422.890 4.544 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 742766456 HGMVOTOVPDMIOR-UHFFFAOYSA-N 412.398 4.932 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 745334539 UJXZGQGHZAZBRF-INIZCTEOSA-N 420.421 4.500 5 20 HJBD C[C@H](CCc1ccccc1F)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745576674 JLVPLZHMECVMPJ-ZIAGYGMSSA-N 413.405 4.657 5 20 HJBD Cc1nsc(N2CCCN(C(=O)c3c4ccccc4cc4ccccc34)CC2)n1 746527700 RTVXBDMSFZXTLB-UHFFFAOYSA-N 402.523 4.505 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754992165 WVVIEDSYOMVFQU-UHFFFAOYSA-N 411.227 4.846 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cnc(-c2ccccc2)s1 755371465 VZRAYEIFWUQYIH-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](OC(=O)c1scnc1C1CCCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 759757331 LSLQSIUZBNTIPK-SNVBAGLBSA-N 423.878 4.546 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2c(C)nn(CC(C)C)c2Cl)ccc1[N+](=O)[O-] 760071061 KYEGVRZHAKOGCP-QGZVFWFLSA-N 404.898 4.695 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](CC(C)C)NC(=O)OCc2ccccc2)n1 762072450 TWSHTXASCVCGIA-LJQANCHMSA-N 424.457 4.967 5 20 HJBD C[C@@H](OC(=O)c1nccnc1-c1nc2ccccc2s1)c1ccccc1[N+](=O)[O-] 764597884 ODBCIVCJAHRNTN-GFCCVEGCSA-N 406.423 4.580 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1C[C@H](O)c1ccco1 765591223 RNZOGBBIYQNXAN-NRSPTQNISA-N 414.502 4.651 5 20 HJBD Cc1nc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])sc1Cc1c(F)cccc1F 773531562 ZEYVBKUMEPVLGZ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2cccc(-c3cccnc3)c2)C2CC2)c([N+](=O)[O-])c1 774907321 DNULJPYGZJBZJE-UHFFFAOYSA-N 404.426 4.730 5 20 HJBD CCOc1ccccc1[C@H](CC)N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775088295 UJEWYMFUBYWVQB-DYVFJYSZSA-N 405.882 4.715 5 20 HJBD Cc1cc(COC(=O)COc2ccc(C3SCCCS3)cc2)ccc1[N+](=O)[O-] 781170762 YOYZKNPPRRQBBY-UHFFFAOYSA-N 419.524 4.894 5 20 HJBD C[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cc(Cl)ccc1Cl 782531365 MCAWDDLRXUNXCD-JTQLQIEISA-N 400.237 4.720 5 20 HJBD C[C@H](Cc1nnc(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1)c1ccccc1 789586790 CRGOLHACFURLBV-GFCCVEGCSA-N 400.416 4.525 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1cccc([N+](=O)[O-])c1 800130372 JKQKXFCABUCCMM-JTQLQIEISA-N 402.756 4.932 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3cccnc3OC3CCCC3)no2)c1 809320812 OFJHTTBCVDREHK-UHFFFAOYSA-N 421.457 4.628 5 20 HJBD CON(Cc1ccccc1Cl)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 812427569 YBLHQCKAJNPVKX-UHFFFAOYSA-N 403.847 4.576 5 20 HJBD O=C(OCc1csc(COc2ccc(Cl)cc2)n1)c1cccc([N+](=O)[O-])c1 877033418 ZJWYNFYTENVWIY-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1cc2ccccc2nc1Cl 912756093 VFJKYQQDINMZLR-OVCLIPMQSA-N 414.204 4.794 5 20 HJBD COc1cc(COC(=O)C[C@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC(F)F 917994770 HUIQFYVKLXLEAC-NSHDSACASA-N 413.348 4.581 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 1116087331 FUWIBRFOEAILRO-GFCCVEGCSA-N 418.425 4.964 5 20 HJBD O=C(CCc1nc2ccccc2s1)OCc1ccc(Br)c([N+](=O)[O-])c1 1343884218 UFPYKMVPQBWWJF-UHFFFAOYSA-N 421.272 4.643 5 20 HJBD O=C(COC(=O)c1cccc([N+](=O)[O-])c1)Nc1ccccc1Sc1ccccc1 5691179 ITUAXDFXGVNHFF-UHFFFAOYSA-N 408.435 4.542 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccco2)c(-c2ccco2)s1 6218277 BFEDSAFOWYEROC-UHFFFAOYSA-N 411.395 4.589 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cc1 8007340 GFTPRRYKSPCHKK-UHFFFAOYSA-N 416.412 4.768 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCC2)[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 12104547 CPGOMWUKLMSZKQ-LLVKDONJSA-N 423.926 4.608 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1ccccc1)N(Cc1ccc([N+](=O)[O-])cc1)CC2 15447174 BBVYGPGPPASYSS-DEOSSOPVSA-N 404.466 4.760 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)Nc3ccc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2)cs1 27546084 ZYSRTEKAYJTEEI-GFCCVEGCSA-N 417.512 4.603 5 20 HJBD Cc1c(C(=O)O[C@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)cccc1[N+](=O)[O-] 29466427 UVQPEZZWPOFXGI-LLVKDONJSA-N 421.331 4.890 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)cc2Br)cc([N+](=O)[O-])c1 195690333 JIMCWXZBLLIFPZ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 301196972 LMAPVDGEHDVDKU-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)c1)C1CCCC1 301369314 OVQGOEBCJITCEG-UHFFFAOYSA-N 405.401 4.937 5 20 HJBD O=C(c1csc(-c2cccc(C(F)(F)F)c2)n1)N1CCc2ccc([N+](=O)[O-])cc21 303121413 NBXZSPIFHWKRPH-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD COc1ccc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc2n1 303210235 QZLSCJACUIFVFS-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)NC1CCN(Cc2ccc(Cl)c(Cl)c2)CC1 303343297 LYYZQPGHXUVCSI-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2cc3ccccc3s2)cc1[N+](=O)[O-] 410288991 IEXYBWLLHXEOMO-ZDUSSCGKSA-N 416.524 4.929 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc([N+](=O)[O-])cc1F 410385634 CAHYEALLYNTCIK-SECBINFHSA-N 404.291 4.556 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 426022308 LMIPTNOEYQJZTP-AUUYWEPGSA-N 419.913 4.579 5 20 HJBD Cc1cc(Br)c2c(c1)CN(C(=O)Nc1cc([N+](=O)[O-])ccc1C)CC2 429212498 HKSOBEAHWCNUFV-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD Cc1cc(OCC(=O)Nc2ccccc2CN(C)C2CCCCC2)ccc1[N+](=O)[O-] 430138715 OADWMNQNHNNTQC-UHFFFAOYSA-N 411.502 4.685 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCC[C@H](c1ccccc1)C(F)(F)F 432479582 VYCZIELCYZOJKY-GOSISDBHSA-N 409.408 4.515 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1OC(F)F 436082786 MLUBGJUNBIWFRP-ZDUSSCGKSA-N 408.404 4.774 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 439918447 UPTKZQWECRZHEA-UZLBHIALSA-N 417.437 4.517 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444029373 IQDJSNZYCSBYPN-HOCLYGCPSA-N 418.443 4.978 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444033246 RFQYCAJSYFQLNP-HSZRJFAPSA-N 414.530 4.920 5 20 HJBD CO[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(Cl)cc1 444090700 YXMYVDOWYONERI-IBGZPJMESA-N 424.859 4.591 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccc(F)c1F 444300237 YVTXKDDVDNZVNC-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD C[C@@H]1C[C@@H](c2cccnc2)N(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447691227 PNYMCVWGYOTRGW-PWSUYJOCSA-N 402.323 4.626 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@H]1c1nc2ccccc2s1 460299579 YLVADPDKEJSTFQ-KRWDZBQOSA-N 420.498 4.824 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(OC)ccc1Br 462503416 QAFPGXLTQMCONN-CYBMUJFWSA-N 407.264 4.573 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)[C@@H]2CCCO[C@H]21 462764600 GPNJXALNSGMSHD-IOMROCGXSA-N 400.450 4.819 5 20 HJBD Cc1nn(CCC(=O)N(C)[C@H](C)c2ccc(-c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 464383496 LDGSYKHDLUWEBK-QGZVFWFLSA-N 406.486 4.685 5 20 HJBD O=C(NC[C@H]1CCSC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 468459150 KRULVNXJFGEDLF-GFCCVEGCSA-N 408.932 4.882 5 20 HJBD CCC(C)(C)c1ccc(NC(=O)c2cc(OC)c(OCCOC)cc2[N+](=O)[O-])cc1 468742785 DPYOSOWXAXSCPZ-UHFFFAOYSA-N 416.474 4.569 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 470004924 BCACKQYJURLQJW-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@@H](c3cccc(Cl)c3)c3ccccn3)cc2N1 471721808 YTWBKSOJIHAZGF-NRFANRHFSA-N 408.845 4.729 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)CC1 477378073 HESYIWCXXLWOOM-UHFFFAOYSA-N 424.476 4.533 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)n1 478035755 PNPQEFLTQKMCLE-QGZVFWFLSA-N 424.482 4.632 5 20 HJBD Cc1coc(-c2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2C)n1 478505197 YBTZPILUZZNNBI-UHFFFAOYSA-N 420.469 4.722 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 479291028 YNZBVVPQHLGJAT-KRWDZBQOSA-N 424.881 4.713 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 480063347 PVPMOJZVEHFGFF-UHFFFAOYSA-N 411.409 4.874 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccccc2F)C1)c1sc(Br)cc1[N+](=O)[O-] 482867449 OBJNLWRXWDFGLU-UWVGGRQHSA-N 413.268 4.624 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1OC1CCCC1 483057765 JUAZNNASKYZALA-CQSZACIVSA-N 423.469 4.897 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@H]1c1ccccc1 485349886 JWLVDBGICGWWKT-UWJYYQICSA-N 414.527 4.851 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2cc(C(F)(F)F)nc(-c3ccncc3)n2)C2CC2)cc1 488830337 SUHRCNWWOXVRSO-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2nccc(C)n2)cc1 489902536 AVXMGEKEMLILOQ-UHFFFAOYSA-N 410.455 4.850 5 20 HJBD CCOc1cc(CSc2nnc(NCc3ccccc3)s2)ccc1[N+](=O)[O-] 492132407 PYKKQTGUZWFPDG-UHFFFAOYSA-N 402.501 4.749 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCO1 494429607 LLHWSSQZIKFBML-OAHLLOKOSA-N 417.893 4.548 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccccc1[N+](=O)[O-] 506791510 VTUSQVWFUKIEKM-AWEZNQCLSA-N 409.408 4.853 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCSCc1ccccc1F 509424186 YSEYSLBHVIPSOG-UHFFFAOYSA-N 405.495 4.587 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514429149 KHLABBNEKJXQCL-UHFFFAOYSA-N 423.391 4.779 5 20 HJBD CC1=NN(c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cc2)CC1 515285823 LHDGSKUVCMQJFB-UHFFFAOYSA-N 407.455 4.557 5 20 HJBD Cc1ccc(C2(c3noc(/C=C\c4ccc(F)cc4[N+](=O)[O-])n3)CCOCC2)cc1 519717477 CDMOUWGDEDWSOZ-UITAMQMPSA-N 409.417 4.692 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 520555471 WHVZSCBHIUCFHE-CQSZACIVSA-N 420.469 4.509 5 20 HJBD COc1ccc(-c2csc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 522738675 OEMDNVJVMVECNP-UHFFFAOYSA-N 421.837 4.701 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](C)c1ccc(Oc2ccccc2)cc1 523967148 YSGSRAQPUJWDAN-INIZCTEOSA-N 419.437 4.511 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 535488996 RLFOWYVBIIDPJE-UHFFFAOYSA-N 404.329 4.804 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccccc1OC(C)C 535723576 VAHHTLNFXPNBKP-OAHLLOKOSA-N 418.515 4.612 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1coc(-c2ccccc2Cl)n1 537389641 OBVGEYIFKOKTHA-UHFFFAOYSA-N 409.789 4.546 5 20 HJBD CCS[C@H](C)c1noc(CN(C)Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 537695492 UWRUUZPFVLGOMD-CYBMUJFWSA-N 402.476 4.684 5 20 HJBD Cc1ccc([C@H](C)NCc2csc(COc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 538279043 IOCOOUOMDYUCKY-AWEZNQCLSA-N 401.463 4.929 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 542713300 ACKVRKFBHHNELK-INIZCTEOSA-N 403.801 4.633 5 20 HJBD C[C@@H]1C[C@H](c2cccnc2)N(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)C1 543484844 KREDFGMAGLQZLZ-SJKOYZFVSA-N 413.275 4.888 5 20 HJBD COc1cc(Cc2noc(/C=C\c3csc(Br)c3)n2)ccc1[N+](=O)[O-] 545765640 WFYZOXZMQCQQHY-HYXAFXHYSA-N 422.260 4.572 5 20 HJBD CC[C@@H](Oc1ccccc1Cl)c1nc(Cc2ccc([N+](=O)[O-])c(OC)c2)no1 546484790 FCTUADIZNGACQS-OAHLLOKOSA-N 403.822 4.761 5 20 HJBD O=c1c(Cl)c(NCc2cc3ccccc3s2)cnn1-c1ccc([N+](=O)[O-])cc1 555191595 CFKLJYLHGHUHIW-UHFFFAOYSA-N 412.858 4.621 5 20 HJBD Cn1c(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)nc2cc(F)ccc21 576981351 AOQPMXBMMLLSGP-UHFFFAOYSA-N 410.474 4.681 5 20 HJBD Cc1nc(-c2ccc(C(F)(F)F)cc2)sc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 588984012 DUANCZNVCWTMMO-UHFFFAOYSA-N 422.388 4.717 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccnc1OCc1ccccc1 603817314 WIEUKXKHCVUREQ-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 604076351 VCNAHUNHSUUGSI-CEXWTWQISA-N 424.545 4.633 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccccc2SCc2ccco2)cc([N+](=O)[O-])c1 609884608 PAGKOGIYPVPSPL-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1(c2cccc(C(F)(F)F)c2)CC1 610047122 JAIJSPGJZBUYPC-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n[nH]1 617119745 HBDWXXMAWGHTRR-CHWSQXEVSA-N 417.535 4.752 5 20 HJBD O=C(O)CCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 617746214 CSTAJXIBIRYLBK-UHFFFAOYSA-N 414.483 4.899 5 20 HJBD Cc1c(C(=O)Nc2cc(F)ccc2N2CCCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 726925883 UQXFKIOXALVQFP-UHFFFAOYSA-N 416.409 4.502 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1Cl 727574069 GCJDPNGFVCURKW-NYHXXUGCSA-N 402.834 4.530 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cs2)cc1 727710305 ONJWECVFFHKMLG-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 727711213 FOPBEGMKWKFRPQ-HXUWFJFHSA-N 422.824 4.955 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NCc1cccc(NC(=O)Nc2ccccc2)c1 728520131 DZOFJNRZTOOYPU-SDNWHVSQSA-N 416.437 4.568 5 20 HJBD O=C(NC[C@@H](O)c1ccc(Cl)cc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 732302756 UBCXHYMKFFNMOW-CQSZACIVSA-N 411.266 4.580 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)no1 735800594 LYOPNVIHMIKAFY-UHFFFAOYSA-N 419.846 4.589 5 20 HJBD Cc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2nc(C)cs2)n1 736741159 ARPWIVUQAFVVIL-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD Cc1ccc(CCCCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 746605215 FWJMYLLQTFYEOC-MRXNPFEDSA-N 409.442 4.970 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(N3CCCCC3)cc2)cc1[N+](=O)[O-] 751921086 DTVWAPNAMBZWDB-MSOLQXFVSA-N 410.518 4.571 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c(F)ccc([N+](=O)[O-])c1F 752566803 GGSDKKSXTLDRPT-VXGBXAGGSA-N 406.385 4.571 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755035149 CJEIDBLLEKMGNH-UHFFFAOYSA-N 421.276 4.631 5 20 HJBD CC(C)[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Cl 755065876 NJLDVIGIFIXGPY-SGTLLEGYSA-N 422.840 4.697 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 758285204 HZJGBZOONRHYPN-NSHDSACASA-N 409.305 4.960 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc3ccc(Br)cc3s2)c1[N+](=O)[O-] 760271518 ZFBYSWHFMPPZPG-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1nc([C@@H](C)OC(=O)COc2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 763838173 CRLHEBRVGIYRFF-CYBMUJFWSA-N 416.817 4.895 5 20 HJBD CCN(Cc1ccccn1)[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 764292185 GUXYEOXOFSNGAJ-OAQYLSRUSA-N 424.888 4.845 5 20 HJBD CC[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1OC(F)F 764881698 GWNPUPXATATLKS-IBGZPJMESA-N 405.445 4.906 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN(Cc2ccccc2)C[C@H](O)c2ccco2)c1 769542038 YRJBSQRFBSOESQ-SFHVURJKSA-N 418.396 4.525 5 20 HJBD Cc1ncc(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)s1 771981748 WEAFZZQPXCCCNE-UHFFFAOYSA-N 419.510 4.545 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 774937383 YRENDQXLSKZDQN-CYBMUJFWSA-N 416.434 4.701 5 20 HJBD Cc1nc(CNC(=O)OC(C)(C)C)ccc1[C@@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 779034488 XXQNWCAHKPNYPN-LSDHHAIUSA-N 414.506 4.735 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 780638692 BUXOZOCRSBXHPK-UHFFFAOYSA-N 417.490 4.707 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@H](c4cccc(C(F)(F)F)c4)C3)n2)cc1 784486344 NFVFYLUPLWRPLB-HNNXBMFYSA-N 418.375 4.653 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 785835541 LUJOEUHVZNTBFR-UHFFFAOYSA-N 402.319 4.643 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 789906840 DSKGACZMVWTMNQ-ZAGZISDZSA-N 420.444 4.891 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])C(F)(F)F 807744627 FTNPQXFRIOEJGU-VIFPVBQESA-N 420.746 4.898 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 809280111 ICECMGZPHMQDJB-MRXNPFEDSA-N 407.264 4.589 5 20 HJBD COc1ccc([C@@H](O)C2CCN(Cc3ccc(-c4ccccc4[N+](=O)[O-])o3)CC2)cc1 812774297 KAYODFQSRAYCML-XMMPIXPASA-N 422.481 4.809 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)CCc2ccc(-c3ccccc3)o2)cccc1[N+](=O)[O-] 856521349 RYAZJRWVNIBNEL-MRXNPFEDSA-N 422.437 4.666 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc(Cl)ccc1[N+](=O)[O-] 914743820 KQKJVHQHOUJBIE-MBTKJCJQSA-N 418.721 4.888 5 20 HJBD CCCn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)ccc1=O 919846760 XJUGFSMMUPEZRL-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)cc1)c1ccccc1 920809059 CMSMNVATEZBDBL-AWEZNQCLSA-N 406.398 4.928 5 20 HJBD CCN(CC)[C@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 1318868027 BQYUEXUSMIKXMN-IBGZPJMESA-N 421.419 4.539 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc([N+](=O)[O-])ccc2N(C)C)o1 1322836153 KJUZCIGVIPNSDR-UHFFFAOYSA-N 407.455 4.810 5 20 HJBD COc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)ccc1OC(C)C 1322838619 XUNGYCIOWOTLEW-UHFFFAOYSA-N 424.457 4.708 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 5117793 BRXZLLZDSLOELE-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 5746034 BLWDCONTKZXSSI-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD C[C@H]1CCCC[C@@H]1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 24177184 OAKZEXWXUNXPHN-JTSKRJEESA-N 418.497 4.685 5 20 HJBD Cc1ccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)cc1[N+](=O)[O-] 59996428 DAAHKTSKONFSQG-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C4CC4)sc3c2)cc1OC 63980077 RBCAUZRKWKGDFR-UHFFFAOYSA-N 413.455 4.742 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64907036 DBZRAEYFYCRSCY-UHFFFAOYSA-N 411.483 4.573 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 64959819 QUIXDOMAIMEZQP-OAHLLOKOSA-N 402.472 4.644 5 20 HJBD CNC(=O)c1ccc(S[C@H](C)c2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])c1 65280659 MIDMYTKLBXYYIS-SNVBAGLBSA-N 400.378 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C1(c2ccc(Br)cc2)CCCC1 105666836 MNPYVLDZCUIMHZ-UHFFFAOYSA-N 419.275 4.816 5 20 HJBD Cc1nc(C2(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)CCCC2)no1 237960054 IIUZVLBHNJQOFM-UHFFFAOYSA-N 402.838 4.759 5 20 HJBD O=C(Nc1ccccc1)c1cccc(Oc2ncc([N+](=O)[O-])cc2Br)c1 301544216 OWDNSBLTZOFJAN-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC[C@H](C)[C@H](C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 302954930 FMDMJYGAXGIYTA-IRXDYDNUSA-N 406.486 4.541 5 20 HJBD CN(Cc1cn2c(n1)CCCC2)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 429483147 WWKYFRBPCHINKM-UHFFFAOYSA-N 422.510 4.551 5 20 HJBD COc1ccc2c(C)cc3nnc(SCc4nc5cc([N+](=O)[O-])ccc5o4)n3c2c1 434799994 YMUFGDFBPDFRBF-UHFFFAOYSA-N 421.438 4.541 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436094251 YOKIQZHSVLUMPE-UHFFFAOYSA-N 417.484 4.915 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 439812565 SZYIEULKXJCUMY-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD COc1ccc(Cc2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c(F)c1 445559325 CBGHVPJDQJRMMA-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F 445985510 LTMLPWFNFYECLS-NVXWUHKLSA-N 421.419 4.786 5 20 HJBD Cc1ccc(CN(C(C)C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)s1 447033479 IONVZXMSZULTAH-UHFFFAOYSA-N 422.450 4.583 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccnn1C 447588429 UKNFCMKSDKEGNU-LBPRGKRZSA-N 404.829 4.764 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@H]1C[C@]12CCOC2 460764092 WGKDSSPMCQFSCG-BEFAXECRSA-N 421.375 4.722 5 20 HJBD COc1cc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)c([N+](=O)[O-])cc1OCC(F)(F)F 462737377 VWDFDKRSHBFHLN-KCQAQPDRSA-N 402.413 4.603 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 463908623 DPZBEFMSBNPDQY-KUHUBIRLSA-N 417.481 4.837 5 20 HJBD COc1cc(CN[C@H](c2cccc(Cl)c2)c2ccccn2)c([N+](=O)[O-])cc1F 464685951 BISDXJJKVKGXET-HXUWFJFHSA-N 401.825 4.670 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)c2cc(C)oc12 467867674 PGWXARXLOJHVCD-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(F)(c2cccc(Cl)c2)CC1 467889240 PUTQHEXLXGHEHX-UHFFFAOYSA-N 401.825 4.831 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1O[C@@H](C)COC 470382470 JZXXFFXRKMSTSI-HOCLYGCPSA-N 420.893 4.869 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(C(=O)c3cccc([N+](=O)[O-])c3)C2)n1 470431452 YHDWZRWLZKLMAI-INIZCTEOSA-N 423.494 4.747 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472265064 REQAPXMKFAUBKI-LBPRGKRZSA-N 402.419 4.605 5 20 HJBD Cn1c(C(C)(C)NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)nc2ccccc21 475212025 JVCXEWCBGSXPNE-UHFFFAOYSA-N 421.379 4.557 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Br)cc2CC)c([N+](=O)[O-])cc1OC 479053446 FKSCWMOXXBRAFP-UHFFFAOYSA-N 423.263 4.579 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccccc2F)C1)c1ccc(Br)cc1[N+](=O)[O-] 482885218 GZLLDUQQPZNQKA-AAEUAGOBSA-N 407.239 4.563 5 20 HJBD Cc1c([C@@H](C)NCCCOC2CCN(Cc3ccccc3)CC2)cccc1[N+](=O)[O-] 484466324 KCMLYPOSJPPJGM-HXUWFJFHSA-N 411.546 4.625 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nccs2)C1 484957069 DMQILYCQWNCNEL-CQSZACIVSA-N 405.545 4.819 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486249405 IXDWKZNVZHALPT-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD COc1ccc(CNC(=O)[C@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 491232706 QZQLWTTUABTPGZ-JKSUJKDBSA-N 423.494 4.579 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 492209467 LMDBRYRMFMLLSB-CQSZACIVSA-N 416.840 4.817 5 20 HJBD COc1cccc(C2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 498674890 KJEZHAKZVPWQPK-OAHLLOKOSA-N 408.458 4.594 5 20 HJBD CC(C)[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)cc1 501580731 NFKKHSPIDZTXAZ-KRWDZBQOSA-N 414.355 4.802 5 20 HJBD C[C@@H](NC(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1cccc([N+](=O)[O-])c1 501616869 SUWZCEIENFWSMJ-CYBMUJFWSA-N 420.922 4.684 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](CC)c1nnc2n1CCCCC2 504894183 KAYYHVYGQVJSIW-HOTGVXAUSA-N 403.552 4.524 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(OCc3cscn3)c2)c1 505045723 KYBDFXMEISKIJI-UHFFFAOYSA-N 401.469 4.605 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(C(=O)Nc3ccccn3)c2)cc1[N+](=O)[O-] 505732578 XGPAJDJCTBGSRO-UHFFFAOYSA-N 418.453 4.792 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Sc2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 510672601 XQINWZIWSRKBNR-ZDUSSCGKSA-N 420.487 4.520 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1Cn1cnc2scc(-c3ccccc3)c2c1=O 511047105 UHNALLSPNIMHQA-UHFFFAOYSA-N 421.478 4.869 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N1CCc2sccc2C1 522715397 IMPSLEZXIWBJMI-OAHLLOKOSA-N 423.494 4.834 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 523845898 NGMYSMVMHJMENJ-IBGZPJMESA-N 415.921 4.602 5 20 HJBD COCCN(C(=O)CC1CCC(C)CC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 524539900 ZNDCOPPQFWGGRE-UHFFFAOYSA-N 417.531 4.914 5 20 HJBD COc1cc([C@@H](C)N[C@@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 525761138 VEAWGLDZSNDHME-SJORKVTESA-N 407.470 4.989 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1 535326551 UEMYWPKFFRJQGO-UHFFFAOYSA-N 403.438 4.741 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3nnc([C@@H]4Cc5ccccc5O4)o3)o2)c1 537489551 RGAFGGMKOBATLY-IBGZPJMESA-N 404.382 4.526 5 20 HJBD C[C@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccc(OC(F)F)c1 538315317 HQEFVMDOOYIJLL-ZDUSSCGKSA-N 413.380 4.749 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)COc1ccc(F)cc1F 539338908 UDYVRSTYTTUYHV-LBPRGKRZSA-N 410.442 4.571 5 20 HJBD CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 540136549 QPHSWAMDHSIKEJ-OXJNMPFZSA-N 418.877 4.974 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1nc(-c2ccccc2)cs1 541661295 VKDDZXCTCJIBFR-ZDUSSCGKSA-N 407.455 4.619 5 20 HJBD COc1ccc(NC(=O)NCc2cccc(COCc3ccccc3)c2)cc1[N+](=O)[O-] 542033475 SVRPADYAQCPFEP-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD CCc1ccc([C@H](Nc2ccn(CCc3ccncc3)n2)c2ccc([N+](=O)[O-])cc2)o1 542497596 AVBZMPLBIHUIER-HSZRJFAPSA-N 417.469 4.786 5 20 HJBD C[C@H](C(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1F 542550303 GXCDYNXXJHWURL-LBPRGKRZSA-N 414.462 4.654 5 20 HJBD CC(C)[C@H]1C[C@@H](CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 542866373 GMUBVNVQUGHAEG-KBXCAEBGSA-N 403.504 4.522 5 20 HJBD COCc1cccc2sc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])nc12 543144769 QBQWMBVCKMNJHZ-UHFFFAOYSA-N 423.903 4.735 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 544423000 JLMGDPLQUXMDFA-AWEZNQCLSA-N 407.401 4.728 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](C)c1sc(-c2ccccc2)nc1C 544486791 QCDRJYAFAQZMHF-CQSZACIVSA-N 410.499 4.624 5 20 HJBD Cn1cc2c(n1)CCC[C@@H]2C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 544926564 CWEVGUVWFIISHZ-ZDUSSCGKSA-N 414.512 4.600 5 20 HJBD CC(C)(C(=O)Nc1ccccc1OC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F 545832447 RIQSFSXXMXFWOR-INIZCTEOSA-N 416.449 4.598 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc4ncccc4c3)no2)c2ccccc12 547045838 QILPNTIEMNFYTC-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@H]3[C@@H]3CCCO3)o2)c(Br)c1 556608018 JGMLZPNMYBFLGD-MJGOQNOKSA-N 421.291 4.761 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@@H](c2ccccc2F)C1 558952225 XMHWVHSMNZAUDE-SNVBAGLBSA-N 405.298 4.777 5 20 HJBD CSc1ccc(C(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)cc1[N+](=O)[O-] 561719407 CLZHPQJQSDUKIT-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD Cc1ccc2nc(CNC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cn2c1 566584419 GUJJZNHUPIMYHZ-UHFFFAOYSA-N 417.425 4.665 5 20 HJBD COCCC[C@@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)cc1 571114350 BSAWXOFDJLBOJZ-INIZCTEOSA-N 405.882 4.889 5 20 HJBD Cc1cc(F)ccc1-c1nc(C(C)(C)C(=O)NCc2cccc([N+](=O)[O-])c2)cs1 577802882 QSLHMPAVAZPGIH-UHFFFAOYSA-N 413.474 4.760 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C3)cs1 578300468 QTJFMVRQGOBRJG-LBPRGKRZSA-N 413.524 4.777 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C(=O)OC)c(C(C)C)s1 583897956 UACPZPMENPYTSL-UHFFFAOYSA-N 423.516 4.716 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(-c4ccncc4)cs3)cc2[N+](=O)[O-])CC1 603454734 LXBITNAHHVVKRW-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)c1 603969971 KDVWJHZOZYHZLS-MRXNPFEDSA-N 424.501 4.822 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 603991728 ZBITZRQGDVNNEZ-UHFFFAOYSA-N 418.453 4.556 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 604043007 XTBITBVDZJHVNT-UHFFFAOYSA-N 417.314 4.642 5 20 HJBD C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)CN1CCCCC1 604441437 BRQRYGNZESVIRM-KRWDZBQOSA-N 413.543 4.598 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](CO)c2c(F)cccc2F)ccc1Oc1ccc(F)cc1 609708570 NWNQJBGJEDIJST-SFHVURJKSA-N 418.371 4.628 5 20 HJBD C[C@H]1CCC[C@H](N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 609854834 IPQBTWCAHAUSRT-RYUDHWBXSA-N 411.289 4.738 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccc(F)cc1)CC1CC1 610045795 QOPKQOXXZZUAPH-UHFFFAOYSA-N 407.239 4.549 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)NCCCCc1ccc([N+](=O)[O-])cc1 610046775 GWCHQZSTTXRAMK-UHFFFAOYSA-N 413.861 4.585 5 20 HJBD Cc1cn2c(n1)[C@H](CNCc1ccc(N(C)c3ccccc3)c([N+](=O)[O-])c1)CCC2 610271576 ILDMJNUAVYLQHH-IBGZPJMESA-N 405.502 4.535 5 20 HJBD COc1cccc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 610336600 FVTFDIYKLXHZRO-CQSZACIVSA-N 417.425 4.889 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611198997 FPMHLANZAHTQGA-UHFFFAOYSA-N 413.499 4.518 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccncc1)c1ccc(F)cc1 748572303 MURBNRCDSLYXHO-XOBRGWDASA-N 412.442 4.942 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCOc3c2ccc(Cl)c3Cl)c1 754471624 LOAVNVSMTHRIAE-CYBMUJFWSA-N 413.282 4.877 5 20 HJBD C[C@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccccc1 755335205 DAHWEOOLQGKQEM-QFIPXVFZSA-N 414.527 4.515 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1(c2ccc(F)cc2F)CCCC1 760600791 FGXRRCFIBSSBQE-UHFFFAOYSA-N 402.353 4.569 5 20 HJBD CSC[C@H](CCO)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761913040 RZNZDFPXUMFLRV-IBGZPJMESA-N 419.546 4.777 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(O)(c2ccccc2)CC1 768588054 DPGWUEXFKJBMBI-UHFFFAOYSA-N 414.527 4.611 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F 770075663 UCOBBOGMCIMXFH-SFHVURJKSA-N 416.355 4.562 5 20 HJBD O=C(CCc1ccc2[nH]ccc2c1)OCc1ccc(Br)c([N+](=O)[O-])c1 773462439 PPJRZVTVZLGMPN-UHFFFAOYSA-N 403.232 4.515 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1ccc2ccccc2c1 775542938 QNWHSVUDCSSKNP-FQEVSTJZSA-N 410.495 4.712 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132058 HUUBXOPIAXISDC-OSPHWJPCSA-N 420.465 4.900 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781706027 RQUVUGGPVJGXLC-UONOGXRCSA-N 419.865 4.740 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)OCc2csc(COc3ccc(F)cc3)n2)c1F 787939228 LNLPFDVXZLPBLZ-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD C[C@H](NCc1cn(-c2ccccc2)nc1-c1ccccc1)c1ccc(N)c([N+](=O)[O-])c1 788500386 BXUJMYSESGSWJQ-KRWDZBQOSA-N 413.481 4.881 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)cnn1-c1ccc(Cl)cc1 801962352 FMLFPYLYCIUTIY-UHFFFAOYSA-N 417.828 4.531 5 20 HJBD CC(C)(C(=O)OCc1nc2ccc(Br)cc2o1)c1ccc([N+](=O)[O-])cc1 803092245 ZPJVWYQJDIYDHR-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD O=C(CC1CCCC1)N1CCCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809934673 AUVDXHKZQSMGOS-UHFFFAOYSA-N 414.333 4.516 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](C)c1ccc(N2CCCCC2=O)cc1 810214158 PRMQVQATYDYFND-INIZCTEOSA-N 410.474 4.611 5 20 HJBD COc1cc(OC)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 812829796 ZUAJQPRYWDYMDT-UHFFFAOYSA-N 405.621 4.825 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812928622 BLOMPSOMPUVQNO-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)o2)c1 815406135 VTUPGLBTQIVUIV-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4c([N+](=O)[O-])ccc(C)c4C)n3)co2)cc1 904325911 RGWZRAQSGSZDEA-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(Oc2ccc(F)cc2F)CC1 916669373 UTTHQLAGUJYTKN-UHFFFAOYSA-N 411.792 4.602 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(OCC(F)(F)F)c1 917959923 DGGORLBDEVRHGF-GFCCVEGCSA-N 415.389 4.760 5 20 HJBD Cc1c(COC(=O)C23C[C@H]4C[C@@H](CC(Br)(C4)C2)C3)cccc1[N+](=O)[O-] 918845450 UVECHRXWWDNMNY-CXTCDGGRSA-N 408.292 4.680 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc(Cl)ccc4[N+](=O)[O-])CC3)c2c1 919873722 ZCMAWQVUHVZPQV-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCN(Cc3cccc(F)c3)C2)n1 1116612438 OSDYACNEGOTOPD-QGZVFWFLSA-N 410.449 4.780 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NCc1ccc([N+](=O)[O-])cc1Br 1325762969 LGAXIWBCYPCYSF-UHFFFAOYSA-N 406.280 4.872 5 20 HJBD O=C(NC1(c2ccc(NC(=O)C3CCCCC3)cc2)CCC1)c1ccc([N+](=O)[O-])o1 1787625284 WMEUCFOGUSOTDT-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1ccccc1)N(Cc1ccccc1[N+](=O)[O-])CC2 15297326 GOWKDGMTLKIAFJ-DEOSSOPVSA-N 404.466 4.760 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1C[C@H]1CCCO1 15503587 IPNNBZOJCBPDQY-VGOFRKELSA-N 419.437 4.600 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 55471468 COARENOFEBLWDV-CQSZACIVSA-N 404.430 4.620 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 64896277 OXHSNXKYFUEYEQ-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(COc2ccc(F)cc2)o1 65864665 RTVJVCZCBVAYSI-UHFFFAOYSA-N 412.417 4.957 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3ccc(Cl)cc3[N+](=O)[O-])s2)c1 301094988 YMCYLHVTPQBSRM-UHFFFAOYSA-N 421.891 4.953 5 20 HJBD CC(=O)c1ccc(NCc2ccc(NC(=O)c3ccc(F)cc3)cc2)c([N+](=O)[O-])c1 301129653 QKQAXNPLNWCKME-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD C[C@@H]1C[C@]1(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 410366700 HUERIDLCUKNNLG-ANRSDYALSA-N 415.696 4.737 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(Cl)c(F)c1 426723348 LEIHCUOXCWIKTK-SFHVURJKSA-N 404.781 4.563 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(CNC(C)(C)c2ccccc2[N+](=O)[O-])o1 429262641 VHXVIKWGSOETIK-UHFFFAOYSA-N 419.441 4.633 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2noc(C3CC3)n2)c1 434067335 VHTAQHKZUMGHJN-UHFFFAOYSA-N 421.457 4.667 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(NCc3ccccc3)c(Cl)c2)c1[N+](=O)[O-] 434188504 IJOMLTIZHQMRPG-UHFFFAOYSA-N 413.865 4.959 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Oc3ccc(Cl)cn3)cc2)c1[N+](=O)[O-] 434246074 PTMCOIBAMHAUDJ-UHFFFAOYSA-N 401.810 4.534 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@@H](c2ccccc2)c2ccccc21 436269019 WCMUQOPSKDPCJY-FQEVSTJZSA-N 410.433 4.573 5 20 HJBD C[C@@H](Nc1ccc(Oc2cccc(S(C)(=O)=O)c2)cc1)c1ccccc1[N+](=O)[O-] 439598761 SWHFFITWXWTQDL-OAHLLOKOSA-N 412.467 4.964 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccco1)c1ccccc1OC 439883197 SATBCDNYYPPUHH-HXUWFJFHSA-N 411.414 4.506 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1)C1CC1 440610597 JIAPIROGASRFEY-UHFFFAOYSA-N 415.449 4.790 5 20 HJBD O=C(NCCCOC1CCCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440735069 VWDJIWITQBEPDP-UHFFFAOYSA-N 400.500 4.825 5 20 HJBD CO[C@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 442260472 ZELAQVYRINHMOK-LJQANCHMSA-N 408.479 4.864 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)s1 443328925 RCAFWROZKWLDAR-LLVKDONJSA-N 422.304 4.967 5 20 HJBD CSc1ccc(N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 444010610 QDAPPJZXYSWOQD-UHFFFAOYSA-N 410.445 4.958 5 20 HJBD Cc1c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])oc2c1C(=O)CCC2 446435105 UKIQCROEACIKJE-UHFFFAOYSA-N 420.421 4.847 5 20 HJBD C[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 460154972 LYXISENOGQMVLY-MRXNPFEDSA-N 408.429 4.733 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2ccc(F)cc2O1 461234953 FHQMHOIMHXCYBP-OAHLLOKOSA-N 421.428 4.774 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463527800 MTULFEJIJQQXFA-JTQLQIEISA-N 409.389 4.584 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 464274658 IWNWWIWYSSPHFW-MZNJEOGPSA-N 414.480 4.904 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 464800437 FFJUYNUSRLGKFY-UHFFFAOYSA-N 416.437 4.568 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(-n2nc(C)c(Cl)c2C)cc1 466509737 IVXAPOHHRALZIA-UHFFFAOYSA-N 414.849 4.702 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 473889416 WRISJYONFHHSJX-FQEVSTJZSA-N 409.530 4.702 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)cccc1[N+](=O)[O-] 476331556 BBGQLIMLXDRJHA-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD CCCCn1c(SCc2c([N+](=O)[O-])ncn2C)nc2cc(C(F)(F)F)ccc21 476477862 YVFCFCHSFDICEF-UHFFFAOYSA-N 413.425 4.789 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 477988999 KZIFPWASDWDFPU-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)[C@H](C)C2CCN(C)CC2)cc1[N+](=O)[O-] 479585005 OWQVKYXNHAFPEH-MRXNPFEDSA-N 407.580 4.535 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)NCc2cccc([N+](=O)[O-])c2C)CC1 480061364 PMQIYDHHDUTMJQ-UHFFFAOYSA-N 406.486 4.782 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480691860 GRFZNXUUVWECMM-RBUKOAKNSA-N 420.387 4.788 5 20 HJBD COc1ccc2ccccc2c1-c1cc(CSCc2c([N+](=O)[O-])ncn2C)on1 481966627 VUZYSWWPXPRILX-UHFFFAOYSA-N 410.455 4.579 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 482488942 NRZHEJCOOSPSTQ-UHFFFAOYSA-N 408.907 4.649 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1cc([N+](=O)[O-])ccc1OC(F)F 488084673 HPAMBZUUEQLWGR-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CSc2ccc(Br)cc21 488939285 QXCNQJSWYWZBGH-ZDUSSCGKSA-N 422.304 4.943 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(CC(F)F)c1cccc(Cl)c1 497347783 QIHGEXVFDHXFTF-UHFFFAOYSA-N 406.719 4.762 5 20 HJBD C[C@@H](Cc1ccc(Br)cc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 498643643 LDDIBCRFLIUNQU-AWEZNQCLSA-N 417.303 4.727 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 499215243 YZYDJAZOVXMSAX-SFHVURJKSA-N 407.495 4.874 5 20 HJBD COc1cc(C2(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CCC2)ccc1C 503302659 ZGKMYAKSYCRWLV-UHFFFAOYSA-N 406.385 4.574 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 503562481 KUPNFWKRQBPIPE-KRWDZBQOSA-N 409.408 4.781 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 504729004 BPNWVNKZQKQYCM-CYBMUJFWSA-N 406.529 4.626 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(Cn3ccnc3)cn2)cc1[N+](=O)[O-] 508483479 KOFKHTAJVYZFPS-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD CCOc1cc(NC(=O)N(Cc2cccs2)C(C)C)c([N+](=O)[O-])cc1OCC 513954112 YDYOFQXZNLROER-UHFFFAOYSA-N 407.492 4.896 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CC[C@H]1c1ccc(Cl)cc1 516591517 AALCBXOGGJXNQA-INIZCTEOSA-N 409.667 4.527 5 20 HJBD COc1ccc(NC(=O)Cc2cccc(OCc3cccc(C)c3)c2)c([N+](=O)[O-])c1 520680648 RTWSGDYZYXTCGP-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1F 524588750 WFQGDDHTKJBZCK-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 525138173 BXAHVPXLIYIFJD-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD CC(C)(C)Oc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 525572098 QTPNOXPJJYGTJJ-UHFFFAOYSA-N 412.364 4.966 5 20 HJBD COCCCn1ccc(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)n1 531863326 JTNRYBSZOGDFNM-UHFFFAOYSA-N 416.865 4.886 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 535694405 DTCSBBLADNTHOV-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD C[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 535791409 OXAMDDADWYQZEE-LURJTMIESA-N 413.279 4.679 5 20 HJBD CN(C(=O)CSc1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353791 DGGVOFNSEGKHEI-UHFFFAOYSA-N 403.460 4.613 5 20 HJBD CC(C)CN(C(=O)CC[C@H]1CCOC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356765 LNPOZIKYSIOOQZ-HNNXBMFYSA-N 403.504 4.524 5 20 HJBD Cc1ncsc1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452220 ZXLJVSNQWRJDMN-UHFFFAOYSA-N 402.501 4.786 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540513403 VKLRRZOBPHNLMW-UHFFFAOYSA-N 415.371 4.648 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1nccs1 541284298 BAIVFQJPIJEALH-KRWDZBQOSA-N 419.915 4.703 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544424633 UWOQHPRCGCVTKS-LBPRGKRZSA-N 421.856 4.610 5 20 HJBD Cc1sc(-c2ccco2)nc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546494518 RTOXTIRZUUJBDJ-UHFFFAOYSA-N 407.411 4.742 5 20 HJBD COc1ccc(COCCCc2noc(-c3ccc(SC)c([N+](=O)[O-])c3)n2)cc1 547249659 JVFYKSQHXDSVRC-UHFFFAOYSA-N 415.471 4.525 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](c2cncc(Br)c2)C2CC2)cc1[N+](=O)[O-] 551818715 RPLLPQUEKJEJNF-CWTRNNRKSA-N 420.307 4.953 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 552282554 FJVCOHKLMGEFGH-GOSISDBHSA-N 404.392 4.535 5 20 HJBD COc1c(C(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 552610227 ADWVUDACIUODMU-CQSZACIVSA-N 408.376 4.642 5 20 HJBD COc1cccc2c(NC(=O)c3sc(Br)cc3[N+](=O)[O-])c(C)cnc12 556437315 GALATUCBZNNNFR-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD COc1ccc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)[C@H](C)C2CC2)c(OC)c1 558781352 PVVLKEMMWWVNCW-OAHLLOKOSA-N 413.474 4.753 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cc1[N+](=O)[O-] 558787644 BEDXYWLFRSLFLF-GOSISDBHSA-N 423.391 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc2ccccc2cc1Br 561697707 UBNDKTQORFMVSX-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CSC[C@@H]1CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 561899838 TXGOXYPAWOTKEE-OAHLLOKOSA-N 402.541 4.961 5 20 HJBD COc1ccc(CN[C@@H]2[C@H]3Cc4ccccc4[C@H]32)cc1OCc1ccc([N+](=O)[O-])cc1 566036470 KDOQNAOYXCQARC-FTBPSBKWSA-N 416.477 4.610 5 20 HJBD C[C@H]1CO[C@@H](c2ccccc2Cl)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 567529438 RRLSATZUUOXUMD-VBKZILBWSA-N 413.861 4.865 5 20 HJBD O=C(NC[C@@H]1CCCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 569834592 MMFJLVMMUAZCCJ-GFCCVEGCSA-N 420.406 4.905 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])c1nc(-c2cccnc2)nc2sc3c(c12)CCC3 603579577 HHGPPAIGTNAUFZ-UHFFFAOYSA-N 417.494 4.787 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1cc(Cl)ccc1F)c1ccccc1[N+](=O)[O-] 603649261 BZYQCZRGVSLLKW-HNNXBMFYSA-N 423.828 4.592 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)s2)cs1 604070747 ZGGQNOQEKPURSW-UHFFFAOYSA-N 412.496 4.542 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1c(Cl)cccc1Cl 604445451 YUSMCTOGJAFRRF-SECBINFHSA-N 403.649 4.639 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 609021993 AJLCSBXZMXEKPT-GFCCVEGCSA-N 414.405 4.964 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ncoc3-c3ccccc3)n2)cc1[N+](=O)[O-] 609558470 CYXIPNVBZWSYBI-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC(=O)N1CCc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc21 609762188 PMQDPEYANJFAEQ-UHFFFAOYSA-N 417.421 4.548 5 20 HJBD Cc1ccc(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cn1 609791715 NMKLTLXZVJPVEF-UHFFFAOYSA-N 415.808 4.813 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC(Cc3ccc(C(F)(F)F)cc3)C2)c1 610660353 MSNSVXQAGCVRIP-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 612504919 ILCPROJDCNLMSF-CYBMUJFWSA-N 417.181 4.781 5 20 HJBD COc1c([N+](=O)[O-])cccc1S(=O)(=O)Nc1ccc(Oc2ccccc2)cc1C 619467737 ZQUKIKYTNLDTAE-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 726931955 LOONAXMZEPWTQR-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 730110155 ZAZIYDZMKQBRRP-INIZCTEOSA-N 415.490 4.592 5 20 HJBD COc1ccc(OC(=O)CCCCOc2ccc(Br)cc2)c([N+](=O)[O-])c1 731164293 MRJCUKMFKOIEEM-UHFFFAOYSA-N 424.247 4.521 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccccc1)C1CCOCC1 734682704 KPHKIFFWNVXXGB-QFIPXVFZSA-N 411.502 4.683 5 20 HJBD CC(C)(C)[C@H](Cn1ccnc1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368374 OSVIXXUFDRZGED-FQEVSTJZSA-N 423.473 4.820 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 736007634 UMEQUYFVVASEAS-UHFFFAOYSA-N 411.845 4.889 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ncc(-c2ccccc2)o1 741318558 CUOIFUVJXUMKFK-NSHDSACASA-N 417.215 4.930 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@@H]1Cc2ccccc21 741884417 DIUNQDLTBSTSON-OAQYLSRUSA-N 413.385 4.614 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)c1ccc(Oc2ccccc2)cc1 744776778 WXUWWAHFWUFPLG-CQSZACIVSA-N 409.369 4.954 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 745051137 WKGOLMOSYJXDFH-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745070807 DYGLGEQGNOJZAW-OAHLLOKOSA-N 412.486 4.568 5 20 HJBD O=C(CCOc1ccccc1Br)OCc1cc(Cl)ccc1[N+](=O)[O-] 746070023 XXDBYFXOANBHRI-UHFFFAOYSA-N 414.639 4.523 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nnc(-c3cccs3)n2-c2ccccc2)c1 749639088 JZMQFAPFISZXNP-KRWDZBQOSA-N 424.507 4.730 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCCC[C@@H]3C[C@@H](O)c3cccs3)nc2c1 751481083 MTYUSKYCVKPWHD-RHSMWYFYSA-N 401.488 4.666 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)c1ncc(C(C)(C)C)o1 751690202 HATDBNCPDNSDDO-MRVPVSSYSA-N 415.215 4.700 5 20 HJBD O=C(CCc1cc(F)ccc1Br)Nc1cccc([N+](=O)[O-])c1Cl 752983556 RWMYNLGMSAOTHG-UHFFFAOYSA-N 401.619 4.721 5 20 HJBD C[C@H](OC(=O)CC1(C)CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 753533937 QFCNYELHBKPWNC-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(CSc3ccc(Cl)cc3)no2)C1 761423584 MUZQKBQPFANHME-MRXNPFEDSA-N 416.846 4.789 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@@H](O)c1cccc(F)c1 765202998 WWSPIFPQFOFZPG-RNODOKPDSA-N 418.490 4.621 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3nc4cc(Cl)ccc4s3)CC2)cc1 765334443 YLAJKKHOFHEKSX-UHFFFAOYSA-N 402.907 4.617 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cc(Cl)ccc1[N+](=O)[O-] 767256105 RWCGYZZUAHPUGL-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD Cc1noc(COc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)n1 770571872 VKWDKVMWSLHGMX-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3sc(Br)cc3[N+](=O)[O-])o2)cc1 773599011 QFOYBYSARVFSNY-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1nc(C(C)C)n(-c2cccc(F)c2)n1 778804187 BUXAIACMPAAMGW-AWEZNQCLSA-N 412.421 4.664 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1NC(=O)OCc1ccccc1 781938266 HIGJELJPHJHASX-UHFFFAOYSA-N 419.437 4.616 5 20 HJBD CC(C)n1cc2c(n1)[C@@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 785838052 VDJMAWQZMNHLMB-LBPRGKRZSA-N 413.356 4.789 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccccc2s1 786171520 PWRPHZSIXXCQDM-JTQLQIEISA-N 420.288 4.766 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(Cl)cc(F)c1Br 791061132 DOTOCKRUCAYSBI-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1ccccc1Cl 791579417 FUNGPSRXGJQHHI-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 806230995 BSDKFWYCSRJFIU-UHFFFAOYSA-N 414.462 4.506 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809966314 XEOOBGDSCYJTBM-VXGBXAGGSA-N 418.321 4.637 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 813628113 LHMCOTYWXJYQBV-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD COc1cc(COC(=O)c2nc(-c3ccccc3)oc2C2CC2)c([N+](=O)[O-])cc1F 1116631312 QWEFOIRYSNHXCC-UHFFFAOYSA-N 412.373 4.632 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2)cc1Cl 3502741 NOWHBDXKLVWIHR-GOSISDBHSA-N 414.801 4.686 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCc4cc(Cl)ccc43)cc2)c(C)c1[N+](=O)[O-] 31926135 YFUVDFOCVQWDEI-UHFFFAOYSA-N 424.888 4.703 5 20 HJBD COc1cc(C(=O)N(Cc2ccc(C)s2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 57401200 CNFFWUZSJOFTDJ-UHFFFAOYSA-N 414.430 4.626 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 59812713 QPQIWMYVVJJCKJ-LBPRGKRZSA-N 413.430 4.539 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 63255769 SSQPNDXWQGUPCT-GFCCVEGCSA-N 423.391 4.601 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCOc4c(Cl)cccc43)cs2)c1 237897229 NNBCKIMWKZUTEI-QGZVFWFLSA-N 401.875 4.985 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)c1 301550974 GXTUZUPNJUGXGP-ZDUSSCGKSA-N 402.501 4.553 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 427481196 PMXMNUQAVKMSHQ-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2C)C(C)(C)C)c([N+](=O)[O-])cc1OC 430570435 JXWOBIXCFZDPEQ-FQEVSTJZSA-N 400.475 4.828 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 430616726 HGWWQNVFNRQDSP-AAEUAGOBSA-N 407.239 4.515 5 20 HJBD C[C@H](Nc1ccnc2c([N+](=O)[O-])cccc12)c1ccc(NC(=O)c2ccncc2)cc1 432342304 ILRIGOKLEGLQMA-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1ccc(NC(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)cc1[N+](=O)[O-] 435661766 GMSVFGNWHIIIQR-NSHDSACASA-N 414.409 4.790 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)cc1F 435828397 VSWCBSMDGZCYPY-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437156847 QKNHMFYYINPMLQ-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(c1ccc(C(F)(F)F)c(F)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 439151603 IKMDXBAHLPCNKA-INIZCTEOSA-N 400.353 4.641 5 20 HJBD COC[C@@H](Cc1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441069972 SUKBISSGDVLZEZ-GOSISDBHSA-N 422.506 4.734 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C(\c3ccccc3)C(F)(F)F)n2)c1 445579985 SDSWKVWDVLTUTG-RVDMUPIBSA-N 405.332 4.680 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1cscn1)c1ccccc1 446043878 FRQCPFAIUKJLEF-UHFFFAOYSA-N 424.301 4.722 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)CCc2cccc([N+](=O)[O-])c2)nc2ccccc21 446252762 LJCORFFHUSNVRC-OAQYLSRUSA-N 406.486 4.822 5 20 HJBD C[C@H]1CCN(C)c2ccccc2N1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 460221075 LPIHMPSXPWVSQZ-AWEZNQCLSA-N 408.483 4.594 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cc(F)ccc3Br)CC2)cc1 464782991 DRIXBRQYZJCJJC-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD O=C(NCCc1cscn1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466438610 MSTHSDFCXUVPJK-UHFFFAOYSA-N 419.915 4.828 5 20 HJBD CN(CC[C@H]1CCCO1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 467040509 KOHCOBGLPDOVPP-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD CCN(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1 477491821 RWXYHAWKIUAURK-GFCCVEGCSA-N 409.305 4.891 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 477635468 PDYRUOSDSXFLAL-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1nnc(-c2cc3ccccc3o2)s1 482484064 RZJZZOMUWQBAJC-UHFFFAOYSA-N 423.454 4.566 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483070284 NBQFDPKPNWNBMT-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD Cc1ccc(F)c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1Br 484679759 KAHJJROVUZRJRK-JTQLQIEISA-N 413.268 4.924 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1cnc2ccccc2n1 484878246 UIVIXUMUXJFAPN-UHFFFAOYSA-N 400.394 4.607 5 20 HJBD COCCCCc1cccnc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 485196417 LRVIBKXSEDSFDU-UHFFFAOYSA-N 403.504 4.712 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1noc(Cc2ccccc2)n1 485411024 UXCSFCPVXSSPBC-CQSZACIVSA-N 419.416 4.943 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 485489549 LAEDCHQFCCQHHL-LLVKDONJSA-N 417.712 4.823 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 485603114 SGGSAXACRJTMDM-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD CCOc1cc(C(=O)N[C@@H](CC(C)C)c2ccccc2C)c([N+](=O)[O-])cc1OC 485609344 WWJRMMNMBAPSJS-SFHVURJKSA-N 400.475 4.828 5 20 HJBD CCn1ncc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3NCc3ccccc3)cc21 488593480 JAGJEDIGQLXGEO-UHFFFAOYSA-N 415.453 4.829 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1cccs1 496550591 FPLWNMYVZXICMC-ZDUSSCGKSA-N 406.529 4.796 5 20 HJBD CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@H](c2cccc(C(F)(F)F)c2)O1 497851679 GNIBRAPIWUWPRZ-QGZVFWFLSA-N 408.376 4.606 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C)c2)cc1 502438846 LESBLHGFDIHACU-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 502895033 YDJQXUNSADQHAW-UHFFFAOYSA-N 422.312 4.777 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)c(C)n1 503399921 YBIWKGZTZOPXIA-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(C(=O)OC(C)(C)C)CC1 504553543 HIHMJUJQSOWZOB-UHFFFAOYSA-N 422.547 4.727 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3C)s2)cc1 505126275 XMKYURQRJUQXPE-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC(F)(F)F 505550477 LMLLWZVKIBACBK-SNVBAGLBSA-N 418.368 4.794 5 20 HJBD CCCOc1ccc([C@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1OC 510113537 DNPWZGXGPLVTPC-HNNXBMFYSA-N 415.490 4.704 5 20 HJBD CC(=O)N1C[C@@H]2CCC[C@H](N[C@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)[C@H]2C1 515013557 INKGOMUSBWLJAM-XIVBQZFQSA-N 413.543 4.621 5 20 HJBD COc1ccc(-c2nc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)sc2C)cc1 520100999 MOTLZSFQGQOZRF-UHFFFAOYSA-N 422.466 4.629 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc(Cl)cn1 522716163 SASXYOZYEVSYEC-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccccc1Cl 525552931 ZSULYBSSGOTASI-SFHVURJKSA-N 402.859 4.838 5 20 HJBD COc1ccc(-c2ccc(CNC[C@H](C[C@@H](C)O)c3ccccc3)o2)c([N+](=O)[O-])c1 532346621 TUYZRSMFPAYDRR-AEFFLSMTSA-N 410.470 4.508 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Sc2ccncc2)cc1 534000344 ZALGTCGMNOQWID-UHFFFAOYSA-N 404.451 4.804 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(Oc4ccccc4Cl)CC3)co2)cc1 534833491 FFEYDDXCWGOFEG-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD CCSCc1ccnc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 535636464 RSKPHJJTKDPESU-UHFFFAOYSA-N 400.485 4.619 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1ccc(Cl)cc1[N+](=O)[O-] 538699639 GUTJYEIQQBMBFW-LLVKDONJSA-N 414.676 4.564 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1F)c1ccc(NC(=O)c2ccc(F)cc2)cc1 539279088 KXPCTIKLDLOPJG-CQSZACIVSA-N 411.408 4.976 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1-n1ccnc1 539694556 HKPUZWUXDKQKPP-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 542594883 GVIIPDUWSOWJCJ-JLTOFOAXSA-N 410.449 4.571 5 20 HJBD COc1ccc(CN[C@@H](CSC)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 543775573 VUJRNUVPJITQDT-HNNXBMFYSA-N 400.422 4.816 5 20 HJBD CCOc1ccc(-c2nc(COc3nc(C)cc(C)c3[N+](=O)[O-])cs2)cc1OC 543836289 LPTMPLAPZANVKF-UHFFFAOYSA-N 415.471 4.716 5 20 HJBD O=C([C@@H]1CC12CCN(c1c(Cl)cc(Cl)cc1[N+](=O)[O-])CC2)N1CCCCC1 553519112 YTHFAOGQHHLHQQ-AWEZNQCLSA-N 412.317 4.521 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 567157637 LFGWOYWOWCXDOZ-UHFFFAOYSA-N 422.210 4.629 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc([C@@H](C)c2cccc([N+](=O)[O-])c2)n1 578800221 ZWMFHRRSCRANTF-MEDUHNTESA-N 418.247 4.628 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(-c2ccc(OC)c(OC)c2)n1 584027815 LUFNQUCQIPDDPF-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD CC(C)c1scnc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 589127661 IMCHCMYAQYYOPA-CYBMUJFWSA-N 411.487 4.995 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603727513 SYQZLNXLTMLXPW-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCCc2ccccc2)CC1 604474235 UHIATRMUTSPWIV-UHFFFAOYSA-N 403.866 4.504 5 20 HJBD COC(=O)COc1c(C)cc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1C 604496761 PRGVNNJPWRCXGQ-HMAPJEAMSA-N 418.449 4.747 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@@H](CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 608819279 SVJCDVRGOPFFBI-AWEZNQCLSA-N 413.861 4.559 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H]2CCN(c3ccc(F)c(F)c3)C2)o1 608836511 OCVYRUCZIKVICS-HNNXBMFYSA-N 413.424 4.749 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2N(C)Cc2ccccc2)cc1[N+](=O)[O-] 609046389 CZCALJXPAZYTDW-UHFFFAOYSA-N 420.469 4.562 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(F)c(Br)c1)c1cccc([N+](=O)[O-])c1 609391119 AOTVQVNQBIUXLR-WDEREUQCSA-N 410.243 4.618 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@@](=O)C(C)(C)C)c2)c1 609695402 UKUFBJSISIUJGV-HHHXNRCGSA-N 406.529 4.616 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(Cl)c2OC(C)C)cc1OC 609816527 BJFABCRXVPBDQY-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD Cc1ccccc1N(C(=O)c1ccc(I)c([N+](=O)[O-])c1)C(C)C 730494902 AURAYRVDUMKLQL-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(c4nc(-c5ccccc5)no4)CC3)sc2c1 731209468 XLQAIGRIXPERFK-UHFFFAOYSA-N 407.455 4.639 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)C1(c2cccc(Cl)c2)CCOCC1 735901830 UNHCKOFXTZRLMD-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3cc4occc4s3)CC2)ccc1[N+](=O)[O-] 744200087 BOBLVLYSJHODBK-UHFFFAOYSA-N 416.455 4.627 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746065605 PXLJIHAVDVBVCF-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD Cc1nc(COC(=O)Cc2cccc([N+](=O)[O-])c2C)oc1-c1ccc(Cl)cc1 748479098 UTDXWEHOKXKIOJ-UHFFFAOYSA-N 400.818 4.806 5 20 HJBD Cc1c(C(=O)N2CCC(c3cc4ccccc4[nH]3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748725629 DFPZZHIMAXPTAS-UHFFFAOYSA-N 422.441 4.621 5 20 HJBD CCS[C@@H]1CCCC[C@@H]1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437060 PTMRWBDMRHMZGU-WCQYABFASA-N 421.744 4.805 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1noc(-c2cccc(Cl)c2)n1 756342992 SGRGCOMATKUMHH-LLVKDONJSA-N 419.846 4.522 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2noc(Cc3cccc([N+](=O)[O-])c3)n2)cc1 762288550 WJFSHBIXMWWQBV-UHFFFAOYSA-N 410.430 4.506 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)s1 763465200 VNAIDBPBDYFWSA-LLVKDONJSA-N 404.398 4.519 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(c2nc3ccccc3[nH]2)CC1 768223262 GTGHJNLFYFGPJO-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1Oc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774654611 UVLATXUMAMDERH-UHFFFAOYSA-N 413.642 4.998 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 777303377 YNQFUOJCTGNXNG-INIZCTEOSA-N 404.422 4.694 5 20 HJBD O=C(Nc1cc(F)c(N2CCCCC2)c(F)c1)c1ccc([N+](=O)[O-])c2cccnc12 778731808 KSXRLDDLNHZPHP-UHFFFAOYSA-N 412.396 4.664 5 20 HJBD Cc1c(C(=O)N2CC=C(c3cccc4ccccc34)C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 779681089 GAYAKCOSRLUIBE-UHFFFAOYSA-N 403.394 4.504 5 20 HJBD C[C@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c[nH]c2ccccc12 783633382 OOBJUNVUDVVUGP-CQSZACIVSA-N 405.410 4.968 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc(F)cc1[N+](=O)[O-] 787738718 KSOMYLMAUJSRRV-LJQANCHMSA-N 419.368 4.730 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)n1 790247963 CRIVIXWKHQOKET-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 792873323 RWWBJVAWMHWBNR-INIZCTEOSA-N 421.885 4.654 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1cc(C2CC2)no1 799297511 PDGFAOMHZHHRDM-UHFFFAOYSA-N 413.817 4.945 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(N(C)C(=O)OC(C)(C)C)s2)cc1[N+](=O)[O-] 810482479 GQJLQXUYGQLFHJ-CYBMUJFWSA-N 419.503 4.827 5 20 HJBD CC(C)(CCc1noc(-c2ccccc2OCc2ccc(Cl)cc2)n1)[N+](=O)[O-] 812813022 CIOPFBRDTVTIGB-UHFFFAOYSA-N 401.850 4.957 5 20 HJBD CO[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Cl)cc1 813024087 GGOSWNMGFNJGSC-AWEZNQCLSA-N 403.649 4.673 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@@H]2c2cccc(Br)c2)cc1 917590254 MTAUZEWYKMBVCI-MRXNPFEDSA-N 406.305 4.891 5 20 HJBD Cc1sc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)nc1-c1cccc([N+](=O)[O-])c1 917790944 NAXMJFFUNPYFHU-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD COc1cccc([C@@H](C)C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1 919678993 KLHSSUVRMKGUEO-OAHLLOKOSA-N 420.421 4.565 5 20 HJBD CC(C)COc1ccc(C(C)(C)CNC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1116156203 QGTAMEWNFUMTSG-UHFFFAOYSA-N 411.502 4.633 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2cccc3c2OCCCO3)o1 1253239047 ZPJQANVRGCIHSL-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(CN(C)c2ccccc2[N+](=O)[O-])o1 1322151672 QURUUNVKLYXEFE-OAHLLOKOSA-N 404.426 4.907 5 20 HJBD CCCOc1c(Cl)cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1OC 1341397972 NZQQYJKFKJMUMC-UHFFFAOYSA-N 419.821 4.675 5 20 HJBD COc1cccc([C@@H](CNc2ncccc2[N+](=O)[O-])c2c[nH]c3ccccc23)c1OC 24107004 DNMZHLCUJUXQDW-GOSISDBHSA-N 418.453 4.732 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)Nc1ccc([N+](=O)[O-])cc1 24503217 PLFXNVOCRDWRAQ-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2ccc3oc(C(C)C)nc3c2)cc1[N+](=O)[O-] 32097696 PETGNDXVZCEFAB-UHFFFAOYSA-N 409.851 4.622 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50291906 ZDBIRRGTRSRIPS-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD O=C(Nc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1ccccc1 58371515 VXMZRAGCMLOHLB-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1csc([N+](=O)[O-])c1 63613922 RSSCMJNTHPQHDS-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD CN(Cc1ccccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)C1CCCCC1 65722248 KMKUKXFPNQGDLB-UHFFFAOYSA-N 420.513 4.953 5 20 HJBD Cc1nc(S[C@@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)n(C2CCCCC2)c1C 78768269 OISJVGRSPYZFGG-LJQANCHMSA-N 414.531 4.811 5 20 HJBD Cc1cc(Sc2nnc(-c3ccc(F)cc3)n2C[C@@H]2CCCO2)ccc1[N+](=O)[O-] 301127342 XFQRDTJGNVYDSX-INIZCTEOSA-N 414.462 4.631 5 20 HJBD Cc1cnc([C@H](Nc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)s1 301607867 ZODWHEZRIXSFHN-GFCCVEGCSA-N 402.354 4.850 5 20 HJBD COc1cc(N2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)ccc1[N+](=O)[O-] 302060817 RCTJDTJTDVLESW-CQSZACIVSA-N 414.849 4.691 5 20 HJBD COc1ccc(Cl)cc1CC(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 302921547 NMRLJRASGPJDDM-UHFFFAOYSA-N 417.874 4.870 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 435827215 QTBGZWDUBZOORN-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435833999 GWLLSCNFOOPOBA-UHFFFAOYSA-N 406.482 4.733 5 20 HJBD CC1(C)[C@H](NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@H]2CCCO[C@H]21 438480401 FIGVFROMNLMYFF-VAMGGRTRSA-N 411.458 4.712 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(C(=O)Nc3cccnc3)cc2)cc1[N+](=O)[O-] 439420572 HJLNHRNFEQXLLX-UHFFFAOYSA-N 404.426 4.618 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460350682 QPUNLNBGTXDESN-QFIPXVFZSA-N 423.494 4.847 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](c2ccccc2)C1 463096176 ZMZKOOLZUNKIHF-VQIMIIECSA-N 400.500 4.745 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 466912788 BXEYNCVIJDTODJ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CC[C@@H](Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 467005839 MMCCAIUOUCDGNZ-LLVKDONJSA-N 416.425 4.772 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCC1CCC(C(F)(F)F)CC1 471886418 GNOPOEGPWCVCEF-UHFFFAOYSA-N 418.437 4.995 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2C[C@H]2CCCO2)c(F)c1 476472930 RTNCXXHXAZMYMP-CQSZACIVSA-N 420.491 4.525 5 20 HJBD CCOc1cc(NC(=O)Nc2cc(C)n([C@@H](C)CC)n2)c([N+](=O)[O-])cc1OCC 479892451 UIDHPTFHWYTLTH-LBPRGKRZSA-N 405.455 4.512 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC(C)(C)Oc2cc(F)ccc21 484880017 FXIRKXHLIBRMHP-YVEFUNNKSA-N 404.463 4.633 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cccnc1OCc1ccccc1F 485699596 WRYFQYYIEQVDFV-UHFFFAOYSA-N 418.384 4.508 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC 485747125 VZTIBMDJHFRETP-AWEZNQCLSA-N 418.515 4.995 5 20 HJBD CSc1cccc(C(=O)N[C@@H](COc2ccccc2F)C(C)(C)C)c1[N+](=O)[O-] 486244659 USJWQYFIAUSSEL-KRWDZBQOSA-N 406.479 4.679 5 20 HJBD CCOc1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])c(C(F)(F)F)c1 486252227 JACLXJUHHAQSDU-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD C[C@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 486946071 IDUIGZVDQJEXGF-NSHDSACASA-N 410.927 4.605 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 490874010 RQNBWWXWLPVQAR-CQSZACIVSA-N 418.318 4.662 5 20 HJBD C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 493894944 SJLDIJQULLTCJI-SJKOYZFVSA-N 409.364 4.608 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1OC 501450663 HVMVEMBMYADGID-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](c2ccccc2)C2CCCC2)cc1[N+](=O)[O-] 502720726 CWELDMBDAILNOS-QFIPXVFZSA-N 414.527 4.884 5 20 HJBD COc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCc1cccnc1 507152150 PIISSTLTHJBDBQ-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD COc1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 509842150 DGLHSBXKKKXTLP-QGZVFWFLSA-N 422.485 4.554 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(C)c(O)c2)cc1[N+](=O)[O-] 510073430 XXISVXXIGWUFNR-UHFFFAOYSA-N 422.462 4.814 5 20 HJBD CCCCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C1CC1 514777494 SSHGYSBECQKJHG-UHFFFAOYSA-N 413.440 4.621 5 20 HJBD CCOc1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1OC 516113459 PLQLLYSGXROJCG-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3ccccc3OC)c2)cc1OC 518930785 GRWLJIMJYBBPJP-UHFFFAOYSA-N 422.437 4.930 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 531264517 DPQYFBPHBYNNAS-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD CCC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 534269506 DNAGMIUNRMODNB-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])c2)CC1 535821662 WEXWZVPSGHUVQB-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCOCC12CCCC2 536493353 QKYCJFSKNQUVBR-UHFFFAOYSA-N 416.861 4.826 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNC3(c4cccc(C(F)(F)F)c4)CCC3)n2)c1 539358140 MCPORMQLAFIJNH-UHFFFAOYSA-N 418.375 4.833 5 20 HJBD COc1ccc(C2(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)CCCC2)cc1OC 539661806 WOGFQCXXJVKWQJ-UHFFFAOYSA-N 421.453 4.611 5 20 HJBD Cc1ccc(-c2csc3nc(CC(=O)c4cccc([N+](=O)[O-])c4)nc(O)c23)cc1 540508167 YJXJIJXNFHEXMY-UHFFFAOYSA-N 405.435 4.706 5 20 HJBD C[C@@H](NC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 541396480 OEGZGYVGFNSUEF-LLVKDONJSA-N 405.332 4.760 5 20 HJBD O=C(Nc1ccc2ncnc(O)c2c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541602539 PWXBRIWTWDCMTN-UHFFFAOYSA-N 424.482 4.778 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OCC1CCOCC1 551404801 XLBWGXWXPDORHW-UHFFFAOYSA-N 412.486 4.625 5 20 HJBD C[C@H](Nc1ncnc2sc([N+](=O)[O-])cc12)c1ccc(OCc2cccnc2)cc1 552938035 WVCXVQMGCNOIJX-ZDUSSCGKSA-N 407.455 4.747 5 20 HJBD COc1ccc(NC(=O)COc2c(Cl)cc(Cl)cc2Cl)c([N+](=O)[O-])c1 555549986 RWCKPZMPBMTENM-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)[C@@H]1C[C@H]1C 557974777 UXZNJYLVBUTGJV-ADLMAVQZSA-N 421.375 4.627 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 558307554 WGOLVAARCMLGJV-GOSISDBHSA-N 407.495 4.910 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1C[C@H]2CCCCN2c2ccccc21 561629917 CQXZLXTVJKTLDC-OAHLLOKOSA-N 405.376 4.633 5 20 HJBD Cc1ccc(-c2noc(-c3ccccc3OCc3c(C)noc3C)n2)cc1[N+](=O)[O-] 564232444 OUPBPDRSCUEBLY-UHFFFAOYSA-N 406.398 4.804 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3cccc(OC)c23)no1 568680045 DDFTZCPKZJDXNU-HNNXBMFYSA-N 406.442 4.795 5 20 HJBD COc1cccc2[nH]cc(Cc3noc(-c4cc([N+](=O)[O-])ccc4C(F)(F)F)n3)c12 571229410 CUDUQEBZNNIVRP-UHFFFAOYSA-N 418.331 4.744 5 20 HJBD O=C1COc2c(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)cc(Cl)cc2N1 574358455 JDHQFXABJSOIQU-UHFFFAOYSA-N 415.858 4.920 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 577665672 CATBFQYOPKRYCP-RTWAWAEBSA-N 423.469 4.953 5 20 HJBD Cc1cccc(C)c1NC(=O)N1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 603646852 OFLPKVNUGUJTMI-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(NCCCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603784592 BBPDUQWCFKTNCF-UHFFFAOYSA-N 416.437 4.626 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCc1cccc(Br)c1 609410400 QBUHPCVRTMAVHA-CYBMUJFWSA-N 423.332 4.587 5 20 HJBD CCC(CC)(CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@H](C)c1ccccc1 609550279 YXTRNYFTMOOGEX-OAHLLOKOSA-N 403.910 4.888 5 20 HJBD C[C@@H](C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610234033 ZLAVDSWPMQOYNE-XIKOKIGWSA-N 410.417 4.991 5 20 HJBD Cc1[nH]nc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c1-c1cccc(Cl)c1 610456085 IQJPQOANFAAAQQ-UHFFFAOYSA-N 413.865 4.778 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1F 614654308 IPEJUQAZDZUZPM-IBGZPJMESA-N 418.808 4.651 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727708717 DSEKPRSWUQVQKG-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1ccc(Cl)c([N+](=O)[O-])c1 727747405 NNPNARSVDISAIE-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD Cc1sc2ncnc(SCC(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 729110353 CQXFXROIVOJZNB-LLVKDONJSA-N 403.485 4.613 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)cc1 731529936 JMRZRPIPMQQTGT-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc2c(c1)nc(C(F)(F)F)n2C(C)C 734389283 PIZRTFCAAKQCMJ-UHFFFAOYSA-N 423.347 4.772 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445033 DPNQQPSKTRHFOV-MDZDMXLPSA-N 407.407 4.616 5 20 HJBD CC(=O)c1cccc(O[C@H](C)C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 745313592 SBXQSYHMLZYWRK-MRXNPFEDSA-N 405.406 4.837 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1 746154810 UAUZYLRFLZLACC-UONOGXRCSA-N 413.455 4.738 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)n1 747378775 CUSSINMAUMXGTL-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CSc1ncc(Cl)c(C(=O)Oc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])n1 749063409 XMYYHICFUBLEOL-UHFFFAOYSA-N 415.858 4.570 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CC2(CCCC2)c2c(F)cccc21 749244188 HTOLCQXXCVXMND-UHFFFAOYSA-N 409.461 4.806 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC=C(c2ccc(Cl)cc2)CC1 752058037 MLIPYMIMQDOVAJ-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 755262171 YXQCQXNIEWZQLI-UHFFFAOYSA-N 403.822 4.532 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cnn1-c1ccc(C)cc1 762652715 UBBQMHICIGDRHV-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCSCCCO 769292825 UUDMTIWYPFQRKE-UHFFFAOYSA-N 419.546 4.779 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@H](O)c1ccc(F)cc1 777420387 RICJSHMWSWNNOO-KUHUBIRLSA-N 420.506 4.868 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(/C(Cl)=C/c2ccc([N+](=O)[O-])cc2F)s1 777915808 SDDIUQZSJFRXFS-QPEQYQDCSA-N 422.800 4.714 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C1CCC(NC(=O)CC2CCCC2)CC1 778791242 AGQNWWGXYVSAHE-IVMQYODDSA-N 416.518 4.763 5 20 HJBD Cc1ccc(CC(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1Br 782236398 GEPZLRPGXAOQPV-UHFFFAOYSA-N 411.683 4.520 5 20 HJBD CC(C)c1ccc(-c2nc([C@@H](C)CN(C)C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 783947893 FHZNAJIKJYNPEB-ZDUSSCGKSA-N 404.467 4.739 5 20 HJBD COc1ccc(C2=NC[C@H](CSc3nc4ccc([N+](=O)[O-])cc4s3)S2)cc1 788010821 ARDAZGYCXHYNBP-CQSZACIVSA-N 417.537 4.867 5 20 HJBD O=C(OCc1cccc(C(F)F)c1)c1cc([N+](=O)[O-])c(Br)cc1F 795542641 WICNJZCFKNLSSQ-UHFFFAOYSA-N 404.138 4.791 5 20 HJBD CCc1ccc([C@@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804153829 LWBWIDRSAAZPRU-FQEVSTJZSA-N 422.506 4.772 5 20 HJBD O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H](c1ccccc1)c1cccs1 808056564 AEQPIIOHEKHFCG-LJQANCHMSA-N 402.859 4.594 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)S(=O)(=O)c1cc(Cl)c([N+](=O)[O-])cc1F 809807164 KVMJYUCMLBAMDX-JTQLQIEISA-N 412.826 4.515 5 20 HJBD CO[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(Cl)c1 813465845 XMPHHLQPSFFFJH-CQSZACIVSA-N 403.649 4.673 5 20 HJBD CSc1ccc(C(=O)N[C@H](c2ccccc2)[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 816793818 ORNKSEYIWCEDOG-RTWAWAEBSA-N 408.479 4.521 5 20 HJBD CC(C)[C@H](OC(=O)CSc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 915368220 KULSRHUHNNSYLF-SFHVURJKSA-N 422.890 4.547 5 20 HJBD Cc1cc(C(=O)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)cc([N+](=O)[O-])c1 920841597 GUYOSYKRUOOSKI-UHFFFAOYSA-N 405.458 4.531 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCn2c(nnc2C2CCCC2)C1 1116315287 RVZPSMIMWMZZOW-UHFFFAOYSA-N 415.803 4.536 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)cc1 1117161906 RUTGBAJMIQTVRY-ZDUSSCGKSA-N 401.444 4.809 5 20 HJBD O=C(/C=C/C1CCCC1)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])o3)CCC2)cc1 1790513009 COYFXEHZLRMMCP-WUXMJOGZSA-N 423.469 4.682 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 5966150 FWGGVNAALLCAFS-NRFANRHFSA-N 404.422 4.748 5 20 HJBD Cc1cccc(NC(=S)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c1 7368700 HEYHSHIWRHVJDF-UHFFFAOYSA-N 411.487 4.561 5 20 HJBD Cc1ccc(CCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1Cl 10740284 WNAMONWYESAPMF-ZDUSSCGKSA-N 415.833 4.844 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nc4c(n3-c3ccccc3)CCCC4)c1)OCOC2 21671962 XYKZMMPGQYMMNO-UHFFFAOYSA-N 423.494 4.818 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1C 22133841 ZIGQFPBMCJTLMR-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD C[C@@H](NC(=O)Cc1csc(Cc2cccc(Cl)c2)n1)c1cccc([N+](=O)[O-])c1 55466050 QYFOLPJMFKNLLF-CYBMUJFWSA-N 415.902 4.715 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2OCC(C)C)cc1[N+](=O)[O-] 55767118 MWRGFKDEIHVILO-UHFFFAOYSA-N 404.488 4.510 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1COCc1ccccc1)c1cccc([N+](=O)[O-])c1 56400178 XQYNQSCOFADEFH-SFHVURJKSA-N 419.481 4.872 5 20 HJBD Cc1cc(NC(=O)Cc2coc3c(C)c(C)ccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 105525488 FWTVXTZRZOYQBG-UHFFFAOYSA-N 404.426 4.633 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@@H]1c1ccncc1 140925347 XTGCGBPAXPKUMH-RHSMWYFYSA-N 403.866 4.554 5 20 HJBD CSc1ccc(C(=O)NCc2ccc(Oc3cccnc3)c(F)c2)cc1[N+](=O)[O-] 195655848 DUGMQXSKLQXOKC-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD Cc1cc(C(=O)Nc2ccnn2Cc2c(Cl)cccc2Cl)cc([N+](=O)[O-])c1 195691182 FWBPAPBYFGDLQM-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD COc1cc(CNCc2nc(C)c(C)s2)c([N+](=O)[O-])cc1OCc1ccccc1 237132746 OARUCVJEEPDEFA-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD Cc1csc(SCc2ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc2)n1 247956892 MHZQTYACLLOODF-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD Cc1cc(NC(=O)CCCc2nc3ccccc3s2)n(-c2ccc([N+](=O)[O-])cc2)n1 253477246 DSDRDYSVUKIZLD-UHFFFAOYSA-N 421.482 4.660 5 20 HJBD COc1cc(Nc2ccc([N+](=O)[O-])c3cnccc23)cc(OC)c1Br 302002605 JOYRRLHDBNVQNQ-UHFFFAOYSA-N 404.220 4.666 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)c1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 302165743 KUHVZVGXZXCVQN-MRVPVSSYSA-N 409.316 4.564 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(OCc2cccc(F)c2)cc1 410390969 TUTKXRMLQHSDSY-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@H](C)c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 426018353 FWRKJUXZOWXRRR-TZMCWYRMSA-N 424.906 4.612 5 20 HJBD CC(C)(c1nc(C2(NC(=O)c3ccccc3)CCCC2)no1)c1ccccc1[N+](=O)[O-] 426575956 IBSYXLQTWNSUPA-UHFFFAOYSA-N 420.469 4.503 5 20 HJBD O=C(O)[C@H](Cc1cccc(Cl)c1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426737959 RSCORKKLNKNVNO-SFHVURJKSA-N 416.886 4.762 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 427812700 RYXRDCZSSIWPCN-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(Sc2ccncc2)cc1 435379285 ILGADYOXYWWWQL-UHFFFAOYSA-N 404.451 4.734 5 20 HJBD Cn1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)cc1C1CC1 435446285 ZISPSKAHNOCRIP-UHFFFAOYSA-N 400.485 4.671 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCCC2(CCOCC2)C1 442716615 OKRIPQTXKCFDDK-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD O=C(NC[C@@H]1CCCC[C@H]1C(F)(F)F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444093587 BEVAVKHOPHHQFA-BLLLJJGKSA-N 420.406 4.919 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444183066 MDXAZRSTJDRPLG-FATZIPQQSA-N 400.453 4.874 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(c2nc3ccccc3s2)CC1 444910099 DKSFXQOGVPNJIN-UHFFFAOYSA-N 412.471 4.625 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cc(C4CC4)nc4ccccc34)n2)c1 445582025 FWVQUHRTTPWRFE-UHFFFAOYSA-N 402.410 4.670 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(/C=C\c2nc(-c3cnc4cnccn34)no2)s1 445640188 QEMPNJBSCMLJET-VURMDHGXSA-N 416.422 4.586 5 20 HJBD CC(=O)c1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])ccc1C 448395162 KOXGOPAVXWXIBC-UHFFFAOYSA-N 404.422 4.937 5 20 HJBD C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 461336495 XCHNWSILJGAAOJ-SUMWQHHRSA-N 406.841 4.766 5 20 HJBD CCOc1c(Cl)cc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1OC 462779725 UFLOWECAFDDZLE-UHFFFAOYSA-N 402.781 4.869 5 20 HJBD CCn1c(S[C@H](C(=O)OC)c2ccccc2F)nc2cc3ccccc3cc2c1=O 463257718 MKFQRKLMYNCQJQ-FQEVSTJZSA-N 422.481 4.715 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC(C(F)(F)F)CC2)cc1[N+](=O)[O-])c1ccccn1 464498864 PPQFXPCWMXSNPX-ZDUSSCGKSA-N 422.407 4.577 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccnc(Oc2ccc(F)cc2F)c1 466512704 DBWIWJVRHBPOFB-UHFFFAOYSA-N 415.352 4.711 5 20 HJBD CC[C@@](C)(CCO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468326506 BJAKEYUUDWFFOJ-IBGZPJMESA-N 408.907 4.680 5 20 HJBD Cc1c(CNC(=O)N(C)[C@@H](C)c2ccccc2Br)cccc1[N+](=O)[O-] 480058061 JGCWRYLHSWHVEM-ZDUSSCGKSA-N 406.280 4.568 5 20 HJBD CCc1c(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cnn1C(CC)CC 482706069 KGKBNTZEFHXOKI-UHFFFAOYSA-N 408.886 4.776 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1C 485869469 CQIFOXZUQGLTEE-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1nc(-c2cccnc2)nc(NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 486000715 HMZZNOXTUVHQNY-UHFFFAOYSA-N 403.364 4.695 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1F 486361847 ALYZHHYPVOMCKX-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD Cc1ccc([C@@H]2C[C@H](C)N(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 486638750 MOXMLIUJQZAYIX-GHTZIAJQSA-N 407.514 4.524 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 487452228 BNXDDLUTHUPEMX-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccco1 489575071 UBYXZTDPWCASFA-GOSISDBHSA-N 401.806 4.761 5 20 HJBD CCN(CC)C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 491028518 PIAVNMUZTFCGCW-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 493372506 YAWHFSPJWFENDZ-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 493408989 KPSFLZJPYWIWAU-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccccc2C(F)(F)F)C2CC2)cc1[N+](=O)[O-] 496553930 NXLBTVRORUKHPL-UHFFFAOYSA-N 408.376 4.817 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497811623 JFTUMFHMNSPWQT-HZPDHXFCSA-N 414.767 4.527 5 20 HJBD CC1(C)CN(C(=O)c2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497854058 UAZTVHXOUIDTOX-QGZVFWFLSA-N 408.376 4.606 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3cccc(F)c3)cc2[N+](=O)[O-])n1 498273796 BFQRICZTNKHMGT-UHFFFAOYSA-N 403.460 4.580 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccccc1Br 507633430 DRHPEHAPUPVXIK-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD CCCC[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 507927751 AKGWWESQLKYBKJ-QFIPXVFZSA-N 424.545 4.791 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 511227617 CUDCYOAHJBSVIC-QGZVFWFLSA-N 424.501 4.658 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 515157413 PNHZRIVEKUUUOK-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD CC(C)N(Cc1ccc(-c2ccc(F)cc2)s1)C(=O)c1cc([N+](=O)[O-])cn1C 516646914 KVNCYPXOHVIPKD-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2)ccc1OCCC(C)C 517500147 GRKGJLSGQFKOHW-MRXNPFEDSA-N 415.490 4.589 5 20 HJBD C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 520450347 MOCCMZQVEFZMEQ-QGZVFWFLSA-N 420.469 4.852 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)c(C)c2c1 520727058 GNOYDVSPYXDYLD-GFCCVEGCSA-N 400.456 4.871 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)Cn4ccc5cc([N+](=O)[O-])ccc54)CC3)c[nH]c2c1 521009034 ZRTXHUAMGPMYFR-UHFFFAOYSA-N 414.465 4.655 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCC(=O)Nc1cccc([N+](=O)[O-])c1C 535211698 NISVRLMNBCDMQK-OAHLLOKOSA-N 404.536 4.813 5 20 HJBD C[C@H](c1ncc(C(C)(C)C)o1)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536308070 XMBDYYKPQPTMHS-YASQENCXSA-N 403.460 4.545 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(Br)cc2C(C)C)cc([N+](=O)[O-])c1 536317874 WYDGZZXGBSKJCX-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD Cc1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)nn1-c1ccc(F)cc1 539622651 AVXKBHVIMGWVFI-UHFFFAOYSA-N 408.433 4.817 5 20 HJBD CN(C(=O)Cc1ccc(-n2cccc2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540444930 HENGDCWRVDKZSA-UHFFFAOYSA-N 418.478 4.715 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)CSc1ccccc1[N+](=O)[O-] 541367241 KHVWNOMVVBQWBI-UHFFFAOYSA-N 410.455 4.561 5 20 HJBD COc1ccc(CNc2cccc3c2OCO3)cc1OCc1ccc([N+](=O)[O-])cc1 541994785 ZTPRDDFPZRTKEU-UHFFFAOYSA-N 408.410 4.523 5 20 HJBD CCc1ccc([C@H](NCCCc2nc3ccccc3[nH]2)c2ccc([N+](=O)[O-])cc2)o1 543405889 FCZSSPFJOQYILJ-HSZRJFAPSA-N 404.470 4.938 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)c(Cl)c1 545569234 ALVCHWXXQBUUCR-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD C[C@@H]1CCCN(c2ccc(-c3nc([C@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])C1 547127111 MYDSLKOHYVTHKN-VFNWGFHPSA-N 420.469 4.543 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(COc2ccccc2)CC1 559592912 QWLYZNWZFOACEO-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CC[C@@H](Oc2ccc(Cl)cc2)C1 563983993 YYUJVIIYLGNIQH-GOSISDBHSA-N 423.856 4.604 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 566033028 DKEWCOZZQMENJK-KBPBESRZSA-N 411.433 4.574 5 20 HJBD Cc1cc(OCc2nc(-c3ccc(COCC(F)(F)F)cc3)no2)ccc1[N+](=O)[O-] 569271241 RWJHONLJFVTVOI-UHFFFAOYSA-N 423.347 4.611 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600860774 PPVXXEYXAVLOLP-UHFFFAOYSA-N 424.423 4.506 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1F 603518485 UVZAJWFJGJHOOE-UHFFFAOYSA-N 402.369 4.898 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 603526474 XHQJBYUTLQMTCH-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD CNC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 603570524 RCHMYCPLZFUUHK-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1cccnc1)c1ccc(Br)cc1 603990294 LSVJPWGGVHATOY-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD Cc1sc(NC(=O)c2cncn2-c2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997917 YYXQPSYAWBTIJU-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 608897035 QNVMPSRADMWAKP-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD COc1cc(C(=O)Nc2scnc2-c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 609059350 HECDDOYLOQDSFS-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 609065293 QNQUZTSOYLXRLV-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCCO[C@@H](c3ccccc3)C2)c([N+](=O)[O-])c1 609233528 YVUUJQQAOIPCJC-HSZRJFAPSA-N 402.450 4.794 5 20 HJBD Cc1cccc([C@@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1C 609656459 YBHMKQXUPKSSTP-MRXNPFEDSA-N 406.486 4.564 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609722512 ZFGUJHVFZFDQQK-GFCCVEGCSA-N 419.890 4.846 5 20 HJBD O=C(CSCC(=O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762879 ZSEBHPLKWAZPEZ-UHFFFAOYSA-N 422.462 4.942 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3ccccc3s2)C1 609792256 SZNMMSPHTQYHBZ-CQSZACIVSA-N 415.902 4.807 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2n1 609880505 KCGVRORTMAOQLH-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 609974240 XAIKZTLSEGTGCR-UHFFFAOYSA-N 401.781 4.554 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccc1 610922020 GOCKHZYICSQTMG-KRWDZBQOSA-N 412.467 4.622 5 20 HJBD COC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611203417 CCXVVYNUTXKRIN-UHFFFAOYSA-N 400.456 4.668 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 611285895 KQSIUVVVVUKVTF-LJQANCHMSA-N 400.866 4.887 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2csc3ccccc23)cc1[N+](=O)[O-] 729962662 VHQVHNIDXGQWIN-ZDUSSCGKSA-N 416.524 4.929 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ncc(-c2ccccc2)o1 741317032 YSCSQAKRMQWNBX-OLZOCXBDSA-N 416.817 4.975 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(OCC4CCCCC4)CC3)c2c1 742169779 KQLCQPBEXLDQNJ-UHFFFAOYSA-N 405.520 4.891 5 20 HJBD O=C(NCc1ccc(Cl)s1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750843162 KOSWBOGDBHIIEX-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 754671727 FSLXSPQYQSDOPS-STQMWFEESA-N 416.528 4.766 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 761238292 IAOQLODKHNXHND-UHFFFAOYSA-N 421.453 4.764 5 20 HJBD Cc1cc(C(=O)[C@@H](C)OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)n1Cc1ccco1 763400015 BTMOTQJADHPTMH-NVXWUHKLSA-N 424.453 4.573 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])c4cccnc34)co2)cc1 766231119 LVAXBFLWGJVBKR-UHFFFAOYSA-N 419.393 4.554 5 20 HJBD CC(C)(C(=O)OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc([N+](=O)[O-])cc1 767349243 KDATUPKVXJOOJY-UHFFFAOYSA-N 408.332 4.776 5 20 HJBD Cc1ccc([C@H](C)NCCCN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775550122 VMJAZBUBLCCYGT-CALCHBBNSA-N 400.479 4.511 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778782372 BXVIYGQMLLCSKD-UONOGXRCSA-N 402.472 4.647 5 20 HJBD Cc1c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1C1CCCCC1 781305572 RYITVPXRJUMYRJ-UHFFFAOYSA-N 410.430 4.617 5 20 HJBD CN1C[C@H]2CN(C(=O)CCC(=O)c3ccc4ccc5cccc6ccc3c4c56)C[C@H]2C1 787634161 GPCIFCTUMRXQTM-OYRHEFFESA-N 410.517 4.567 5 20 HJBD Cc1cc(NCc2cc(C(F)(F)F)cc(S(C)(=O)=O)c2)c(Cl)cc1[N+](=O)[O-] 804697933 WEGBIBXKASQUDJ-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD O=[N+]([O-])c1ccc(CNC2(Cc3ccc(F)cc3Cl)CCOCC2)cc1Cl 816660895 SYDCELVDBFWDQY-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(N(C)C)cc2)cc1 915128140 QLJAHCOLSNVGIQ-UHFFFAOYSA-N 407.426 4.714 5 20 HJBD COc1ccc([C@@H]2CCCN2c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])cc1 916990109 HRXMNWVIAZEYAR-AWEZNQCLSA-N 411.336 4.872 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 917755470 BKSPDYTXTXGZEG-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD CC(C)Sc1ccc(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 919501577 GUBOXGYVPITBJB-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD CS[C@H]1CC[C@@H](N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 1116839583 NWTSUUXCASPWJD-AEFFLSMTSA-N 400.500 4.530 5 20 HJBD CC1CCN(CCc2nnc(-c3cc([N+](=O)[O-])ccc3SC3CCCC3)o2)CC1 1257896550 AMDJERNQDLRSSW-UHFFFAOYSA-N 416.547 4.954 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1OC 1347681952 GOJXGVGPHPYGLK-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD O=C(/C=C/C1CCCC1)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2)CCC1 1785749700 IMYNUWJAZQQJFG-WUXMJOGZSA-N 423.469 4.682 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 30477577 DSWQMBWYARMILZ-NSHDSACASA-N 412.364 4.512 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 64777602 RKGDAYLMOPTZLD-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(OCC(F)(F)F)nc3)s2)cc1 237683626 HKJVUEHMUPGBEI-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD Cc1cccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(C)n3)n2Cc2ccco2)c1 301658429 SSRABFMQNPGYGJ-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3[C@H]4CC[C@@H](C4)[C@H]3C(=O)O)cc2[N+](=O)[O-])cc1 426823330 IJOMQGAPRIRMIE-AFMUBRCDSA-N 412.511 4.643 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(OC[C@H]2CCCCO2)CC1 427150851 SBKSAVSOIAHQJK-HXUWFJFHSA-N 404.510 4.578 5 20 HJBD CC(C)c1noc(CCC(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)n1 435444922 UAUQNYZANXBBDJ-UHFFFAOYSA-N 418.500 4.885 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 436165402 NQWWHWSGIYDZTC-ZJNRKIDTSA-N 424.423 4.870 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1 439007240 YVULWTPXILALFZ-UHFFFAOYSA-N 406.438 4.563 5 20 HJBD CCCOc1cc(NC(=O)Nc2ccc(O)cc2C)c([N+](=O)[O-])cc1OCCC 443568727 HIHCMUPUDMLMST-UHFFFAOYSA-N 403.435 4.830 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccn1 443826412 LPBJXUPRTUATTN-UHFFFAOYSA-N 401.344 4.856 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 445273164 HFWKTPKKAZTWST-LBPRGKRZSA-N 414.849 4.993 5 20 HJBD Cc1cccc(C)c1NC(=O)Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 445315592 IOGWEZVDRFKLMG-UHFFFAOYSA-N 408.458 4.740 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446839701 BSPYEAQUFJKPPG-UHFFFAOYSA-N 403.438 4.764 5 20 HJBD Cc1ccc([C@H](C)N(C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)c1 447019769 CZKJCCYWEYYERU-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccccc2Cl)CC1 462628870 SNPGNVBFSADCHA-KRWDZBQOSA-N 416.905 4.887 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)n1 463105527 GRFMEDCDVHLUNJ-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD O=C(N[C@@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1ccc([N+](=O)[O-])cc1 464241337 JZXHIIKUULTGDU-NRFANRHFSA-N 424.840 4.539 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1Br 472845729 FXGCNLFCTFEIRY-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD COc1cccc(C=C2CCN(C(=O)CCCNc3ccc([N+](=O)[O-])cc3)CC2)c1 472964018 OVMQKNDJKMGTEE-UHFFFAOYSA-N 409.486 4.502 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)cc1 473822406 OAJVWMAYDNEDLC-AWEZNQCLSA-N 409.446 4.707 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 474160076 TVVSTKMZACPNSQ-NSHDSACASA-N 412.412 4.585 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 479667584 RICJUFGQIATCKA-UHFFFAOYSA-N 405.439 4.520 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 480732017 NFHQNXYAIXRHFG-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](CO)c2c(Cl)cccc2Cl)c1Br 481039853 QBSLOMYHYUFLDZ-JTQLQIEISA-N 421.078 4.513 5 20 HJBD Cc1c(CNC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 481472339 JQWXPZYPOOEABP-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD CCCOc1cc(NC(=O)c2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCCC 481908159 SNDWDGULFCMJIC-UHFFFAOYSA-N 412.364 4.842 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](c2ccccc2F)C1 482905040 SPUCDEKDUFBQAK-CABCVRRESA-N 418.490 4.539 5 20 HJBD COc1c(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)cccc1[N+](=O)[O-] 483123293 UWTHRCPJOAZIHJ-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD CSc1cccc(C(=O)Nc2ncc(Cc3cccc(F)c3)s2)c1[N+](=O)[O-] 485759454 STTCFQAXMSLKHN-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD CSc1cccc(C(=O)NC[C@H](c2ccccc2)N2CCC(C)CC2)c1[N+](=O)[O-] 486488145 NEJYPUCWWCSQNQ-LJQANCHMSA-N 413.543 4.520 5 20 HJBD CCOc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Br 490485431 SCVYQBXFJSFILH-JTQLQIEISA-N 411.227 4.637 5 20 HJBD COc1cccc([C@H]2CCCN2Cc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c1 491091315 OTORPIKLFFIWBM-QGZVFWFLSA-N 422.428 4.593 5 20 HJBD CCn1c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])nc2ccccc21 492103968 JUPHXAMJEYBPMB-UHFFFAOYSA-N 416.437 4.796 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(N3CCCC3=O)ccc2Cl)cc1[N+](=O)[O-] 493311258 SIMADSOHGZZVNG-ZDUSSCGKSA-N 416.865 4.566 5 20 HJBD CCN(CC)C[C@@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 498806240 ZMLPTVGQWJNTGA-CQSZACIVSA-N 405.882 4.501 5 20 HJBD O=C(NCC1CCSCC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 499355832 AZUUHWKAKLBJSO-UHFFFAOYSA-N 419.934 4.733 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC[C@H]1/C=C\c1ccccc1 505365356 CFXQTCOLSWAKBW-YHWKNFMLSA-N 401.260 4.675 5 20 HJBD Cc1ccc([N+](=O)[O-])c(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)c1C 507603174 RSALBJSVHKQJOZ-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)[C@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 518725419 RFVQGFXDJNQDRA-IRXDYDNUSA-N 420.465 4.915 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F 520467314 LUFIYNUPNMIZEE-UHFFFAOYSA-N 401.772 4.880 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCSC[C@H]1c1ccccc1 523766583 OULYFGAAFGKPII-HNNXBMFYSA-N 409.414 4.518 5 20 HJBD O=C([C@@H]1C[C@H]1c1c(F)cccc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 523844665 QRPLRBWUMKHMTM-CHWSQXEVSA-N 414.330 4.568 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2CC(C)C)c([N+](=O)[O-])cc1OC(F)F 524864217 FOYOKUBHYLWPAL-ZDUSSCGKSA-N 400.422 4.636 5 20 HJBD CCCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(F)cc1)c1cccnc1 525352175 CQELNMUVGCOXPY-QHCPKHFHSA-N 423.444 4.536 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H](C)c2sc(C(C)C)nc2C)c([N+](=O)[O-])cc1OC 533729922 MOPTWLDVHUMSNI-CYBMUJFWSA-N 421.519 4.724 5 20 HJBD CN1C(=O)CCc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc21 535402873 BYRPDYGPZRJQSE-UHFFFAOYSA-N 417.421 4.548 5 20 HJBD CN(C(=O)[C@@H]1CCSc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150899 YBHUBIAAIWSDIW-CQSZACIVSA-N 411.508 4.961 5 20 HJBD C[C@@H](NCC(=O)N(C)[C@H](c1ccccc1)c1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 540377254 LHUJRXJVWNMYRI-MZNJEOGPSA-N 421.472 4.633 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(F)cc2Nc2ccccc2F)c1[N+](=O)[O-] 543099364 HDOTXDMZTMRDFX-UHFFFAOYSA-N 401.373 4.715 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(Cc1ccco1)c1ccc(F)cc1F 543141754 DVDASISQSJACGX-UHFFFAOYSA-N 404.394 4.792 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](C)[C@H](C)c1ccccc1C(F)(F)F 543495514 ZJRVKPYUHCRDMZ-UONOGXRCSA-N 409.408 4.642 5 20 HJBD COc1ccc2oc([C@@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)c(C)c2c1 544479186 MLGZMYCTOAUPLU-CYBMUJFWSA-N 408.414 4.559 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@H]2c2ccccc2C(F)(F)F)n1 547082640 GMZSVWDQEWAYQT-KBPBESRZSA-N 418.375 4.922 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](NCCc2cc(C(F)(F)F)ccn2)c2ccccc2)c1 550883639 YQYZXDOXDDPASI-FQEVSTJZSA-N 401.388 4.930 5 20 HJBD COc1cccc(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)c1[N+](=O)[O-] 561018862 VCWMJGAXNWQHDF-UHFFFAOYSA-N 401.781 4.710 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 567175245 LHXUBPKQQHLCAS-WBVHZDCISA-N 409.486 4.518 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@H](n4cc(Cl)cn4)C3)o2)c(Cl)c1 571934063 NCJYOBPXYBZCDR-AWEZNQCLSA-N 407.257 4.805 5 20 HJBD COc1ccccc1OCCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 581705137 JAAUYXYIPARIDM-UHFFFAOYSA-N 424.478 4.563 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 583151097 HJZBZUWVTVVUKT-UHFFFAOYSA-N 407.401 4.823 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc4c(C)nn(C)c4s3)n2)cc1[N+](=O)[O-] 590648069 KPYOZGAZUHFVTA-UHFFFAOYSA-N 413.484 4.536 5 20 HJBD CCOC(=O)c1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1Cl 609147775 RLFSLOSWUOPYFA-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD Cc1cc(C(=O)N[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)ccc1[N+](=O)[O-] 609186752 NRZQOCHNIMCZTJ-INIZCTEOSA-N 411.458 4.973 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCSC[C@@H]1c1ccc(Cl)cc1 609703258 BNFKHBYIRNICMK-LJQANCHMSA-N 419.934 4.757 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609970531 OMZDFPAPKDDVNT-CYBMUJFWSA-N 418.375 4.617 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828525 LUQPVAFCRFGASW-VIFPVBQESA-N 423.705 4.937 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1ccccc1[N+](=O)[O-])c1ccccc1Cl 730368357 UBWKLOJRKLXBMR-UHFFFAOYSA-N 416.842 4.919 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1csc(-c2cccs2)n1 730957669 FREQKWJGWBRMAY-XFFZJAGNSA-N 417.855 4.778 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1cc([N+](=O)[O-])ccc1Cl 732578476 OURPHGRUJJESJX-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CS(=O)(=O)Cc2csc(-c3cccs3)n2)c1 735562360 JJGRCVDTSPJABQ-UHFFFAOYSA-N 414.917 4.548 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 749968257 LOOQPISAPUKGRT-HNNXBMFYSA-N 415.660 4.769 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H]1CCCCC1(C)C 752747563 YNGRVRMLFWTYSN-QGZVFWFLSA-N 414.506 4.988 5 20 HJBD C[C@@H](C(=O)OCc1csc(-c2ccc(F)cc2)n1)c1ccc([N+](=O)[O-])cc1F 755065038 NZYAAHBZYDGDRR-LLVKDONJSA-N 404.394 4.843 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1cccc(C(=O)Nc2ccccc2)c1 756529159 SANRUQFGCNQEMC-UHFFFAOYSA-N 404.422 4.523 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1nnc(-c2c(Cl)cccc2Cl)o1 756536381 LSGDNAVUYAILKN-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD C[C@H](C(=O)Nc1cccc(CN(C)C(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 761523656 IKZHGOZGLBGDJS-HNNXBMFYSA-N 413.474 4.704 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)OCc1ccc(C(F)F)cc1 764550149 IMQYEPUKEUUXHK-UHFFFAOYSA-N 400.175 4.581 5 20 HJBD C[C@@H](C[C@@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765129525 AKUCCXOVZKDFLY-SUMWQHHRSA-N 420.556 4.933 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)C1=Cc2ccc(OC(F)F)cc2CC1 766044110 ZVQCCNNALPXMEA-UHFFFAOYSA-N 424.425 4.542 5 20 HJBD C[C@@H](c1ccccn1)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(N(C)C)cc1 766588145 NJWJJFHZPKJDQT-HNNXBMFYSA-N 408.433 4.603 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(-c3ncco3)cc2)CC1 766671063 HSVIRIIRXVXSDZ-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 768356121 QANLZZQBOYNVBD-LBPRGKRZSA-N 422.482 4.749 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(OC)c(OC)cc3OC)o2)c([N+](=O)[O-])c1 770592036 HIVKVDWWDDOXII-UHFFFAOYSA-N 414.414 4.501 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2cccc(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 771691337 UAKDAZUJIQMMSV-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N3CCc4cc(C)c([N+](=O)[O-])cc43)cs2)cc1 773988909 RKFVQSQFDCTFJM-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1c(F)cccc1F 780285571 FHXLLEXZTIYREF-LLVKDONJSA-N 424.425 4.559 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)OCc1ccc([N+](=O)[O-])c(F)c1 787015021 SICSDKHDCLZZGF-UHFFFAOYSA-N 420.849 4.721 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc2oc(C(F)(F)F)nc12 790959236 IMFZCUXHCJAVJP-UHFFFAOYSA-N 417.702 4.729 5 20 HJBD CC[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 796257209 VKDJEVZKTCQCGY-OAHLLOKOSA-N 409.280 4.615 5 20 HJBD O=C(Nc1ccccc1[C@@H](O)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2s1 798261853 GTLCJKBFXLVVCE-HXUWFJFHSA-N 405.435 4.539 5 20 HJBD O=C(NCCSC(F)(F)F)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799316701 XMDLPMGWYRXNFT-UHFFFAOYSA-N 402.419 4.729 5 20 HJBD Cc1cccc(-c2nnc(SCc3ccc(Br)c([N+](=O)[O-])c3)n2C)c1 800831526 NCKRPLPBNPGKOT-UHFFFAOYSA-N 419.304 4.754 5 20 HJBD C[C@@H](c1nc(-c2c(Cl)nc3cc(Cl)ccn23)no1)c1ccc([N+](=O)[O-])cc1F 809525383 IXWCVTQWUJDWDZ-MRVPVSSYSA-N 422.203 4.890 5 20 HJBD CCN(C[C@@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1)CC(F)(F)F 809951403 ANMMFSNLWYRHTC-NSHDSACASA-N 414.255 4.608 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc(Cl)c(Cl)c1 811218793 DYMQUUUYFFAKFI-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD COc1cc(C(=O)NOCCC2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 817437443 MDMXZNRIUXOXNP-UHFFFAOYSA-N 406.822 4.511 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] 820150764 ZLKUJMAHICXWJK-CQSZACIVSA-N 417.893 4.744 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2F)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 844130968 JSGGAHWQUGSGCM-VIFPVBQESA-N 422.825 4.557 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CC(=O)N(C2CCCCC2)C1 914934147 SYPOBYOTFVOMJB-QGZVFWFLSA-N 402.494 4.612 5 20 HJBD CC(C)CN(c1ccccc1Cl)S(=O)(=O)c1ccc(Cl)c([N+](=O)[O-])c1 915671634 TXTWTVZZZDGXAW-UHFFFAOYSA-N 403.287 4.753 5 20 HJBD O=C(OCc1nccs1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 917953400 OFPBKDHHAHZBNS-UHFFFAOYSA-N 403.847 4.674 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])c1ccc(C2SCCCS2)cc1 918900652 YGHMVUADVZVJLG-UHFFFAOYSA-N 405.497 4.699 5 20 HJBD CC(C)(C(=O)N1CCC(c2nc3ccccc3o2)CC1)c1ccc([N+](=O)[O-])cc1F 1116615071 QBVZTFUBISDZHD-UHFFFAOYSA-N 411.433 4.559 5 20 HJBD CCCc1c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cnn1-c1ccc(F)cc1 1261569289 APBVTFYFWGWURX-UHFFFAOYSA-N 423.404 4.501 5 20 HJBD Cc1ccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2c1[C@H](C)CC2=O 1318107124 SAUYRIBUJAZJCN-GFCCVEGCSA-N 408.435 4.936 5 20 HJBD CS(=O)(=O)Cc1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1318524898 PWDTUMUEAMVEFG-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD O=C(NCCc1coc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 1320665724 CVSPDUPFEFSSHC-UHFFFAOYSA-N 420.347 4.633 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)c(F)c1 1339041949 YXJRHQBTGBSKIA-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(O[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccc([N+](=O)[O-])s1 3495127 KAKPHKAFVLVSCO-HXUWFJFHSA-N 406.419 4.919 5 20 HJBD Cc1cnc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)s1 10556087 ZYDIDHQPULUZIR-UHFFFAOYSA-N 402.863 4.878 5 20 HJBD C[C@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 11331795 FKROKBGYOIRECA-VIFPVBQESA-N 422.821 4.786 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(COc2ccc([N+](=O)[O-])cc2Cl)o1 23265495 RHOIQFQRWKUVSP-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCc4sccc4[C@@H]3c3cccs3)c1)OCOC2 29122116 KUYLYXAMQJUODK-LJQANCHMSA-N 414.508 4.732 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CC=C(c2cccc3ccccc23)CC1 63789236 PRIVXRBATLXOPO-UHFFFAOYSA-N 401.466 4.789 5 20 HJBD CCOC(=O)c1ccc(N(CC2CC2)C(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 64362407 MBTKDCIZOCRURS-UHFFFAOYSA-N 414.483 4.550 5 20 HJBD CCOc1cc(NC(=O)N(Cc2cccs2)C2CC2)c([N+](=O)[O-])cc1OCC 97308586 SGCBOGFXWJHDOX-UHFFFAOYSA-N 405.476 4.650 5 20 HJBD COCCOc1cc(C)ccc1CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1 237097821 WMCCIDMBKUMTOG-UHFFFAOYSA-N 412.511 4.947 5 20 HJBD O=[N+]([O-])c1cc(I)ccc1N1CCC[C@H]1c1cccs1 303378327 LBIVEMNDGNSLGD-LBPRGKRZSA-N 400.241 4.602 5 20 HJBD Cc1sc(NC(=O)Cc2cccc(OC(F)F)c2)nc1-c1cccc([N+](=O)[O-])c1 409814668 URKRIRHSNKZFDP-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)Nc1ccc([N+](=O)[O-])cc1F 409901914 LQBMYWVSKOHQPT-UHFFFAOYSA-N 413.352 4.934 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cccc(Cl)c1 426705951 QUTKOIGSPSLYJK-MRXNPFEDSA-N 414.845 4.541 5 20 HJBD CCCc1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cnn1-c1ccc(F)cc1 429332912 MKDSVHMRYPTZHO-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435820607 XXSWQPAQOOTBID-UHFFFAOYSA-N 415.468 4.981 5 20 HJBD COc1cc(C(=O)NCc2ccc(Sc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 437479521 JKBMCNJUCJRULG-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD CCOc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1Cl 437746913 PDSVTMAQVWDFAB-UHFFFAOYSA-N 419.865 4.722 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC[C@H](CCCc2ccccc2)C1 439691141 MJQHJUCOYZYIOL-SFHVURJKSA-N 419.481 4.724 5 20 HJBD C[C@H](Nc1ncc([N+](=O)[O-])s1)c1cccc(NC(=O)Cc2ccccc2F)c1 443511044 RNWRITPXRPVVOB-LBPRGKRZSA-N 400.435 4.545 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](c2c(F)cccc2F)C1 444335481 AASQXFKCBQFOMO-HNNXBMFYSA-N 404.416 4.589 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)c2ccccc2OC)cc1 446490692 PBGNGIRBPFIORD-JOCHJYFZSA-N 421.453 4.832 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c12 464137607 AEAIRUXXTMIQIG-UHFFFAOYSA-N 420.469 4.937 5 20 HJBD CC[C@@H]1CCCC[C@@H]1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464154850 BTYYACZPCBLKHP-KDOFPFPSSA-N 403.504 4.667 5 20 HJBD C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 467909159 FLDWFNOROICJBY-VIFPVBQESA-N 413.327 4.626 5 20 HJBD Cc1ccc(N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])cc1NC(=O)CCN(C)C 468036652 FCQLMLVSBDCFHL-GHTZIAJQSA-N 424.545 4.617 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccco1 468370679 GNINBKAUHVZPKD-SFHVURJKSA-N 419.437 4.809 5 20 HJBD C[C@H](O)C[C@@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 468557464 YNPYUQGDSFTKBP-YOEHRIQHSA-N 418.877 4.806 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)[C@@H](C)C(C)(C)C)cc([N+](=O)[O-])c1OC 470197647 KEBFVJYYQIEWQX-HNNXBMFYSA-N 400.475 4.689 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 472828633 IGQGLZKANSDMOU-CYBMUJFWSA-N 416.384 4.563 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@H](c3ccc(OC(F)F)cc3)C2)cc1[N+](=O)[O-] 474153941 WQYKFHCHVNKWEZ-IBGZPJMESA-N 420.412 4.533 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(C(F)(F)F)c1 479085901 PYHFZKPFCPNUJZ-UHFFFAOYSA-N 418.375 4.855 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 479573227 HVUAPFHLCHFLOT-INIZCTEOSA-N 404.747 4.692 5 20 HJBD Cc1ccccc1[C@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C(C)C 483079351 BPFYTGUWVFOBLU-JOCHJYFZSA-N 420.513 4.892 5 20 HJBD O=C(N[C@@H]1CCCCC12CCCC2)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 485074072 QLFDPTXQLIYYJU-MRXNPFEDSA-N 400.397 4.769 5 20 HJBD Cc1c2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2nn1C 485455261 OFYKRPRAEZKZNT-UHFFFAOYSA-N 416.437 4.621 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)c(F)c2)s1 487649444 PJOZDFIIHOZBOJ-UHFFFAOYSA-N 421.450 4.651 5 20 HJBD Cc1csc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 489154141 FVSKJTXTHIVPEY-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1c(Cl)cccc1[N+](=O)[O-] 489665045 LDIXOFVKDIMQMG-NSHDSACASA-N 413.680 4.588 5 20 HJBD Cc1c(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)cccc1[N+](=O)[O-] 490021772 DMONJBHZXJHOIS-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3F)cs2)cc1OC 490230692 PITBXZPJBLWCLW-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCc3ccc(C(C)C)cc32)c([N+](=O)[O-])cc1OC(F)F 494207427 DEBBBUVSAXBYGV-MRXNPFEDSA-N 420.412 4.746 5 20 HJBD Cc1cccc(NC(=O)C[C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 494467265 GSKNSLFDJZRCRP-ZDUSSCGKSA-N 409.408 4.737 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2F)s1)c1ccc([N+](=O)[O-])c2cccnc12 498187447 IIUPLIBSJDYYQR-UHFFFAOYSA-N 408.414 4.582 5 20 HJBD CCc1nc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)nn1-c1c(Cl)cccc1Cl 499302514 VCOMODNASYQOMH-UHFFFAOYSA-N 420.256 4.605 5 20 HJBD CN(C)CCCC1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)CC1 501647582 DOFATJRLUWDZGN-UHFFFAOYSA-N 410.518 4.532 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@@H]1c1cccc(Br)c1 502019264 PZOTWUVBDUZNBJ-RTBURBONSA-N 417.303 4.898 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)COc2ccccc2[N+](=O)[O-])s1 502508648 LAUFXPNTMZPNPH-UHFFFAOYSA-N 417.874 4.621 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)COc3cccc([N+](=O)[O-])c3)s2)cc1 502576511 VSORWDUBMYLXFC-AWEZNQCLSA-N 411.483 4.591 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1c(Cl)cccc1C(F)(F)F 504538274 HYKJFKYCKLIECS-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD CC[C@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(OC)cc1 505357378 JMAQNEQTIIFVDH-QGZVFWFLSA-N 412.486 4.557 5 20 HJBD COCc1nc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c(-c2ccccc2)s1 514691788 RNSNVQNEWTWHKQ-UHFFFAOYSA-N 408.439 4.890 5 20 HJBD Cc1c(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)cccc1[N+](=O)[O-] 518043181 KPUDSPQGOUQTAO-KRWDZBQOSA-N 413.232 4.563 5 20 HJBD COc1ccc(CC(=O)N(CCc2ccccc2)Cc2ccc(C)s2)cc1[N+](=O)[O-] 524088625 NVUDODFMVZZNRW-UHFFFAOYSA-N 424.522 4.787 5 20 HJBD CCOCCOCc1cccc(CNc2ccc(Br)cc2[N+](=O)[O-])c1 524265027 QSTRACRBCZWNBF-UHFFFAOYSA-N 409.280 4.523 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 534950747 VSCUEOLOIGUTSL-UHFFFAOYSA-N 418.755 4.602 5 20 HJBD CN(C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151273 ZAXBFAZFNYCCJI-LSDHHAIUSA-N 415.421 4.763 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC[C@H]1C1CCOCC1 538601448 PFMDCCUGUOYDAK-NRFANRHFSA-N 412.511 4.777 5 20 HJBD Cc1cccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1F 539519423 YAKJJNPIOJSFQO-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1coc(-c2cccs2)n1 540436987 UROHHYMOIGFUGK-UHFFFAOYSA-N 403.485 4.742 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccco1 544402409 CTUNOOGHWSAMDX-SFHVURJKSA-N 417.531 4.503 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(Cc2nc3ccccc3n2C(F)F)no1 545618349 WELDJBUDWDWCHK-SOFGYWHQSA-N 415.331 4.623 5 20 HJBD C[C@](O)(C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1)C(F)(F)F 545952170 VIDBPSVHWHPVTI-SFHVURJKSA-N 414.449 4.849 5 20 HJBD COCCn1nc(C)c(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1C 546883438 NEQOQGIMCSRDQD-UHFFFAOYSA-N 414.437 4.598 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(Cc4c[nH]c5ncccc45)n3)cc2)c1 547194502 BUQFWSKPDCYILT-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD C[C@H](Nc1nccc(C(N)=O)c1[N+](=O)[O-])c1ccc(-c2ccc(Cl)cc2)s1 576274130 ZZCDLMCTDGYRHP-JTQLQIEISA-N 402.863 4.644 5 20 HJBD O=C1NCCCN1c1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)ccc1F 584255204 ZBOHADJVIDZYPU-UHFFFAOYSA-N 410.405 4.526 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CCOCC2)[C@@H]1C1CC1 584370333 LZYHJOLWLWPYFP-IBGZPJMESA-N 404.532 4.518 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](CO)c1ccc(Cl)cc1 588788596 NNWZIQKETRLJFM-OAHLLOKOSA-N 422.934 4.646 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603737450 IHUMSSHKOWPUNV-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD O=C(NC[C@H]1CCN(c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 603876132 APRZYZLOXIBJSJ-GOSISDBHSA-N 417.465 4.643 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3ccc([N+](=O)[O-])cc3)cc(-c3ccccc3)nc21 603999186 NFKVHIGAZWBEPY-UHFFFAOYSA-N 415.453 4.517 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(N4CCCCC4)nc3)s2)cc1 608835942 ARDUIRHCRLDZNX-UHFFFAOYSA-N 408.527 4.998 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@H](C)c1ccccc1OC 610038693 AICOWMQSBQHWDT-CYBMUJFWSA-N 421.291 4.979 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610186755 MOPKKBIUPGOLQM-DKZVUGQWSA-N 416.481 4.524 5 20 HJBD COc1ccc(-c2cc(COc3ccc(Br)cc3[N+](=O)[O-])on2)cc1 610219177 HSTNEGPIVNFMQK-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CO[C@@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 611947700 HWNKQWVMZMNGGC-MRXNPFEDSA-N 404.850 4.682 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC([C@H]2CCOC2)CC1 612308503 YLNMXWBMWUCDKS-KRWDZBQOSA-N 411.458 4.668 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 619822558 SHJVRNQKEOJOSE-SFHVURJKSA-N 409.408 4.781 5 20 HJBD C[C@@H](Cc1ccco1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 726607557 SMAOYRAXFYMEQC-LBPRGKRZSA-N 405.248 4.931 5 20 HJBD CN1CCN(C(=O)c2ccc(CNCc3c4ccccc4cc4ccccc34)cc2)CC1 727643198 FNUHBPUAFSWNIV-UHFFFAOYSA-N 423.560 4.670 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c(Cl)c1O)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 730362735 UBSPGDYQNBLLCR-MRVPVSSYSA-N 424.196 4.575 5 20 HJBD O=C(Nc1ccc(COC2CCCC2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 739830368 SFJUZIJNHOOFOO-UHFFFAOYSA-N 409.486 4.907 5 20 HJBD C[C@H](SC1=Nc2ccccc2C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 740767163 LTFYPGQUAXAKGU-JTQLQIEISA-N 409.389 4.960 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)cc1 744378967 IISUEQBHHFCEBP-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cc([N+](=O)[O-])ccc1Cl 746558735 KHUSVZXNEBSHIK-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755761587 OTJNDGPSHBHHBZ-LJQANCHMSA-N 421.478 4.514 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC(OCC2CCCCC2)CC1 756967246 LFYNMYOERJSCPM-UHFFFAOYSA-N 409.914 4.519 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 758451885 JUNVGWALUDZKPX-OAHLLOKOSA-N 413.449 4.618 5 20 HJBD Cc1c(Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cccc1[N+](=O)[O-] 762319577 KFMHXUNFOMLQKR-LBPRGKRZSA-N 400.416 4.639 5 20 HJBD COc1cc(CNc2cccc3c2CCN3C(=O)OC(C)(C)C)c([N+](=O)[O-])cc1F 766065753 HCPCVFCOYUZZNJ-UHFFFAOYSA-N 417.437 4.652 5 20 HJBD O=C(c1ccc(C2SCCS2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 768943854 GYOVQVSERRBCIW-UHFFFAOYSA-N 400.525 4.878 5 20 HJBD COC(=O)N(C)c1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1 770504490 KPMZZDKILDNPEL-UHFFFAOYSA-N 411.414 4.678 5 20 HJBD Cc1cccc(NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)c1C 778226196 LHGVOAYIPSJHPT-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD C[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(Cl)c1Cl 781645163 AQEHARCZTGQZGB-JTQLQIEISA-N 412.229 4.620 5 20 HJBD CCC1=C(C(=O)O[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)CCCO1 791545645 BPZISMVJVUSBBQ-NRFANRHFSA-N 424.453 4.601 5 20 HJBD CC(C)c1[nH]nc(C(=O)O[C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 796255361 QECTUTOWSRGJAK-SNVBAGLBSA-N 404.810 4.668 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@@H](CCO)c3ccc(Cl)c(Cl)c3)CC2)cc1 797062847 RMIMWDBEUFQAPI-FQEVSTJZSA-N 424.328 4.584 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)cn1 798674118 PGKQMXZIWHBWDR-MRVPVSSYSA-N 406.263 4.528 5 20 HJBD O=C(NC[C@H]1COc2ccccc2C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799299660 AVNGBCWABVXIBM-INIZCTEOSA-N 420.490 4.727 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809919836 HWLICDLRMPXALG-AWEZNQCLSA-N 402.882 4.595 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1coc(-c2ccccc2)n1 811215883 ASWBWLIGMHGPMN-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CN1CCC(Oc2ccc(CNCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)CC1 811504287 YHZGVPVDDOGORN-UHFFFAOYSA-N 424.328 4.664 5 20 HJBD O=C(Nc1ncc(-c2ccc(Cl)cc2)s1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 812309063 WWMFXRUCJLRDFH-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c1 815060978 WUEFISFWSCYVBC-CJNGLKHVSA-N 400.422 4.763 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)nc1Cl 817866891 OPUMNRHRUZBWLT-QMMMGPOBSA-N 422.190 4.735 5 20 HJBD O=C1/C(=C/c2ccc(-c3cccc([N+](=O)[O-])c3)s2)NC(=S)N1Cc1ccccc1 917360867 RFCWVYSDEGPEIG-PDGQHHTCSA-N 421.503 4.581 5 20 HJBD O=C(OCCc1cnccn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 918527542 LOGXABQJNMVYDN-UHFFFAOYSA-N 415.858 4.589 5 20 HJBD O=C(OCC[C@@H]1CCCCN1C(=O)c1cc2ccccc2o1)c1cccc([N+](=O)[O-])c1 918637825 MFTQOFLGTLETLK-SFHVURJKSA-N 422.437 4.583 5 20 HJBD Cc1c(COC(=O)C[C@H](NC(=O)c2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 918786412 CYMAHPOQVXNZSK-NRFANRHFSA-N 418.449 4.508 5 20 HJBD Cn1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(C(F)(F)F)c1 1320141415 SLXCIAGSZATAFU-UHFFFAOYSA-N 405.332 4.997 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1cccc(N2CCCC2)c1 1320409552 VROAPBPGZMSLOG-CQSZACIVSA-N 404.308 4.808 5 20 HJBD Cc1cc(Br)c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1Cl 1320658995 VETSDMJHQFTAEG-UHFFFAOYSA-N 410.655 4.867 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2cc(F)c([N+](=O)[O-])cc2F)cs1 1321138553 AGYKUZWGSCXTQZ-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD C[C@H]1CCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc2S1 17525649 QAJYOUVJZIVIBV-INIZCTEOSA-N 411.527 4.729 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2C(=O)NCc2ccco2)cc1[N+](=O)[O-] 27324650 PKNWTZRWTQNXBG-UHFFFAOYSA-N 421.453 4.668 5 20 HJBD COc1ccc(NC(=O)CCSc2ncc(-c3ccc(F)cc3)o2)c([N+](=O)[O-])c1 41905608 SJEALDRBLHDTCT-UHFFFAOYSA-N 417.418 4.518 5 20 HJBD O=C(CCC(=O)c1ccc(-c2ccccc2)cc1)NCCc1ccc([N+](=O)[O-])cc1 65124049 ALDQVZHKYNCCBG-UHFFFAOYSA-N 402.450 4.584 5 20 HJBD CCNc1nc(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nc2ccccc12 71806729 UOPXIGZXTRZTTP-GFCCVEGCSA-N 422.470 4.873 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 110095706 JGCYPDLULQEHKA-UHFFFAOYSA-N 420.469 4.529 5 20 HJBD COCc1cccc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c1 237272903 BBZSXYPWRAZTFW-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1nc(-c2cccc(F)c2)no1 237434187 IIPYOSHHFGEAFY-CYBMUJFWSA-N 408.389 4.895 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H]3CCCO[C@@H]3c3ccccc3)cs2)c1 238007329 UDCXJGMSFLEYQC-GHTZIAJQSA-N 409.511 4.976 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(F)ccc1Br 392205511 CMAUSKYRXNOVBG-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(NC(=O)Nc2ccccc2)ccc1C 427255059 DHRBJKOCDPORDD-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD C[C@H](NC(=O)Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cccc([N+](=O)[O-])c1 429705016 ABNQYFHIUPPVDB-LBPRGKRZSA-N 417.828 4.515 5 20 HJBD O=C(NCCc1csc2ccccc12)c1ccc(Br)cc1[N+](=O)[O-] 429871790 MKHWXKXDZSRZRY-UHFFFAOYSA-N 405.273 4.545 5 20 HJBD CCCc1nc(C)c(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)o1 433165576 CJXDSPSQJAARJV-UHFFFAOYSA-N 410.430 4.958 5 20 HJBD C[C@@H](OC[C@H]1CCCCO1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435444145 UUXQHCZCZIWKLQ-UKRRQHHQSA-N 422.528 4.720 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)n1 437038386 ZNLRKDZMXONRHG-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H]3c3ncc[nH]3)o2)c(Br)c1 437978215 UOHGFNYCDFNALW-INIZCTEOSA-N 417.263 4.678 5 20 HJBD Cc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1OC(F)F 438166289 FTWWGIYDOHFDFC-UHFFFAOYSA-N 421.400 4.580 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Nc1cccc(C(F)(F)F)c1 438804186 VZPQYQJKRWTEIE-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1noc2ncc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc12 440608631 DQLXLSHYUWYXDQ-UHFFFAOYSA-N 406.423 4.843 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3ccc(SC)cc3)ns2)cc1[N+](=O)[O-] 443981114 SFCXNNDKOSPWBR-UHFFFAOYSA-N 415.500 4.519 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c2c(c1)COCO2 444812627 LDRAZEATMFYDKM-INIZCTEOSA-N 420.746 4.647 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 446089167 GGZOTJVFVBPTCT-UHFFFAOYSA-N 424.428 4.893 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(Cl)c2)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 446252825 LXDNPABHCUITGM-KUHUBIRLSA-N 402.878 4.514 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)Nc1cccc(-c2ncc3n2CCCC3)c1 446923632 AMBXXFNQJIEPHN-MRXNPFEDSA-N 419.485 4.624 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(c2cnc3ccccc3c2)C(C)C)cc1OC 448455306 CEXPOUOJODPMQS-UHFFFAOYSA-N 409.442 4.606 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 460226918 JXGNZPKRLWUDSD-UHFFFAOYSA-N 410.499 4.983 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 462627683 ZFCRXJCIKAILBS-WTNAPCKOSA-N 414.477 4.681 5 20 HJBD CC[C@H]1CCCC[C@H]1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464154849 BTYYACZPCBLKHP-KBXCAEBGSA-N 403.504 4.667 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)CCc2cccs2)cc1[N+](=O)[O-])c1ccccn1 464346890 RVGPOSMZLPRRBG-OAHLLOKOSA-N 410.499 4.539 5 20 HJBD CC[C@H]1C[C@H](C)CN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 465297407 VUUIRDQXFHZHFQ-PXNSSMCTSA-N 418.497 4.637 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 466292486 AXNINAAJNPZNCB-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 468164470 MENFCYWTZKYELF-QGZVFWFLSA-N 419.485 4.720 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(OC(F)F)cc1F 468198032 BHTZSCWWOLSTJG-QMMMGPOBSA-N 404.341 4.594 5 20 HJBD C[C@@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 471447612 VCALRUMFQHZVIY-CRAIPNDOSA-N 407.495 4.812 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cccc(Cl)c1)c1ccccn1 472273543 FYXOJFQYZSRYBK-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 475041181 YNHXTBCZQYWZDH-KBPBESRZSA-N 405.882 4.590 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1ccc(Oc2ccccc2C(F)(F)F)nc1 480062838 VJKWBTIXCKOCMF-UHFFFAOYSA-N 421.379 4.938 5 20 HJBD Cc1ccc([N+](=O)[O-])c(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c1C 480738134 QIDYUGFIILAMPY-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD CC[C@@H](C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1 480835140 YGRABPPPAVMGCW-CQSZACIVSA-N 404.488 4.763 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H](C)c2cccc([N+](=O)[O-])c2C)CC1)c1ccccc1 482147912 RWMMIIOFWZNJKJ-NQIIRXRSSA-N 409.530 4.739 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cc(O)n[nH]2)cc1 484501753 KKFFRCYGJULDIB-UHFFFAOYSA-N 412.471 4.691 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2-c2csc(C)n2)c([N+](=O)[O-])cc1OC 484609699 WFPPEMMJWKKKHM-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=C(c1c([N+](=O)[O-])cccc1C(F)(F)F)N1CCC(c2c(F)cccc2F)CC1 487331083 DVUIBMFCUWUNQH-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@@H]1CCCSC1)c1ccccc1 487937096 VFYBPOODSJIDES-LIRRHRJNSA-N 419.934 4.661 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1CCCSc2ccc(Cl)cc21 489936392 WGJWMJNEYLGLFK-UHFFFAOYSA-N 414.874 4.577 5 20 HJBD O=C(CCN(Cc1cccc([N+](=O)[O-])c1)C1CC1)Nc1ccccc1C(F)(F)F 490008090 DCVAHJXOXZINAQ-UHFFFAOYSA-N 407.392 4.607 5 20 HJBD CCOc1cc(CN[C@@H](C)c2cccc(OCc3ccccn3)c2)ccc1[N+](=O)[O-] 492752863 XSKNQSJIRZJCFH-KRWDZBQOSA-N 407.470 4.818 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(Cl)cc2)c1C(C)C 498344624 JVICBRXADCQQDX-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(C)C 502619208 PRSYOEYVAPDFBH-GFCCVEGCSA-N 407.854 4.927 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(/C=C\c3ccc(OCc4cccnc4)cc3)n2)c1 504970367 VNPQLTPSQNIZJY-FLIBITNWSA-N 400.394 4.789 5 20 HJBD O=[N+]([O-])c1cc(F)c(CNc2cccc(C[S@@](=O)c3ccccc3)c2)c(F)c1 506293389 CQOINXLYJHYDSM-MUUNZHRXSA-N 402.422 4.793 5 20 HJBD CC(C)c1ccccc1OCCC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 507496592 HGFFOKRYVMQUAM-UHFFFAOYSA-N 412.486 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 507501270 MWILSHZQZPIFIO-LSDHHAIUSA-N 417.531 4.782 5 20 HJBD CC(C)[C@@H](C)N(CC(F)(F)F)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 510832271 SOHYIJAUGHDPMR-LLVKDONJSA-N 401.410 4.767 5 20 HJBD COc1ccc(C2(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])CCCCC2)cc1 516325917 URSFWZIBIBUGMF-UHFFFAOYSA-N 411.502 4.647 5 20 HJBD CCOc1cc(NC(=O)N(CC)Cc2ccc(C)cc2)c([N+](=O)[O-])cc1OCC 521192067 WCFJEYKXJKJHBB-UHFFFAOYSA-N 401.463 4.755 5 20 HJBD CC(C)NC(=O)[C@@H]1CCC[C@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 522538029 SWFALHIQJAOCJL-UXHICEINSA-N 424.545 4.536 5 20 HJBD CN(C)[C@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 522710260 PIWGQWSDGGZCDO-JOCHJYFZSA-N 420.469 4.812 5 20 HJBD COc1cccc(C[C@]2(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)C[C@H]3CC[C@H]2C3)c1 522741143 AKXUJFAOCMRMJY-ILXZRYGISA-N 408.498 4.830 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)COc1ccc([N+](=O)[O-])cc1 524387650 OWWUDDZUBJPAPD-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C 525071286 LTHLWMBYKPLXSH-GOSISDBHSA-N 402.878 4.998 5 20 HJBD Cc1nc(SCCn2cc([N+](=O)[O-])ccc2=O)c2cc(-c3ccccc3)sc2n1 535644718 NBYXITPGXOIDGG-UHFFFAOYSA-N 424.507 4.529 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)NCc1ccc(-c2nc3ccccc3s2)o1 536096818 WTUZJNPXUIXQOL-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(COc3ccccc3)cc2)cc1OC 536918438 XKBZITZCZIMUFM-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD CN(C(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150725 ODQOUMCXAAWGIH-ZIAGYGMSSA-N 415.421 4.763 5 20 HJBD CCc1ccc([C@H](NC[C@H](C[C@@H](C)O)c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 541545640 NSPCFRCWABAPQO-VWQFJMCTSA-N 408.498 4.984 5 20 HJBD CCc1ccc([C@H](NCc2nc(-c3ccc(F)cc3)n[nH]2)c2ccc([N+](=O)[O-])cc2)o1 541565307 RWUMMEOCXWXRAY-OAQYLSRUSA-N 421.432 4.554 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCCC(=O)Nc1ccccc1[N+](=O)[O-] 542588807 HYCBCCBVLSTFRE-CQSZACIVSA-N 410.499 4.706 5 20 HJBD COc1cc(-c2nc(-c3ccccc3Oc3ccccn3)no2)cc([N+](=O)[O-])c1OC 546361411 FZGDLEOEBNBNNM-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD Cc1cc(Cc2noc([C@@H](C)Oc3ccccc3Br)n2)ccc1[N+](=O)[O-] 546490699 OALFIASRDAJOPK-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD Cc1cc(Cc2noc(-c3ccnc(-c4ccc(Cl)cc4)n3)n2)ccc1[N+](=O)[O-] 554814830 FSSQUXDEIHNGLR-UHFFFAOYSA-N 407.817 4.654 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555577008 IGYKNGFZBPFUGH-INIZCTEOSA-N 420.347 4.594 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H]3[C@@H]3CCCO3)o2)c(Br)c1 556608011 JGMLZPNMYBFLGD-HKUYNNGSSA-N 421.291 4.761 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccnc(Oc3cccc(C)c3C)c2)c1 558774240 ZNSIHMARKDVSOP-UHFFFAOYSA-N 421.453 4.728 5 20 HJBD Cc1occc1C(=O)Nc1cc(Nc2ncnc3sc([N+](=O)[O-])cc23)ccc1F 561118641 QGEOKXSKKKHWSO-UHFFFAOYSA-N 413.390 4.636 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1c(Cl)cccc1[N+](=O)[O-] 561459685 BGVRZCYVYDKOCL-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD COc1cc(Cc2noc(-c3sc(C)nc3-c3ccccc3)n2)ccc1[N+](=O)[O-] 566790237 ZAAPJRZUVIGNKX-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD COc1cc(CN(Cc2ccccn2)C2CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 569411203 ZSIPONHZXXKTSQ-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD C[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc2n[nH]cc2c1 584223010 FOAKMLYQWMRSMC-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD COCCC[C@@H](CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 588112334 AWSREELNSBLVKA-QAPCUYQASA-N 419.909 4.819 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1Cc2cccc(Br)c2C1 590331167 SJHSLFNYVGWTAR-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@@H](c4cc5ccccc5[nH]4)C3)n2)c1 603889910 DUJHINBJXXILMT-QGZVFWFLSA-N 403.442 4.506 5 20 HJBD C[C@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1cccc([N+](=O)[O-])c1 603938070 KJHKCCDNZJOUPF-VIFPVBQESA-N 410.377 4.609 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c12 603966674 GZQKGCDOMNOUBS-ZDUSSCGKSA-N 421.501 4.771 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609506665 IVPPYLUPAFIBDL-APWZRJJASA-N 416.481 4.623 5 20 HJBD O=C(Nc1nc(/C=C\c2ccccc2)cs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609757212 NIAUCXZWLPFTOO-SREVYHEPSA-N 417.450 4.660 5 20 HJBD COc1ccc(OC)c(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609773030 MQIVOVBLGFCYJU-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 610010933 WENTZZMRSVKWRI-ZDUSSCGKSA-N 405.813 4.514 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@@H]2[C@H]1[C@@H]2C(F)(F)F 610860854 MFMMZUJBAJMVLM-BBWFWOEESA-N 408.401 4.769 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 726192643 CMQOJQAZIMOPIL-UHFFFAOYSA-N 401.426 4.551 5 20 HJBD C/C=C\c1ccc(OS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(OC)c1 742269138 NRWDMUODIXAFAS-ARJAWSKDSA-N 418.254 4.711 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c(F)c1 748047061 FALGRQVSLKGLNM-UHFFFAOYSA-N 401.438 4.764 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cc2)n1 750563713 LGOJGOKPGKMTBT-UHFFFAOYSA-N 416.409 4.683 5 20 HJBD O=C(NCC[C@@H](O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 750598389 FCZUNQNWMQEWFJ-OAQYLSRUSA-N 407.426 4.632 5 20 HJBD CC(=O)c1cccc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 755109232 VQQRPLONIRZXMA-OAHLLOKOSA-N 419.437 4.723 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 755362923 RCOGATIERJXTFR-UHFFFAOYSA-N 405.410 4.669 5 20 HJBD O=C(COC(=O)c1ccc2c(c1)-c1ccccc1C2)Nc1ccc([N+](=O)[O-])cc1Cl 755858329 IMMQELPABWXAEU-UHFFFAOYSA-N 422.824 4.615 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)o2)cc1C 758954476 RDWCKDFRBLFZDC-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD CSC[C@@H](CCO)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761913044 RZNZDFPXUMFLRV-LJQANCHMSA-N 419.546 4.777 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)s1 763465201 VNAIDBPBDYFWSA-NSHDSACASA-N 404.398 4.519 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 763522823 BADCNCRVWNWLKU-IFXJQAMLSA-N 400.406 4.721 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2C[C@H](C)[C@H]2c2ccccc2)c1=S 765038717 OQUWUWRTGBPLEF-KXBFYZLASA-N 409.515 4.660 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccco1 768951325 KJOUFFYLNMGZBE-SFHVURJKSA-N 401.806 4.761 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 769470134 NMGDUUXDMPPGOT-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 770379736 KUTNIBBPTKUUMJ-WBVHZDCISA-N 415.490 4.732 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)c1ncoc1-c1ccc(F)cc1 773463674 GQIOVZGCIVVKDQ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1ccccc1Cl 775085203 GAMHJKVLHCDTBZ-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD Cc1nc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775494371 GRTGTIWQQMACMW-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD O=C(Cc1ccc(F)cc1Cl)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217109 FMRWRLLNJHFGOM-HXUWFJFHSA-N 400.793 4.658 5 20 HJBD C[C@H](OC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 777296181 INGDASZLQGHDME-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD C[C@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 798428375 XVAQYTJSLKVGTD-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc2c(c1)nc(C)n2-c1ccccc1 800146885 JTVYVFDBTKPKCV-UHFFFAOYSA-N 404.401 4.942 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3cc(N)ccc3[N+](=O)[O-])c(C(F)(F)F)c2)n1 800848289 ZBHCJLIQMMZJJS-UHFFFAOYSA-N 405.380 4.610 5 20 HJBD C[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(N2CCCCC2=O)cc1 810132856 XDLKMXBPIRQPEH-MRXNPFEDSA-N 420.469 4.618 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812910449 FDONJOMFBJEVGM-UHFFFAOYSA-N 422.127 4.664 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H](n2cccn2)Cc2ccccc21 813030776 PETJLRFGDADHBX-CQSZACIVSA-N 417.252 4.542 5 20 HJBD Cc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nc(-c2ccc(Cl)cc2)n1 813247255 PVXXDNVPBQOEGJ-UHFFFAOYSA-N 403.225 4.919 5 20 HJBD O=C(Nc1ccc(OCc2ccc(Br)c([N+](=O)[O-])c2)cc1)c1ccco1 814263179 GVUMSQHYKRSUCI-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)c1[N+](=O)[O-] 817856394 FTRCBHWGJFSULH-QMMMGPOBSA-N 419.812 4.875 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 916653671 RNTPSDVMCVOFSO-ZDUSSCGKSA-N 400.696 4.850 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1OCc1ccncc1 917085659 ZIGNHRADZQDWNZ-CQSZACIVSA-N 424.413 4.659 5 20 HJBD COc1ccccc1-c1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)no1 918143131 PIOOHWGECAMAGV-UHFFFAOYSA-N 422.422 4.634 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccco1 918647803 REFXNUGTEJYHES-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD COc1ccc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2Br)c(C)c1OC 1117297376 HBFBADIEDPKZHZ-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1 1262557903 PPZAACRKGWVXCJ-HUUCEWRRSA-N 408.298 4.540 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCc3cc(Cl)ccc3Br)o2)c1 1322139690 GDPRQLACRMZGLX-UHFFFAOYSA-N 408.639 4.846 5 20 HJBD Cc1ccc(SCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 5505868 PKFHUZHGFHREPJ-AWEZNQCLSA-N 413.455 4.658 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)N1c2ccccc2Sc2ccccc21 22445453 XGHVYANNPSGRAH-LBPRGKRZSA-N 410.407 4.563 5 20 HJBD COc1ccc([C@@H](CNC(=O)c2ccccc2[N+](=O)[O-])c2c[nH]c3ccccc23)cc1 45332831 OWZHEOJLPJLKFN-HXUWFJFHSA-N 415.449 4.647 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)cc1)c1ccccc1 64738002 HHIAHBVZSVLBQA-OAHLLOKOSA-N 419.437 4.791 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Cl)cc2-n2cccc2)c([N+](=O)[O-])cc1OC 111002470 VVVDYCXRQBZZBZ-UHFFFAOYSA-N 415.833 4.699 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4ccc([N+](=O)[O-])cc4OC)CC3)c[nH]c12 427816267 BGPYWKKHDQBGOG-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1Cc1csc(-c2ccc(Cl)cc2)n1 428273554 DOGKLSLNEOMFJN-UHFFFAOYSA-N 424.869 4.644 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC([C@@H]2CCCO2)CC1 439909004 OGYYQNXHQPNXFP-FQEVSTJZSA-N 412.511 4.777 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)n1 444024768 RQAJUPSFKYXKDE-UHFFFAOYSA-N 406.445 4.699 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CSCc1ccc(Br)cc1 444737402 RQYBJUJIBKGFKA-UHFFFAOYSA-N 409.305 4.846 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 445167776 SMQMLDGBTWSHCZ-ROUUACIJSA-N 424.284 4.640 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCC(=O)c1cc(Cl)sc1Cl 445529606 UYKXAJMUCULOKN-UHFFFAOYSA-N 401.271 4.585 5 20 HJBD Cc1cc(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)ccc1Cl 462470492 ZRWAXCUHLWUKOU-UHFFFAOYSA-N 408.860 4.755 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](CO)Cc3c(F)cccc3Cl)o2)cc1 462741482 URZLMPYNLIYRIE-AWEZNQCLSA-N 418.852 4.588 5 20 HJBD CC[C@H](O)CCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467755877 BVIBMXJKTGLVQT-AWEZNQCLSA-N 408.907 4.680 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 471548699 BEQBWASKXOBTPI-UHFFFAOYSA-N 422.416 4.535 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc4c(c3)CCC4)c(C)s2)c1 476923799 VANDHKABUIOKCX-UHFFFAOYSA-N 409.467 4.776 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc(Br)cc1[N+](=O)[O-] 478233545 ULARXJIRLZWTOX-WFASDCNBSA-N 407.239 4.563 5 20 HJBD CCn1c(SCc2coc(-c3ccccc3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 481342647 RJUPKPGSUZRPDI-UHFFFAOYSA-N 407.455 4.821 5 20 HJBD CCc1c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(Cl)c1 482701516 AWQJYJBUOCFFHL-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD CN(C)c1c(Cl)cccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 485007583 YCMAYZAIDDCANG-UHFFFAOYSA-N 405.885 4.513 5 20 HJBD CC(C)COc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 486122950 RUXAAFQFYGYTOX-UHFFFAOYSA-N 410.392 4.834 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(Sc2ccccn2)c1 489935044 OJBBIEMOHWBSPJ-ZDUSSCGKSA-N 412.496 4.655 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492959112 JDKBKOOFRZTLFJ-RTBURBONSA-N 404.388 4.908 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 493256519 NSBWCMNYUFEGQY-CTNGQTDRSA-N 424.545 4.518 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccccc2Cn2ccnc2)c([N+](=O)[O-])c1 497649541 QKSUEUCHGOUVEU-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD Cc1ccc(C(=O)N(C)[C@@H]2CCSc3ccc(Br)cc32)cc1[N+](=O)[O-] 504587515 VJQFUBVKAXIQLT-OAHLLOKOSA-N 421.316 4.975 5 20 HJBD COc1cc(CNCc2ccc(F)cc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 507913564 KYSDWZFKBYOSMU-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNCc1ccc(OC2CCCC2)c(OC)c1 507916180 YERGQEAQMHFZJT-UHFFFAOYSA-N 400.475 4.613 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1N=C(c2cccs2)C[C@H]1c1ccco1 509091217 WOBOAVVQXOTOSC-INIZCTEOSA-N 413.480 4.719 5 20 HJBD C[C@@H](c1ccco1)N(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C1CC1 509849692 DZWXNFALACBTSR-LBPRGKRZSA-N 411.380 4.761 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cc12 509970384 PTXLQFZZTBAFOS-UHFFFAOYSA-N 418.478 4.897 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N(Cc1cccs1)c1ccc(F)cc1F 515694385 ZUNFVWFBHGKZEF-UHFFFAOYSA-N 404.394 4.547 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](C(F)(F)F)C4(CCC4)C3)o2)c1 516879634 QAORHAQFZCIDAR-KRWDZBQOSA-N 409.408 4.847 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c2ccc(F)cc2)o1 517484450 MSCKHHOOBYNBNL-UHFFFAOYSA-N 420.400 4.668 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H](Sc1ccc(F)cc1)c1ccccc1 518018399 DFPZOSZQMJIOMP-OAQYLSRUSA-N 410.470 4.926 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2cc([N+](=O)[O-])ccc2C)cc1C 520148067 DWDWHWRDFLTLGM-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 521772469 IDXUJHYFKVLYSZ-NSHDSACASA-N 403.287 4.592 5 20 HJBD O=C(CC[C@H]1CCCO1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522734300 IZZBOSYJODDXTQ-OAHLLOKOSA-N 422.840 4.755 5 20 HJBD CCc1ccc(CCNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 522875423 IHNCBFZXQCCQKF-KRWDZBQOSA-N 418.497 4.698 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCC[C@@H]1Cc1ccccc1 524032104 XVJCKLYJWXQPOJ-MRXNPFEDSA-N 422.403 4.773 5 20 HJBD CN(C(=O)c1cc2ccccc2c2cccnc12)C1CCN(C(=O)c2ccccc2)CC1 524056987 QGSWFZRGYZVOPW-UHFFFAOYSA-N 423.516 4.765 5 20 HJBD COc1cccc([C@H](NC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2Cl)c1 525008065 OLVUPVQEJPSEOA-SFHVURJKSA-N 402.859 4.838 5 20 HJBD CCN(C(C)=O)c1nc(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])cs1 530654657 NYBGRNXQDOBUAE-UHFFFAOYSA-N 411.483 4.594 5 20 HJBD CN(C)C(=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 532726764 FELXDFGJZRRERX-UHFFFAOYSA-N 409.417 4.840 5 20 HJBD O=C(NC1CCCC1)c1ccccc1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535481995 WZRYYGVMDKFAMP-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535547862 JRFCENAAEGXKEL-HXUWFJFHSA-N 417.469 4.573 5 20 HJBD CN(Cc1cccc(Br)c1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536320703 OAIQAPDALDIWHZ-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 536480219 HPIPDZJPJFVTAY-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1cccc(C(F)(F)F)c1)C(C)C 538159730 ZIASDESKZJWQRY-GOSISDBHSA-N 409.408 4.847 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(OC(F)F)cc1 539219158 FZCYJCWBYBUZRR-CYBMUJFWSA-N 403.385 4.745 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1F 539751672 ZNXLTCRPSBTGOJ-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1Cl 539845433 LKAXJASPMTXEPW-UHFFFAOYSA-N 403.866 4.566 5 20 HJBD C[C@H](NC(C)(C)c1cccs1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 541643263 RIKAVMLLDRIUOO-LBPRGKRZSA-N 416.528 4.632 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)ccn2)c1C 542511640 QIZQVLYNZZEGDB-INIZCTEOSA-N 423.444 4.958 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)c1 543897778 XHRLORRVJJSYEI-AWEZNQCLSA-N 422.281 4.835 5 20 HJBD COc1cc(/C=C/c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc(Cl)c1OC 546491059 WLBWGPHVVHMQQE-FNORWQNLSA-N 415.833 4.718 5 20 HJBD C[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1c(F)cccc1F 547171514 QYBNOTSPZNKFPT-SECBINFHSA-N 424.201 4.761 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4ccc(C)cc4n3)cc2)c1 548960432 ACIMLIJUIUUUNT-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD Cc1cc(N(C)Cc2nc([C@@H](C)OCC(C)C)no2)c([N+](=O)[O-])cc1C(F)(F)F 549522634 DGDJNFKZQFNKGG-GFCCVEGCSA-N 416.400 4.675 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@@H]3c3ccc(Br)c(F)c3)ncnc2s1 557662338 LNBOJQYHXICTQL-CYBMUJFWSA-N 423.267 4.843 5 20 HJBD CC(C)n1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 557702034 ORXSGGFIVXDSAV-UHFFFAOYSA-N 416.485 4.515 5 20 HJBD Cc1cccc(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 561442997 AMNKTKYRCXWAON-SUMWQHHRSA-N 408.376 4.524 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2cccc(OC)c2[N+](=O)[O-])n1 578678745 JHIZSCVTNFXAFR-HNNXBMFYSA-N 417.849 4.865 5 20 HJBD COCc1nc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cs1 581433794 WHEAHMRWICUSRW-UHFFFAOYSA-N 419.890 4.933 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccncc2)c([N+](=O)[O-])cc1OCc1ccccc1 583961492 CKAWNGIKEJCPQD-NRFANRHFSA-N 419.481 4.915 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCC 603651371 JOYMWRJTBPHPFS-LBPRGKRZSA-N 423.416 4.895 5 20 HJBD Cc1ccc(C(C)C)cc1O[C@H](C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 603753509 HYSACHDULYLOQI-OAHLLOKOSA-N 414.458 4.594 5 20 HJBD COc1cc([C@@H](C)Nc2ccc(C(C)=O)cc2[N+](=O)[O-])ccc1OCc1ccncc1 603868120 AMPFMBUEOGLCAQ-OAHLLOKOSA-N 421.453 4.953 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)NCC1(c2cccc(C(F)(F)F)c2)CC1 608967094 GJOCXRWDIKSSQN-UHFFFAOYSA-N 421.419 4.654 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)NCc1cccc([N+](=O)[O-])c1 609079986 PEVUYVVDNLGYKP-UHFFFAOYSA-N 409.417 4.943 5 20 HJBD CNC(=O)c1ccc(Cl)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 609098180 IEEKNINXKRZQEE-UHFFFAOYSA-N 424.844 4.604 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2O[C@@H](C)c2ccccc2)ccc1[N+](=O)[O-] 609652312 MWBKETWAGAQJPD-INIZCTEOSA-N 422.437 4.761 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 609883269 NNSREPCJIXDBJR-UHFFFAOYSA-N 411.506 4.611 5 20 HJBD CCOC(=O)c1ccnc(N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c1[N+](=O)[O-] 609962793 BEPMLAQSXJHDSA-LJQANCHMSA-N 419.437 4.769 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCCC[C@H]1c1ccncc1 610040334 MQNIVJWLXNIAGQ-KRWDZBQOSA-N 404.264 4.510 5 20 HJBD COc1ccccc1-c1nc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cs1 610961818 CBWJNHXUBBWXBB-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)NCCc3cccc([N+](=O)[O-])c3)cs2)cc1 611162558 LDYNMZGHYUSAQC-UHFFFAOYSA-N 409.511 4.743 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CC([C@@H]2CCOC2)C1 619423162 YDAHATSGMGYNMS-CYBMUJFWSA-N 418.902 4.508 5 20 HJBD C[C@H](OC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O)c1cccc([N+](=O)[O-])c1 729788958 VTKJSBYBMWFYKU-OYHNWAKOSA-N 417.417 4.967 5 20 HJBD CC(C)(C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)cc1 735445639 PDUXUAKEMLYINV-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD O=C(COC(=O)C1(c2cccs2)CCCCC1)Nc1ccc(Cl)cc1[N+](=O)[O-] 738705299 ZRBXHOWUSDNJCB-UHFFFAOYSA-N 422.890 4.694 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])cc1 740392073 SEKQWTAUDVYXLJ-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD CCc1nc2ccccc2c(C(=O)O[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c1C 741834929 FOMIXUYJIZVLJA-OAHLLOKOSA-N 421.453 4.506 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 746038410 QDLPEJYCVQAGJB-UHFFFAOYSA-N 403.845 4.516 5 20 HJBD O=Cc1ccc([N+](=O)[O-])c(OCc2csc(-c3ccc(Br)cc3)n2)c1 748154213 LLVSGWYLLXLYPS-UHFFFAOYSA-N 419.256 4.872 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)nc12 751064065 PLBZSLWETWYTKN-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD C[C@@H](C(=O)Nc1nc(COc2ccccc2)cs1)c1ccc([N+](=O)[O-])cc1F 754023467 CXFZWFGKWLDOCF-GFCCVEGCSA-N 401.419 4.512 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@H]2C[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 758485753 DEFLMCOJXBCJIO-GHTZIAJQSA-N 406.438 4.511 5 20 HJBD C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 759412509 KAXCUFYFTDTTJT-GFCCVEGCSA-N 409.269 4.769 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cnn1-c1ccccc1C 759459714 XVDZYOSGYBFCAA-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD O=C(OCc1cc(Br)cc2cccnc12)c1c(F)ccc([N+](=O)[O-])c1F 759918805 OOLKDWRVVMQPMN-UHFFFAOYSA-N 423.169 4.541 5 20 HJBD CC(C)Cc1ccc(CS(=O)(=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 760230699 VVNJJGRDVLMOAS-UHFFFAOYSA-N 414.483 4.563 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc(F)c([N+](=O)[O-])cc1F 763478517 DDBLDGLMIXAUCA-UHFFFAOYSA-N 408.426 4.538 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764219216 KFGZDKZDLAIUAJ-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Br)cc1 765447451 MWQOZFZQIZLJCZ-AWEZNQCLSA-N 404.308 4.677 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@H](Cc2ccc(Cl)cc2)c2ccccn2)cc1 767916425 AEQYCAPCRGNWQW-LJQANCHMSA-N 412.902 4.914 5 20 HJBD CCC(=O)Nc1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 774656077 AQVQAWXTPKNBPY-UHFFFAOYSA-N 404.295 4.736 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)c1sc(C2CCCCC2)nc1C 775123945 WWPAFUAOQMZJCO-UHFFFAOYSA-N 417.487 4.511 5 20 HJBD O=C(OCc1ccc(Cl)nc1C(F)(F)F)c1cc([N+](=O)[O-])cc(Cl)c1F 787793976 MSBWKALKSSPASA-UHFFFAOYSA-N 413.110 4.812 5 20 HJBD CC(C)OC(=O)C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 801540326 YCXGBSWLHAEHRH-QGZVFWFLSA-N 421.291 4.530 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 801951141 BEVKBQDLJYXPDL-MRXNPFEDSA-N 411.355 4.702 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(Br)ncc1Cl 808707533 RJGXXYDQYXHVDI-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812812415 HCGSCQMJTINUOE-UHFFFAOYSA-N 410.352 4.707 5 20 HJBD COCc1ncc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 813998311 MEVGBDGIOJEKQJ-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017784 MZIUAZOYENRNEQ-LSDHHAIUSA-N 416.499 4.771 5 20 HJBD Cc1ccc(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)cc1[N+](=O)[O-] 848947910 PLBXYPDCSWITLV-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(-c3nccc4ccccc34)no2)c1 904593457 LUPTZCMIMKKZJN-UHFFFAOYSA-N 401.426 4.850 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914454540 DAFHXNJLZPKSLF-JTQLQIEISA-N 412.229 4.951 5 20 HJBD Cc1c(C(=O)N(Cc2cccc(F)c2)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914519267 YVIYJNOQOIAJLX-UHFFFAOYSA-N 401.394 4.536 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1NC(=O)c1ccccc1 914840243 QKICZPIUQBJPFR-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1c(COC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 918785658 PXBAVFCCDWHVID-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 921266130 HCTUUOWRGKVMID-INIZCTEOSA-N 413.232 4.783 5 20 HJBD O=C(CSCc1cccs1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1116476150 UJVFHEDKVAFEIK-LJQANCHMSA-N 400.481 4.617 5 20 HJBD CCCCCn1nc(-c2nnc(-c3cc([N+](=O)[O-])ccc3C)o2)c2ccccc2c1=O 1117186005 LFXLKRRSRIWPLO-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnn(C2CCCCC2)c1 1320649573 PNDJWDJCRPGDTM-OAQYLSRUSA-N 406.442 4.638 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(Cc1ccco1)Cc1cccs1 24458965 VCRCALCOHYJVCJ-UHFFFAOYSA-N 411.483 4.692 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2c(C)cccc2[N+](=O)[O-])c2ccccc2)cc1 26115656 NQAMZWUJRFTNRL-NRFANRHFSA-N 404.422 4.748 5 20 HJBD CCCCOc1ccc(OC)cc1N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 30523250 OJTFUUXSWWMQBM-OAHLLOKOSA-N 401.463 4.530 5 20 HJBD CN(C)S(=O)(=O)c1ccccc1CNCc1c2ccccc2cc2ccccc12 30657849 BNPHGBDLXRTMOZ-UHFFFAOYSA-N 404.535 4.533 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)cc1 32674100 PHSVWJZLYOZUMS-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 58926565 WNOARSPGYRGBIV-UNMCSNQZSA-N 404.470 4.579 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCOc1cccc2ccccc12 59581353 ZEJBVRFALQXUMB-UHFFFAOYSA-N 422.484 4.503 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1Sc1nnc(C2CC2)n1-c1ccccc1 110453268 GHSHYIWLOVAONE-UHFFFAOYSA-N 417.288 4.967 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])C(C)C)cc1OCC 410149215 USFBRZLKPSCZFZ-OAQYLSRUSA-N 415.490 4.589 5 20 HJBD C[C@H](Nc1ccc2c(c1)C(=O)CCC2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 426190669 HCWRDTFTTINBPT-NSHDSACASA-N 421.375 4.572 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN1CCC(c2nc3cc(Cl)ccc3o2)CC1 430240374 NTMZIZKGPAFGPH-UHFFFAOYSA-N 401.850 4.778 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1ccc(C)cc1 432560274 FVKIOMAFWNYOIK-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD O=C(NCCCCOCc1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436241963 UHQZHNGOKCGAIZ-UHFFFAOYSA-N 418.468 4.547 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438188804 JHBSERUOLMHQGE-IRXDYDNUSA-N 412.408 4.758 5 20 HJBD CCO[C@@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C12CCCCC2 444077008 AZQZHIFFVWRATG-VQTJNVASSA-N 422.500 4.678 5 20 HJBD CCC(CC)[C@H](NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccs1 444983114 ZJILIYBPXJPIJQ-SFHVURJKSA-N 401.492 4.509 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(/C=C/c2nc(-c3cnc4cnccn34)no2)s1 445640187 QEMPNJBSCMLJET-SOFGYWHQSA-N 416.422 4.586 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 462491168 QOKKLVJTAMCLPA-LAUBAEHRSA-N 406.486 4.712 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](C)c2ccc(Cl)c3ccccc23)cccc1[N+](=O)[O-] 462960601 ADNVIFFMHCUDOI-CYBMUJFWSA-N 411.845 4.526 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])C2)cc1 464004192 IGIKNPQLPIHGPY-OAHLLOKOSA-N 422.403 4.717 5 20 HJBD Cc1cc(NC(=O)C(C)(C)C)sc1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 466266414 LEULVKFFKPRNEV-UHFFFAOYSA-N 409.895 4.533 5 20 HJBD CCC[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1F 468359281 WRMLRHLXXKWIID-LJQANCHMSA-N 417.456 4.747 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccco3)cc2F)c([N+](=O)[O-])cc1OC(F)F 470553425 MLEDTOKSJCEEAA-UHFFFAOYSA-N 422.315 4.856 5 20 HJBD C[C@H](Nc1ncc(Br)cc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 474776821 PWPQVNROGYCAIT-QMMMGPOBSA-N 412.194 4.670 5 20 HJBD Cn1c(SCC(=O)C(C)(C)c2ccccc2)nc2cc3ccccc3cc2c1=O 475946045 MBPIGIYSGOPXDY-UHFFFAOYSA-N 402.519 4.726 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1NCc1ccccc1)c1cc([N+](=O)[O-])c[nH]1 477751740 TVEQZYMXSCPCHX-UHFFFAOYSA-N 404.348 4.806 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2N1 479937808 DCQCWDSCOBQVTF-QGZVFWFLSA-N 408.483 4.815 5 20 HJBD C[C@@H](NCc1nncn1-c1ccccc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480774048 KTWOFULKENUTGK-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 482560359 NPIKKFAQHMFHPV-MSOLQXFVSA-N 414.525 4.596 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1c[nH]cc1-c1ccc(Cl)s1 482933675 DPPXXGXQMTVNBT-UHFFFAOYSA-N 410.282 4.931 5 20 HJBD O=C(N[C@H]1CCOc2ccc(F)cc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485689792 FWGMJOMCLJPDPT-FQEVSTJZSA-N 422.412 4.567 5 20 HJBD Cc1csc(CCCCNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)n1 485865848 ADJALSKPAVXKQX-UHFFFAOYSA-N 407.561 4.861 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1cccc([N+](=O)[O-])c1 487626778 TVPJQLZLIZUSQY-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488072840 JZGBDTRJPOAOPL-ZDUSSCGKSA-N 401.850 4.940 5 20 HJBD O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 493155521 KSZPBJZOJHCUTC-BFHYXJOUSA-N 400.397 4.625 5 20 HJBD Cc1ccc(N(C(C)C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 494448288 FOAPDMNDKYMMCY-UHFFFAOYSA-N 402.394 4.526 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497616426 HGJFPIUPIYWPJV-NSHDSACASA-N 413.446 4.918 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 500835965 HZEGIAZIDYCUQR-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD CC(C)(C)c1ccc(-c2nc([C@@H]3CN(Cc4ccccc4)CCO3)no2)cc1[N+](=O)[O-] 500854844 BXAKXAHBXDUHCA-FQEVSTJZSA-N 422.485 4.516 5 20 HJBD Cc1cc(=NCc2ccc(Oc3ccccc3)nc2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 503542300 UJVWKJKIJMYYCE-UHFFFAOYSA-N 413.437 4.582 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H]1CCSc2ccc(Br)cc21 504651541 WNWANKVHWSUBQW-NSHDSACASA-N 413.318 4.728 5 20 HJBD Cc1cn2c(n1)[C@@H](CNC(=O)c1ccc(Sc3ccc([N+](=O)[O-])cc3)cc1)CCC2 505909787 ODBLCGVSYSBXES-QGZVFWFLSA-N 422.510 4.558 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)COc2c(Cl)cncc2[N+](=O)[O-])C(C)C)cc1 506600371 KUOHQJXXCIVEJR-LJQANCHMSA-N 405.882 4.659 5 20 HJBD COc1cc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1OCc1ccncc1 507169602 JNZAOHHOQSEJCJ-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1cc([N+](=O)[O-])cc2c1OCOC2 507941704 NYIJOGWHIGAESR-CYBMUJFWSA-N 411.483 4.744 5 20 HJBD CN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccc(Br)cc1Cl 508151461 ZSKPXFKBZRWPCH-UHFFFAOYSA-N 424.682 4.862 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1 510543889 HILAVWUDKJDGJF-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD COc1ccc(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)cc1[N+](=O)[O-] 511489545 SBZAPDDQEZWSJG-UHFFFAOYSA-N 407.253 4.840 5 20 HJBD O=C(NCC1(c2ccccc2)CCCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 521180188 NNKAKAAFJVIKFC-UHFFFAOYSA-N 419.481 4.871 5 20 HJBD COc1ccc(NC(=O)CNc2ccccc2O[C@H](C)c2ccccc2)c([N+](=O)[O-])c1 522402211 GUAZJOCIAOKXEY-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD COC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 524253989 FBCHGLKRYORKPA-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD COc1ccccc1NC(=O)[C@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534057932 BAMADSUEPMYFDF-ZDUSSCGKSA-N 413.455 4.519 5 20 HJBD COc1cc(CN(C)C2CCC(OC)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 536670777 HAMGNSIEQKPCJH-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(Cc2cccnc2)Cc2ccccc2C)c1 538401270 UWYJLNXDWVGTSM-UHFFFAOYSA-N 419.481 4.605 5 20 HJBD CCn1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 538906744 XFCGMLFCXYMKCW-UHFFFAOYSA-N 412.755 4.889 5 20 HJBD COC1CCC(CCNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 541635803 HAEGOOMPLCISHH-UHFFFAOYSA-N 411.502 4.532 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2cnccn2)c1 543150841 HMASAXSXNFMEHW-UHFFFAOYSA-N 416.846 4.561 5 20 HJBD C[C@H](O)[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 545946948 YWXSESUEQFNYAP-ZFWWWQNUSA-N 420.918 4.632 5 20 HJBD Cc1[nH]c2ccccc2c1Cc1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1 546361454 LBYOOTGKIKBQMX-UHFFFAOYSA-N 412.833 4.633 5 20 HJBD CSCc1ccccc1NS(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 546780151 GRFNWLCGTQMUKJ-UHFFFAOYSA-N 407.300 4.565 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)s2)cc1OC 546967638 NJNOZQCTWGJMEK-UHFFFAOYSA-N 424.438 4.761 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(Cc3c[nH]c4ccc(Cl)cc34)no2)cc1 547168797 VNWWENGAIORNMR-UHFFFAOYSA-N 404.760 4.843 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(C)cc2Br)c1 549006415 IEIGEUKWFUBAEC-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 552041864 NOCKPCGSCFOBOW-QGZVFWFLSA-N 418.293 4.603 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3nc(C)cs3)c(F)c2)cc([N+](=O)[O-])c1C 556785564 ZOBCKAGYOZXEKW-UHFFFAOYSA-N 417.418 4.860 5 20 HJBD COc1cccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1OC 559717930 KJAJAYKIEVWQJK-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C1 573502673 UWFGKXKHKDCZJB-SFHVURJKSA-N 423.444 4.526 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])NCCc1cnc(SC2CCCC2)nc1 580458468 QEENWLRXCMIICF-INIZCTEOSA-N 400.548 4.573 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3ccccc3)n2Cc2ccco2)cc1 603722695 VTBROJPDEBTPSP-UHFFFAOYSA-N 406.467 4.829 5 20 HJBD Cc1sc(NC(=O)c2cc(O)nc3ccccc23)nc1-c1cccc([N+](=O)[O-])c1 603997068 QCOFFNXTGVBMDP-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD COc1ccc(C)c2c1N(C(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 609013931 WJDBVFIHVXKZRS-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD Cc1nc(-c2ccccc2)c(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)s1 609202050 FSJBDWUWUKYDBX-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD COc1cc(CSCCOc2ccccc2F)c([N+](=O)[O-])cc1OC(F)F 609234945 XKZWUKZRHLJCIE-UHFFFAOYSA-N 403.378 4.656 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(OCc3ccccc3F)CC2)cc1[N+](=O)[O-] 615204026 OQIUZOPPGZBLBJ-UHFFFAOYSA-N 400.450 4.679 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])cs2)cc1 725935992 WTAONURWFJEGRQ-UHFFFAOYSA-N 420.393 4.752 5 20 HJBD C[C@@H](c1ccccc1)c1ccc(OS(=O)(=O)c2cccc(F)c2[N+](=O)[O-])cc1 728938228 DCOHYSSIUIGNOF-AWEZNQCLSA-N 401.415 4.653 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729939430 CYAPQZLBNYQSFQ-JTQLQIEISA-N 420.903 4.886 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(-c2ccccc2Cl)c1 730188188 GINXFZUTXVVSNY-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730643496 CTHHFIDLBXRFSS-UHFFFAOYSA-N 420.252 4.812 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 731537066 ZSXNIQXYHFBIOF-IBGZPJMESA-N 403.866 4.534 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1cccc(Cl)c1SCC(F)F 736516569 XCTLAJINBSNERZ-UHFFFAOYSA-N 420.796 4.762 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1F 736876133 NYKFIKVQQLBAFC-JTQLQIEISA-N 405.769 4.664 5 20 HJBD CN(Cc1nccn1C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746214886 KRKMHLDKBCXROO-UHFFFAOYSA-N 409.489 4.939 5 20 HJBD CN(Cc1nccs1)[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 746671911 PXNDREHCHOIBEZ-SFHVURJKSA-N 416.890 4.517 5 20 HJBD COc1cc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)ccc1[N+](=O)[O-] 754225304 QUDXZTADTVZTGR-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1c(Cc2noc([C@H]3CCN(c4ccc(C(C)C)cc4)C3=O)n2)cccc1[N+](=O)[O-] 762321113 QZNRYUWHEQZKBC-LJQANCHMSA-N 420.469 4.521 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3cc(Br)ccc3c2)c1 763715009 SJBTUAQDFAINDK-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD Cc1cccc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c1NC(=O)c1ccoc1C 764597098 LGZQUTIBJNOGFG-OAHLLOKOSA-N 408.410 4.975 5 20 HJBD O=C1O[C@H](c2ccccc2)Cc2cc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)ccc21 771224495 NLYCCLWUAGAYEL-INIZCTEOSA-N 419.418 4.828 5 20 HJBD CC1=NN(c2ccc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cc2)CC1 776139492 WGUAOKZFUPJFJU-JOCHJYFZSA-N 416.437 4.522 5 20 HJBD Cc1nc(COc2ccc(N[C@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])cc2)no1 777687334 ZFIFIZIUCSHLAD-UZLBHIALSA-N 408.458 4.689 5 20 HJBD Cc1ccc([C@@H]2[C@H](C)CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781645311 FNXCLXCVZFDNQJ-ZHRRBRCNSA-N 411.458 4.744 5 20 HJBD C[C@@H]1C[C@H]1[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781651196 LGLTUOQRNTYGAP-WYAVIUHXSA-N 417.849 4.603 5 20 HJBD O=C(Nc1cc(Br)cnc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 787948322 BVLIAKCWJPCCFC-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC(F)F 794497633 SMOFRKGRKJRCEG-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD CCC(=O)c1ccc(N2CCN([C@H](C)c3nc4ccccc4s3)CC2)c([N+](=O)[O-])c1 795063694 FYRHWRFGLASHGQ-OAHLLOKOSA-N 424.526 4.680 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)Cc1csc(-c2ccccc2Cl)n1 797471664 QDHULDQCBQQSHF-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD C[C@H](COC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 800168083 FOUVOAZBBRJMJM-LLVKDONJSA-N 420.343 4.828 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)[C@H](F)c1ccc(C(F)(F)F)cc1 802110580 YSRKHQPOFMLDCY-IIAWOOMASA-N 415.343 4.548 5 20 HJBD CC(=O)c1cc(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)on1 813140328 AHASCHPZSKIQMY-UHFFFAOYSA-N 416.773 4.588 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017782 MZIUAZOYENRNEQ-GJZGRUSLSA-N 416.499 4.771 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)C(=O)N[C@H](C)c1ccc(Cl)cc1 861872665 QHCWGOSLHZCMRZ-OLZOCXBDSA-N 408.907 4.756 5 20 HJBD Cc1cccc(NCc2c(Br)cccc2[N+](=O)[O-])c1C(=O)N1CCCC1 863996799 FMEMIGOCTZCWER-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1ccccc1 917780309 BOCBCBVRTRUGJK-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD Cc1cccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1NC(=O)c1ccccc1F 918324722 TUDCVACYGDZAMI-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc2ccccc2Cl)cccc1[N+](=O)[O-] 919512440 VQSBFRWUDSMRNF-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD CS(=O)(=O)c1cccc2c1CCCN2C(=O)c1c2ccccc2cc2ccccc12 1252421477 YBKOPLYXJUIHMT-UHFFFAOYSA-N 415.514 4.990 5 20 HJBD CC1(C)CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2cccc(Cl)c21 1262621445 KXGMECDPWPXNHF-UHFFFAOYSA-N 413.905 4.789 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccccc2)c(-c2nnc([C@@H]3COc4ccccc4O3)o2)c1 1322683972 KWWLETDICURXDX-FQEVSTJZSA-N 417.377 4.950 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)c(F)c1 1341458303 APRMCOIEBKOAPI-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(I)c1C 1342049956 MEZUDGIMQZEDGM-UHFFFAOYSA-N 424.238 4.685 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3ccc(OC)cc3)c(C)s2)cc1[N+](=O)[O-] 14501636 CMSWPDJWMCDLGH-UHFFFAOYSA-N 412.471 4.719 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 55593292 KTLQQMUROYGAIT-GASCZTMLSA-N 409.486 4.582 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccccc3SCC(F)(F)F)n2)cc1 55832234 NOBCBEGXEFWVBO-UHFFFAOYSA-N 410.377 4.911 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccc([N+](=O)[O-])s2)n1 60674548 ZUOFHFWMCBMTGB-UHFFFAOYSA-N 410.377 4.730 5 20 HJBD COc1cccc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)c1 61555217 YVPQLPRWADGCRE-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD CCc1cc(NCc2ncc(-c3ccccc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64905939 XNQCSRZDDKUIOI-UHFFFAOYSA-N 401.426 4.881 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C/c1cccc([N+](=O)[O-])c1 207991137 XRCYFGSETDPLJN-WJDWOHSUSA-N 401.447 4.978 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccnc(N4CCCCC4)c3)o2)c([N+](=O)[O-])c1 237421829 GBXOFACOHNSIAX-UHFFFAOYSA-N 422.485 4.539 5 20 HJBD CCOc1cc(NC(=O)c2cccc(C(F)(F)F)c2F)c([N+](=O)[O-])cc1OCC 429918491 CZBMJKXGWKPITN-UHFFFAOYSA-N 416.327 4.802 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1SC 437132813 JPMVSBWAPKLRJC-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 438008408 DHNBKEQXYIYMBO-UHFFFAOYSA-N 411.502 4.784 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(CCCNc2ccc([N+](=O)[O-])cc2)n1 445658031 PUZAJGXQOPGWLC-UHFFFAOYSA-N 420.473 4.544 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3cccnc3)n2Cc2ccccc2)c1 446892473 MANYVYNSLIKNRA-UHFFFAOYSA-N 403.467 4.756 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCc2ccccc2COCc2ccccc2)n1 447551753 RIMKPULBMCCWEM-PKTZIBPZSA-N 420.513 4.782 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 448064637 PESKJAOBSNBILV-PXNSSMCTSA-N 406.486 4.780 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(CSC(C)C)c2C)ccc1[N+](=O)[O-] 460255419 VHKULTSPWYFRRO-UHFFFAOYSA-N 404.488 4.571 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(c3noc(C4CC4)n3)CC2)s1 463060152 WQIVHHGYQXMTHG-UHFFFAOYSA-N 410.499 4.963 5 20 HJBD C[C@H](Sc1nnc(CCc2ccccc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 463233176 XRDTXTQCYBMBLK-ZDUSSCGKSA-N 423.454 4.666 5 20 HJBD CC[C@H](C)C[C@@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463903406 FSYXAMDZLFTHGP-UONOGXRCSA-N 415.456 4.771 5 20 HJBD CO[C@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(Cl)cc1 466088194 ZGWDQCLFOPOIAZ-LJQANCHMSA-N 405.882 4.556 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc3c(cc2Br)CCC3)c1 468163305 FORFVPGFELWQMU-UHFFFAOYSA-N 407.289 4.820 5 20 HJBD CCCOc1ccccc1[C@@H](CC(C)C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] 470770865 CWPCRNFGICEUNP-LJQANCHMSA-N 400.475 4.909 5 20 HJBD CCCn1c(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)nnc1-c1cccc(C)c1 473792921 AUCDNIRCDPVJGN-UHFFFAOYSA-N 408.487 4.740 5 20 HJBD CC(C)(CF)NC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 474052504 GBURXVVRPMFCSE-UHFFFAOYSA-N 413.808 4.727 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc([N+](=O)[O-])cc1F 478782297 NTTRZQMCFUOTDU-QMMMGPOBSA-N 424.816 4.787 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Oc2ccc(-n3c(C)nnc3-c3ccccc3C)cc2)n1 481845293 RCVSDFCEYWMCBU-UHFFFAOYSA-N 401.426 4.955 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485637113 RIXKDTIRMIBUAZ-ZRBLBEILSA-N 416.481 4.579 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Oc3ccncc3)c(C)c2)c([N+](=O)[O-])cc1OC 487726402 XTNISCADBFWRKN-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(OC(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 488440291 PLFHWYMISVMAEP-UHFFFAOYSA-N 410.373 4.553 5 20 HJBD CN(CCC1CCOCC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 490439174 XYNXDSCCYWEETK-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCc2ccc(C(C)C)cc21 494233692 KBGCIHBXOZAPMZ-HXUWFJFHSA-N 414.527 4.874 5 20 HJBD COc1cc(Br)cc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 497768832 RNBYCGXHGBWNIL-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD Cc1c(NC(=O)CCNc2ccccc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 498484027 LNIVIECLXSIRQC-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500288069 MINOYLRZFWINOC-QGZVFWFLSA-N 414.874 4.958 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@H]1CCCC12CCOCC2 505364004 KBMUUWMPJYTGDF-FQEVSTJZSA-N 411.458 4.858 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1C[C@H](c2ccc(OC)c(F)c2)C1 506288449 FIGVBSGVJZQCCT-SHTZXODSSA-N 418.490 4.921 5 20 HJBD CC(C)COC[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccco1 509705320 VHZNDYMYRVFLJK-LJQANCHMSA-N 403.479 4.522 5 20 HJBD COc1cc(C(=O)NC[C@H]2CC3c4ccccc4C2c2ccccc23)ccc1[N+](=O)[O-] 511046618 LLHRGSRFDPJWIV-NEDNOIINSA-N 414.461 4.631 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCO[C@@H](c4cccc(OC(F)(F)F)c4)C3)ccnc12 516904166 RHFHXOOBKWNALZ-GOSISDBHSA-N 419.359 4.620 5 20 HJBD COc1cc(C(=O)Nc2c(F)cc(F)cc2-c2ccccc2)c([N+](=O)[O-])cc1OC 518655915 AHRDEAQMUGRGNO-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])s1 520478166 LFHDIZJGCZPUQY-QGZVFWFLSA-N 417.284 4.938 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CC=C(c3cccc4ccccc34)CC2)s1 521652740 NZIJTDCAJSPWEQ-UHFFFAOYSA-N 414.508 4.507 5 20 HJBD C[C@H](NC(C)(C)CNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 521850744 QEWVMHPUTJGVNN-HNNXBMFYSA-N 424.526 4.578 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(-c2ccc(COc3ccccc3)cc2)no1 521910794 PSPQNRSPZYXVBF-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD O=c1c(Cl)c(N[C@@H]2CCC[C@@H]2c2ccccc2)cnn1-c1ccc([N+](=O)[O-])cc1 521964013 OIBKOCFDPQDXMK-QZTJIDSGSA-N 410.861 4.542 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 522536365 MTEWTIZJSUSEIJ-SJORKVTESA-N 401.677 4.645 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 523097880 SVMBBLFEIFURSK-GJZGRUSLSA-N 422.403 4.863 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 524193417 SEQDQBGQXKJWBD-POXGOYDTSA-N 403.504 4.525 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Br)cc2)C2CCC2)c1 525660444 WYSGYQSMEKWFBL-GOSISDBHSA-N 403.276 4.937 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 534268789 USIFYSKUOPTNLI-HXUWFJFHSA-N 422.441 4.501 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 537137053 TUMHSOUSRBMPQH-QGZVFWFLSA-N 405.520 4.501 5 20 HJBD COc1ccc(C[C@@H](NCc2cc([N+](=O)[O-])ccc2OC)c2ccc(OC)cc2)cc1 538140700 SPLNHSRKTPMAFH-HSZRJFAPSA-N 422.481 4.694 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cnn(-c2ccccc2)c1 538499747 KQCQZPGZDPYWKJ-UHFFFAOYSA-N 424.526 4.645 5 20 HJBD Cc1cc(NC(=O)Cc2csc(COc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 538552700 YDKGGLYRUQOHSM-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cccc(OCc2cccnc2)c1 541069246 WNXCHWJRWCDGCB-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 542954825 IQWZSZWLPFLXMX-QGZVFWFLSA-N 423.391 4.836 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@H]4CCOC4)CC3)o2)c(Cl)c1 544371848 UTTBDGFGRSIENM-HNNXBMFYSA-N 420.893 4.526 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 545122704 AZLKOLGQPKUKBR-OXJNMPFZSA-N 418.440 4.529 5 20 HJBD CCOc1ccc(Br)cc1-c1noc(-c2cccc([N+](=O)[O-])c2C)n1 546347768 XYYQHZGTWAQIMO-UHFFFAOYSA-N 404.220 4.781 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4ccc(Cl)c(Cl)c4)no3)c2c1 546944408 WMTVXLSXEJPSTB-UHFFFAOYSA-N 417.208 4.796 5 20 HJBD CCC(CC)C(=O)N1CCC(Nc2cc(C)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 549460647 MWOCMQHXOZFEGU-UHFFFAOYSA-N 401.429 4.761 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 554082927 MXOVUMHGPKYHSE-UHFFFAOYSA-N 420.444 4.645 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(NC(=O)c3ccccc3)ccc2C)c1 558754559 OWSXHXIHIZPYPQ-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)N3CCOc4ccc([N+](=O)[O-])cc4C3)c2)o1 564421114 DREUHRYFJZQSHZ-UHFFFAOYSA-N 407.426 4.898 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2C[C@H](c3ccccc3)[C@@H]3COCC[C@H]32)c1 578029799 RDVVANVLWOUEBP-WSTZPKSXSA-N 406.404 4.618 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1F 582413578 LQZWLJVOTSLJPT-GFCCVEGCSA-N 411.389 4.630 5 20 HJBD O=C(NCCc1ccc(Cl)cc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590126225 FESGHUXKOOMBSR-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD O=C(c1cc(N2CCC(CCc3ccccc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 603943600 XMBPSNQSPLNDNV-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OCc1ccccc1 603986602 BDMVCYAKJGHJGP-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 609031497 LJRGXXLRNBPWGM-NEPJUHHUSA-N 423.252 4.805 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Oc2ccccc2Cc2ccccc2)c([N+](=O)[O-])c1 609145050 OBZIIUGHGQJGNS-INIZCTEOSA-N 406.438 4.600 5 20 HJBD O=C(c1nn(-c2ccccc2)c2c1CCC2)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609859234 RVYHWLQRBDICPA-UHFFFAOYSA-N 416.481 4.859 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 610187251 AKUABSWRSXKJHJ-HXPMCKFVSA-N 406.866 4.783 5 20 HJBD COc1ccc(C2(NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)CCOCC2)cc1 610271109 OOGFGHGLMPOABA-UHFFFAOYSA-N 408.454 4.659 5 20 HJBD CC(C)OC1CCC(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 611051964 WQVBADIGICQASY-UHFFFAOYSA-N 420.453 4.558 5 20 HJBD CCc1cnc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611206621 ZLZURQQVIILIQM-UHFFFAOYSA-N 405.545 4.921 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710548 YIUDKMGVSVNILN-UHFFFAOYSA-N 407.760 4.753 5 20 HJBD C[C@@H](OC(=O)c1cc(N2CCCC2=O)cc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 728240891 UUAJCCJKIFZDDJ-GFCCVEGCSA-N 422.359 4.658 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1cccnc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 728418553 OFFCXVHSLYKGMJ-QFIPXVFZSA-N 403.438 4.536 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c2c1 730456833 LIKIWSKURHOSFT-UHFFFAOYSA-N 410.433 4.716 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CCOC(=O)[C@H](Oc1cccc(C(C)C)c1)c1ccccc1 730689225 BVUFHKGBGARMTC-JOCHJYFZSA-N 423.469 4.587 5 20 HJBD COc1ccccc1-c1cccc(NS(=O)(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 732047097 GDUCOEFGIHCHHW-UHFFFAOYSA-N 418.858 4.725 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2ccccc2F)c2c1CCC2 735821678 TYACUOKIPQNVHF-UHFFFAOYSA-N 414.824 4.622 5 20 HJBD CSCC[C@@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 736348104 LOAHNULIQIQCCY-ACJLOTCBSA-N 407.923 4.659 5 20 HJBD Cc1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1OCC1CCOCC1 741167857 OJIWGUNFKIJGLD-UHFFFAOYSA-N 401.488 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 742464800 PWFRORZFGKMLDG-BEFAXECRSA-N 413.474 4.744 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 742544092 YRRVHYPZSIITCR-UHFFFAOYSA-N 421.419 4.576 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)s1 744359616 IVQBXMFRXMWBJN-NSHDSACASA-N 409.895 4.859 5 20 HJBD CC/C(=C\C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(Cl)c1 745759186 QGTXWDBUKRFVEC-GXDHUFHOSA-N 402.834 4.532 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)OCc1ccc([N+](=O)[O-])cc1F 746943498 ADONRUWQPYBDDS-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD CCS[C@H]1CCCC[C@@H]1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437056 PTMRWBDMRHMZGU-AAEUAGOBSA-N 421.744 4.805 5 20 HJBD CCOc1ccc(C(=O)OCc2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 750427747 IEKABRKFUUMAHW-UHFFFAOYSA-N 420.421 4.603 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1C 754432221 LAAXMGSRBKKVRY-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CCCOc1cccc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776217258 GYOOCKJYHSZROP-QHCPKHFHSA-N 406.438 4.654 5 20 HJBD CC[C@@H](Oc1ccccc1C)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219233 FHAMKGRESVKTOU-IFMALSPDSA-N 406.438 4.788 5 20 HJBD O=C(N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 779328751 JUJJSVCTJWEOIO-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1cccc([N+](=O)[O-])c1Br 782117769 OWHJYKIURVMPIK-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1cccc([N+](=O)[O-])c1Br 782165763 CSXQVNNDPYDZAX-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)Nc2cccc(OC(F)(F)F)c2)C2CC2)c1 783749031 SIFPJLAUOMURRX-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD CCC[C@@H](CCCS(C)(=O)=O)C(=O)OCc1nc2ccccc2c2ccccc12 789122177 FQXKOIYPNUKTDA-KRWDZBQOSA-N 413.539 4.672 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N[C@H](c1ccc(Cl)cc1)c1ccsc1 791332540 DBFSZTUJDBOZPH-QGZVFWFLSA-N 405.838 4.550 5 20 HJBD C[C@@H](OC(=O)C1CC(c2ccc(F)cc2)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796108734 ACOHHPWSPFKSPK-UWTIGNOOSA-N 411.389 4.582 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)s2)c(F)c1 800149644 RNGFWHCWBSTQQR-UHFFFAOYSA-N 419.409 4.874 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 810264576 WHUBMEYVGLEELK-DLBZAZTESA-N 411.502 4.922 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)nn1C1CCCCC1 812303807 FWJLJBMAYAGSJD-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)C(C)C)c1C 878216306 UMVHLTVSEUGWBM-FQEVSTJZSA-N 409.442 4.513 5 20 HJBD CSc1ccc(C(=O)OCc2csc(Cc3ccccc3)n2)cc1[N+](=O)[O-] 915367384 VOBNUXIQEOJTJF-UHFFFAOYSA-N 400.481 4.721 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 917700914 LPWRIVHMKPRPGS-INIZCTEOSA-N 406.438 4.673 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(c([N+](=O)[O-])c2)OCO3)o1 1333077248 KXQSCUCBROTIMJ-LBPRGKRZSA-N 405.366 4.667 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nnc(-c3cc(-c4ccccc4)on3)o2)c1 1346008234 FSKOLVNNAHXRNB-UHFFFAOYSA-N 417.425 4.957 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1Cl 15033436 VVNUNFFYTYUTRQ-UHFFFAOYSA-N 422.190 4.762 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cc(Cl)c(Cl)cc2Cl)cc([N+](=O)[O-])c1 24107259 ZOKDSONFCOYQHO-UHFFFAOYSA-N 417.632 4.984 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 32519685 KTVBAPFCFXFRCA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 107888414 LRVCREACCUCFEG-FQEVSTJZSA-N 414.505 4.886 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)[C@H](C)C2CC2)cc1 219359640 KFPXOJAIGDLMQJ-CQSZACIVSA-N 400.500 4.766 5 20 HJBD CN(C)CCOc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 236972927 YUVGIDIYDRQHBM-UHFFFAOYSA-N 411.527 4.554 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(-c2ccc(C)cc2)nc2onc(C)c12 247903140 GKEBLRQZLCWALN-UHFFFAOYSA-N 419.393 4.643 5 20 HJBD CCOc1cccc2cc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)oc12 301368444 RKVJISQVWKGJEU-CYBMUJFWSA-N 411.414 4.869 5 20 HJBD O=C1CCCN1C[C@@H](Nc1ccc(F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 301409629 JGPWIVVXXGHRKL-MRXNPFEDSA-N 411.355 4.528 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccoc3C)n2Cc2ccccc2)c([N+](=O)[O-])c1 301468992 ZUKQBOVNMVMVPW-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)ccc1[N+](=O)[O-] 430679868 WLEWMPYJZHDHNW-UHFFFAOYSA-N 418.755 4.515 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccncc2)cc1 431665674 QCHMNPJEYVUWEY-INIZCTEOSA-N 404.470 4.952 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC2(CCC2)[C@@H]1c1ccccc1 432911423 RNWVAFWNDFJERJ-KRWDZBQOSA-N 403.385 4.955 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 436067805 NXJXONSFCIEJOP-UHFFFAOYSA-N 422.431 4.678 5 20 HJBD O=C(Nc1ccc(-c2csnn2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436139485 NTNPHNAHBKZVMB-UHFFFAOYSA-N 416.437 4.591 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 437402080 BCUROPOZNFRCLM-KOMQPUFPSA-N 404.416 4.636 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437985395 JZRFMBGAVNOFTB-XXBNENTESA-N 423.513 4.745 5 20 HJBD CCOc1cc(NC(=O)c2ccc(C(F)(F)F)c(F)c2)c([N+](=O)[O-])cc1OCC 439148700 UCFZNKLEQXMAHB-UHFFFAOYSA-N 416.327 4.802 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)cc1C(F)(F)F 444410007 HGVOIRCJEDPCLD-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CCOc1cc(NC(=O)Nc2cnn(C3CCCC3)c2C)c([N+](=O)[O-])cc1OCC 444833340 FZRNNRGQZOVFQN-UHFFFAOYSA-N 417.466 4.656 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1c(F)cccc1Oc1ccccc1 444904077 YVKQNOCEAZMZKU-UHFFFAOYSA-N 421.388 4.724 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)CC[C@H]1c1ccccc1 460636476 PBRNHPCSAVNYHH-CZUORRHYSA-N 403.276 4.623 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC[C@H]1c1ccccc1 460646607 KQGTYBMJQHUKOE-HNAYVOBHSA-N 407.495 4.984 5 20 HJBD O=C(c1cc(C2CC2)nc2ccccc12)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 461683840 QIVQBQGVHQBBHX-JOCHJYFZSA-N 405.479 4.908 5 20 HJBD CC[C@@H](C)C[C@@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463903407 FSYXAMDZLFTHGP-ZIAGYGMSSA-N 415.456 4.771 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])cc1Cl 466299902 RMNWTUNTBCVAAW-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cc(Cl)ccc2OC[C@@H]2CCCO2)c(Cl)c1 466473695 MFENFMFXYXRRJA-KRWDZBQOSA-N 411.285 4.749 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1csc(-c2cccc([N+](=O)[O-])c2)n1 466902619 IULNGMIIVYYEOA-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 468124663 BXMUEFZKSQDEBJ-HNNXBMFYSA-N 402.882 4.549 5 20 HJBD Cc1nn(CCC(=O)N2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 470195500 UNBBNJYXJAQCQB-QGZVFWFLSA-N 424.423 4.571 5 20 HJBD C[C@H](OC1CCCCCC1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 471391076 PXSPJDDDODWKKF-AWEZNQCLSA-N 402.413 4.614 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 471843029 GEEJFUSFDYYZJI-QGZVFWFLSA-N 419.485 4.906 5 20 HJBD COCCSc1ccc([C@H](C)Nc2ncc(Br)cc2[N+](=O)[O-])cc1 474793129 XVAZEANMSAQAJE-NSHDSACASA-N 412.309 4.664 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(F)c(Br)cc1Cl 476695747 VJGXQDRKSAKEQC-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD CCN(C(=O)Cc1ccc(NCc2ccc([N+](=O)[O-])cc2F)cc1)c1ccccc1 478325580 HRZASKLVZZWTAX-UHFFFAOYSA-N 407.445 4.942 5 20 HJBD COc1cc(OCC(=O)N[C@H](c2oc3ccccc3c2C)C(C)C)ccc1[N+](=O)[O-] 482885751 YHKNQDKHQHKWLO-NRFANRHFSA-N 412.442 4.550 5 20 HJBD COC[C@H](C)n1c(S[C@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484488587 LDVWBETXIUDLQU-LSDHHAIUSA-N 413.499 4.674 5 20 HJBD CCCO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CC1 488208259 YHNXGALGNMPWFR-FQEVSTJZSA-N 411.502 4.628 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](c1cccnc1)c1ccc(F)cc1F 496466291 DVWNBLSVAPJFDS-GOSISDBHSA-N 403.410 4.581 5 20 HJBD Cc1sc(CCNC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1-c1ccccc1 497735517 YADSXAHSZOPHQS-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(C)c1 497875522 UHVNAOJLQHFILT-QFIPXVFZSA-N 423.444 4.698 5 20 HJBD Cc1csc(-c2cccc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2)n1 498152363 ICBMHBKPZQJOIR-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD O=C(CSCc1cccc(Cl)c1)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 499029729 KBGYNXPLSWGEPV-UHFFFAOYSA-N 402.863 4.510 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cnn(Cc2ccccc2)c1 503633246 LGZAXEBCCUGNLF-MRXNPFEDSA-N 424.526 4.833 5 20 HJBD Cc1cc(C)c(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)cc1[N+](=O)[O-] 507639658 XRCOJLBBSFPYJF-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 510990029 FVIJYUONSKJLDV-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 513840757 HKEKVXPMGRNTEN-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccccc1C(=O)N[C@@H](C)c1ccccc1 514497683 WVFXRCIMPUXFNV-INIZCTEOSA-N 405.454 4.707 5 20 HJBD COCc1c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)oc2ccccc12 515051845 NABYPZJDHMLJEG-UHFFFAOYSA-N 422.359 4.692 5 20 HJBD COc1ccccc1[C@H](C)N(C)C(=O)COc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 516344466 VMHGHHRJMAUKJE-KRWDZBQOSA-N 420.465 4.869 5 20 HJBD C[C@@H](NC1(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CCCC1)c1ccccc1 520987897 PJEJJZYMFWGEGT-MRXNPFEDSA-N 408.502 4.555 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc(Br)cc3)o2)cc1[N+](=O)[O-] 521828361 AZJDLWRKXLLAQV-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD O=C(N[C@@H](c1ccccc1)C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 522102573 XQYCSDJSQZXNDV-HNNXBMFYSA-N 407.373 4.752 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 522380178 YQEIGQQDQVMCLY-UHFFFAOYSA-N 424.844 4.551 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 523406318 QWSUNKUFFMNXSI-QGZVFWFLSA-N 418.877 4.580 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccccc3OC(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 523730005 YXLKZSQCMKQSRB-UHFFFAOYSA-N 422.485 4.886 5 20 HJBD CC(C)(NC(=O)NCc1cccc(COCc2ccco2)c1)c1ccc([N+](=O)[O-])cc1 531230080 KBZUOEPZMSJVKB-UHFFFAOYSA-N 423.469 4.639 5 20 HJBD COc1ccc([C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c(OC)c1 532755353 CFBQOYZGDLORIW-HXUWFJFHSA-N 423.469 4.647 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@@](C)=O)cc1 533741330 YDIUBMWABNTMFA-NOVUIFNWSA-N 423.538 4.951 5 20 HJBD CC(C)c1ccc([C@H]2CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 535497129 XMEPVJJOBKDRCE-OAQYLSRUSA-N 405.454 4.949 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCCC[C@H]1C 536302569 PDFUMILPZATPLY-QWQRMKEZSA-N 403.504 4.566 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(C(C)(C)c2ccccc2)nc1C 537708034 QRSPXMQTYZKRKM-UHFFFAOYSA-N 411.483 4.947 5 20 HJBD COc1cccc([C@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 538129329 MLGFWBCITMPDIA-GAJHUEQPSA-N 419.481 4.942 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCCCC2=O)c1 538193449 BOBZLDAGNYXUFZ-UHFFFAOYSA-N 413.499 4.865 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 539826225 YIJGNADGJNLGSJ-HNNXBMFYSA-N 414.462 4.603 5 20 HJBD CC(C)(NC(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])cc1 540824038 CGXUXXVGOQBYGE-UHFFFAOYSA-N 417.425 4.855 5 20 HJBD CN1CC[C@H](CNCc2ccc([N+](=O)[O-])cc2Cl)[C@H]1c1ccc(Cl)c(F)c1 542484226 VDLHYRAMIVSWML-AUUYWEPGSA-N 412.292 4.823 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)NCc1cc([N+](=O)[O-])ccc1OC(C)C 542529025 KFBQNBSMQXKLRT-LBPRGKRZSA-N 414.428 4.608 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)c1)C1CCC1 546274334 UAELBMPXYUGCHN-CSKARUKUSA-N 408.389 4.693 5 20 HJBD Cc1c(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cccc1[N+](=O)[O-] 547034965 FZAVNGYIXCANEA-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CC(=O)c1cc(NCc2cccc(NC(=O)c3cccc(F)c3)c2)ccc1[N+](=O)[O-] 553291575 AORWLQZQZCPJNV-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD CC[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC)c(OC)c1 557462707 GNXXDDIRALONGY-AWEZNQCLSA-N 424.906 4.625 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)c([N+](=O)[O-])cc1OCC 567423469 QMZMNUMJLJYDNV-VXGBXAGGSA-N 404.385 4.699 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H]2CCCCN2c2ccccc21 576973648 QOKLPHNEZXDAFH-QGZVFWFLSA-N 420.494 4.738 5 20 HJBD Cc1c(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 582810069 UEUNOCGIHVGYNI-UHFFFAOYSA-N 413.865 4.799 5 20 HJBD CCN(C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)C12CC3CC(CC(C3)C1)C2 603563665 SETAJPBYPBSNKW-UHFFFAOYSA-N 418.559 4.654 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(OC)c1 603731451 SJPNENIMRIMXOK-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD COc1cccc(-c2cc(NC(=O)c3csc([N+](=O)[O-])c3)n(-c3ccccc3)n2)c1 603760154 HJOWOGZXLVPSSX-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD Cc1cc(NC(=O)NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603844760 YTPQSWODCQGPSK-NXEZZACHSA-N 413.318 4.934 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890303 YIBXOGKUWGZUEZ-WMZOPIPTSA-N 406.486 4.591 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604077106 LFITUIDDGXRODE-JOCHJYFZSA-N 405.454 4.582 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CO[C@H]3CCC[C@H](C)C3)c2)c(C)c1[N+](=O)[O-] 608806955 WVGDBDXXCHYABV-YWZLYKJASA-N 414.506 4.532 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1C[C@@H]1C[C@H]2CC[C@H]1C2 608881023 OAOIAQXXNCXGFU-VMXMFDLUSA-N 411.546 4.629 5 20 HJBD CCCC(=O)N1CCCC[C@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755481 NECIQECKKDRMGR-FQEVSTJZSA-N 411.458 4.507 5 20 HJBD COCCCCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609792004 WNKSSISYRRQXHN-UHFFFAOYSA-N 410.829 4.613 5 20 HJBD COc1cc(C)ccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609855795 FQRIIDZIVINMDI-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)Nc1ccccc1OC(F)F 611118254 IHWWJXDVRMKRIR-UHFFFAOYSA-N 420.393 4.942 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CC1)OCc1ccccc12 611543407 ILLZQPFUWJBGGN-UHFFFAOYSA-N 412.511 4.759 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cccc([N+](=O)[O-])c1 617701682 RYDOGBCBGKPALN-LLVKDONJSA-N 403.410 4.663 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)OCc1c(F)cccc1[N+](=O)[O-] 728989939 GULCISRJSNVRAV-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 731955428 KUQXYAZXIMPBJG-GOSISDBHSA-N 421.478 4.567 5 20 HJBD CC(C)c1ccc([C@H](C)CC(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1 738747412 UKWYHJCNTKFSOK-CQSZACIVSA-N 402.422 4.533 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ncc(-c2ccccc2)o1 739778802 JRMJLPRQVVEPOF-MRXNPFEDSA-N 420.469 4.731 5 20 HJBD COc1ccc(OCCSc2nn(-c3ccccc3)c(=S)s2)c([N+](=O)[O-])c1 741846905 KIMNEAHSZPGRQN-UHFFFAOYSA-N 421.525 4.751 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cc1 745565533 QUKHPWQWLPUVPP-NSHDSACASA-N 421.331 4.890 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])ccc21 749934032 NYXGHNZGXLJICD-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD CCc1ccc([C@H](NCc2ccc(N3CCCC3=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 753613921 OHUVSUYWCWHINK-XMMPIXPASA-N 419.481 4.756 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 758042180 YDJRRWSUEMVPSB-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD COc1ccc([C@@H](C)OC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c(Br)c1 763558015 LZIJEPLKQOPVIG-MRVPVSSYSA-N 416.174 4.562 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764217989 NFKUAADBSCGYJT-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CC1(Cl)Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495202 CPPROTVJWNBSQS-LBPRGKRZSA-N 414.314 4.901 5 20 HJBD COc1ccc(OC(=O)c2csc(-c3cccc(C(F)(F)F)c3)n2)cc1[N+](=O)[O-] 792116602 NJKWTJYHQMUISE-UHFFFAOYSA-N 424.356 4.965 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3Br)C2)c(C)c1 792553943 BLOZBCDDYXKOTA-ZDUSSCGKSA-N 421.266 4.743 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OCC(F)(F)F)c(Cl)c2)c1[N+](=O)[O-] 800131321 IOKKNABZQFXUBM-UHFFFAOYSA-N 406.719 4.889 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 801757433 QNQOWBRYKFCJBK-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD COCc1ncsc1-c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809364230 SOUWQWWAQDGDRB-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(OCc2ccccc2F)CC1 809922923 UKBFGQWPIKTSAI-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD C[C@H](N[C@@H](c1ccccc1)c1nc2ccccc2n1C)c1ccc(N)c([N+](=O)[O-])c1 815573130 YWAAWIPIYRGQBX-NYHFZMIOSA-N 401.470 4.504 5 20 HJBD Cc1cc(OCc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)ccc1[N+](=O)[O-] 904508205 RQSSNMGLIYFPKD-UHFFFAOYSA-N 422.372 4.859 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cc1 912615211 SUQPSYSFHNPXAX-UHFFFAOYSA-N 411.502 4.502 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 914479955 JCBWGUQMNDGZBB-LLVKDONJSA-N 411.336 4.663 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](c2ccccc2)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1319534513 JOYKDOSBUFXHNB-QHCPKHFHSA-N 401.466 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nnc(-c2nc(-c3ccccc3)n(-c3ccccc3)n2)o1 1323806602 NVMKVKKSXXROEF-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1 1353231266 IBOGTWCDGMNVSV-GJZGRUSLSA-N 408.298 4.540 5 20 HJBD Cn1c(SCC(=O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(C(C)(C)C)cc1 6615714 FQYVVGCIQXVYCS-UHFFFAOYSA-N 410.499 4.663 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(CC)cc2)C(C)C)c([N+](=O)[O-])cc1OC 26792596 FPGHRVMBXSXWCZ-NRFANRHFSA-N 400.475 4.692 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3cc([N+](=O)[O-])ccc3F)cc(-c3ccccc3)nc21 57564476 ADRJQFRPJAGMPF-UHFFFAOYSA-N 419.416 4.979 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 60974358 HSKRBPISVLUWKK-UHFFFAOYSA-N 420.746 4.601 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1F 63446739 UKJOFIVYWBZYPJ-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)s1 66029329 NAWODTGLKYLJRP-UHFFFAOYSA-N 411.483 4.975 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc2[nH]c(C(F)F)nc2c1 111147529 LJOYPSRLMUUZCK-UHFFFAOYSA-N 415.381 4.785 5 20 HJBD O=C(COc1ccc2cc(Br)ccc2c1)Nc1ccc([N+](=O)[O-])cc1F 116710087 SRHBZAZTRSRRJD-UHFFFAOYSA-N 419.206 4.667 5 20 HJBD CC(C)COC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccco1 236624049 WUYSBXNOWVGZMA-GOSISDBHSA-N 401.488 4.815 5 20 HJBD CCc1cc(Oc2ccc([N+](=O)[O-])cc2Br)nc(-c2ccncc2)n1 301375754 SCOSBOYJNZSJTR-UHFFFAOYSA-N 401.220 4.564 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1cccc(S(C)(=O)=O)c1[N+](=O)[O-] 302228626 XKZRIAKSJYLZIJ-UHFFFAOYSA-N 414.483 4.551 5 20 HJBD COc1ccc(OCCSc2nnc(-c3ccc(C(C)C)cc3)o2)c([N+](=O)[O-])c1 303923866 PHYUQNCGGUNDRL-UHFFFAOYSA-N 415.471 4.948 5 20 HJBD CC(C)c1csc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n1 427042957 FSIITDJPCXGOPD-UHFFFAOYSA-N 411.483 4.684 5 20 HJBD CC[C@H](NC(=O)Nc1ccc(C(=O)N[C@H](C)CC)cc1C)c1cccc([N+](=O)[O-])c1 429049197 OZAYZHSPXMNKKU-BEFAXECRSA-N 412.490 4.704 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)cc1 431641504 VXTDVTSMIJOHGG-UHFFFAOYSA-N 420.263 4.594 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 432081447 XSVOAWGVYIBAHR-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD COc1cccc(C(=O)N[C@@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1[N+](=O)[O-] 435730877 GQODFBXHEIFXLB-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(-c2ccccc2OC(F)(F)F)o1 436062373 DYILNQXUQNNHMT-UHFFFAOYSA-N 406.316 4.683 5 20 HJBD O=[N+]([O-])c1cn(Cc2nc3cc(Cl)ccc3n2Cc2ccccc2)nc1C1CC1 436207293 SCHAXLGBAOOWPK-UHFFFAOYSA-N 407.861 4.768 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)C1 437111990 RXUXUAABHVUICN-UKBAYJJMSA-N 419.591 4.823 5 20 HJBD O=C(c1cncc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440604003 FXNQCQYECBEPGG-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD CC1(c2ccc(Br)cc2)CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 441139550 IDOOOYXCZIVWEU-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD CSc1cc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])ccn1 441296970 PGONEESPLWISMY-UHFFFAOYSA-N 411.508 4.793 5 20 HJBD CCOc1ccccc1NC(=O)Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 445342226 MPLMQHGLYITZKQ-UHFFFAOYSA-N 424.457 4.522 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NC1CCN(Cc2ccc(Cl)c(Cl)c2)CC1 446042258 HZHDCZDXOQUJTP-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 461325217 LUAFYYKVCXMRKF-RTWAWAEBSA-N 407.470 4.512 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCc2c(Br)ccc(Cl)c2C1 463732463 SSZXNLASSHUGMP-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CC[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465180479 ATXUXRTYDQSEQU-JOYOIKCWSA-N 419.450 4.818 5 20 HJBD CCC[C@@H](Cc1ccccc1)NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465936071 NPYMQJPGRDJDGR-IBGZPJMESA-N 411.502 4.559 5 20 HJBD COCc1ccc([C@@H]2CCCN2c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])o1 466621275 XLUKPSRBUAKDGF-FQEVSTJZSA-N 406.438 4.907 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)ccc2NC2CC2)cc1[N+](=O)[O-] 467686843 UHWKXHFYAWMDJM-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD Cc1ccc(-n2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cn2)c(C)c1 468124448 OCBKZXIGXLGPON-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 471400952 GZTGATVGMMLHJW-UHFFFAOYSA-N 411.241 4.902 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](c3cccc(Cl)c3)c3ccccn3)o2)cc1 475337043 ULJGGGNONZZNHW-HXUWFJFHSA-N 421.844 4.572 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cc2cccc(F)c2o1 475800226 XOPRSNIOLHVCAP-OAHLLOKOSA-N 414.436 4.917 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(Cc3cccs3)n2C2CC2)c([N+](=O)[O-])c1 479942518 RPBLVKRWCZTTMA-UHFFFAOYSA-N 414.512 4.917 5 20 HJBD C[C@H](NC(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1cccc([N+](=O)[O-])c1 481937579 KLSNBSMTJIQPPL-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(C(F)(F)F)c1 482728619 PCUBXHGYGPWWQY-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C)c1 483985805 VCULDYCWMDSERW-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD CCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](CC)c1ccccc1 484913089 ZETRASSLMFEEPQ-GOSISDBHSA-N 403.866 4.577 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)c1 486832924 ILKITNKCTCXPLH-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1COc2ccccc21 489077856 VRDCPPKSQLEXEC-KSSFIOAISA-N 400.500 4.637 5 20 HJBD COc1cc(CNc2ccc3nc(C4CC4)oc3c2)c([N+](=O)[O-])cc1OCC(F)F 493740055 ZLSYKOZKFLALRF-UHFFFAOYSA-N 419.384 4.878 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496445869 CYYGXYUTSKDZFE-HXUWFJFHSA-N 415.371 4.659 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C/c2ccco2)s1 497455463 HGACYEJCXALACI-SOFGYWHQSA-N 402.457 4.574 5 20 HJBD O=C(Nc1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1)c1cccs1 498039563 WNWILUDFJKNMHK-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CCC(=O)c1ccc(OCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c(F)c1 502858255 UXZPVOLVDJALIJ-UHFFFAOYSA-N 413.348 4.558 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1 504751481 UUYDUARVKRZDLD-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1nc2ccc(F)cc2o1 508159159 FBAUVYYFLWXTKH-UHFFFAOYSA-N 418.178 4.765 5 20 HJBD Cc1noc(C2CCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)CC2)n1 509387391 MPPQFCAQTBGCSX-UHFFFAOYSA-N 412.421 4.597 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(COc2ccccc2)CC1 510624783 MCYZBGIAFKRWCC-UHFFFAOYSA-N 421.400 4.519 5 20 HJBD Cc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc(C)c1OCc1cccnc1 512938871 FYYVYVWPWZVYQA-UHFFFAOYSA-N 419.481 4.500 5 20 HJBD CCOc1cccc2cc([C@@H](C)Nc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])oc12 514322191 BJYKHHMQGSWLFP-CYBMUJFWSA-N 409.442 4.805 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2cccnc2)cc1 517228181 JCMRXFGGZXKFBB-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD O=C(CCc1nc2ccccc2[nH]1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522672359 IKWJWJVUIMJSAO-UHFFFAOYSA-N 402.410 4.835 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 523015345 LCPQESCQJNMKDT-NSHDSACASA-N 413.808 4.945 5 20 HJBD CSc1ccc(C(=O)N2CCSC[C@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 523848246 RCNPTGAAIBNWFP-INIZCTEOSA-N 408.932 4.900 5 20 HJBD C[C@H](N[C@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533505161 APYLXTDEHBFWBC-BUXKBTBVSA-N 405.857 4.845 5 20 HJBD C[C@@H](CNC(=O)c1cc2ccccc2c2cccnc12)c1nc(-c2ccccc2)no1 534832992 WTSGZCMOQXMDJU-INIZCTEOSA-N 408.461 4.972 5 20 HJBD Cc1sc(NC(=O)c2cnc([C@H]3CCCO3)s2)nc1-c1cccc([N+](=O)[O-])c1 536323293 CJSKCNBTCAAAQZ-CYBMUJFWSA-N 416.484 4.587 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1cccc(Cl)c1Cl 537111965 ZHONVDIELSFPCX-LLVKDONJSA-N 412.273 4.590 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC([C@@H](O)C(F)(F)F)CC3)o2)c(Cl)c1 539849512 AYEPKTDCPZMDOT-QGZVFWFLSA-N 418.799 4.643 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 540857141 FMUJQYWKTXEDFW-UHFFFAOYSA-N 401.426 4.711 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1[C@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])cc1F 541610869 LTRYRRIFZOODAR-VLIAUNLRSA-N 408.433 4.598 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccc([N+](=O)[O-])cc1Br 544143737 IHCDWNJITQEBMK-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(C(F)(F)F)c(F)c4)no3)c2c1 546406482 AGSOZFJLIZRNLF-UHFFFAOYSA-N 420.278 4.724 5 20 HJBD CC(C)(C)N1C[C@@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)CC1=O 547198151 BCWKJCPRRALIGG-HNNXBMFYSA-N 422.441 4.552 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Br 567182011 VOAMEPJMAOYTQR-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)n1 567489247 NKYROTIECMLVPX-JTQLQIEISA-N 420.347 4.714 5 20 HJBD COCCN(C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 567556022 JVFQRTTUELOERQ-GJZGRUSLSA-N 424.419 4.953 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 585708498 PCRYGFLYOFCFBW-UHFFFAOYSA-N 418.352 4.645 5 20 HJBD COc1ccc(CSc2nnc(-c3c[nH]c4ccccc34)n2C2CC2)cc1[N+](=O)[O-] 603723157 LHEYXQBCQOHQSG-UHFFFAOYSA-N 421.482 4.970 5 20 HJBD COc1cc([C@@H](C)Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)ccc1OCC(C)C 603852027 GWVFPXDHFDENOX-CQSZACIVSA-N 413.474 4.696 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)oc2ccc(Br)cc12 603965673 IIHWYIIFJHMYDO-NSHDSACASA-N 403.232 4.903 5 20 HJBD CCCCCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 604448954 DVSNGPFMADIDCK-UHFFFAOYSA-N 419.503 4.682 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 604570059 OMKSKLSGTCUUMV-UHFFFAOYSA-N 415.833 4.727 5 20 HJBD C[C@H]1[C@@H](C)SCCN1C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 609819913 UIOQYCICSVHSHV-UONOGXRCSA-N 419.934 4.826 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610105573 LTVUUIRFGKRAGL-OAQYLSRUSA-N 411.502 4.863 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1CCCN(c2nc3ccccc3s2)C1 610664766 NUVUXSLZOBYHKM-OAHLLOKOSA-N 410.499 4.676 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C/c2ccco2)s1 611407191 MUWLQBHCKMNQOU-SOFGYWHQSA-N 416.484 4.963 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCC[C@@H](CCO)C1 613517150 XQXBZIYHWJVDJR-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc4nc(C)c(C)nc4c3)n2)cc1[N+](=O)[O-] 619729715 YZBZQMXDJIPIJY-UHFFFAOYSA-N 419.466 4.839 5 20 HJBD CN(C(=O)OC(C)(C)C)[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 725748804 TWQOLRZMJYPXAS-GOSISDBHSA-N 419.525 4.860 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1cc([N+](=O)[O-])ccc1Br 725985675 MJUWQZPUKUKYKT-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([C@@H](C)O)cc3)c([N+](=O)[O-])c2)cc1 727431667 UKSGETYXDCNHGE-MRXNPFEDSA-N 405.454 4.821 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 728005898 AYORMZNIXZOGOM-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cccc(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 731457810 FEJVDVOUKOOKPD-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H]1c1ccc(F)c(F)c1 732973671 ZUSUEUUGCFUUQT-ZBEGNZNMSA-N 410.804 4.657 5 20 HJBD Cc1nc(-c2ccc(Br)cc2)nn1Cc1ccc(Cl)cc1[N+](=O)[O-] 734752853 SKTNMTOZXRJTNV-UHFFFAOYSA-N 407.655 4.626 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cs2)c1 736866209 LMHYYCXQUWFNFK-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 745486795 LMXQPNONFJZTTO-JYRVWZFOSA-N 422.359 4.507 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1NCc1cccnc1 748023936 JBSREUXFLXPVGJ-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD CSc1cccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1 749409636 FWLVVEQZDKVUKJ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 750817560 NXYMMWHGCNHGTE-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD O=C(OCC(=O)c1cccc([N+](=O)[O-])c1)/C(=C\c1ccc(F)cc1)c1cccs1 753727763 NEFPADFUOQISDQ-WQRHYEAKSA-N 411.410 4.762 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])n1[C@@H]1CCC[C@H](C)C1 758169391 OJYXELOMGMVFIA-SMDDNHRTSA-N 423.926 4.630 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4[nH]3)o2)cc1C 758935105 FTJWRGXFAJSBKH-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 763000388 PSVUFKHHGCZJML-GFCCVEGCSA-N 422.840 4.544 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1cccc(N2CCOC2=O)c1 768096460 JNYPPARFSTWLRO-RDJZCZTQSA-N 415.515 4.773 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)c2cccnc12 770323242 HWEDNTRPUWKIHB-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c2ccccc21 771761327 ZBFJORBEEGGPTA-AWEZNQCLSA-N 407.239 4.649 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775480117 COUNQHWSAFTZGZ-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD Cc1cc(Br)oc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131631 FOAONCRSNUYHFN-QGZVFWFLSA-N 417.215 4.600 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1cccc(Cl)c1[N+](=O)[O-] 778214593 OFRFHPSJSBWWBK-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CCC(=O)c1ccc(N2CCC[C@H](CNC(=O)Nc3ccc(C)cc3)C2)c([N+](=O)[O-])c1 795084841 HPARYJDAGOCHMV-QGZVFWFLSA-N 424.501 4.534 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl)c1ccc([N+](=O)[O-])o1 804580631 ZKFDCKHENJGBBY-CYBMUJFWSA-N 402.621 4.510 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc([C@@H]2CCOC2)c1 811220955 WLGAENBZPALSSS-QGZVFWFLSA-N 410.451 4.579 5 20 HJBD O=C(NC1CCCC1)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812921382 HXKVGLFXYPFPCW-UHFFFAOYSA-N 422.268 4.826 5 20 HJBD CC(C)(C)OC(=O)[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1 815084659 VSGPSANJUYYVHW-KRWDZBQOSA-N 410.392 4.786 5 20 HJBD O=C(c1ccccc1NCc1c(Br)cccc1[N+](=O)[O-])N1CCCCC1 863989822 XHJAOBMWRGXGEY-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(C(C)(C)NC(=O)c2cccc(Cl)c2)no1 904599717 DGHPMXAXHDTYPP-UHFFFAOYSA-N 414.849 4.580 5 20 HJBD CC(C)CCSc1ccc(C(=O)Oc2cccc(C(=O)N(C)C)c2)cc1[N+](=O)[O-] 915173291 RMHYNQOUIYDJPR-UHFFFAOYSA-N 416.499 4.654 5 20 HJBD C[C@@H](Cc1ccc(F)cc1)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 920999965 ZCOXFKWJQJVNTP-HNNXBMFYSA-N 422.412 4.770 5 20 HJBD COc1cc(OCc2nnc([C@@H](C)Oc3ccc4ccccc4c3)o2)ccc1[N+](=O)[O-] 1322778781 LMVDMRHHMZQOES-CQSZACIVSA-N 421.409 4.859 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nnc(-c3cccc(Cl)c3)o2)ccc1[N+](=O)[O-] 1328210985 SDUWHXNLMWUEPT-QGZVFWFLSA-N 412.833 4.584 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2csc(-c3c(F)cccc3F)n2)o1 1345739249 FKHDTRPORZMEQR-UHFFFAOYSA-N 416.365 4.626 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccco3)c(-c3ccco3)s2)cc1[N+](=O)[O-] 7670846 WWUWCYORULXBMD-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD O=C(OCc1csc(-c2ccsc2)n1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 11227880 YRKGTHCBHJSLPY-UHFFFAOYSA-N 401.469 4.711 5 20 HJBD O=C(CSc1ncc(-c2ccc(Cl)cc2)o1)N1CCc2cc([N+](=O)[O-])ccc21 11300734 LTXJRQTUFSFAPU-UHFFFAOYSA-N 415.858 4.585 5 20 HJBD COCCN(C(=O)c1cccc(Cl)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 14790538 ASTVCYKWUHFUAO-UHFFFAOYSA-N 417.874 4.665 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 56382215 QGKGAGLARLZNTJ-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1-c1ncc(-c2ccccc2)o1 64777007 FTPQKIXGWNNSJV-UHFFFAOYSA-N 413.433 4.889 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCCC(C)C)c(OC)c2)c1 147139071 RHJLJCZKGWIZLD-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccccc3Br)cs2)c1 236575119 WVHWMTXQTMPGBU-UHFFFAOYSA-N 404.289 4.771 5 20 HJBD COc1cc(CNc2cccc(OC(F)F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 237285524 PHTGFWHYZYRDEZ-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD COc1cc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)cc(Br)c1OC 301134383 XHNSZQJLIPKMON-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD CC(=O)c1ccc(Oc2cccc(C(=O)N3CCc4ccccc4C3)c2)c([N+](=O)[O-])c1 301174693 YLUWEWIATGFXOI-UHFFFAOYSA-N 416.433 4.788 5 20 HJBD CCc1cc(N2C[C@H](C)O[C@H](c3ccsc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301615277 BFJZSLGMAPNGTK-LIRRHRJNSA-N 410.499 4.642 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3cccs3)n2C[C@H]2CCCO2)c(F)c1 301793986 PITQNIUSWLNAQZ-LLVKDONJSA-N 424.454 4.523 5 20 HJBD O=C(Nc1ccccc1)[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccccc1 301926436 JNJJSXXOFLVSPK-LJQANCHMSA-N 413.380 4.988 5 20 HJBD Cc1cc(N2CCC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C2)c2cc([N+](=O)[O-])ccc2n1 302105158 XBYMWCVJTUZXFP-HOTGVXAUSA-N 414.506 4.581 5 20 HJBD CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](C)c1ccccc1Br 302992797 SEOSPEHPHVJBJS-ZDUSSCGKSA-N 421.291 4.979 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303123750 NRYARORPMTVCIX-UHFFFAOYSA-N 403.410 4.659 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(O)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 428561621 SOSXBEARQAYIQB-MRXNPFEDSA-N 408.454 4.739 5 20 HJBD CC(C)(NCc1nnc(-c2ccc(Cl)cc2Cl)o1)c1ccccc1[N+](=O)[O-] 429271064 KDPGCVANYBMXHK-UHFFFAOYSA-N 407.257 4.977 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)N1C(=O)[C@@H](c2ccc(Cl)c(Cl)c2)N(C)C1=O 430876056 PTORBFHRSBWRHU-QLJPJBMISA-N 408.241 4.598 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)c1[N+](=O)[O-] 435532252 DMLNKMMSVMWWRX-KRWDZBQOSA-N 402.859 4.838 5 20 HJBD O=C(N[C@@H]1CCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436134827 PYQHVQPNCFZKFW-LJQANCHMSA-N 408.379 4.516 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1[C@@H]1CCCCC1=O 444559824 YJHUIYBUKBSAPG-ZYSHUDEJSA-N 404.532 4.606 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3csc(-c4ccc(F)cc4)n3)CC2)cc1 464783866 RWWZKNHGFLEQKL-UHFFFAOYSA-N 413.474 4.901 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)s1 465988734 LEKMZOFLRNYNLK-HNNXBMFYSA-N 404.536 4.647 5 20 HJBD CCc1cc(N2CCC[C@H]2c2ccc(COC)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 466625885 NIFHBJMPUZSTEB-IBGZPJMESA-N 408.458 4.695 5 20 HJBD CCC[C@](C)(O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467760939 VWECYMNJXAJCAJ-IBGZPJMESA-N 408.907 4.680 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)c(C)c1[N+](=O)[O-] 469250843 VCBWUDFOANDXJX-UHFFFAOYSA-N 416.384 4.507 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 470415809 RTKWLOJORPCUHT-KRWDZBQOSA-N 424.501 4.577 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(CCCO)[C@H]3CCCc4ccccc43)o2)c1 471040493 UHWAJVWWKGVYDX-QFIPXVFZSA-N 421.497 4.554 5 20 HJBD Cc1nc(NC(=O)c2csc(-c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 475311871 WKESOGAFNOXWQT-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD COc1ccccc1[C@H](CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)OC(C)C 475639565 FOMBDQOMGQZXIC-FQEVSTJZSA-N 401.463 4.508 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CCc2sccc2[C@H]1c1cccs1 477478114 JHJCRZDQSSIEAF-IBGZPJMESA-N 402.472 4.574 5 20 HJBD Cc1c(CN[C@@H](C)c2cccc(OCc3cn4ccccc4n3)c2)cccc1[N+](=O)[O-] 477725837 JZJQCLIEJIIHHZ-SFHVURJKSA-N 416.481 4.981 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3nc4ccccc4cc3[N+](=O)[O-])c2)n1 477808715 PIMJQXCXTQCKEV-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)n1 478035754 PNPQEFLTQKMCLE-KRWDZBQOSA-N 424.482 4.632 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478286303 UBNDDXAEUDIOMD-CQSZACIVSA-N 423.922 4.572 5 20 HJBD CC(C)c1ccc(CSc2nnc(SCCn3ccc([N+](=O)[O-])n3)s2)cc1 481269258 CVHXZOBLTFRTQM-UHFFFAOYSA-N 421.573 4.851 5 20 HJBD CCn1c(SCc2cc(-c3cccs3)on2)nnc1-c1ccc([N+](=O)[O-])cc1 481338504 BZDMKFMWJFEFMF-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD CC[C@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1cccc([N+](=O)[O-])c1 482030562 DKXZOXOUFPPQBR-QHCPKHFHSA-N 420.513 4.955 5 20 HJBD Cn1cnc(-c2cccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)c2)n1 482527186 KUHUXMIMSICBJR-UHFFFAOYSA-N 409.833 4.916 5 20 HJBD CCc1cc(C)cc(CC)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 484870562 AYJIKFKEGMGTLA-UHFFFAOYSA-N 405.292 4.972 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486329431 QLTVQEIUBJMYHF-SNVBAGLBSA-N 415.334 4.720 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccccc3Cl)c2C(C)C)c1 486846572 OTQDDJGARQEBQB-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1c(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)sc(C)c1Br 487982005 PRGDPPWZNGLTNT-VIFPVBQESA-N 413.293 4.535 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)oc12 497765977 KVNCKXZBUONBQU-CYBMUJFWSA-N 405.410 4.779 5 20 HJBD Cc1ccc(-c2cncc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2)cc1 498141363 XIATZCQIAYARNN-QFIPXVFZSA-N 405.479 4.853 5 20 HJBD O=[N+]([O-])c1ccc(NCCc2nc(-c3ccncc3)cs2)c(Br)c1 499147000 NRVRWRZARWMENJ-UHFFFAOYSA-N 405.277 4.530 5 20 HJBD COc1ccc(Br)c(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 499490467 JLKYXABSTSAGHB-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccnc(OCc3ccccc3)c2)cc1[N+](=O)[O-] 504929022 FXLICFAMOUPDKZ-KRWDZBQOSA-N 407.470 4.818 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)c3ccccc3F)cc2[N+](=O)[O-])n1 505325952 KOPNRTYCALZEIQ-UHFFFAOYSA-N 403.460 4.927 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)s1 510441993 OPIUOLNUMRFBTM-JTQLQIEISA-N 412.309 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(NC(=O)c2cccc(F)c2)cc1C 515639055 KHUUTOMLNCIFQU-UHFFFAOYSA-N 413.430 4.917 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 515748025 SYKAOIHHJZCTCS-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3 520085800 GEPGQQRARQHEIR-ZJUUUORDSA-N 402.501 4.678 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](c3ccccc3)C3CCC3)cc2)c(C)c1[N+](=O)[O-] 521497633 NAQNMRBQJVSQQL-QFIPXVFZSA-N 418.497 4.728 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H](Cc2ccccc2)C1 521580952 SEYNYADOALLHNM-OAQYLSRUSA-N 410.517 4.636 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 523504348 RKSHRYSVTCXCEH-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD COC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(F)c1 525310061 QFNJONJSZCAUSQ-UHFFFAOYSA-N 409.373 4.516 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1 525678952 ULDAAXOEBNQPFZ-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD Cc1cc(C[S@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2n1 536306074 YVBBMHKRHCWMNQ-LJAQVGFWSA-N 407.451 4.555 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cc2)o1 537158602 IVTZAHBFYGZRGH-UHFFFAOYSA-N 412.471 4.960 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(NCc2ccccc2Oc2cccc(F)c2)s1 537656194 BVPUBAYOUHPROP-UHFFFAOYSA-N 422.459 4.603 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1ccc(NC(=O)c2cccs2)cc1 538647188 ZESSDAYEECLMQA-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD Cc1c([C@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1ccc(F)cc1 541611573 KVUOXOOHIVKKFO-AWEZNQCLSA-N 421.432 4.734 5 20 HJBD Cc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1NC(=O)c1ccccc1 545529485 RGMMIUSDZHSPBI-UHFFFAOYSA-N 414.421 4.796 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nc2cc(Cl)ccc2s1 545958442 JLMJYZCGGBEAOC-UHFFFAOYSA-N 405.863 4.828 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(Cc4cscn4)no3)cc2[N+](=O)[O-])n1 547006935 JEAZEJZSZRDMGR-UHFFFAOYSA-N 417.497 4.608 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)c2)cn1 549151594 WEGVJODXHJFPBR-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)c1 549230428 CWWWBLLMHVLNJR-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD CCS(=O)(=O)c1ccc([C@H](C)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 557726297 LHEPVXPIQFFPRS-NSHDSACASA-N 402.394 4.580 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(CSc2ccccn2)c1 558742432 DXHPMEPKTFBEMX-UHFFFAOYSA-N 422.510 4.989 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](Oc4ccc(Cl)cc4)C3)o2)c1 559400930 SIXHMXORXIRVIQ-LJQANCHMSA-N 413.861 4.599 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@](=O)Cc4ccccc4)c3)ncnc2s1 561231672 HGIKXYIQWUDQLW-GDLZYMKVSA-N 424.507 4.792 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1SCC(F)F 572947394 BEAVECGQBDGFCE-UHFFFAOYSA-N 413.421 4.798 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 578076993 GGWKECJEQZTNOP-KRWDZBQOSA-N 417.465 4.575 5 20 HJBD CCOc1c(Cl)cc(-c2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1OC 583959547 IECJBISYTDOFOD-LLVKDONJSA-N 403.822 4.857 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H](Oc1ccccc1)c1ccccc1 603742805 QTDMHALZBQQLLK-IBGZPJMESA-N 414.364 4.955 5 20 HJBD Cc1cc(Sc2nnc([C@H](C)N(C)C)n2-c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 603845930 BIIXTRFXCCCCGG-ZDUSSCGKSA-N 417.922 4.911 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)sc1Cc1ccc(F)cc1 603975115 DKFSUNGWVBGNPR-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COCCOCc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 604099045 PKGXKJOXSRRUOK-UHFFFAOYSA-N 421.453 4.754 5 20 HJBD CC(C)(C)Oc1ccccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 604302323 OVOUFCGVDSJPEH-UHFFFAOYSA-N 400.478 4.971 5 20 HJBD C[C@@H](Sc1cc2c(cc1Cl)OCCO2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608929966 HHZZKDZSKGNBMP-SNVBAGLBSA-N 419.846 4.923 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCNc4ccccc4C3)c([N+](=O)[O-])c2)cc1 608972888 KWEZSAPQRIZLKB-UHFFFAOYSA-N 402.454 4.588 5 20 HJBD COc1cc(C(=O)N[C@H](C)CCC2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 609645321 DJHKCSACFTZQQK-GFCCVEGCSA-N 400.422 4.684 5 20 HJBD C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609832137 QJNCJICDRWECCQ-MBNYWOFBSA-N 402.438 4.885 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 610041378 JPSPCSRULPUZJU-INIZCTEOSA-N 409.486 4.526 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([S@](C)=O)cc3)c([N+](=O)[O-])c2)cc1 610588985 OAYYYFBLUUTYFZ-PMERELPUSA-N 423.494 4.505 5 20 HJBD C[C@H](CCc1cccn1C)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206333 HYAMRZQYTLSRKZ-OAHLLOKOSA-N 401.532 4.719 5 20 HJBD CC(C)Cn1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1ccccc1F 611702491 OHTICCWSWNYRAX-UHFFFAOYSA-N 424.461 4.743 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)nc1 616172172 YEFSKQUVZULAII-UHFFFAOYSA-N 424.888 4.836 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1c(Cl)cccc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 726028094 AJIRLMSKVLTQEV-MNOVXSKESA-N 411.241 4.576 5 20 HJBD C[C@H](OC(=O)c1coc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 733600250 NTCHMGYJYMSFDT-JTQLQIEISA-N 422.315 4.544 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)o1 734781806 CCTNOLNBCDWYGX-UHFFFAOYSA-N 417.801 4.786 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(COc2ccc(S(=O)(=O)c3ccccc3)cc2)c1 735415727 PROWKZLQTWJELF-UHFFFAOYSA-N 403.843 4.660 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1ccncc1 735967591 GNCZJOPLASKSSL-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 736864956 WHBZSJOGJQERCI-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@H]1CCN(CCOc2ccc(NCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)C1 744449389 IREJZNPFYZLVBJ-HNNXBMFYSA-N 421.444 4.529 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@]12CCCc1ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745720651 QMNZKNOZQRSXBO-FKHUDQKESA-N 419.437 4.543 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)C[C@H](C)O1 747396548 MSUZXQNHAKMGCI-KBPBESRZSA-N 411.483 4.675 5 20 HJBD Cc1occc1-c1nnc(SC[C@@H](O)c2cccc([N+](=O)[O-])c2)n1-c1ccccc1 749640028 MFZOXFIZJORMLD-LJQANCHMSA-N 422.466 4.570 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1F 749985847 PWHFYNIYOPLHFW-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1csc(-c2cccc(Cl)c2)n1 751634669 IGGQRBIEWXWYHT-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD C[C@@H](C(=O)Oc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1F 754270817 SFADKFCTSMZYIS-GFCCVEGCSA-N 414.414 4.757 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC(=Cc2ccccc2F)CC1 757003620 GCGSPEOUCLPSHK-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCc2c1cccc2C(F)(F)F 759315388 UXNIFRWXVICGQJ-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1F 768970558 ZBVKJSVJKBDVPL-UHFFFAOYSA-N 400.454 4.775 5 20 HJBD CN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495240 FBFWGRUVZMAKDI-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1COc2ccc(Cl)cc2C1 776131174 CLMRGPILADSPKC-IIBYNOLFSA-N 424.840 4.527 5 20 HJBD Cc1ccc(-n2c(SC[C@@H](O)c3ccc([N+](=O)[O-])cc3)nnc2-c2ccco2)cc1 776277501 MUWYIPRTQGDDEA-GOSISDBHSA-N 422.466 4.570 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 779098589 BWBSEADVHKRHBJ-OJXHRBAXSA-N 416.302 4.629 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cc1 781108998 HXTMYMAMKBNZBQ-ZDUSSCGKSA-N 421.347 4.786 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 783869971 SNPZLHTWNDOSMR-SECBINFHSA-N 417.609 4.718 5 20 HJBD CCOC(=O)c1cccc(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 794448118 MBOBUZQMDHUICI-LLVKDONJSA-N 408.863 4.544 5 20 HJBD Cc1c(C(=O)N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 798034401 QRDPZYWLUVKONO-MQMHXKEQSA-N 423.347 4.506 5 20 HJBD Cc1cc(NC(=O)c2cccnc2)ccc1OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 799468275 TVSKSUVYVZWZDS-UHFFFAOYSA-N 417.377 4.516 5 20 HJBD COc1ccc(OC(=O)C(C)(C)c2csc(-c3cccs3)n2)c([N+](=O)[O-])c1 799859896 ORTVJFAXBYIOKH-UHFFFAOYSA-N 404.469 4.672 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2cc1Br 808703222 VBSDYZZDPNWIAZ-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD CO[C@H](c1noc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)n1)c1ccccc1Cl 809446137 QNVCBKRRIFIBJW-SFHVURJKSA-N 414.849 4.634 5 20 HJBD C[C@](CO)(NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 809948458 XPQRRDGNVXUJMZ-MRXNPFEDSA-N 423.218 4.918 5 20 HJBD Cc1cc2c(cc1C)[C@@H](C(=O)OCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CO2 811219664 TUWVJWUOLIQMAE-SFHVURJKSA-N 410.451 4.555 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(c1cccc(F)c1)C1CCOCC1 813015072 YZKNITQBNLQOPP-UHFFFAOYSA-N 413.232 4.867 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 813480001 GJYSJAQQJNALQE-OTYXRUKQSA-N 401.271 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 920113591 YUAVLMVUXZZLNV-CQSZACIVSA-N 422.397 4.599 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2F)ccc1OCCC(C)C 921160964 JXWIDAPXAMJHJY-CQSZACIVSA-N 404.438 4.658 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)cc1[N+](=O)[O-] 1117191469 JVKURIDAPAOTMY-NSHDSACASA-N 404.220 4.856 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2ccc(OC(F)F)cc2OC(F)F)c1 1319836639 BGLOKALXYIFVKD-UHFFFAOYSA-N 408.735 4.741 5 20 HJBD CCOc1ccc(-c2nnc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1OC 1322210680 YBFNCLOZUIROSH-LBPRGKRZSA-N 401.444 4.905 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(Cc2sc(SC(F)F)nc2C)n1 1323849796 DNPYJWRWIJQDMN-UHFFFAOYSA-N 412.443 4.932 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccccc2Cn2cncn2)o1 1324124634 MSBKJIQOCPJZAC-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD CC1(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CCCC1 1785902745 FRTRCTFTWIAMEJ-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCSc2ccc(F)cc21 22976313 KSYIPITXKLGSAW-IBGZPJMESA-N 418.493 4.647 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(C(C)C)cc2)C(C)C)c([N+](=O)[O-])cc1OC 26392951 UYIZXAPISUSPAY-OAQYLSRUSA-N 400.475 4.863 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 27397418 SHCCZRZMQJHJDU-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Cl)cc1C(F)(F)F 28451549 WLKUWCWFEMUAIP-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1cccs1 65510828 SZCYLNQUHFRKDH-LJQANCHMSA-N 415.902 4.941 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 116096403 SONNOIUKZYWPEP-OAHLLOKOSA-N 424.526 4.556 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCCCOC2CCOCC2)cc1 237772899 RETRPXKAFBIJKO-UHFFFAOYSA-N 420.893 4.716 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@H]1C[C@H](O)c1ccco1 301629602 IHRMGRYNXBXZBQ-BONVTDFDSA-N 404.772 4.953 5 20 HJBD Cc1cccc([C@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccccn2)c1 301895865 QBYOPFDKPTZURF-QHCPKHFHSA-N 416.481 4.736 5 20 HJBD COc1cc(CN2CCS[C@@H](C)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 426377437 CIATVJHUDFHGSH-INIZCTEOSA-N 402.516 4.510 5 20 HJBD CC(C)[C@@]1(CO)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 426681524 BBVWLHZQOHQJQH-NRFANRHFSA-N 418.877 4.521 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H](Cc3ccccc3)C(=O)O)cc2[N+](=O)[O-])cc1 426692576 TZQLYVKQXVDXQR-HXUWFJFHSA-N 422.506 4.840 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1ccc(Br)cc1[N+](=O)[O-] 429935914 JGDFZFNQDIOLRI-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD COc1ccc(-c2ccn(-c3ccc(SCc4ccc([N+](=O)[O-])cc4)nn3)n2)cc1 436245715 HDABEEBQTZSIKC-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(-c3ccc(O)cc3)no2)cc1[N+](=O)[O-])c1ccccn1 436770039 PSWAQAHGBSCNAI-CYBMUJFWSA-N 403.398 4.586 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@@H](c1ccc(C)cc1)c1cccnc1 438830301 GJYQDSJUMBEZKJ-DEOSSOPVSA-N 403.482 4.787 5 20 HJBD CCCc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1cccc(F)c1 440076700 KLGWEQMMJZVNOX-UHFFFAOYSA-N 422.420 4.534 5 20 HJBD CCCN(C(=O)CCc1ncc(-c2ccc(F)cc2F)o1)c1cccc([N+](=O)[O-])c1 441428618 LLZOWYNIAMKUIB-UHFFFAOYSA-N 415.396 4.904 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Sc1ccccc1 444088808 UGEYZASUABKJRW-CYBMUJFWSA-N 424.472 4.870 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1cc(O)cc([N+](=O)[O-])c1 444435037 KRXBBWCDXLTLKB-CQSZACIVSA-N 422.281 4.743 5 20 HJBD COc1cc(CNCC2(c3cccc(C(F)(F)F)c3)CCC2)c([N+](=O)[O-])cc1O 462769609 RUVCWAOFXFWPOZ-UHFFFAOYSA-N 410.392 4.539 5 20 HJBD CCC(CC)[C@H](NC(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1cccs1 464029960 SZXDVMRQVUXPFW-SFHVURJKSA-N 403.504 4.505 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCC1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465896683 IGCBSJOVYPNTKV-JOCHJYFZSA-N 409.486 4.689 5 20 HJBD COc1ccc(OCc2nc(CNCc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 466466898 UUDQHDRADPJWOK-UHFFFAOYSA-N 419.890 4.582 5 20 HJBD C[C@@H](N[C@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 467840287 UFFOTCQEKBDJFC-AUUYWEPGSA-N 421.419 4.628 5 20 HJBD Cc1cc(Sc2nnc(-c3ccc(F)cc3)n2Cc2ccccc2)ncc1[N+](=O)[O-] 470866838 PKDBFBUHSOEJJB-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD O=C(NCCCc1ccc(Cl)cc1Cl)c1cc(O)nc2ccc([N+](=O)[O-])cc12 471693375 NQYTXEDLIGJWJR-UHFFFAOYSA-N 420.252 4.518 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cn1 481335628 ZESIPYSAMOJNDH-INIZCTEOSA-N 423.469 4.959 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2ccccc2)CC1 485869853 LTBIYAUEXDDBTD-GOSISDBHSA-N 414.527 4.743 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 485889222 BUNADLNEEWEYHJ-QGZVFWFLSA-N 424.881 4.713 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3cccc(SC)c3[N+](=O)[O-])c(C)c2c1 486224687 LQQZWKHUPRGPKU-LBPRGKRZSA-N 400.456 4.871 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CSc2ccc(Br)cc21 488939226 QXCNQJSWYWZBGH-CYBMUJFWSA-N 422.304 4.943 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)[nH]c2c1 489822225 DDYQQLLGXDSPSF-IFXJQAMLSA-N 410.499 4.624 5 20 HJBD C[C@H](NC(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 490980879 PRVOFFUJOLRBEZ-HNAYVOBHSA-N 421.457 4.643 5 20 HJBD CCOc1cc(CNc2ccc(F)c(NC(=O)Cc3ccccc3)c2)ccc1[N+](=O)[O-] 492561074 QAAATGXLEXCWLD-UHFFFAOYSA-N 423.444 4.926 5 20 HJBD CCCCc1nnc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])s1 495097853 KITQTRCXOKRBHZ-UHFFFAOYSA-N 412.471 4.620 5 20 HJBD Cc1cccc([C@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)c1 498231414 KWEFAOYLBBLWMK-VGSWGCGISA-N 404.470 4.756 5 20 HJBD CCC(C)(C)OCc1cccc(NS(=O)(=O)CCc2ccccc2[N+](=O)[O-])c1C 499289615 ZGLPMTILWWBJAO-UHFFFAOYSA-N 420.531 4.593 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)Cc2ccc(C(C)C)cc2)cc1SC 500166394 HUUQTAIFOHEVFU-CQSZACIVSA-N 402.516 4.810 5 20 HJBD CC[C@@H](CSc1ccc(C)c(C)c1)NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 504622002 QMJPFEKBIQWBHS-HNNXBMFYSA-N 418.515 4.530 5 20 HJBD CC(C)(NC(=O)Nc1cccc2c1CN(CC(F)(F)F)C2)c1ccc([N+](=O)[O-])cc1 504785195 IDZIJIQHLIRQND-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CCCc3nc(-c4ccc(Cl)cc4)no3)n2)c1 505018124 GCSZYYZMQOXDRD-UHFFFAOYSA-N 411.805 4.524 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc2nc(C(F)F)sc2c1 507251561 IQAJYGUOHJYFNQ-VIFPVBQESA-N 422.413 4.590 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2ccc(Cl)cc2Cl)CCOCC1 511396782 KRRILCCHWRIJEY-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD Cc1cccc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Br)C(F)(F)F)c1 511828071 VKCSAZKMIIDPCK-AWEZNQCLSA-N 417.181 4.699 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1cc(C(F)(F)F)ccc1Cl 512312780 AGROHESITGQILX-YFHOEESVSA-N 421.762 4.921 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC[C@@](F)(c2cccc(Cl)c2)C1 512371937 OJFTUYPTRQGDBC-NRFANRHFSA-N 417.868 4.559 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)s1 513332018 WYQHSBBFNRDGMY-IBGZPJMESA-N 424.526 4.804 5 20 HJBD CCn1nccc1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 513562014 CYMAYNJLWYBUOH-UHFFFAOYSA-N 402.863 4.868 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)Cc1cccc(Cl)c1)c1ccc([N+](=O)[O-])cc1 513683885 NPFTZPYOSQUZHJ-HXUWFJFHSA-N 412.921 4.930 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Br)cc1)C1CCC1 517499283 RRZWAFFBMIXMJE-GOSISDBHSA-N 418.291 4.698 5 20 HJBD CCc1cccc2c1N(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 520191597 MMWJBHNDCILZIL-MRXNPFEDSA-N 416.481 4.928 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 521157509 VZGGXBWTCNVDJI-SECBINFHSA-N 423.705 4.937 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523500763 SHDJPIWZCTZUQQ-AUUYWEPGSA-N 402.878 4.514 5 20 HJBD CCN(Cc1ccccc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)C(C)=O 532732070 CVWSWXXXRYUZQG-UHFFFAOYSA-N 410.499 4.699 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 536711762 MTYKOZHASPEQPA-UHFFFAOYSA-N 421.482 4.814 5 20 HJBD Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(Br)c1 537154000 MAHBPORKHHBAOI-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1ccc(Cl)cc1[N+](=O)[O-] 537917866 MBVMVEKBNWSSDF-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNC1(c2cccc(C(F)(F)F)c2)CCC1 538259053 VVBMTTMMEOCUBZ-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 539151025 DDDJCDCYSLDSCP-PDSMFRHLSA-N 424.881 4.514 5 20 HJBD C[C@H](NCC(=O)Nc1cc(C(F)(F)F)ccc1Cl)c1cccc([N+](=O)[O-])c1 540375668 IAJWKLWZBPKPKN-JTQLQIEISA-N 401.772 4.556 5 20 HJBD CCCN(CC)C(=O)c1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 541997666 XJSZYMYZXWVZOA-UHFFFAOYSA-N 423.391 4.738 5 20 HJBD C[C@H](C(=O)Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1F 544398492 DNWYMHKBDGXTII-JTQLQIEISA-N 420.853 4.572 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NCc1cc([N+](=O)[O-])cc2c1OCOC2 544416352 WTATXEQVXNGAIM-HXUWFJFHSA-N 410.495 4.905 5 20 HJBD COc1cc(Cc2noc(-c3cc(C4CC4)nc4ccccc34)n2)ccc1[N+](=O)[O-] 545056375 ZHXCHKRNFFNXLU-UHFFFAOYSA-N 402.410 4.670 5 20 HJBD Cc1cc(C(=O)N2CC=C(c3cccc(Br)c3)CC2)ccc1[N+](=O)[O-] 545816485 HBYHYIDEMNSWBJ-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD Cc1n[nH]cc1C1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 552397309 YNVMQHPCDJKIMM-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3cccc(COCc4ccccc4)c3)ncnc2s1 553272669 HVLOENPUKXZQFD-UHFFFAOYSA-N 406.467 4.928 5 20 HJBD O=C(NCc1cc(Cl)ccc1OC(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 556432530 RSCAWQFCNPERLU-UHFFFAOYSA-N 424.709 4.798 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)[C@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 564151124 RIFKCWQHTJUSLC-OAHLLOKOSA-N 407.470 4.594 5 20 HJBD CCCc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1ccc(F)cc1 568353345 ALJVEMFVJPNMCA-UHFFFAOYSA-N 422.420 4.534 5 20 HJBD O=C(NC12C[C@H]3C[C@@H](CC(O)(C3)C1)C2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 573356395 NOKRQSPUWUIWPC-SNTCSEMISA-N 424.522 4.560 5 20 HJBD C[C@H](C(=O)NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1cccc([N+](=O)[O-])c1 580808408 LIARVTJNZKHQGH-LBPRGKRZSA-N 410.367 4.704 5 20 HJBD CCOc1ccc(CNC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)cc1Cl 580849435 DAJQWSPFGJCIAX-UHFFFAOYSA-N 406.869 4.663 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCC(c4nc(-c5ccccc5)no4)CC3)ccnc12 590079828 FFKPTFVRGKZTBW-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NC(Cc1ccccc1)Cc1ccccc1 603522203 UUVWYRUTDWSBJW-UHFFFAOYSA-N 406.507 4.657 5 20 HJBD CCC[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 609179433 MLRNYFYOOXDRHG-CQSZACIVSA-N 413.421 4.758 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(-c2ccncc2)cs1 609523522 PWHCYUCYVFVAIC-NSHDSACASA-N 410.480 4.819 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC(C)C)c(C)c2)c([N+](=O)[O-])cc1OC(F)F 610186431 QNNBMSYYKCKETC-UHFFFAOYSA-N 410.373 4.553 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Sc2ccc(C)cc2)c([N+](=O)[O-])cc1OCC 619213127 ROMZFOTYCDWZRY-CQSZACIVSA-N 404.488 4.820 5 20 HJBD CC[C@@H]1CN(C)c2ccccc2CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 672855062 MLALTIGAHINKKY-LJQANCHMSA-N 411.505 4.552 5 20 HJBD COc1cccc([C@H](C)c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)c1 680727508 FKLBGYYGRRTHGZ-INIZCTEOSA-N 411.461 4.746 5 20 HJBD C/C(=C\C(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1Cl 727633994 OQWPVPKFWPUFTB-NTCAYCPXSA-N 415.877 4.506 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727708853 MSGFEVVMYVDDPZ-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@H]1c1cccc2ccccc12)Nc1cc([N+](=O)[O-])ccc1Cl 730876550 PVOGDORHHZNROW-ZWKOTPCHSA-N 424.840 4.687 5 20 HJBD O=C(CN(Cc1ccco1)c1cccc(Cl)c1)Nc1cc([N+](=O)[O-])c(F)cc1F 731966046 HGYPIDOJNIWADY-UHFFFAOYSA-N 421.787 4.765 5 20 HJBD CC(C)(C)[S@@](=O)CCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 735084948 CYTWOQDWJBTCQJ-MHZLTWQESA-N 410.923 4.677 5 20 HJBD CCn1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c(=O)c2cc(Cl)ccc21 735527152 HUMTVBXJIFJFKG-UHFFFAOYSA-N 421.236 4.593 5 20 HJBD Cc1cccc(C2(C(=O)O[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CCC2)c1 744540654 UGTKXDGLRLRFNA-AWEZNQCLSA-N 416.861 4.549 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2ccccc2)C[C@H](O)c2ccsc2)cc1 756810876 WCSGNUNEFOZFGT-IBGZPJMESA-N 413.524 4.589 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 761651644 PZOLNFMFKJWLHQ-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764204244 OURKGJUZDOFRKY-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1cc(F)ccc1[N+](=O)[O-] 766869563 LISAJGVINNDADH-HNNXBMFYSA-N 415.421 4.804 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])c2)CC1 769776449 LXQQBYBCROIJST-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD O=C(O[C@@H]1COc2cc(Cl)ccc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 774540669 XMKHASVIXDWSCF-MRXNPFEDSA-N 402.815 4.662 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781607575 IDWYXTPGNUIFLG-UHFFFAOYSA-N 407.810 4.517 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnc1Br 783102966 YIPHDTGXEGMEJD-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD CC(C)c1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1 790965860 JMJCHKWTADCMKV-UHFFFAOYSA-N 416.840 4.878 5 20 HJBD CN(C)c1cc(F)c(C(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 791199521 OKJLDGHOAWKRMG-UHFFFAOYSA-N 419.503 4.766 5 20 HJBD CCOC(=O)/C(=C\c1cccc(OCc2ccccc2)c1)c1ccc([N+](=O)[O-])cn1 791428761 SPHWLUMWPTVJRO-STZFKDTASA-N 404.422 4.673 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1Oc1ccncc1 801501172 KHXKFLDSIVAFAQ-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 806087612 HKOXMAPQBWQMLP-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374524 JMWXMWZVUGUZRL-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1ccccc1-c1nc(-c2ccnc3ccnn23)no1 813347217 UZTCIEZCYWUGGL-UHFFFAOYSA-N 416.422 4.506 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2ccccc2)no1 815512538 ZGKBOGAMELTNOC-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc(C3(NC(=O)OC(C)(C)C)CCCC3)no2)c1C 904422150 HXDSBJGDBOVFQN-UHFFFAOYSA-N 402.451 4.556 5 20 HJBD O=C1Nc2cc(Cl)c([N+](=O)[O-])cc2/C1=C/c1cnn(Cc2ccccc2Cl)c1 915114722 QAEOXTRTEAUPFJ-RZNTYIFUSA-N 415.236 4.639 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 915602954 OSTSIZOKJYSAIN-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 918026231 CBLYQEXQYCGSKE-UHFFFAOYSA-N 413.865 4.735 5 20 HJBD Cc1ccc(CNC(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 59341751 PAUDICROGDBZMM-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD COc1ccc(CN[C@@H]2CCCc3occc32)cc1OCc1ccc([N+](=O)[O-])cc1 237316266 OUPAWDNXXUDVNC-HXUWFJFHSA-N 408.454 4.943 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Nc1cccc(Oc2ccccn2)c1 301417255 CKSFZQKUQJLTFV-UHFFFAOYSA-N 421.381 4.522 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N2CCC[C@@H](c3nc4ccccc4o3)C2)cc1Cl 301663670 KNMAZLGENJYCTC-GFCCVEGCSA-N 415.833 4.560 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1ncc(-c2ccccc2)[nH]1 301978396 GNYUFVDRJDWBNO-UHFFFAOYSA-N 407.308 4.524 5 20 HJBD O=C(NCc1ccc(CN2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Cl 409975125 CLTYOODVEVHNOB-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@H](C)C(F)(F)C3)cc2[N+](=O)[O-])n1 427673434 TYQLMAFAHNYGSV-JTQLQIEISA-N 413.471 4.628 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 430518977 HROMBSUHJVYOGZ-UHFFFAOYSA-N 411.502 4.522 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816586 RROJEYLXHATQSO-BDJLRTHQSA-N 409.364 4.950 5 20 HJBD CCCN(C(=O)CSCC(=O)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 441470589 XJUVUQHBFPCKED-UHFFFAOYSA-N 406.891 4.607 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2C1 444240359 PSJZAFBUPHUNQL-UHFFFAOYSA-N 411.505 4.905 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1sc(Br)cc1[N+](=O)[O-] 444825263 JMTQKNAGRSYHJS-SECBINFHSA-N 417.281 4.525 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc([C@H]3CSc4ccccc4O3)no2)c1 446012164 NZZKLUQLGPHXKN-CYBMUJFWSA-N 420.244 4.633 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1 446491279 VXBGLBPYEGCLSP-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3C)ccn2)cc1 446491587 ZVWCIFRUXMLQED-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD CCN(C(=O)c1cc(C(=O)N(CC)[C@H](C)C(C)C)cc([N+](=O)[O-])c1)[C@H](C)C(C)C 460653567 YLKARXYVOREAHY-IAGOWNOFSA-N 405.539 4.608 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)c1 463182925 URKLHOHBEKEYCJ-UHFFFAOYSA-N 424.829 4.965 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 464047962 YYQURIMRSAYLBV-YADHBBJMSA-N 421.541 4.914 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464227751 DVMRRZZOHKPVQE-QGZVFWFLSA-N 411.458 4.594 5 20 HJBD C[C@H](O)CC(C)(C)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 467480199 AJRQPBJFGZFTAH-ZDUSSCGKSA-N 406.866 4.567 5 20 HJBD O=C(C[C@H]1CCCCCN1C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccccc1 467537406 XHEIRCGWSODOJN-GOSISDBHSA-N 405.454 4.734 5 20 HJBD CCc1nc(CN2CCC(CNC/C(C)=C\c3cccc([N+](=O)[O-])c3)CC2)cs1 468652801 SLRSVEUDMBMKGY-BOPFTXTBSA-N 414.575 4.519 5 20 HJBD COc1ccc(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)cc1[N+](=O)[O-] 468978491 LLGBPSISDKZXDG-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccc(C)cc3C)C2)cc1SC 471334418 CPPQWUPLKZWRPZ-HNNXBMFYSA-N 400.500 4.572 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccccc2-c2ccc(Cn3ccnc3)cc2)c(F)c1 480000303 RVHHAQVMIGBSSB-UHFFFAOYSA-N 416.456 4.936 5 20 HJBD Cc1cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c2cccnc2c1C 485906736 MUTGHMZKYREBQS-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1 486201246 YXLTWZPQKDCWBK-UHFFFAOYSA-N 416.481 4.715 5 20 HJBD CCOc1c(Cl)cccc1CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486746875 RMCAJPZXXYDDNJ-UHFFFAOYSA-N 416.783 4.525 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@@H]2c2cc(C)ccc2C)c(C)c([N+](=O)[O-])c1 486879545 AJHFPEWMNMQTAC-LJQANCHMSA-N 411.458 4.676 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1C(=O)N(C)C(C)C 488233511 LZQCSDAOCGOMAR-HNNXBMFYSA-N 415.515 4.503 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCSc2ccc(Cl)cc21 497355138 RHBSRWFAMWYRDA-UHFFFAOYSA-N 414.817 4.992 5 20 HJBD Cc1c(NC(=O)[C@H](C)NCC2(c3c(F)cccc3F)CCCC2)cccc1[N+](=O)[O-] 501980917 ISYNAKUSGDWQJI-HNNXBMFYSA-N 417.456 4.610 5 20 HJBD Cc1cc(N(C)C)cc(C)c1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 505112238 DQFLMHHQPWXIEK-UHFFFAOYSA-N 410.518 4.766 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2nc3cc(Br)ccc3o2)C2CC2)c1 509394146 VXEXIHLMWBLJJI-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD O=C(CN[C@H](c1cccc2ccccc12)C(F)(F)F)Nc1ccccc1[N+](=O)[O-] 510401868 LAGFUZRZVFGZQN-LJQANCHMSA-N 403.360 4.580 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C[C@H]1CCOC1)c1ccccc1 511374169 WJJCMNKAGNAWJS-KNQAVFIVSA-N 411.502 4.683 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 512027185 UGVGSNWNAVXRTQ-GFCCVEGCSA-N 414.405 4.528 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nc([C@@H](F)c2ccc(C(F)(F)F)cc2)no1 512032021 PWLGXNPUJNHBSQ-INIZCTEOSA-N 410.327 4.710 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2-c2cccc(C)c2)c1 516755681 HJRUWRMIBRVTFF-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CC(C)c1ccc(CNCc2cc(Br)c3c(c2)OCCO3)cc1[N+](=O)[O-] 521848637 QJVVEEBYIRZBLX-UHFFFAOYSA-N 421.291 4.542 5 20 HJBD Cc1cccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3Br)CCCC2)c1 523506759 KJLROKPNTDHILJ-UHFFFAOYSA-N 403.276 4.865 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 523551781 APHXQHMCRHJXJD-LJQANCHMSA-N 412.486 4.548 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2)n1 524212170 IVYVGMPABIVHJB-UHFFFAOYSA-N 421.438 4.609 5 20 HJBD COc1ccc(CSCC(=O)NC2(c3ccc(F)cc3)CCCC2)cc1[N+](=O)[O-] 524450015 KSVURDZCINCCLT-UHFFFAOYSA-N 418.490 4.561 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)c1 525140380 MTQGISCRXZXSGX-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(C(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 533927960 NJLGANGLRFHPKN-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(C4CC4)n3Cc3ccco3)co2)cc1 535509604 AWJGGPXOAPXFTE-UHFFFAOYSA-N 423.454 4.652 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 535696492 QEELJYXEJHPTBH-UHFFFAOYSA-N 403.423 4.705 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H](C)c1cc2c(cc1Br)OCCO2 539093287 JMIZZLRDOHNITF-OLZOCXBDSA-N 421.291 4.849 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cn1 541607559 JTVSLPBLFZLRSD-UHFFFAOYSA-N 401.488 4.557 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2cccnc2)cc1 541781595 JYBUFVRGBHTQJQ-CQSZACIVSA-N 410.405 4.591 5 20 HJBD CCCCn1c(SCc2ccc([N+](=O)[O-])cc2Cl)nnc1[C@H](CC)N(C)C 542009890 RXOSVRBUXVNVOH-INIZCTEOSA-N 411.959 4.945 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 544073164 RWQJQQWYHWMRDV-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)C(C)(C)c4ccc([N+](=O)[O-])cc4F)C3)[nH]c2c1 545139566 ZNIBPNMCQBTOKW-OAHLLOKOSA-N 424.476 4.602 5 20 HJBD CC(C)(C(=O)Nc1ccc(OC(F)F)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 545830380 ZFUHYOAHASJUPC-UHFFFAOYSA-N 402.756 4.905 5 20 HJBD COc1ccccc1C1(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)CCCC1 549555490 HIKVLPUOLFFKKV-UHFFFAOYSA-N 422.403 4.864 5 20 HJBD COc1cccc(CNC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1OC1CCCC1 550759159 JESHYGCENJHTFZ-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 555725076 IXPDSMYICOQVAG-CQSZACIVSA-N 413.449 4.995 5 20 HJBD O=C(COC(F)F)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 558013084 OFOOHAPUSXYBJO-UHFFFAOYSA-N 405.279 4.535 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cc4ccccc4o3)s2)c1 558802428 JFYYTNNHAOSVLO-UHFFFAOYSA-N 410.411 4.511 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc([N+](=O)[O-])cc1Cl 603815686 YIFRZEXDEDUEKJ-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccccc3COCc3ccccc3)cc2N1 603856504 XBOIFFWGTGVWNA-UHFFFAOYSA-N 417.465 4.808 5 20 HJBD COc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1F 604016721 MHIRUFLTCLHMFG-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD C[C@@H](NC(=O)N[C@@H](COc1ccccc1F)c1ccccc1)c1cccc([N+](=O)[O-])c1 604126133 JFLINJJALFRNLO-IERDGZPVSA-N 423.444 4.914 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)Cc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 608999709 VSIZYHZDZCVWQC-CYBMUJFWSA-N 420.307 4.739 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc(-c3ccccc3)cs2)CC1 609488524 SKISWOLQVNRUAP-UHFFFAOYSA-N 423.494 4.503 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)cc1 609722903 NVPWHEHSGMTPQZ-UHFFFAOYSA-N 418.755 4.597 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1)C1CCCCC1 609856359 JATYHCKWEZCTAN-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CCOc1cc(NC(=O)c2ccc(-c3ccco3)s2)c([N+](=O)[O-])cc1OCC 610892743 CVQBPZRZSRIMHD-UHFFFAOYSA-N 402.428 4.966 5 20 HJBD COc1cc(OC)cc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 615665462 GCABXPXTXUPNEM-UHFFFAOYSA-N 416.499 4.923 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)Cc1csc(-c2cccs2)n1 729115033 WXIMBROEILCUKZ-UHFFFAOYSA-N 418.496 4.853 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3cccc4c3OCCO4)c([N+](=O)[O-])c2)cc1 731447743 UVGLFQYEYGGXMI-UHFFFAOYSA-N 419.437 4.539 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 731467704 UZKUWALTVFSOSM-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 732134166 OCJDJVJWWSCACQ-UHFFFAOYSA-N 407.426 4.814 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1cccnc1 733414275 LQWFQXHCAZIYNH-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cc([N+](=O)[O-])ccc1F 735021132 KEBJIWDVLORMJJ-NDEPHWFRSA-N 404.463 4.568 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cc(Cl)cc([N+](=O)[O-])c1 736009852 HKKLQWIWNOSAMT-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccc(N(C)Cc2ccccn2)c(F)c1 744203353 LYLVVDKPHUTXSR-HNNXBMFYSA-N 409.417 4.683 5 20 HJBD O=C(CC12C[C@H]3C[C@@H](CC(O)(C3)C1)C2)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 745344744 JEWRQFFQSAEGRC-KILAXVPQSA-N 418.921 4.713 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 745352486 ZJIFWCXASXAESX-IRXDYDNUSA-N 424.236 4.615 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Oc2cccc(Cl)c2Cl)c(C(F)(F)F)c1 746604566 HPKYFFFGRYWENS-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD C[C@H](Cc1cccc(C(F)(F)F)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751662892 ADMLIYCXVPQMDU-LLVKDONJSA-N 408.332 4.677 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2N(C)C(=O)c2cccs2)cc1[N+](=O)[O-] 752494112 YGZFCGGANQSHNP-HNNXBMFYSA-N 423.494 4.732 5 20 HJBD Cc1ccc(-n2cc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c(C(C)(C)C)n2)cc1 759055884 BBRZXHYFUCXLNI-UHFFFAOYSA-N 414.412 4.917 5 20 HJBD O=C(Nc1ccc(Cl)cc1O)c1cc(Br)cc([N+](=O)[O-])c1Cl 759697296 KZBULPWWRSPYKZ-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 763995989 FEFNDNHIKRWOLV-AWEZNQCLSA-N 400.500 4.613 5 20 HJBD C[C@@H](OC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F)c1ccccc1[N+](=O)[O-] 764586819 AJDCZSDZAVDZGV-SNVBAGLBSA-N 422.775 4.685 5 20 HJBD COCCSc1ccc([C@@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 765714001 BEZVSMFZVVDLEX-QGZVFWFLSA-N 415.559 4.653 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767210137 QXVAQRNOBSJZMK-AWEZNQCLSA-N 421.375 4.564 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(N4CCOC4=O)c3C)o2)c([N+](=O)[O-])c1 770603798 TUIQLPHKKPGKNB-UHFFFAOYSA-N 423.425 4.741 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 772250757 IKGJDRRSCNYXKO-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Oc1ccc(Br)nc1 774666673 KDESBZZXQHSZLI-UHFFFAOYSA-N 414.084 4.594 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc2c(c1)CCC[C@H]2NC(=O)c1ccccc1[N+](=O)[O-] 778265010 WZVYMAVRNVBTJO-GOSISDBHSA-N 411.458 4.749 5 20 HJBD Cc1c(C(=O)COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)oc2ccccc12 781260797 ZBULQSKIHBVFML-UHFFFAOYSA-N 411.413 4.776 5 20 HJBD O=C(OCc1cc(-c2cccc(F)c2)on1)c1ccc2[nH]c3ccccc3c(=O)c2c1 786763453 CDEHGQZIYCMWON-UHFFFAOYSA-N 414.392 4.832 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 787911103 BEQPKCHOURMZJG-QGFLDLQWSA-N 402.454 4.752 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CC(c2ccc(F)cc2)C1 797030599 FCBLQCYDWWUIEV-RWWKDMOOSA-N 406.413 4.955 5 20 HJBD C[C@@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809918954 CAJCALXEXKCNCI-CQSZACIVSA-N 414.893 4.696 5 20 HJBD COc1cc(Br)cc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 809920091 MMXBNTLFPANQKX-UHFFFAOYSA-N 400.616 4.663 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 816063917 VQDFXBXTTOTRNX-OAHLLOKOSA-N 406.272 4.943 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2cccnc2-c2ccc(Cl)s2)cc1[N+](=O)[O-] 821676528 ZMYJWFDFAQJIKV-UHFFFAOYSA-N 420.853 4.829 5 20 HJBD C[C@H](OC(=O)C1(c2ccccc2)CCCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 845416694 OLAQBGFDSNXJSS-AWEZNQCLSA-N 416.861 4.630 5 20 HJBD CCOCCOc1ccc(Br)cc1NCc1ccc(C)c([N+](=O)[O-])c1 864032009 ZRPMOVWEIRPTRE-UHFFFAOYSA-N 409.280 4.693 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)sc1Cc1ccccc1F 917731468 ADOIAINPMGSHSV-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1cc(C)c(OC(=O)c2nc(-c3cccs3)n(-c3ccccc3)n2)c([N+](=O)[O-])c1 918556578 GTJJPECVMUYSPO-UHFFFAOYSA-N 420.450 4.740 5 20 HJBD O=C(c1nc(-c2ccc(F)cc2)n2c1CCCCC2)N1CCc2c1cccc2[N+](=O)[O-] 1116109058 YNUYYPYELUOKJW-UHFFFAOYSA-N 420.444 4.527 5 20 HJBD CCOc1ccc([C@H](C)N[C@H]2CCCc3c2cnn3Cc2ccccc2)cc1[N+](=O)[O-] 1116436075 YELNARMRJQFSBT-UWJYYQICSA-N 420.513 4.967 5 20 HJBD Cc1ccccc1C(F)(F)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 1321121504 LNVDPIVGHGXQCV-UHFFFAOYSA-N 424.201 4.780 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(-c2cc(Br)cc([N+](=O)[O-])c2)o1 1349923871 WZSHQIMKGOGRKI-SECBINFHSA-N 408.183 4.686 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)n2)cc1Cl 20017481 NYKHAGSQTYESSW-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD Cc1c(C(=O)Nc2cc(Br)ccc2Br)cccc1[N+](=O)[O-] 31950809 ISLKCAUGKDWKIT-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cn1ccc(NC(=O)C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)n1 52528082 MUBDOUIAKPVCHP-QINSGFPZSA-N 419.466 4.507 5 20 HJBD COc1cc(C)c([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 195588817 SJEGXMXZZICCHT-LBPRGKRZSA-N 400.456 4.626 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Sc1nnc(-c2ccncc2)n1CC(F)(F)F 302755299 IJZBVXCSKGFYAO-UHFFFAOYSA-N 415.784 4.615 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)C12CC3CC(CC(C3)C1)C2 426827807 SYPPIZLOZIXDPC-PACMTNNTSA-N 410.470 4.614 5 20 HJBD C[C@@H](CCc1ccc(O)cc1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427040576 JXMAYBREFFSRJT-KRWDZBQOSA-N 420.465 4.631 5 20 HJBD Cc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)cc1-c1ncco1 431885363 XQQWMRNJSLILKO-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CN[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 433174303 MUQYRLJLPWKVBU-QHCPKHFHSA-N 404.514 4.969 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(-c2ncc3n2CCCC3)c1 436169112 AHQPNJMOBWEVKA-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD CCN(Cc1ccccn1)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 437953547 KMBIGXIRGBIOJU-UHFFFAOYSA-N 414.512 4.568 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 439082321 BXIVGLYINZUXQT-XMMPIXPASA-N 421.472 4.926 5 20 HJBD CC(=O)NCc1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440487479 PVXXIWNPEARPDK-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCCN(C(=O)c1ccc(NC(=O)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 441434922 MAYLDLAWDCSXBG-UHFFFAOYSA-N 403.438 4.904 5 20 HJBD CC[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1F 444107823 UGAAORQKLJENFQ-CQSZACIVSA-N 422.431 4.547 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4nccc5ccccc45)no3)cs2)cc1 445514073 SVSHFZJNPNAXBP-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H](c2ccccc2)[C@H](C)C1 460648827 RWIAMBAQKCLPQY-VKJFTORMSA-N 402.878 4.668 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCCC[C@@H]2C)cc1 462442378 SVAMAYFQFJLKIB-HKUYNNGSSA-N 423.513 4.817 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OCC(F)(F)F)cc1 467103724 VLOFEUZNMRLSIZ-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1NC(C)=O 480561167 XEIUKLGDOWOESU-UHFFFAOYSA-N 417.487 4.562 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cccc2cccnc12 482052564 KWEIWAMIJMJCNE-UHFFFAOYSA-N 409.511 4.864 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 482472229 BNWDESWMBXPQAM-IBGZPJMESA-N 404.850 4.538 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)cc2)cc1SC 482584868 WVHWYTAYWBOCAF-JTQLQIEISA-N 414.405 4.835 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 486146084 DLGAWUVPYFYDTH-UHFFFAOYSA-N 423.513 4.662 5 20 HJBD CN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccc2ncccc12 486430525 BZZJBTTYFFMHQT-UHFFFAOYSA-N 413.433 4.999 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccccc2)[C@H](C)C2CC2)c(C)c([N+](=O)[O-])c1 486875785 ALTSEPISLWTVJY-OAHLLOKOSA-N 411.458 4.522 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 489281224 VMLDOPIYWFWPHU-WIYYLYMNSA-N 411.502 4.605 5 20 HJBD C[C@@H](NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cccc([N+](=O)[O-])c1 490797971 PBFGASZEXGZFHV-PIGZYNQJSA-N 406.364 4.740 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 498185962 OUGTWRSVIGXDJE-UHFFFAOYSA-N 414.465 4.953 5 20 HJBD C[C@](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 504563900 RCRVDWRDPIFXEB-HNNXBMFYSA-N 417.181 4.565 5 20 HJBD COc1cc(CNC(C)(C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC(F)F 505465372 UNKQATBODCVZRE-UHFFFAOYSA-N 414.836 4.926 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2Cl)C2CCCC2)c([N+](=O)[O-])cc1OC 511957939 QXJVFWPFQMVDHE-UHFFFAOYSA-N 418.877 4.850 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 512129057 GVRQLFOTWCIRNL-HNNXBMFYSA-N 422.485 4.886 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 517649760 NBCACXBPJAMKOI-BLVKFPJESA-N 400.818 4.597 5 20 HJBD CN(C(=O)c1ccc(-c2ccc(OC(F)(F)F)cc2)s1)c1ccc([N+](=O)[O-])nc1 519664786 HADSLSMUSLBWIG-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ncc(-c2ccccc2)s1 520387770 KJSQWCRMDXCDSK-LLVKDONJSA-N 403.847 4.778 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 523139358 PAPSXWZKWDAHJY-HZPDHXFCSA-N 402.878 4.730 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCSC[C@@H]1c1ccc(Cl)cc1 523846122 YBEUMADNRUPFGF-LJQANCHMSA-N 415.902 4.520 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 524057861 KNEHPTPKCPKFTG-AWEZNQCLSA-N 413.524 4.824 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)cc2C(C)C)cc([N+](=O)[O-])c1OC 534809730 LNJZZMJQQLQRDH-UHFFFAOYSA-N 423.263 4.750 5 20 HJBD COc1cc(CNc2cccc3c2OCCO3)ccc1OCc1ccc([N+](=O)[O-])cc1 535369307 PKIVUDDUZQSPEN-UHFFFAOYSA-N 422.437 4.566 5 20 HJBD Cc1ccc(C(C)(C)C)cc1S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535511268 OWHUWVDZHGBVCY-UHFFFAOYSA-N 414.483 4.830 5 20 HJBD C[C@@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(-c2ccncc2)cc1 536170300 SQSJPROMVKLODW-OAHLLOKOSA-N 400.438 4.558 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2ccsc2)[nH]s1 537422650 JIUTVQXUOIRASQ-UHFFFAOYSA-N 420.541 4.741 5 20 HJBD CN(C(=O)c1cc(Br)cs1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538248049 ZOHUJXXPGDPBMC-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](CC)[C@H](c2ccc(C)cc2)n2cncn2)cc1[N+](=O)[O-] 539039275 BSAOYKOHBHMEGC-NYDSKATKSA-N 423.517 4.612 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)C(=O)N(c2nccs2)C2CCCCC2)cc1[N+](=O)[O-] 539381467 ZLFJRNVJSDQHHH-CVEARBPZSA-N 416.547 4.765 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)CC1 540124280 KTSSDAKCJJGDLM-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)[C@@H](C)c4ccc([N+](=O)[O-])cc4F)CC3)c[nH]c2c1 543550578 ABZCIHLAVLJXMJ-HNNXBMFYSA-N 407.445 4.943 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 544624433 RMUSVFBIJOSDHR-ZDUSSCGKSA-N 423.269 4.760 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1c1nc(-c3ccc([N+](=O)[O-])cc3C)no1)O[C@H](C)C2 544745131 WQNCAGMVVWAOFE-FMEYXAORSA-N 421.453 4.946 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCC2CCCCC2)CC1 547318536 UBOVKZJXSVQPAN-FQEVSTJZSA-N 402.535 4.751 5 20 HJBD C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 549865460 LXUPVPLRCSJZEQ-BIXPNRAVSA-N 400.422 4.544 5 20 HJBD CCC(=O)c1ccc(N[C@H](c2cncc(Br)c2)C2CC2)c([N+](=O)[O-])c1 553252383 KRVLHPTWWZIXKP-SFHVURJKSA-N 404.264 4.908 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H]3[C@@H]3CCCO3)cc2[N+](=O)[O-])cc1 568424298 LCULGBJDPACDLG-QUCCMNQESA-N 412.511 4.838 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1cccc([N+](=O)[O-])c1 569769158 HINAPDLXWQCHFD-SECBINFHSA-N 402.300 4.540 5 20 HJBD C[C@@H](C(=O)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 574894460 YARRZLXQTGQREA-GFCCVEGCSA-N 413.817 4.836 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N(C)c1ccc(Cl)c(C(F)(F)F)c1 582206865 ZZCSTSCNAYPNHH-UHFFFAOYSA-N 402.756 4.942 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)CCNc3ccccc3[N+](=O)[O-])cc2)cs1 591445523 QTFYOGQTJMYRFJ-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(C(F)(F)F)cc2[N+](=O)[O-])N1Cc1ccco1 602762977 XURUCISUVPJTQZ-SFHVURJKSA-N 417.343 4.973 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 603459735 FFMXHRNFVDJECO-UHFFFAOYSA-N 409.364 4.583 5 20 HJBD CC(C)n1c(SCCOc2ccccc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 603903597 BKJOZONGUYDRNX-UHFFFAOYSA-N 419.890 4.710 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccccc1 604098384 ZAFGQYLUGVLEFB-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 604469706 BKGNAHRQQNNIFM-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD O=[N+]([O-])c1cccc(OCCSc2nnc(Cc3c(F)cccc3Cl)o2)c1 608929662 HGXAAJARUZAZSC-UHFFFAOYSA-N 409.826 4.532 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 609837494 URKBDHSIRVBGFT-LSDHHAIUSA-N 412.515 4.883 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 610201039 PMQZWDQFBLNRHH-UHFFFAOYSA-N 409.269 4.833 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)N3C[C@@H](C)C[C@H](C)C3)ccc2C)c1 610224723 JFWOYKYILPGWLH-HOTGVXAUSA-N 409.486 4.582 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 726605992 ORFNPQWDKKQPHF-INIZCTEOSA-N 415.490 4.592 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc([N+](=O)[O-])ccc1Cl 732574928 HVCHUTQLKARCDH-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(C)cc1)c1cccnc1 732960829 BJBBEBDELGRBIG-TYQNLQDCSA-N 401.466 4.950 5 20 HJBD COc1ccccc1-c1nc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cs1 734894618 JZFCRRRSPISPPC-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD COc1ccc(-c2ccc([C@H]3NC(=O)c4sc5ccccc5c4N3)o2)c([N+](=O)[O-])c1 742441871 LNHPANUHBNTOQN-HXUWFJFHSA-N 421.434 4.932 5 20 HJBD Cc1c(Cl)cccc1NC(=S)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 743021804 IWFCOYHZKRYVED-UHFFFAOYSA-N 405.907 4.797 5 20 HJBD CCC[C@@H](C)c1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n[nH]1 749577440 XPWDIKCZZJQHLN-MRVPVSSYSA-N 415.675 4.890 5 20 HJBD C[C@H](CCC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 750104284 FTJHDPOOUXUHCJ-CYBMUJFWSA-N 424.375 4.679 5 20 HJBD Cc1nn(C)c(C)c1[C@H](C)C(=O)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 752217209 MLZILHGRVAMIGM-AWEZNQCLSA-N 409.442 4.584 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)C1CCC(NC(=O)OC(C)(C)C)CC1 754455663 XACWEVQXUTWBAZ-UHFFFAOYSA-N 420.510 4.511 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754983828 ALODFXVLLKMUTD-SECBINFHSA-N 415.204 4.533 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CC2(CCC2)Oc2ccccc21 757810164 ADKXQSICHIOROK-BBRMVZONSA-N 416.861 4.578 5 20 HJBD Cc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnc(Cl)c1Br 759275760 HGRBJENCVNJBLN-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(O)(c2ccccc2)CC1 760708766 IIVGXFQDQMPEPS-UHFFFAOYSA-N 414.527 4.657 5 20 HJBD C[S@@](=O)c1ccc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cc1 761860435 YNWUJMBJVVRBJY-SSEXGKCCSA-N 421.434 4.842 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766222231 GJHQKBZICPRIQZ-LSDHHAIUSA-N 421.453 4.841 5 20 HJBD CCOc1ccc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])cc1F 770494528 KDMKPSKSNAHWTB-UHFFFAOYSA-N 418.343 4.694 5 20 HJBD COc1cc(Cc2noc(-c3ccc(SC(F)F)cc3)n2)c([N+](=O)[O-])c(OC)c1 771745112 JIPRRIBVAMWGDJ-UHFFFAOYSA-N 423.397 4.568 5 20 HJBD CC[C@@H](NC(=S)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccs1 782389181 ZTZZSKOHNZLVOS-CYBMUJFWSA-N 403.451 4.791 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784535597 IXACNEGPFUFQOQ-AWEZNQCLSA-N 410.417 4.722 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1noc(-c2ccccc2)n1 784654995 DWEMYSAYDIFYRB-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(=Cc2cc(Cl)ccc2Cl)CC1 787123938 CECUXAWTKMYRFE-UHFFFAOYSA-N 406.269 4.803 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)Nc2cccc(Cl)c2Cl)c1F 787941096 WTTQOKGDDLTKKN-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C2CC2)o1 790535672 MXJQSMIUWUZYGI-IAQYHMDHSA-N 406.841 4.837 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2NC1=O 809971971 ATCZHBVPWOOSFR-MGPLVRAMSA-N 424.284 4.862 5 20 HJBD Cc1nc(CCCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 811219279 SGALVMGCWWWPPV-UHFFFAOYSA-N 403.485 4.549 5 20 HJBD Cc1cccc(NC(=O)[C@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1C 811373737 LSMRQRLAPWFMLI-NSHDSACASA-N 411.241 4.702 5 20 HJBD CC(C)(O)c1cnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 811617221 ATGILYSSDIZLNP-UHFFFAOYSA-N 415.496 4.682 5 20 HJBD O=C(Nc1nnc(Cc2ccccc2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812825726 HCUMGPXQODAYDH-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CC[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])Oc1ccccc1F 813037718 ZDZAYHOOJKWADF-NSHDSACASA-N 401.221 4.628 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCCCC[C@H]1Cc1ccccc1Cl 818350307 WUCCJDFMWDQXPE-AWEZNQCLSA-N 405.857 4.597 5 20 HJBD CCC[C@@H](C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 821235132 NJNFHHKBOCRLMT-XJKSGUPXSA-N 404.850 4.702 5 20 HJBD COc1ccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 915165558 HYLYZRYLFCBZKA-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD COc1cc2c(cc1NC(=O)COc1ccc([N+](=O)[O-])c(OC)c1)oc1ccccc12 920999085 FOLAEHZTYMXOTR-UHFFFAOYSA-N 422.393 4.529 5 20 HJBD CC(C)(C)n1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc2ccccc21 1318930252 ZJLMVLOPZUQKIY-UHFFFAOYSA-N 406.364 4.971 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1319954392 LIODEWDWNLKGDV-JOCHJYFZSA-N 415.405 4.520 5 20 HJBD C[C@H](C(=O)Nc1cc(F)ccc1NC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1F 1320088378 AEENRAAAAKTHCV-NSHDSACASA-N 421.400 4.962 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3c[nH]c4ccccc34)cs2)cc([N+](=O)[O-])c1C 1321865872 BCYPSBRQOHTKPB-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OC(F)(F)F)cc1 8363308 NIBKYVGCOGDJFM-VIFPVBQESA-N 404.728 4.553 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 22167557 NLPXUFWXIZHHMG-LBPRGKRZSA-N 416.528 4.952 5 20 HJBD CCCN(CC(=O)Nc1ccccc1C)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 24657733 MNTQQYKIQQTQGD-UHFFFAOYSA-N 411.502 4.692 5 20 HJBD Cc1cc(C(=O)Nc2cc(Br)ccc2Br)ccc1[N+](=O)[O-] 31950833 KNHJUBPXCHKXMP-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 43342443 DGQVBMRWUMPYBD-MRXNPFEDSA-N 405.838 4.634 5 20 HJBD O=C(Nc1cccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)c1ccncc1 59734150 FREWWBCZSDKWHX-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD CC(C)c1ccc(CCNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 61000840 YTWLCDMHNCTMPD-UHFFFAOYSA-N 410.392 4.632 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C2CC2)cc1 61059186 SUMPPQOQQAPWRT-UHFFFAOYSA-N 423.513 4.645 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cc([N+](=O)[O-])ccc1OC 61205393 UKDGYSKQLUILBO-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD CN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1Oc1ccccc1 116204077 XKINUXHKSBDTPN-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD CSc1ccc(C(=O)N(Cc2cccnc2)Cc2ccccc2C)cc1[N+](=O)[O-] 195589685 UFPOXNAAWDXUGU-UHFFFAOYSA-N 407.495 4.863 5 20 HJBD CCCn1nccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237005839 YIIAYRZRTGCOER-UHFFFAOYSA-N 405.252 4.836 5 20 HJBD Cc1ccc([C@@H](Nc2ccc([N+](=O)[O-])cc2F)c2cccc(S(C)(=O)=O)c2)cc1 301470840 OZQYASNSCGRPQT-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])c(OC(F)F)c2)nnc1-c1ccc(Cl)cc1 301940446 ZIBITYHVANBQDY-UHFFFAOYSA-N 412.805 4.796 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 303278467 HWJZJDKAYMAMCS-SFHVURJKSA-N 415.248 4.623 5 20 HJBD CC(C)(NC(=O)Nc1cccc(OCc2cccnc2)c1)c1ccc([N+](=O)[O-])cc1 431070168 JXBXAZXICRZTSP-UHFFFAOYSA-N 406.442 4.626 5 20 HJBD Cc1ccn(C2CCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)CC2)n1 435052749 XQMFPCNNEXOCTP-UHFFFAOYSA-N 410.449 4.868 5 20 HJBD Cc1cc(OCC(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)ccc1[N+](=O)[O-] 435756679 UALSYKHRRHOOPI-NRFANRHFSA-N 422.485 4.576 5 20 HJBD COc1ccc(OCCSCc2coc(-c3cccc(F)c3)n2)c([N+](=O)[O-])c1 437265817 DLYKFMHFCFCNLR-UHFFFAOYSA-N 404.419 4.710 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437709811 MXDWGXVODKTCID-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCCO[C@@H]1c1ccc(C)cc1 439906958 ARIHDBBJNGQASW-DYESRHJHSA-N 413.474 4.591 5 20 HJBD Cc1nc(NC(=O)c2cc(-c3cccs3)nc3c2cnn3C(C)C)ccc1[N+](=O)[O-] 440417692 ZHPIJTDROONVNN-UHFFFAOYSA-N 422.470 4.605 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 440882113 QIHJPXDIMZOBPX-ZDUSSCGKSA-N 416.840 4.756 5 20 HJBD CCCN(C(=O)c1ccc(COc2ccccc2OC)o1)c1cccc([N+](=O)[O-])c1 441456167 YHQCBLKZZAENHV-UHFFFAOYSA-N 410.426 4.832 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Cc1ccccc1 444029503 IMFUUTQEDPPEPA-UHFFFAOYSA-N 420.459 4.958 5 20 HJBD C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444244688 XHSQYCYJRNTWMU-DOTOQJQBSA-N 400.453 4.839 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](Oc2cccc(Cl)c2)C1 444281598 ALHSCZPDJZNHNT-SFHVURJKSA-N 418.880 4.628 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1 444917395 DWPAHMWZZIXCAV-LJQANCHMSA-N 412.833 4.563 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C(/C)c3ccccc3OC(F)F)n2)c1 445579088 ICAGKRCDUXJLEX-XFXZXTDPSA-N 417.368 4.739 5 20 HJBD CC(=O)Nc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])ccc1F 446435074 SXODMZBSLPGIKG-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[C@H](C)CO1 460398716 GSPAFDVAQGYFQB-QRWLVFNGSA-N 423.494 4.629 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCCc2ccccc2[N+](=O)[O-])c1 460501394 DZIFMDQVSHCNJW-UHFFFAOYSA-N 417.893 4.595 5 20 HJBD C[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 460703943 SPBHGEVKXDSHHJ-MRXNPFEDSA-N 418.453 4.659 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1OCC(F)F 463543884 PUMSZEQYYSOVAB-UHFFFAOYSA-N 404.291 4.818 5 20 HJBD CCOc1cc(NC(=O)NC[C@H](C)Sc2ccccc2)c([N+](=O)[O-])cc1OCC 466312173 OMGQBIYMPADSDR-AWEZNQCLSA-N 419.503 4.695 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 468185885 DXMNSBYASALVJF-CALCHBBNSA-N 411.502 4.518 5 20 HJBD C[C@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccncc2)cc1 470194259 IYVSBBMAEGZNNI-KRWDZBQOSA-N 404.470 4.726 5 20 HJBD Cc1cc(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)ncc1[N+](=O)[O-] 470863210 FBSWSGLICOXHNL-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 475584365 PDBPUWMECQTTHG-QUCCMNQESA-N 410.518 4.728 5 20 HJBD COc1cc(C)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 478045822 LAWGIIBFEGSQTJ-AWEZNQCLSA-N 424.432 4.871 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccc([N+](=O)[O-])cc1Cl 478659146 OOXNQIBJPGIXOI-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(OCc2ncc(-c3ccccc3Br)o2)cc1[N+](=O)[O-] 479400887 CJRZDZVZQYUVAU-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(C)sc2c1 482023829 FJWWKWYOFPKSCB-UHFFFAOYSA-N 403.485 4.504 5 20 HJBD CC[C@@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1cccc([N+](=O)[O-])c1 482030560 DKXZOXOUFPPQBR-HSZRJFAPSA-N 420.513 4.955 5 20 HJBD O=C(c1cc(NC[C@H](c2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 486029729 PBXXYRYJDARRJW-QGZVFWFLSA-N 407.392 4.589 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)CCc2cccc3ccccc23)c(C)c([N+](=O)[O-])c1 486886095 MICAACZBSHEOGC-UHFFFAOYSA-N 421.453 4.549 5 20 HJBD CC(C)(NC(=O)Nc1c(F)cc(F)cc1Br)c1ccc([N+](=O)[O-])cc1 487194893 CKVRZDWXVGOBRD-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccncc2)cs1 488186805 SOGOPWZKQYQZRY-SNVBAGLBSA-N 422.388 4.623 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1C(=O)c1cc2ccccc2c2cccnc12 488886051 WHJZJZDVHKSJHC-INIZCTEOSA-N 411.509 4.854 5 20 HJBD CC(C)n1ncc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c1-c1ccccc1 489034813 CNRBSJVXDRLSQC-UHFFFAOYSA-N 401.426 4.840 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCC 489265216 NWDRGUBYEWSKIV-CVEARBPZSA-N 415.490 4.822 5 20 HJBD CCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCOCC1 491115680 GDWCYWDPJCBYHQ-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD C[C@H](Cc1ccc(O)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491881620 QOTAVUAFTVDIDG-OAHLLOKOSA-N 408.479 4.813 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](c2ccc3c(c2)OCCO3)C(C)C)cc1[N+](=O)[O-] 504895452 UMOYFYKFGFTYNE-QRQCRPRQSA-N 400.475 4.813 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3cc(F)ccc3C2)cc1[N+](=O)[O-])c1ccccn1 508421553 ZGULOEMFGMQPAM-OAHLLOKOSA-N 420.444 4.501 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccnc1)c1ccc(F)cc1 511083233 QXBOGGGCVMYVEC-OAHLLOKOSA-N 411.458 4.843 5 20 HJBD CS(=O)(=O)c1ccc(-c2nc(CSCc3ccc([N+](=O)[O-])cc3)cs2)cc1 513818723 JDUMLXUINXSLAS-UHFFFAOYSA-N 420.537 4.555 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3c[nH]c4ccccc34)o2)cccc1[N+](=O)[O-] 514355845 OZADDRVSBPIWEN-GFCCVEGCSA-N 423.454 4.554 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc4c(c3)CCCC4)cs2)c1 516335407 FAZRBKWQYKJHRH-UHFFFAOYSA-N 409.467 4.858 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC(C(=O)c2cc(F)ccc2F)CC1 520240464 XWAIXZWJPMOXJC-UHFFFAOYSA-N 418.440 4.755 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cccc2ccccc12 520867810 QROAKQITLDXUME-HNNXBMFYSA-N 400.438 4.886 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)C2CCC2)cc1SC 521534262 VMQMYGPKXOLAFG-LJQANCHMSA-N 404.463 4.736 5 20 HJBD CN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccccc1F 522896018 LGEQEGMPHYVRCC-UHFFFAOYSA-N 409.204 4.503 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1ccc(Cl)cc1[N+](=O)[O-] 533238463 AIMWECOXYKKVQH-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD C[C@@H]1CCCC[C@@H]1N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534794467 DFZKTXPDVONKFQ-KDOFPFPSSA-N 403.504 4.520 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCO[C@@]4(CCSC4)C3)o2)c(Cl)c1 538424111 FPTVSQUYDSTCLO-DJJJIMSYSA-N 408.907 4.653 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])O[C@H](C)C2 540546340 GXSAREPJQXJSJU-CQSZACIVSA-N 419.437 4.631 5 20 HJBD C[C@H](C(=O)NC(C)(C)c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 541595793 WQXLEAVOXQZLSC-NSHDSACASA-N 409.255 4.651 5 20 HJBD COc1ccc(N(C)C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 543160590 MNFBGGQPPSZUDO-UHFFFAOYSA-N 401.271 4.665 5 20 HJBD COc1ccc(OCc2c(C)nn(Cc3ccc(Cl)cc3)c2C)c([N+](=O)[O-])c1 555137123 WFMCHWNIKVCMOD-UHFFFAOYSA-N 401.850 4.697 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)c1 555422790 HGYNVKSXHVSVDD-CYBMUJFWSA-N 422.329 4.666 5 20 HJBD CNc1ccc(-c2nc([C@@H](CCCOC)c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 560455195 TXOKAXVBQGFMHB-INIZCTEOSA-N 416.865 4.898 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 564418107 CYYXOYHZHOMMNG-UHFFFAOYSA-N 415.515 4.971 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCOC[C@@H](C2CCC2)C1 574474156 JOJHOMOGMRNVOW-SFHVURJKSA-N 412.511 4.635 5 20 HJBD CCc1nn(C)c(Oc2ccc(N(C)C(=O)c3ccc(Cl)cc3)cc2)c1[N+](=O)[O-] 576139211 CGNAAEYUNDVQER-UHFFFAOYSA-N 414.849 4.613 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H]3CCCc4occc43)cc2[N+](=O)[O-])n1 603492839 LUBGOFZVPYZBJE-AWEZNQCLSA-N 415.496 4.911 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1 603685820 WAJRTOWUEFEDPU-KRWDZBQOSA-N 410.392 4.706 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(C/C=C\c1ccccc1)c1ccc2c(c1)OCCO2 603820491 DVAXSJUCDKUTCB-DAXSKMNVSA-N 422.462 4.788 5 20 HJBD COc1ccc([C@H](NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)cc1 604517138 WENOGEPTUXMVSN-LJQANCHMSA-N 409.467 4.608 5 20 HJBD COc1ccc(CS(=O)(=O)Cc2ccc(C(C)C)cc2C(C)C)cc1[N+](=O)[O-] 604537283 WULNLFQNTVRIRJ-UHFFFAOYSA-N 405.516 4.965 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 609724417 ZIXUCLZEZIKRFV-UHFFFAOYSA-N 420.893 4.649 5 20 HJBD C[C@H]1Oc2ccccc2O[C@@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755368 IQJJMAXWYBHVHT-SZNDQCEHSA-N 406.394 4.554 5 20 HJBD C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609832138 QJNCJICDRWECCQ-YRGRVCCFSA-N 402.438 4.885 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3nc4cc(C(F)(F)F)ccc4s3)no2)s1 612881829 MDDPVPYKXPFULN-UHFFFAOYSA-N 412.374 4.926 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2F)c(Br)c1 613527140 ZTEZDEANUREVLQ-SNVBAGLBSA-N 413.268 4.924 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 726290217 MTZQPLNURAHOPG-CQSZACIVSA-N 415.515 4.610 5 20 HJBD CC(C)CNC(=O)c1cccc(CSc2nc3ccc([N+](=O)[O-])cc3s2)c1 727267762 PSILBULPZRZHPE-UHFFFAOYSA-N 401.513 4.883 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCc1ccc(OC(F)(F)F)cc1 727368511 YGJLLFJEULIFAK-UHFFFAOYSA-N 416.783 4.738 5 20 HJBD Cc1c(NC(=O)CC[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 729297162 XNNKDFOISLTJNW-SFHVURJKSA-N 413.474 4.888 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1ccccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745574769 AMABIWAFKRJFJD-OLZOCXBDSA-N 422.840 4.526 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745574771 AMABIWAFKRJFJD-STQMWFEESA-N 422.840 4.526 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Cl)c(Cl)c1 747026417 TYVODPGPCWERQX-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(/Br)c2ncc(-c3ccc(F)cc3)o2)cc1O 748147495 OVMHARXHACTQHU-NTUHNPAUSA-N 405.179 4.988 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 748435674 QPFJVRCWATVLMW-LBPRGKRZSA-N 410.879 4.511 5 20 HJBD CC(C)c1cnc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 749436829 PUOAVIMUNAWEMN-UHFFFAOYSA-N 404.673 4.843 5 20 HJBD CCc1cc2oc(=O)cc(COc3ccc(C(C)=O)cc3[N+](=O)[O-])c2cc1Cl 749839681 FWKJZQKATCIUKB-UHFFFAOYSA-N 401.802 4.699 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C\c3cnc4ccc(Br)cn34)nc2c1 751516965 HQFRXSYVRVQKGJ-LFYBBSHMSA-N 419.622 4.883 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760489068 UWJZHIBXYRQFIV-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD CCCn1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cn1 761312369 IMPBTKQNMZFFAZ-UHFFFAOYSA-N 405.252 4.836 5 20 HJBD CNc1c(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 765584445 YKSUTSHHBHBISR-NRFANRHFSA-N 405.454 4.555 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 768626923 SKVJRMZNYPPOKF-ZDUSSCGKSA-N 403.360 4.725 5 20 HJBD O=C(Nc1nc(-c2ccc(Cl)s2)cs1)c1cc(F)c([N+](=O)[O-])cc1F 770056294 XTBVMWGWFUYONL-UHFFFAOYSA-N 401.803 4.964 5 20 HJBD O=C(Nc1ccc(OC(=O)C2(F)CCCC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 771946793 BIICUTCTRQDZQJ-UHFFFAOYSA-N 406.797 4.688 5 20 HJBD CC(C)([C@@H](OC(=O)c1scnc1Cl)c1ccc(Br)cc1)[N+](=O)[O-] 774366064 CXGQHGKGKLYQLH-NSHDSACASA-N 419.684 4.512 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 777957942 ZSZUVTNNPMZPBC-UHFFFAOYSA-N 417.469 4.635 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)Cc2ccccc21 780186959 AUGUGLMFEMVQCB-CYBMUJFWSA-N 404.416 4.719 5 20 HJBD COc1cc(C(=O)Oc2c(Cl)cccc2Cl)c([N+](=O)[O-])cc1OC(F)F 786288225 VXKLXRGETDDKNV-UHFFFAOYSA-N 408.140 4.731 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)Nc2cccc(Cl)c2Cl)c1F 787941094 WTTQOKGDDLTKKN-SECBINFHSA-N 415.204 4.533 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)C1 788153011 KMIYFBMRLUHFQG-INIZCTEOSA-N 424.526 4.706 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc(C)c1F)[C@H](c1ccc(C)cc1)c1cccnc1 790516125 AZKXXOMQYHEATI-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792964256 WRLXCZJKTGHCOZ-AWEZNQCLSA-N 423.469 4.797 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 809976265 AWWIPGRGPSZFEL-NSHDSACASA-N 407.257 4.726 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(N2CCc3ccccc32)CC1 813243474 QCCCOUCVXRQWIH-UHFFFAOYSA-N 420.296 4.569 5 20 HJBD CC(C)(C)c1cc[n+](/C(C(=S)NC2CCC2)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 814185880 GCCYKOPQYVONCC-UHFFFAOYSA-O 412.535 4.533 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3ccccc3)cc2F)nnn1-c1cccc([N+](=O)[O-])c1 914732179 AEHLACLDKPKZGC-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 917706369 PXJMQWNGMXNJBD-UHFFFAOYSA-N 407.382 4.818 5 20 HJBD CN(C(=O)C1CC1)c1ccccc1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330633 KXJCMLAHFKICQS-UHFFFAOYSA-N 416.433 4.854 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC([C@@H](O)C(F)(F)F)CC2)o1 1337042689 RHBNUXFJTKCGGE-QGZVFWFLSA-N 418.799 4.643 5 20 HJBD COc1ccc(C2(CNC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)CCCCC2)cc1 1339727349 SYLRKHZZPCCWGZ-UHFFFAOYSA-N 409.486 4.531 5 20 HJBD CC(=O)Nc1cc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc(C(F)(F)F)c1 1342549190 INECZVDTGUUKQJ-UHFFFAOYSA-N 420.347 4.906 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Br)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1345625003 VPUXCXQTTXLMFJ-SECBINFHSA-N 408.183 4.686 5 20 HJBD O=C(Nc1ccc(C2(NC(=O)C3CCCCC3)CCC2)cc1)c1ccc([N+](=O)[O-])o1 1785747638 CXGSNVJKKKLENU-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc2oc3ccccc3c2c1 7225182 MPJUIBCTQOGVOL-CYBMUJFWSA-N 405.410 4.942 5 20 HJBD Cc1c(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)oc2ccc(Cl)cc12 10965561 DJGWDSIDHJFASD-UHFFFAOYSA-N 402.790 4.716 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3cccc(F)c3)cc2[N+](=O)[O-])n1 18080205 PYCMTYVICFPPRH-UHFFFAOYSA-N 417.487 4.922 5 20 HJBD COc1ccc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])cc1NC(C)=O 24214139 KBTXNGVCBCJJOG-UHFFFAOYSA-N 405.410 4.536 5 20 HJBD C[C@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C[C@@H](c2ccccc2)O1 227647948 ZLYKMMDJGFIOBJ-SBUREZEXSA-N 402.450 4.792 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cccc(OCC(F)F)c3)cs2)c1 237787623 IIKUBRAPGNNAQO-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD Cc1cc(Sc2nnc(-c3cnccn3)n2-c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 301954655 KNOHZTAZEAMLIX-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(F)ccc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 303295351 NLIFBOCULIMRJW-MRXNPFEDSA-N 416.449 4.842 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCCC(=O)Nc2ccccc2[N+](=O)[O-])o1 410048394 UEUGECCYNVWLJH-HNNXBMFYSA-N 412.471 4.641 5 20 HJBD C[C@@H](c1c(F)cccc1F)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426272783 AAGDDPLYKUGYEG-JTQLQIEISA-N 417.334 4.794 5 20 HJBD COc1ccc(CNCC2(SC)CCC2)cc1OCc1ccc([N+](=O)[O-])cc1 433200155 GRBSXICLPBWODH-UHFFFAOYSA-N 402.516 4.558 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 434244945 UWQOMPXQLLZHBF-HNNXBMFYSA-N 422.485 4.950 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1 435371132 LFWVIRIHDHUZRL-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)[nH]c2c1 436231248 KQCJFDDDBQMWPO-HSZRJFAPSA-N 422.488 4.843 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437369175 CYGZEHYBNWSLQG-UHFFFAOYSA-N 417.849 4.606 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437924455 AXHAMHKNBPBBSN-KRWDZBQOSA-N 401.463 4.645 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@H](Oc2ccccc2)C1 444038428 IUIFNXFBEYFYER-KRWDZBQOSA-N 416.452 4.504 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@@H](Cc2ccccc2)C1 444079793 ZGMMTNWRGZHTER-INIZCTEOSA-N 418.443 4.664 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)[C@H](C)c1ccccc1 444095575 HANCNBDTLGWWCQ-UONOGXRCSA-N 406.432 4.882 5 20 HJBD Cc1cc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)ccc1Cl 444322032 XMRNGTPFLVLNAE-UHFFFAOYSA-N 412.823 4.851 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](Cc3ccc(Cl)cc3)c3ccccn3)[nH]c2c1 444679328 MNXPYAFLIIOSED-IBGZPJMESA-N 407.861 4.593 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446081091 ODCIHGLGTCTQPA-NSHDSACASA-N 413.293 4.699 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)cs2)cc1 463134711 LYHGWSRYMXGADD-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)cc(C(F)(F)F)c1 464160657 YREATYWXDCVZBJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 464701833 VBBSFIFJXRSBFV-JTQLQIEISA-N 412.368 4.535 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1 464724111 QCWJKXIWYFFHKE-JOCHJYFZSA-N 417.465 4.657 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 466908227 PRJBYEGXOODFMH-NQIIRXRSSA-N 407.514 4.606 5 20 HJBD C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@H](OC1CCOCC1)c1ccccc1 473511063 SYSIXBLJHKLUJZ-ZHRRBRCNSA-N 407.470 4.880 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1SCc1c(F)cccc1[N+](=O)[O-] 481381098 XRTLJAGZZNLOSJ-UHFFFAOYSA-N 402.451 4.525 5 20 HJBD CCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 482490216 RMDRERQSIKSMHP-ZDUSSCGKSA-N 404.488 4.557 5 20 HJBD COc1ccc(-c2noc(CS[C@@H](C)c3cc([N+](=O)[O-])ccc3C)n2)c(OC)c1 486127908 WSQQYTZEASGFGV-ZDUSSCGKSA-N 415.471 4.965 5 20 HJBD CC(C)(NC(=O)NCc1cccnc1Oc1cccc(F)c1)c1ccccc1[N+](=O)[O-] 487855367 UNWXFRKTXLXKEW-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(C(=O)N(C)CC(C)(C)C)c2)cc1[N+](=O)[O-] 487987831 LMHMNBNGBDUTDJ-INIZCTEOSA-N 411.502 4.512 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc(C)n2C(C)C)cc1OC 488218585 PWLMHVKWOYBMGA-ZDUSSCGKSA-N 408.524 4.801 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1Cc2cc(Br)ccc2C[C@@H]1C 499265463 PLIKLSABAJNAGX-LBPRGKRZSA-N 404.264 4.644 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)CCc3ccccc3)cc2[N+](=O)[O-])n1 502022785 GCJYRRSBQKRHQJ-UHFFFAOYSA-N 413.524 4.826 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(Br)cc1 507626134 LULOBSAGNAVXEZ-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 512093104 UQYBQXHSRNGJJD-UHFFFAOYSA-N 418.406 4.859 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1OC 513209817 LKVZTZUUMNMFCU-CABCVRRESA-N 412.490 4.755 5 20 HJBD Cc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2F)cc(C)c1OCC(F)(F)F 515998984 OJKUBTLSZBCCCC-UHFFFAOYSA-N 414.355 4.564 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2ccccc2n1Cc1ccccc1F 516461323 UAOMSGLGUHZREB-UHFFFAOYSA-N 419.412 4.998 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 521770549 OVNWRKZISMEFDL-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD CCOC(=O)C[C@H](C)S[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 523712132 FWFWTCQYBVMIDP-YWZLYKJASA-N 416.499 4.658 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc2[nH]1 524515519 DREZIPNXNYPSSI-UHFFFAOYSA-N 421.501 4.624 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2ccc(F)cc2)s1 535718757 CQNJXQVQUPUCJV-UHFFFAOYSA-N 418.475 4.541 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 536089381 WZWIUGZJBKUFOF-GFCCVEGCSA-N 405.376 4.857 5 20 HJBD CCCn1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nnc1-c1ccncc1 536297585 YKGCPRASKVMMQF-UHFFFAOYSA-N 422.470 4.606 5 20 HJBD O=C(C[C@@H]1CCCN1Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 536678055 VGKHVIZHWNGQKJ-IBGZPJMESA-N 407.495 4.956 5 20 HJBD CC(C)Oc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 537021620 FLFAIBNGJLXKHJ-KGLIPLIRSA-N 405.882 4.713 5 20 HJBD CCCCOc1ccc(-c2nnc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)o2)cc1 537177260 WSISRZIDRQYWMX-INIZCTEOSA-N 410.474 4.983 5 20 HJBD Cc1c([C@@H](C)N[C@@H](C)c2cc3c(cc2Br)OCCO3)cccc1[N+](=O)[O-] 537991948 RZPRHDDSNSCWFP-OLZOCXBDSA-N 421.291 4.849 5 20 HJBD CC(C)(C)[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(F)cc1F 538224335 WEQJPGBAAUDHMA-GOSISDBHSA-N 402.401 4.800 5 20 HJBD CC(C)(C)c1ccc(C(=O)N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 541632909 MVGRULPGHDUGLN-PXNSSMCTSA-N 400.450 4.682 5 20 HJBD Cc1nc2cc(F)cc(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)c2nc1C 541998042 RXGRHALBRQEMSX-UHFFFAOYSA-N 408.311 4.565 5 20 HJBD COc1cc(Cl)c(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1OC 542057751 GNRPULAKOLBZIQ-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C)c2ncc(-c3ccccc3)o2)c1Br 544394452 JCOKWPFRVDHNCX-LLVKDONJSA-N 403.236 4.889 5 20 HJBD Cc1nccc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)n1 545816497 HVYLQTXNZNLAPF-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD Cc1ccc(O[C@@H](C)c2nc(Cc3ccccc3[N+](=O)[O-])no2)c(Br)c1 546238612 INSUZSZYMOVAEZ-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)N(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)C1 553336121 IANCNUINSAVMHF-ACJLOTCBSA-N 403.276 4.510 5 20 HJBD CCc1nc2ccccc2n1Cc1noc([C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 561916104 RYLNIEHKMCCZFT-INIZCTEOSA-N 405.458 4.675 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Br)c1)c1ccc([N+](=O)[O-])s1 564125537 NKJAVDAUTXXUHC-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD O=C(Nc1cccc(Cl)c1SCC(F)F)c1cc2c(cc1[N+](=O)[O-])OCO2 564369847 NYTGRTYVVGCHKN-UHFFFAOYSA-N 416.789 4.586 5 20 HJBD COc1ccc(CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@@H](C)C2CC2)c(OC)c1 578680283 JEVQOWVCZQNSSQ-AWEZNQCLSA-N 423.469 4.534 5 20 HJBD C[C@H](C(=O)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1cccc([N+](=O)[O-])c1 580610025 JQSKLSFNTIXKPL-MAUKXSAKSA-N 421.522 4.744 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 583044150 ABVJYNPZPQMEPW-LJQANCHMSA-N 411.483 4.950 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2noc3cc(F)ccc23)CC1 589242237 QUARFQKAMQCPKU-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD COc1ccccc1[C@@H](CNc1ccc([N+](=O)[O-])c2cnccc12)N1CCCCC1 603871844 YSESXEPIBCAFFF-JOCHJYFZSA-N 406.486 4.791 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2Cl)n1)NCc1cccc([N+](=O)[O-])c1 604509196 MNHRRBNNPIOYGC-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD COCCN(C(=O)C[C@H](C)CC(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 609009546 AZZGQUUZRYREAW-OAHLLOKOSA-N 405.520 4.770 5 20 HJBD COC1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 609647206 AHDRBPUEIOUXSW-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCCc1ccc(F)cc1 609768642 FYQJZDWEVUTLRN-INIZCTEOSA-N 423.444 4.686 5 20 HJBD COc1ccc(-c2ccc(CNC[C@H]3CCCO[C@@H]3C(C)(C)C)o2)c([N+](=O)[O-])c1 609825915 BHAVINJCPVYVPW-VFNWGFHPSA-N 402.491 4.794 5 20 HJBD Cc1cc([C@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(C)s1 610347803 YZTSMYTUPQCJKM-AWEZNQCLSA-N 403.504 4.587 5 20 HJBD CC(=O)Nc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206434 YQSHEOYYQUNWDN-UHFFFAOYSA-N 413.499 4.518 5 20 HJBD CCOc1cc(NC(=O)c2ccc(COC(C)C)cc2)c([N+](=O)[O-])cc1OCC 619213049 FRZUBIJNOAIIMS-UHFFFAOYSA-N 402.447 4.570 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(N(C)c3ccccc3)c([N+](=O)[O-])c1)N2 726605186 JSQWOTJMOVDWOH-JOCHJYFZSA-N 418.453 4.568 5 20 HJBD CCCCN(Cc1ccccc1Cl)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 726854096 FWJYDBRFOQFOTE-UHFFFAOYSA-N 424.284 4.669 5 20 HJBD O=C(OCc1ccccc1)c1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 727326058 CGSFOSVLSZBWOD-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD O=C(Cc1ccc(OC(F)F)cc1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728167341 UKIVWFHUJRGWNB-UHFFFAOYSA-N 410.804 4.835 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 739578345 YHNLHQYOQKTKJP-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD O=C(OCc1ccc(Cl)c2cccnc12)c1ccc([N+](=O)[O-])cc1Br 740422148 MERSJRIINWXRSQ-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD COc1ccc(SCCC(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1OC 740786383 LSOKQNQEVOZPEX-UHFFFAOYSA-N 411.863 4.662 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746851586 WEJBRDHJEKWSKC-VFVRVIDISA-N 408.376 4.604 5 20 HJBD COc1ccc(NC(=O)N[C@H]2CCOc3c2ccc(Cl)c3Cl)cc1[N+](=O)[O-] 752983722 QZVKYNDGSHELOU-LBPRGKRZSA-N 412.229 4.556 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](SC[C@H]1CCCO1)c1ccccc1 754923395 NBQWMBLREHYUNU-CRAIPNDOSA-N 406.891 4.840 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)c2cccc([N+](=O)[O-])c2Br)c1 760869584 ZDRGLSKWZNEEAB-YVEFUNNKSA-N 419.275 4.589 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)ccc1[N+](=O)[O-] 762339609 XYKKDTQUGMDRJP-UHFFFAOYSA-N 410.411 4.610 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1cc([N+](=O)[O-])ccc1N1CCCC1 762423632 IYUJRCIXTCZLSD-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763487627 GIIOUBVBAVHDRE-UHFFFAOYSA-N 400.381 4.660 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc(C(F)(F)F)c1 784569145 ADDQCSGTFQZZGO-UHFFFAOYSA-N 407.251 4.735 5 20 HJBD CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786345577 QRTMJYDBWNZAFY-CCKFTAQKSA-N 402.447 4.667 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1ccccc1Br)C1CCCC1 787132211 WMBIZDXIQWHNKF-UHFFFAOYSA-N 418.291 4.525 5 20 HJBD C[C@H](CCOC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)OCc1ccccc1 789040701 DAPFQHGYJLFBRJ-OAHLLOKOSA-N 412.467 4.871 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@@H](C)c1cccc(N2CCOC2=O)c1 789367036 STRZMLJSIUOXAZ-INIZCTEOSA-N 413.474 4.532 5 20 HJBD O=C1N/C(=C/c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C(=O)N1c1cccc(Cl)c1 794712383 OVDREZDXWJZSMH-GZTJUZNOSA-N 409.785 4.606 5 20 HJBD C[C@H]1[C@H](c2ccccc2)c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801928989 MOKYZLBBXWQTTO-NPMXOYFQSA-N 419.456 4.535 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(c2noc3cc(F)ccc23)CC1 809869362 QIHONVFUYXBSNV-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-c2cncnc2)cc1F 811084055 HELALOXXIIWSET-UHFFFAOYSA-N 422.199 4.855 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC([C@H](O)c3ccc(F)cc3)CC2)c1 815043516 XBJHYALLKLJLMV-LJQANCHMSA-N 412.383 4.698 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)s1 815213807 GYDWXHUMLGLKKG-SECBINFHSA-N 404.366 4.525 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1noc(-c2ccccc2)n1 815512082 HJEYVPNXKFIQRU-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1[C@@H](O)C(F)(F)F 864044260 YPJWSSLGDFTRRD-CQSZACIVSA-N 405.170 4.565 5 20 HJBD O=[N+]([O-])c1ccc2cnn(CN3CCC[C@@H]3c3cccc(Br)c3)c2c1 917483463 TXPPNEJOVXDWQG-QGZVFWFLSA-N 401.264 4.502 5 20 HJBD CC(C)(C)C(=O)N1CCC[C@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 920720803 QWBLQXUNUYCGNE-KRWDZBQOSA-N 404.510 4.716 5 20 HJBD Cc1cc(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)cc([N+](=O)[O-])c1 920902461 HXQZDUDRUCONQA-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116664240 AMMARDVUTZZEPL-UHFFFAOYSA-N 424.844 4.770 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCC(=O)c3cccs3)cc2[N+](=O)[O-])n1 1317706075 MPJGXWIGCIPKDG-UHFFFAOYSA-N 420.493 4.612 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1csc(COc3ccc(Cl)cc3)n1)C2 1319948494 MHSRJYVLSLEUCW-UHFFFAOYSA-N 401.875 4.800 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2nc3c(s2)CCCC3)no1 1320490270 VXZIPWNVIHWEDO-JTQLQIEISA-N 420.878 4.697 5 20 HJBD Cc1cc(Cl)c(NS(=O)(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c2cccnc12 1323053870 VPPALNMYSSQPHU-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1csc(-c2ccco2)n1 1518323540 RGWBPOZSPAXMPZ-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD C[C@H](OC(=O)C[C@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 8754379 NXIIACFLOCGZSI-STQMWFEESA-N 424.375 4.678 5 20 HJBD C[C@@H]1CCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc2S1 17525648 QAJYOUVJZIVIBV-MRXNPFEDSA-N 411.527 4.729 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1SCc1ccc(F)cc1 42390398 NSLMMCNRFLHIDW-UHFFFAOYSA-N 413.430 4.682 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2cccc(C)c2)cc1[N+](=O)[O-] 56001867 AHCCOLSXAWCTNN-GOSISDBHSA-N 400.500 4.509 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cn(Cc2ccccc2)nc1-c1cccs1 57561809 REHPUFQTHZUFAY-UHFFFAOYSA-N 404.451 4.820 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c1C 71965912 CXPDYOXEVOVVMZ-UHFFFAOYSA-N 403.398 4.528 5 20 HJBD COc1ccc(COc2ccc([N+](=O)[O-])cc2Cl)c(Br)c1OC 71971005 XZACGUSARJNNRP-UHFFFAOYSA-N 402.628 4.607 5 20 HJBD CC(C)[C@H](C)N(CC(F)(F)F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303321974 METWNJJNEAPYNY-HNNXBMFYSA-N 404.432 4.590 5 20 HJBD CCc1cccc2c(CCNC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c[nH]c12 426342969 BEAQPYVUCACQLW-UHFFFAOYSA-N 406.486 4.555 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1CCO)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 428818171 OVEIKYIMAPHOIM-FZKQIMNGSA-N 420.918 4.680 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1ccc(Br)cc1[N+](=O)[O-] 430623889 NVIREKSYDUDHCR-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437278830 WCKWKMSXAWBXSG-MRXNPFEDSA-N 420.347 4.594 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444284487 GOSHXGVKSNHQPR-PSLXWICFSA-N 420.896 4.841 5 20 HJBD O=C(Cc1noc(/C=C/c2ccccc2[N+](=O)[O-])n1)Nc1ccc(Cl)cc1Cl 445376950 XVJNYEXFXDMNSW-VMPITWQZSA-N 419.224 4.636 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 445693526 XDUFIBDLTPARTP-ZDUSSCGKSA-N 421.291 4.564 5 20 HJBD C[C@@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1Cl 447318883 MMFSSJZARKDHOJ-LLVKDONJSA-N 410.257 4.550 5 20 HJBD CCSCc1ccccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 447521723 GGFHGOQOGUMBIU-UHFFFAOYSA-N 400.500 4.532 5 20 HJBD C[C@@H](C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1F 462373341 VXDVGVBLKNQTFD-UXIGCNINSA-N 421.266 4.662 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)n2)cc1 462619373 DJPLGMSJRIEXIK-CRAIPNDOSA-N 422.485 4.653 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COCC(F)(F)F)cc2)cc1OC(F)F 462929530 REFNCTUCPDQREI-UHFFFAOYSA-N 406.307 4.887 5 20 HJBD Cc1cccc(Br)c1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 464297488 INXZCILRBGJQJB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 466850885 FEDYARSPFCXXIL-OAHLLOKOSA-N 415.515 4.631 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 470903722 LPZHZFVLCUWQPM-LJQANCHMSA-N 418.449 4.914 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cc(OC)c(OC)cc1C 480578393 GPEFSODTKAIEOV-ZDUSSCGKSA-N 404.488 4.523 5 20 HJBD C[C@H](NC(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccccc1[N+](=O)[O-] 481957295 FVFVTNUBEVNETN-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD COc1ccc([C@@H](CCO)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 482554967 UJBFOCZRKSGXFK-HXUWFJFHSA-N 416.861 4.730 5 20 HJBD CCOc1cc(CSCc2nc(Cc3cccc(F)c3)no2)ccc1[N+](=O)[O-] 485573408 CNMXYTAAEMKYLZ-UHFFFAOYSA-N 403.435 4.540 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OC(F)F)c3ncccc23)c1[N+](=O)[O-] 486240028 ODSNISRXDDRUKN-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD COc1ccc([C@@H](Nc2ncccc2[N+](=O)[O-])c2ccccc2)cc1Br 488040028 DWGZWTKDIKPIBC-SFHVURJKSA-N 414.259 4.962 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@@H]1c1ccc(C(F)(F)F)cc1 491999849 MYJSBPWIDWQIRG-SUMWQHHRSA-N 400.784 4.575 5 20 HJBD CCc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnn1CCC(C)C 494903991 WJUZLZYNYVMKJA-UHFFFAOYSA-N 401.511 4.842 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(C(F)(F)F)c1 497877237 RRNAJIPZASYNQE-UHFFFAOYSA-N 416.783 4.984 5 20 HJBD CN(C)c1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 498535303 FGKMFKPBDIPJJT-UHFFFAOYSA-N 407.495 4.742 5 20 HJBD CC(C)Oc1cc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)ccn1 502873739 BSEQIGKYCUWINF-UHFFFAOYSA-N 404.854 4.627 5 20 HJBD CC[C@@H](C)n1c(SCC(=O)Nc2ccccc2[N+](=O)[O-])nnc1-c1ccccc1 504314611 OXWBQPGLAJIYOW-CQSZACIVSA-N 411.487 4.555 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccccc1C(C)C)c1ccccc1[N+](=O)[O-] 515169967 IKYNZEKPGXPBJB-IBGZPJMESA-N 413.474 4.923 5 20 HJBD O=C(c1cc(NCCc2cccc(Cl)c2Cl)ccc1[N+](=O)[O-])N1CCCC1 515607217 IKMJEHYYRCYKOK-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccc(F)cc2)n[nH]1 517313431 OPKGHKZVBZCPJD-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc([S@@](C)=O)c2)cc1[N+](=O)[O-] 517673520 VNENCLLBTYEAAG-HHHXNRCGSA-N 406.529 4.723 5 20 HJBD Cc1nc(COc2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc2)no1 521717026 QIQHAENSBRVNMJ-UHFFFAOYSA-N 410.433 4.911 5 20 HJBD COc1ccccc1CS[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 522848516 JOORXXDTCFXRPX-LLVKDONJSA-N 414.405 4.883 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 523823844 ZEXBAXIXVJDPMP-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD CCCOc1ccccc1[C@@H](CC(C)C)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 531302410 NNNDFRUJQSSQOM-QGZVFWFLSA-N 414.458 4.630 5 20 HJBD CCN(CC)C(=O)c1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1 534146849 CCCVSCURAAIJBT-UHFFFAOYSA-N 404.470 4.751 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)NCCc1cccc([N+](=O)[O-])c1 536286802 WLRXYFTVYGSAPI-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD Cc1c(NC(=O)CCNC(C)(C)c2ccccc2Br)cccc1[N+](=O)[O-] 537124970 PRZRLTLUEMNMBW-UHFFFAOYSA-N 420.307 4.519 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC)ccc21 538047924 SBSIUHNTLNIVSV-UHFFFAOYSA-N 413.499 4.648 5 20 HJBD C[C@@H](NCc1ncc(-c2ccccc2Br)o1)c1cccc([N+](=O)[O-])c1 540380518 UPUZPMAMZKTYAT-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD CC(=O)CCc1ccc(OCCC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 543853921 PRCYVORHSCBRKI-UHFFFAOYSA-N 424.375 4.543 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)C[C@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F 544444879 XVLQWSUJUKHFTH-GJZGRUSLSA-N 411.433 4.771 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4cccc5[nH]ccc45)no3)cc2)c(C)c1[N+](=O)[O-] 544945429 VIWRHIBTYAZGAY-UHFFFAOYSA-N 414.425 4.655 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(Cc3ccc(Cl)c(Cl)c3)no2)c1 546237826 YEDMGNDDMGRROV-UHFFFAOYSA-N 403.225 4.878 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)Nc2cccc([N+](=O)[O-])c2C)c(OC)c1 549157173 XKSLKUXLYCEZNZ-UHFFFAOYSA-N 413.474 4.755 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 549544967 RYXBKFJDGZFPMS-AWEZNQCLSA-N 410.270 4.592 5 20 HJBD CN(C)C(=O)C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 549550216 ICTHFNIMFKMUGF-IBGZPJMESA-N 409.511 4.633 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c(C(F)(F)F)c1 550459602 VSFWOHVNRIDFJL-UHFFFAOYSA-N 422.281 4.571 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc(-c2cn3ccccc3n2)cc1)c1cccc([N+](=O)[O-])c1 552062400 WFWZBQIZTPUOGC-QHCPKHFHSA-N 424.464 4.845 5 20 HJBD CC(C)(CO)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1cccnc1 556926598 HKPSLGKMJBMRDJ-HXUWFJFHSA-N 415.877 4.753 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1OCCc2sccc21 558078771 NTZBYGCXCKUAHB-XIKOKIGWSA-N 406.529 4.591 5 20 HJBD O=[N+]([O-])c1cnc(NC2(Cc3ccc(F)cc3Cl)CCOCC2)c(Cl)c1 558982495 QEOZGKOWOHGKKI-UHFFFAOYSA-N 400.237 4.640 5 20 HJBD CC(C)OC1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 562454982 JEENOETXARPCCM-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD Cc1c(Cc2noc(-c3cccnc3OCc3ccccc3F)n2)cccc1[N+](=O)[O-] 576621939 PUIBVOIQWLXTAK-UHFFFAOYSA-N 420.400 4.657 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3noc4cc(F)ccc34)CC2)cc1OC(F)F 580865896 WHSKPDRIVDFFFT-UHFFFAOYSA-N 407.348 4.861 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc2c(F)cc(Br)cc2[nH]1 590550131 MOCPGOIUWOIJBC-UHFFFAOYSA-N 406.211 4.847 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3cc(C)oc3C)nc3onc(C)c23)c([N+](=O)[O-])c1 591335569 JRAHLQWWRBTEIY-UHFFFAOYSA-N 422.397 4.577 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCCCCOC1CCCCC1 599902625 NUJHGNUNHNLSDH-UHFFFAOYSA-N 420.553 4.791 5 20 HJBD Cc1c(C(=O)N2c3ccccc3CC[C@H]2C(=O)Nc2ccccc2)cccc1[N+](=O)[O-] 608799561 SMSCZCLMCXKVQS-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD CSc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 608901309 XCULLWCZAZCHEG-CYBMUJFWSA-N 409.471 4.532 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(CCC4CCCCC4)o3)o2)cc1 608929729 MWNNRRJOVJYNFB-UHFFFAOYSA-N 415.475 4.833 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1NCC(F)(F)F 609730696 JFDDRBWFSRONTI-UHFFFAOYSA-N 417.771 4.630 5 20 HJBD Cc1nc(NC(=O)[C@@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)sc1C 609769628 UXZPGKQUHSHXPP-CYBMUJFWSA-N 412.471 4.900 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1Cl 609815466 LLICTAYLSDVQPK-UHFFFAOYSA-N 402.813 4.628 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(c2ccccc2F)CC1 609864112 HZGXHOFKTOCBQJ-CYBMUJFWSA-N 406.841 4.561 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](Cn3cccn3)c3ccccc3)o2)c([N+](=O)[O-])c1 610162179 DUIHIPJVSLGSQU-OAQYLSRUSA-N 418.453 4.591 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 611182226 RMUOGAJPEQCMGK-OAQYLSRUSA-N 422.529 4.664 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3noc4c3CCCC4)cc2o1 736296268 ANNKRNKTKFWHPR-UHFFFAOYSA-N 418.405 4.814 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2Cl)cc1 739657289 NRENNAMJRXQDEH-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CC(C)C[C@H](C(=O)OC[C@H]1CCCN1C(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 741116756 WQEAKZIRCRAAEK-CTNGQTDRSA-N 424.497 4.573 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 745352484 ZJIFWCXASXAESX-DLBZAZTESA-N 424.236 4.615 5 20 HJBD O=C(CCCc1nc(-c2ccc(F)cc2)no1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746065555 OXHTZERHLNZDML-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD Cc1ncsc1CCOc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 748094943 HQKHJTCAAKLJBN-UHFFFAOYSA-N 408.435 4.755 5 20 HJBD COc1cc(C(=O)Nc2cc(C(C)C)c(O)cc2C)c([N+](=O)[O-])cc1OC(F)F 748130759 YMTYWEBUEMXUPF-UHFFFAOYSA-N 410.373 4.595 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 753827578 WYFSKSXZLVLKCZ-ZIAGYGMSSA-N 403.276 4.684 5 20 HJBD CCOc1cc(CNc2ccc(OC)c(NC(=O)OC(C)(C)C)c2)ccc1[N+](=O)[O-] 754884009 AKMZWERMCZIBDW-UHFFFAOYSA-N 417.462 4.961 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2cn(-c3ccccc3)nc2-c2cccnc2)c1 756900224 KAUVVVBJLVVHDA-UHFFFAOYSA-N 419.872 4.786 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1Br 758259613 YXJVYKRKEBVCMX-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD CC[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)s1 758361978 MUWBQRVLWZWSLV-SECBINFHSA-N 403.685 4.953 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3ccc(-n4cncn4)c([N+](=O)[O-])c3)n2)cc1 762348975 SZOXJDNAXMOXNS-UHFFFAOYSA-N 422.470 4.783 5 20 HJBD Cc1c(C(=O)N2CCCC[C@@H]2c2nc3ccccc3o2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 762430702 NKNBGCFUSKLLPH-MRXNPFEDSA-N 424.413 4.628 5 20 HJBD CCCN(CC(=O)Nc1ccccc1C)Cc1c(Br)cccc1[N+](=O)[O-] 768168926 ABLZJBSFIAQDME-UHFFFAOYSA-N 420.307 4.516 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])c(Cl)c1 768691719 XUXJDJYIDXUGJU-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2cc(F)ccc2[N+](=O)[O-])nc2ccccc21 770885666 OUVSHRKPPZJIAR-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD O=C(NC[C@@H]1CCC=CO1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 781068254 JZWVLIIUVUFUQW-AWEZNQCLSA-N 404.875 4.822 5 20 HJBD CSc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1Cl 782172770 UDZUVTQMAJWNBQ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cc([N+](=O)[O-])cc(C)c3F)c2)cc1 788287417 GAQWSVCYNSCSJN-UHFFFAOYSA-N 421.428 4.533 5 20 HJBD Cc1ccc(C(=O)c2ccsc2NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 791029005 RRBDGIIMBCAFMP-UHFFFAOYSA-N 402.378 4.726 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1c1cccc(C(F)(F)F)c1 801951140 BEVKBQDLJYXPDL-INIZCTEOSA-N 411.355 4.702 5 20 HJBD COc1ccc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC(F)F 809913225 AEVMVWFQWKREBK-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2c(c1)CCCCC2=O 810589125 BMRGIBZBFVRLBP-UHFFFAOYSA-N 406.394 4.516 5 20 HJBD C[C@@H](Oc1ccc(F)c(F)c1)C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218146 OTFDDHAWVMIQPM-LLVKDONJSA-N 420.393 4.507 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@H](O)c1ccccc1C(F)(F)F 811476788 RAOCPMACPQQDKS-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CC(C)(O)c1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 811615397 PJQHBLOGFSSITI-UHFFFAOYSA-N 407.517 4.566 5 20 HJBD C[C@@H](N[C@@H](c1cc(F)cc(Br)c1)C1CC1)c1ccc(N)c([N+](=O)[O-])c1 815617045 DDEAZSZPBBHLGW-MLCYQJTMSA-N 408.271 4.881 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 829073455 WZPWPZZCCGEEJM-WYDVEAGSSA-N 415.254 4.547 5 20 HJBD CC(C)[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 915384604 BROLQYMEFAXPSZ-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD COc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 916429270 PKRMTQNGBDEDPF-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N(CC(F)(F)F)c2ccc(Cl)cc2)c1 1115820116 KCQYQXBQVLWIET-UHFFFAOYSA-N 402.756 4.531 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 1263378193 YKUWGDSYGWRLAG-IAGOWNOFSA-N 424.457 4.910 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCO[C@@H](c2ccc(Cl)s2)C1 1319451899 LBCPZOIFJHRTAQ-GFCCVEGCSA-N 420.796 4.542 5 20 HJBD C/C=C(/C)C(=O)Nc1ccc(C2(NC(=O)CCc3cccc([N+](=O)[O-])c3)CCC2)cc1 1791222200 IHSLXEWAKQXNIH-YPEHOIGNSA-N 421.497 4.628 5 20 HJBD Cc1c(C(=O)O[C@@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cccc1[N+](=O)[O-] 5779365 UDIFVTHGFKPOQF-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD O=C(CN(Cc1ccco1)Cc1cccs1)Nc1ccc(Cl)cc1[N+](=O)[O-] 7580578 VGGZSHHDJDIBAP-UHFFFAOYSA-N 405.863 4.544 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H](C)c1nc2ccccc2s1 8347392 JYTLPFGARFQAAQ-CHWSQXEVSA-N 401.513 4.905 5 20 HJBD COc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C)oc1ccccc12 15081162 NIQJIIBINLTHPY-UHFFFAOYSA-N 405.410 4.821 5 20 HJBD O=[N+]([O-])c1cccc2ccc(/C=C\c3cc4ccccc4nc3N3CCOCC3)nc12 33463001 OEODCIAYIPVOSL-LUAWRHEFSA-N 412.449 4.698 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@H](c2nc3ccccc3o2)C1 42132445 AZBJJCOKDIYLSU-HOCLYGCPSA-N 419.441 4.727 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 63888952 RRDBJALOWMVJLC-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)CCNc1ccccc1[N+](=O)[O-] 110641431 SCLXERDOIKYFFT-UHFFFAOYSA-N 409.446 4.517 5 20 HJBD O=C(CCCNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)Nc1ccc(Cl)cc1 117489191 BZFGIUDCRLYCAO-UHFFFAOYSA-N 417.874 4.612 5 20 HJBD CCN(CC1CC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 261479073 TURDPOGHNOCWFB-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)CCCCC1 303448637 HSJCIEWOILUQOW-UHFFFAOYSA-N 419.481 4.789 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 303588869 HBYGOKBEYNGHOO-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OCC(F)(F)F)c(Cl)c1 410421516 JNCVQASQWCPXGA-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD CCc1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cnn1CCC(C)C 427404203 AIVKMTNUULMZIL-UHFFFAOYSA-N 416.525 4.598 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(OCc3ccncc3)cc2)cc1[N+](=O)[O-] 429085037 PVTKHBHMIMUJBN-INIZCTEOSA-N 406.442 4.760 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1NCc1ccco1 429494305 SFECAMRGXJSEMS-UHFFFAOYSA-N 419.359 4.749 5 20 HJBD Cc1cc(OCC(=O)Nc2ccccc2CN(C)c2ccccc2)ccc1[N+](=O)[O-] 430833807 TYBUDDBKUKIHTB-UHFFFAOYSA-N 405.454 4.557 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)s2)cc1OC 435645130 JUAMIKJKYHRODD-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COc1cccc(C(=O)NCCSCc2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 436043146 IZBGWSYXTNFUCP-UHFFFAOYSA-N 415.298 4.573 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)ccc1[N+](=O)[O-] 436296589 VEAJCTFVIVPUJT-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD CN(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C(F)(F)F)c1 437272865 KCPGJPGYGGXKRU-UHFFFAOYSA-N 421.297 4.951 5 20 HJBD O=C(Cc1ccc(-n2cccn2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440610108 LQXXJXQLNCHSCJ-UHFFFAOYSA-N 412.449 4.556 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccc(OCc2ccccn2)cc1 443960736 PORCDFSLGNEWFL-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2c(Cl)cccc21 444228085 QOTYXNXZRAESBQ-UHFFFAOYSA-N 410.807 4.816 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@@H](c2c(F)cccc2F)C1 445209203 DQCCMXGPSPJZMM-CQSZACIVSA-N 404.416 4.589 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 445627675 HHZQHLUIUBEHFM-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD CCCN(CC)Cc1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1 460345017 ANYBXGPTTJJPNW-QFIPXVFZSA-N 413.543 4.715 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 462759134 WZYCHZYZVVTNJY-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1CC(=O)c1ccco1 462772675 BXSYDAUNBWGOOT-QUCCMNQESA-N 412.486 4.801 5 20 HJBD COc1cc(CSc2nnc(-c3cccs3)n2C2CC2)c([N+](=O)[O-])cc1F 463394017 PTQCNEAROWKZAI-UHFFFAOYSA-N 406.464 4.690 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463426466 AQLYPGUKUXFCJF-UHFFFAOYSA-N 404.797 4.789 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 464701834 VBBSFIFJXRSBFV-SNVBAGLBSA-N 412.368 4.535 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1ccc([N+](=O)[O-])cc1Cl 465069390 HOXFVTLNZACUAG-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)c1cnc(-c2ccc(NC(=O)N3CC(Oc4ccc([N+](=O)[O-])cc4)C3)cc2)o1 470356507 INHSRJCSIDFSER-UHFFFAOYSA-N 422.441 4.668 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccco3)cc2F)cc1OC 470517938 DFLKPDMVKMMWMD-UHFFFAOYSA-N 400.362 4.654 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n(C)n1 470783435 CIYRDIMETFIYBJ-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 474696235 GACWPGRXYWSYGR-UHFFFAOYSA-N 422.441 4.999 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCc1sc(-c2ccccc2)nc1C 477613748 BWWBTDGOBVCKOA-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1 478040964 NUYAFOHGYDDAQU-CQSZACIVSA-N 415.393 4.588 5 20 HJBD COc1ccccc1-c1noc([C@H](C)N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])n1 483639294 UGOBLVJCULUBTQ-BXWFABGCSA-N 422.485 4.715 5 20 HJBD CCn1nc(C)c(CC(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)c1C 483959540 SIPPUPHVLIPJOJ-UHFFFAOYSA-N 416.528 4.822 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(N(C)C)cc2C(F)(F)F)cc1[N+](=O)[O-] 492957059 GPFNZJMXZYVIGF-GFCCVEGCSA-N 410.396 4.871 5 20 HJBD CCc1ccc([C@H](Nc2cccc(N3CCNC3=O)c2C)c2ccc([N+](=O)[O-])cc2)o1 494473880 LFUBSIOCMBMMBJ-JOCHJYFZSA-N 420.469 4.790 5 20 HJBD O=C(O)C/C(=C\c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2o1 497553575 QCLSFTKLKBINDI-MDWZMJQESA-N 407.407 4.875 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)c1csc([N+](=O)[O-])c1 499253132 ZFWDHDDXMVTDLF-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500615914 NLHCXGVKCYOVBJ-IAGOWNOFSA-N 413.271 4.818 5 20 HJBD C[C@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccccc1[N+](=O)[O-] 504416695 SNNVTLPVNPVMFI-YWZLYKJASA-N 424.888 4.544 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(C)cc([N+](=O)[O-])c3)s2)cc1 505139217 BUBVQOGKNCAEJY-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@H]2c2cccnc2)cc1[N+](=O)[O-])c1ccccn1 506068565 ACQZKWUIBBNAMZ-KKSFZXQISA-N 417.469 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccc(C(F)(F)F)cc3)C3CC3)n2)c1 506105564 IUIFSAKSFJGLGB-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD Cc1ccc2c(c1)CC[C@@H]2NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 510305409 QXYQLVPAWMEXLI-HNNXBMFYSA-N 410.417 4.818 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1Cl 514751552 LDBJEAIIOVQPSO-UHFFFAOYSA-N 402.756 4.856 5 20 HJBD COc1ccc(-c2nc(-c3ccccc3OCc3ccccc3)no2)cc1[N+](=O)[O-] 518877778 WMMQRHYRUIHBIR-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(COc2ccccc2)cc1 520245093 MWXWPBNHTKFGEG-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1cccc2cccnc12 521729509 SOBLDTHRITZNPN-UHFFFAOYSA-N 421.400 4.570 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@@H](C)c1ccccc1-c1ccc(Cl)cc1 523504284 OKXODXPWAKPJOQ-AWEZNQCLSA-N 412.877 4.688 5 20 HJBD CC(=O)N(c1nc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cs1)C1CC1 523708323 XIAMENVTINUGSX-UDWIEESQSA-N 406.467 4.551 5 20 HJBD COc1cc(CN(CCc2ccc(F)cc2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 523995946 MQECNSOVKJEZAU-UHFFFAOYSA-N 410.392 4.551 5 20 HJBD Cc1cc(N2CCC(OCC3CCCCC3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 524685649 ISVTVHILRBTTTK-UHFFFAOYSA-N 410.518 4.926 5 20 HJBD CCCc1c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)nnn1-c1cccc(C(C)C)c1 525025762 VXWPQHIUJZJIDM-UHFFFAOYSA-N 411.437 4.643 5 20 HJBD CCO[C@@H](C)c1nc(COc2c(C)cc(Br)cc2[N+](=O)[O-])cs1 531004788 WAEJGFJMEXGDHV-JTQLQIEISA-N 401.282 4.799 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1 537213146 UWEYPHGGZFXHKB-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(F)c1 541284625 KLGODSSQHOZLHP-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 541514890 YKHQJLMEQFBORV-SXGZJXTBSA-N 400.500 4.694 5 20 HJBD CCOC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cn1 543008057 UQXHWAREVWXDKE-UHFFFAOYSA-N 423.450 4.570 5 20 HJBD Cc1ccc(-c2noc(Cc3ccc(NC(=O)CC(C)(C)C)cc3)n2)cc1[N+](=O)[O-] 546278169 MJFAPXAOOSEYHK-UHFFFAOYSA-N 408.458 4.919 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(-c3nc(Cc4nc5cc(Cl)ccc5s4)no3)c2c1 547011683 VDDODNQNYABGEU-UHFFFAOYSA-N 411.830 4.980 5 20 HJBD CCCCCn1c(Sc2ccc([N+](=O)[O-])nc2)nc2sc3c(c2c1=O)CCC3 551319354 PSYPZOKAVXDTJF-UHFFFAOYSA-N 416.528 4.591 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1OCCc2sccc21 552264415 IOCVUPZCQLSELI-KPZWWZAWSA-N 406.529 4.589 5 20 HJBD COc1cccc2c(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c(C)cnc12 556455808 HNDKCNRKTPAEDE-UHFFFAOYSA-N 405.332 4.731 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H]2[C@@H](CCCN2Cc2ccccc2)C1 558510755 FWGLCFLBDKEWLQ-REWPJTCUSA-N 422.529 4.676 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 564442508 KWUCOYOKHYYNFX-GFCCVEGCSA-N 413.409 4.537 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 569523435 BWIFEITVTRWSRG-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3nc(C(F)F)sc3c2)c([N+](=O)[O-])cc1OC 577879185 OPKQPDLUGSPCCR-UHFFFAOYSA-N 423.397 4.802 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3ccc(OC(F)F)cc3)no2)c1 590265057 PTARVTIXBYHFEC-UHFFFAOYSA-N 415.274 4.856 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 603738271 SPQWDXAOLMKWGN-UWVGGRQHSA-N 424.297 4.980 5 20 HJBD CC(C)(C)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)s1 604021009 HGFVUYGAQGYBQX-UHFFFAOYSA-N 411.487 4.608 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 604258188 VCSAIXAKFIYEEK-NXEZZACHSA-N 416.343 4.520 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] 609219034 CLQDNCZVEHCQSK-QLJPJBMISA-N 407.289 4.570 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ncc(Cc2ccccc2F)s1 609783957 DJJMJQHUNWSQIV-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)o1 609975666 QVDGDLHTVZOBQR-HXUWFJFHSA-N 420.469 4.524 5 20 HJBD C[C@]1(c2ccccc2)C[C@@H]1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610191417 YTPMVTWBSCDQBG-FUHWJXTLSA-N 410.417 4.552 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2ccccc2)s1 611397688 HMNPTIRFMLEFMJ-UHFFFAOYSA-N 414.512 4.790 5 20 HJBD O=[N+]([O-])c1ccc(Sc2ccc(-c3nc(COCC(F)(F)F)no3)cc2)cc1 612873961 AIORNDGGCMEJAT-UHFFFAOYSA-N 411.361 4.875 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@H](OC1CCCC1)c1ccccc1 729529260 OZOGLDQJCJZLQZ-OAQYLSRUSA-N 406.442 4.624 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC([C@H](C)NC(=O)OC(C)(C)C)CC1 730267966 VKSCFQHEKNWSSO-INIZCTEOSA-N 421.538 4.507 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] 730643267 JHTQWOQNTDXKGV-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 731548396 IECXHCMCEQOOSJ-CQSZACIVSA-N 408.385 4.904 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 739714224 BREKZJOMHMMBDL-UHFFFAOYSA-N 417.437 4.997 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])c1nc(Cl)c(Cl)c(Cl)c1Cl 742007197 DZRURICLHVHDAK-UHFFFAOYSA-N 409.056 4.576 5 20 HJBD COc1cc(CNC[C@H](C)c2cccc(F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 748819376 GIHOCIDFCHFOKE-LBPRGKRZSA-N 416.371 4.577 5 20 HJBD O=C(Nc1cccc(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1)c1ccccc1 750427237 RGVCHEOEJRRZCH-UHFFFAOYSA-N 415.405 4.685 5 20 HJBD CN(C)Cc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 750654094 UIZYVSMFOPMFJK-UHFFFAOYSA-N 419.481 4.721 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1cc(F)ccc1[N+](=O)[O-] 751345328 VFTQZRFOTANKDF-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(Cc1ccccc1)Cc1ccco1 753788549 BJNVACJDXWLGAQ-UHFFFAOYSA-N 415.243 4.793 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCSC[C@H]1c1ccccc1 755027820 BSDPPGQHPIEBBF-INIZCTEOSA-N 422.304 4.679 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@H](c2ccccc2F)C1 755029045 KMQMBDXKTAXWRS-NSHDSACASA-N 408.227 4.518 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 760069837 HWHVDCRQVYLWCL-IBWPDUFMSA-N 416.909 4.786 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@@H](c3ccccc3)c3ccccc32)cc1[N+](=O)[O-] 767143853 ZVXWOTQVFMRJTO-SFHVURJKSA-N 403.394 4.604 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(OCc2ccccc2)CC1 768214439 LQUPPIZESSLTDT-UHFFFAOYSA-N 405.292 4.539 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Br)Nc1ccc(Cl)cc1Cl 786744802 XULNPLOSYRHFQX-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1CCC(O)(c2cccc(OC(F)(F)F)c2)CC1 790080100 PPWFRGDEUOBKTO-OAHLLOKOSA-N 424.419 4.846 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)c1cc(C2CC2)cnc1Cl 796173166 VLUKZQZCWLTWTI-UHFFFAOYSA-N 411.639 4.640 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc(N2CCOC2=O)cc1 797434775 YCDSRRJZLYKFKI-CQSZACIVSA-N 422.416 4.926 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCc3ccc(N(C)C)cc3)n2)cc1[N+](=O)[O-] 800592676 XNXOWXANIRXBNK-UHFFFAOYSA-N 410.499 4.664 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 813292354 PTRDVEVOZYHVGP-UHFFFAOYSA-N 421.409 4.897 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])Nc1ccc(N2CCCCCC2)cc1 814775831 YWDGSDILWCBGFW-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c(C)c1 815511532 NJQBMJAITYRKPO-ZDUSSCGKSA-N 424.375 4.723 5 20 HJBD C[C@H](CCCCNC(=O)OC(C)(C)C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 816795414 CWOIENCAYJHQPF-CYBMUJFWSA-N 421.519 4.623 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1Oc1ccccc1 914798797 LTQDMWYQLXNXDH-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=S)Nc3ccc([N+](=O)[O-])cc3)ccn2)cc1 917589814 RMJBHHBVVMTBSG-UHFFFAOYSA-N 424.482 4.667 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)ccc1F 918855697 ILLUHKSUZHGORB-JTQLQIEISA-N 405.769 4.664 5 20 HJBD COc1ccc(COC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 918894708 QUWMQEAZQQLRKK-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1cccs1 920993203 XINCUKWARGIRAA-LJQANCHMSA-N 420.849 4.733 5 20 HJBD CC(C)Oc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cn1 1251723948 FQMZFIVLHOYWCZ-UHFFFAOYSA-N 401.850 4.980 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H]4OCCC[C@H]4C3)cc2[N+](=O)[O-])cc1 1253208102 NDKFSEDVNWZPCR-FXAWDEMLSA-N 412.511 4.696 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cccc(-n4nccc4C(F)(F)F)c3)o2)c1 1349933354 BTUHYZWMBYMUDD-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD C[C@H](OC(=O)Cc1cccc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 5456141 VSFUUNCENNYJDN-AWEZNQCLSA-N 403.394 4.645 5 20 HJBD C[C@H](Sc1nc2ccccc2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5628835 XUUGZCDKHPUCBE-VIFPVBQESA-N 411.361 4.874 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(OC(=O)c3cc([N+](=O)[O-])c[nH]3)cc2)cc1 8330766 RQLKKGMMXGDPMS-UHFFFAOYSA-N 407.426 4.692 5 20 HJBD O=C1C[C@@H](C(=O)OCc2nc3ccccc3c3ccccc23)c2ccc(F)cc2N1 12656659 JLYXJVRZPFOYGD-LJQANCHMSA-N 400.409 4.696 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 16010997 WURDAHVCHSPKLT-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n2C)cc1 17728504 DAQJSZNMGROKNC-ZDUSSCGKSA-N 422.470 4.602 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1c(Cl)ccc(Cl)c1Cl 20888726 XNDHPOURYGFTIU-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 45537166 LDIGUJSYAKUBCM-QRWLVFNGSA-N 400.500 4.838 5 20 HJBD Cc1cc(OCC(=O)N2CCc3sccc3[C@@H]2c2cccs2)ccc1[N+](=O)[O-] 53853928 OJJIRIAIPNCQOC-HXUWFJFHSA-N 414.508 4.579 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 65498163 BBJXXTGRSZFKRL-UHFFFAOYSA-N 420.469 4.509 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1OCCc1ccccc1 65732867 BUYSBWOVNUUVSI-UHFFFAOYSA-N 415.449 4.810 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 104956352 INIJSSOYRRAHFG-HXUWFJFHSA-N 415.449 4.647 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 303948844 SPPYGOQKRFMMMA-AWEZNQCLSA-N 411.483 4.651 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc([N+](=O)[O-])cc1F 410047822 RXLUMGIOJJEXNK-QFIPXVFZSA-N 406.413 4.578 5 20 HJBD CCCn1nccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 410278177 HFGCPCPFZRNYNA-UHFFFAOYSA-N 416.547 4.722 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1 410392262 XUXFUIGVXKZPHO-UHFFFAOYSA-N 400.328 4.853 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccccc1)CC(F)F 426276433 JOBBFCLEGFPGGQ-UHFFFAOYSA-N 417.334 4.591 5 20 HJBD CCCCCc1nnc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)s1 436150838 KTTIYRBROOUWPA-UHFFFAOYSA-N 410.474 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccc(C)cc1)Cc1ccco1 437689263 APNDXGHWVKRATL-UHFFFAOYSA-N 409.442 4.782 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ccc2c(n1)CCCC2 447691385 QTMKUTVRTCGLSB-UHFFFAOYSA-N 402.323 4.530 5 20 HJBD CC(C)Sc1c(Cl)cccc1NCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 462241789 BPRKBEXTATYZBB-UHFFFAOYSA-N 423.878 4.528 5 20 HJBD COc1ccc(C2CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)cc1 467609766 BQWMEVZHWXFVPD-UHFFFAOYSA-N 421.400 4.616 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(N3CCCC[C@H]3C)cc2C)cc1[N+](=O)[O-] 468331288 ZXMUKALINMMOHW-MRXNPFEDSA-N 412.490 4.612 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCCSc2ccc(F)cc21 471232361 WTBKHZGXRSAXAV-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 480418208 KGJSUQJVFCDSNG-CYBMUJFWSA-N 422.494 4.840 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cc3ccncc3)cc2)cc1SC 482028827 ZVQPCECGGAXBPN-UHFFFAOYSA-N 409.467 4.563 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(NC(=O)NC2CC2)cc1 483235804 GRZFJBYIRDNBMB-CQSZACIVSA-N 424.501 4.667 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC)cc1C(F)(F)F 486016064 JQUPGCDWSSQCSM-UHFFFAOYSA-N 414.405 4.664 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(C(F)(F)F)cs1 489507378 DJAPFIQCAAUGQW-UHFFFAOYSA-N 419.450 4.748 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 489661305 QEJZHVKFJUTNLU-NHCUHLMSSA-N 407.514 4.654 5 20 HJBD CC(C)(C)[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 490842045 KKYNWYBGSGJAAE-UWJYYQICSA-N 411.502 4.530 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1 493000937 BPWOYHIVJJHQJZ-OAHLLOKOSA-N 413.543 4.614 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 496536902 QOQORSOQFBCSIH-SFHVURJKSA-N 401.875 4.956 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 502002301 QRDNMXQWORNDGW-UHFFFAOYSA-N 416.236 4.721 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1ccccc1Cl 502375003 NVCRRAADEKHIFP-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(C2CCCCC2)CC1 503387974 IIBHYECUDGXVSM-UHFFFAOYSA-N 405.564 4.624 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCc3n[nH]c(C)n3)cc2[N+](=O)[O-])c1 503573141 JRGAJGHDACPKOM-UHFFFAOYSA-N 409.490 4.578 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3ccc([N+](=O)[O-])s3)s2)cc1 505139388 COSVUZWBKBOSDV-SNVBAGLBSA-N 403.485 4.588 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(F)cc([N+](=O)[O-])c3)s2)cc1 505139637 FDISUQDZDUPREX-NSHDSACASA-N 415.446 4.665 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 505351726 JSRCQJIFHQTBIM-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccc2nc(C(F)F)sc2c1 507563900 ITVCLZXNKMKFSI-UHFFFAOYSA-N 419.818 4.596 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 510290108 URPQSTWXIGQRHV-GFCCVEGCSA-N 421.906 4.565 5 20 HJBD O=C(c1cc(F)c(Br)c(Cl)c1)N1CCCc2ccc([N+](=O)[O-])cc21 513381158 PSAFOCHOWRTGQW-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC(C)(C)N1CCC(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 513649766 ZIFFJHKBEBXGKF-UHFFFAOYSA-N 401.482 4.879 5 20 HJBD Cc1ccc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cc1[N+](=O)[O-] 514996391 WMOUGZTXUODRHD-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1Oc1ncc(Cl)cc1Cl 515294067 VDBHZHJKLVMLSR-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc([C@@H]3C[C@@H]3C)o2)cc1[N+](=O)[O-])c1ccccn1 518433635 NDZJAZLZQSUQFQ-RLFYNMQTSA-N 420.469 4.809 5 20 HJBD O=C(Nc1ccc(Br)cc1Br)c1cc(F)cc([N+](=O)[O-])c1 518794282 YSGIUXCQVAWXCT-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccccc2)cs1 518874816 HYQSVOSKSLHKAX-LLVKDONJSA-N 403.847 4.778 5 20 HJBD Cc1nc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])sc1Cc1ccc(F)cc1 518904639 VNPLJZHYRMUJQH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(CC[C@@H]1CCCO1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522734299 IZZBOSYJODDXTQ-HNNXBMFYSA-N 422.840 4.755 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1C1CC1 534247053 SOWXBRXJVPQAQA-JTQLQIEISA-N 407.268 4.655 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc(OC)c1OC 534497217 DUAQFNCDTVMMQI-JTQLQIEISA-N 400.353 4.813 5 20 HJBD Cc1ccc(-c2nc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cs2)n1C 535472400 KFPMDJARKWZEFV-UHFFFAOYSA-N 410.499 4.955 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCCc2cccs2)cc1[N+](=O)[O-])c1ccccn1 535815934 MGQFHPYARFBEMB-HNNXBMFYSA-N 410.499 4.587 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 540447075 YDDODZVPUSJWQD-MAUKXSAKSA-N 402.859 4.723 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2C(C)=O)c1 541410879 PTNYMUUDXWXCDT-UHFFFAOYSA-N 409.261 4.534 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 553156228 WUGNBYJCFXSNDI-QGZVFWFLSA-N 411.767 4.692 5 20 HJBD COCCN(Cc1cccnc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 554875653 LABWFMMZZIZELY-UHFFFAOYSA-N 401.850 4.552 5 20 HJBD O=C(c1ccccc1)c1ccc(N2Cc3cnn(-c4ccccc4)c3C2)c([N+](=O)[O-])c1 555262263 JFSYHKNGYPCEAP-UHFFFAOYSA-N 410.433 4.532 5 20 HJBD C[C@@H](C(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 558690513 SUEWQGQCIFGMAC-KZULUSFZSA-N 409.269 4.605 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(CC(F)F)c1ccc(F)cc1 560100500 FKOZFTSYTCICSB-UHFFFAOYSA-N 417.181 4.717 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cncc(Cl)c1Br 571956201 DAWDLESKAMTDTA-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD C[C@H](Oc1cnc2ccccc2c1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 582386233 QOKNQESNIOPQQZ-ZDUSSCGKSA-N 415.409 4.738 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cc(Br)c4ccccc4c3)n2)nc1 590271088 ITZFLJONWODZLF-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD CCNc1ccc(C(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)cc1[N+](=O)[O-] 603814386 BSYWJPOPQLQZEG-UHFFFAOYSA-N 411.502 4.834 5 20 HJBD CCCOc1cc(NC(=O)N2CC[C@@H](c3ccco3)C2)c([N+](=O)[O-])cc1OCCC 609552454 BHVWOTUCQYFLRQ-OAHLLOKOSA-N 417.462 4.787 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2cc(F)ccc2F)c2ccccc2)c([N+](=O)[O-])c1 609740390 HMYPZOBZXRRFOI-UHFFFAOYSA-N 418.421 4.577 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 609755965 ZRDSENAQBXDYAE-UHFFFAOYSA-N 406.438 4.890 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(CC(F)(F)F)c1ccccc1 609786177 HNYZHATWZCHBLH-UHFFFAOYSA-N 402.756 4.613 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(F)cc1OCC(F)(F)F 609787600 LPSWPKCSTKXCPG-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD Cn1c(CCNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)nc2cc(F)ccc21 609919192 LEBSHXDBAKSPJV-UHFFFAOYSA-N 410.474 4.681 5 20 HJBD CCOC(=O)c1ccnc(N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 609961391 JJSCVXHFARFNDM-OAHLLOKOSA-N 410.257 4.815 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1ccc([N+](=O)[O-])cc1Br 610036451 DABAFMHIKGCZCL-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCn2cccc2[C@@H]1c1ccccc1 610104165 HGQOAVIFQAOPAI-QHCPKHFHSA-N 418.497 4.534 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)COc2ccccc2[N+](=O)[O-])cc1 610191314 KKFBLBUFKUZOAB-KRWDZBQOSA-N 420.465 4.869 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc(C2CC2)cc1)c1ccccc1[N+](=O)[O-] 611330893 XYPJHLXGPWVKEQ-IBGZPJMESA-N 411.458 4.677 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](O)c2ccc(OC(F)(F)F)cc2)o1 726606086 SMZILOSIBVGQNQ-SFHVURJKSA-N 422.359 4.577 5 20 HJBD O=C(/C=C/c1cc(C(F)(F)F)ccc1Cl)OCC(=O)c1cccc([N+](=O)[O-])c1 753414411 JSHCBVFNRBGIMH-QPJJXVBHSA-N 413.735 4.706 5 20 HJBD Cc1cccc2c1[C@@H](C)C[C@H]2CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753557211 MUEUNNBVEKCTTG-HOCLYGCPSA-N 407.426 4.678 5 20 HJBD CSc1ccc(C(=O)O[C@H](CCc2ccccc2)c2nccn2C)cc1[N+](=O)[O-] 754734118 LNPRTEHTEGOAKV-GOSISDBHSA-N 411.483 4.581 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755035191 GCBFWHGVAOMNDR-OAHLLOKOSA-N 407.268 4.757 5 20 HJBD CC(C)(C)c1nn(-c2ccccc2)cc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 759058245 OLKVMWFIMIERRB-UHFFFAOYSA-N 400.385 4.609 5 20 HJBD CC[C@H](C(=O)N1CCC(NCc2ccc([N+](=O)[O-])c(Cl)c2)CC1)c1ccccc1 761523039 PWEBZSKIQLMGJN-IBGZPJMESA-N 415.921 4.523 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)C1CCC(F)(F)CC1 763239279 GPYVDZITUXKQFB-UHFFFAOYSA-N 401.431 4.647 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 770017811 WMEZBSGIXCZOEG-OAHLLOKOSA-N 408.930 4.757 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2ccccc21 773841225 FDAYMKDYXAUAON-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2ccc(N(C)C)cc2)CC1 775886740 HCFZGMCWOJWOIE-MRXNPFEDSA-N 421.501 4.651 5 20 HJBD C[C@@H]1CC(=O)CC[C@H]1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 776290453 FRDMWHZAZZZJBO-CZUORRHYSA-N 416.861 4.771 5 20 HJBD CN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1ncc(Cl)n1C 779160159 CXMZKDVBCLRIAT-UHFFFAOYSA-N 404.829 4.545 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cccc1CC 780290329 UYUPCRVQERPZBT-UHFFFAOYSA-N 416.499 4.763 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(CNc2ccccc2)cc1 782119859 YXPACEVQNKBXDX-UHFFFAOYSA-N 420.425 4.686 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)Nc2ccccc2Oc2ccccc2)c1F 787938480 HXTMVOLJXNYTGU-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(NS(=O)(=O)c2cc(Cl)ccc2C(F)(F)F)c1 795567913 GDVKAAFVUHUUHK-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798676722 TUTONBZTUQUHRK-MRVPVSSYSA-N 408.254 4.945 5 20 HJBD O=C(NCc1nc(-c2ccccc2F)cs1)Nc1cccc([N+](=O)[O-])c1Cl 809915790 COVCAXIHODGXCY-UHFFFAOYSA-N 406.826 4.833 5 20 HJBD CCCOc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(OC2CCCC2)c1 812814156 VHQJFCGSARLSPO-UHFFFAOYSA-N 403.479 4.835 5 20 HJBD COCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 816432742 KFYIAYJGLBUREE-UHFFFAOYSA-N 402.472 4.865 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)cc1-c1nc(-c2ccc(N3CCCCC3)nc2)no1 904428506 PKGBUKSXIWROKC-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)CC2)cc1 919706314 PGPWTVQQUYAFIY-UHFFFAOYSA-N 420.513 4.772 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2ccc(F)cc2)cc1 920016665 AGISLLUAEKCBDH-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CCCCc1ccc(N2C[C@H](c3nnc(-c4cccc([N+](=O)[O-])c4)o3)CC2=O)cc1 1117203675 HGNHDBWXKBULGS-QGZVFWFLSA-N 406.442 4.508 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(Cc3cccc4ccccc34)o2)ccc1N1CCCC1 1257856871 JXGHUGDVUKBEMJ-UHFFFAOYSA-N 400.438 4.989 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1Oc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319535988 YISAMXVEMMDUGZ-INIZCTEOSA-N 403.438 4.730 5 20 HJBD Cc1cc([C@H](C)N[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(C)o1 1320393923 ORLGVQNKIGSBHO-QWHCGFSZSA-N 414.487 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1 1330290876 GBBLZMBLFCWDAI-IHWFROFDSA-N 408.502 4.610 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1ccc([N+](=O)[O-])c2cccnc12 1338223482 VOAGOEAOKMVJSJ-UHFFFAOYSA-N 408.458 4.780 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)c1 1345739361 HFCFJOVCZYWYCM-JTQLQIEISA-N 420.219 4.556 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 5429071 NHCRUEJYFVRMLF-LLVKDONJSA-N 402.501 4.644 5 20 HJBD Cc1cc(C(=O)COC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1ccccc1 6053580 OGXYXPXZKDDJSM-JLHYYAGUSA-N 418.449 4.501 5 20 HJBD CCCCCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 11232915 VOZLCQIRFOSWLW-UHFFFAOYSA-N 419.503 4.682 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)[C@@H]3CCCc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 19681376 ABJBEWIFIOKZGM-JOCHJYFZSA-N 418.497 4.606 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1C(F)(F)F)N(C)Cc1ccccc1[N+](=O)[O-] 26348894 HVVCKKBLSNXACR-LLVKDONJSA-N 415.799 4.726 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H](C)c1cccc(OC)c1 45508629 LNLFYCGQCCPYFF-GOSISDBHSA-N 414.505 4.773 5 20 HJBD Cn1cc(C(=O)Nc2ccc(OC3CCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 53433828 SSXHGYJQIQSPBL-UHFFFAOYSA-N 406.442 4.569 5 20 HJBD O=C(CSc1c(F)cc(F)cc1F)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 54475481 WKKIMSRYVDHGSA-UHFFFAOYSA-N 410.295 4.762 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccccc2C(F)(F)F)cc1OC 56319215 YHWNTVVHRZWKHR-NSHDSACASA-N 412.364 4.512 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2)cn1 56328907 QQNGPKVMDHMREP-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc([N+](=O)[O-])cc3)cc2[N+](=O)[O-])n1 57561757 YUCRNWYKHNDOTA-UHFFFAOYSA-N 416.440 4.671 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 195700103 RESOTCVVRYJHHW-UHFFFAOYSA-N 420.347 4.607 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(Br)sc1Br 227266120 HWLWVHQZUNALLB-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD CC(C)(NCc1cc(Br)c2c(c1)OCCCO2)c1ccc([N+](=O)[O-])cc1 236700093 ANOOJBUITKWCDL-UHFFFAOYSA-N 421.291 4.544 5 20 HJBD COCCOc1cc(C)ccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 237097739 CAWQRPUUVYUYHZ-UHFFFAOYSA-N 412.511 4.947 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccc(OC2CCCCC2)cc1 301434246 WISHZVGDZFIJJG-UHFFFAOYSA-N 411.458 4.875 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)c(F)c1 301810281 XRLODIHRXKBBIA-UHFFFAOYSA-N 401.297 4.676 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)c(OC(F)F)c1 302066240 WFHCPWUVVSCBAV-SFHVURJKSA-N 412.820 4.800 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1ccncc1 303102257 IEDSKNOSZOPTEL-GOSISDBHSA-N 421.522 4.742 5 20 HJBD CC(=O)N(c1nc(COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)cs1)C1CC1 303596705 UDRNUQLHFJDWOJ-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc4c(c3)CC(=O)N4)cc2[N+](=O)[O-])cc1 303658486 VGZMMZWJFPGBKS-UHFFFAOYSA-N 419.462 4.801 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2cc(Cl)c(Br)cc2Cl)n1 323123129 TWBJKVMUNCCHRS-UHFFFAOYSA-N 422.018 4.638 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 410254119 FFGJNLKNTUUAAM-MRVPVSSYSA-N 401.307 4.632 5 20 HJBD Cc1cc(OCC(=O)N(Cc2cccnc2)c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 430411637 VTBVKJVAYXQOKP-UHFFFAOYSA-N 411.845 4.564 5 20 HJBD Cc1ccc(F)c(CN(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 436064875 KDLXUNDEOFIXSU-MRXNPFEDSA-N 422.460 4.883 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(COc2ccccc2)CC1 437199776 RTFWTWSGHDQCFK-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD CCc1[nH]nc(C(=O)N(C)[C@@H](c2ccc(F)cc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 444268378 JGJOBHPKWUFXRB-GOSISDBHSA-N 416.840 4.534 5 20 HJBD Cc1c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cnn1C1CCCC1 444499685 SJMFKZQSHLYJGM-UHFFFAOYSA-N 422.435 4.832 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccc(COCc2ccccc2)cc1 446494238 KLCLHOOYUDZECA-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD CO[C@H]1CCCC[C@@H]1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460571466 HUSGUWTXFYTEFG-ICSRJNTNSA-N 417.893 4.548 5 20 HJBD CCc1cc(=NC(=O)c2ccc(F)cc2C(F)(F)F)c2cc([N+](=O)[O-])ccc2[nH]1 462635297 FMYRVJDTHDFGNB-UHFFFAOYSA-N 407.323 4.538 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)o2)cc1 463132468 PBOOMMQSEFJVLW-UHFFFAOYSA-N 401.378 4.858 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 463960479 YNPUMPJTSAKGPF-UHFFFAOYSA-N 415.877 4.992 5 20 HJBD Cc1cccc(C2(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)CCCC2)c1 464628321 IOYVAISRZJVRAF-UHFFFAOYSA-N 408.498 4.785 5 20 HJBD COc1cc(CN2CCC(c3nc4cc(Cl)ccc4o3)CC2)c([N+](=O)[O-])cc1F 464680734 COUWAWORPKUDQM-UHFFFAOYSA-N 419.840 4.917 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)ccn2)c1C 464875831 MKXVLBNPHLUTKP-UHFFFAOYSA-N 416.437 4.810 5 20 HJBD COc1cc(C(=O)N2CC[C@H](c3ccccc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 466875792 CGKHRQITQNHZBF-ZFWWWQNUSA-N 420.412 4.613 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC3(CCC3)C2)cc1[N+](=O)[O-] 468256016 CCSQASRAQLBLJX-UHFFFAOYSA-N 410.495 4.564 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2cccc(OC(F)(F)F)c2)cc1[N+](=O)[O-] 470169834 KUROXVZNOWOMEU-ZDUSSCGKSA-N 411.380 4.704 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1O[C@H](C)COC 470382471 JZXXFFXRKMSTSI-ZBFHGGJFSA-N 420.893 4.869 5 20 HJBD CCc1ccc(C(=O)Nc2nnc(SCc3cccs3)s2)cc1[N+](=O)[O-] 472552098 PXSHKKNYUHADRJ-UHFFFAOYSA-N 406.514 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2ccccc2)n[nH]1 478401627 RJPXXPSQINPVQV-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD CCC(=O)c1ccc(N[C@H](C(=O)Nc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 479371933 PVOFOCNBLFEVHN-QFIPXVFZSA-N 403.438 4.979 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 479863877 SQHGFNOTTHPLIH-UHFFFAOYSA-N 403.398 4.509 5 20 HJBD COc1ccc(CNC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OC1CCCC1 485200263 DDFOVSCFXROBFA-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486167931 QBLJKDCVHYFHMJ-XLKFXECMSA-N 413.268 4.611 5 20 HJBD Cc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1C(=O)N1CCCCC1 487179053 CPIQDCBBHOPLCE-UHFFFAOYSA-N 419.428 4.743 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 487426861 FJNXWSQFZBOWBB-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CC(C)c1nnc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 488080467 VFDLUWAYQDJKLS-UHFFFAOYSA-N 414.512 4.651 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 489012917 JEPVQZXWMIVMFR-UHFFFAOYSA-N 400.381 4.664 5 20 HJBD O=C(NC[C@H](CCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 490144477 XMYLUTPOVDULEY-SFHVURJKSA-N 422.506 4.642 5 20 HJBD CC(=O)Nc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 493033076 LUAORLCUDYEOMM-NSHDSACASA-N 424.379 4.763 5 20 HJBD COc1ccc(CNc2cnn([C@H](C)C3CC3)c2)cc1OCc1ccc([N+](=O)[O-])cc1 494389578 YSEPIVQPHYOPOI-MRXNPFEDSA-N 422.485 4.962 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)oc12 497765978 KVNCKXZBUONBQU-ZDUSSCGKSA-N 405.410 4.779 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])c2cccnc12 498175650 DSKIJGKFAJPRMN-UHFFFAOYSA-N 423.432 4.793 5 20 HJBD Cc1nc2ccccc2c(-c2ccccc2)c1CC(=O)NCc1ccccc1[N+](=O)[O-] 500788542 SHQDRDGJXUTOOE-UHFFFAOYSA-N 411.461 4.977 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 503647337 FODRCKGLMVTRTB-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD CCOc1ccc(C(=O)N(C)[C@H](C)c2ccccc2Br)cc1[N+](=O)[O-] 503663974 TVMCOTQXFLAJBC-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 506206463 UUKQJOSOQQZHIM-INIZCTEOSA-N 420.469 4.838 5 20 HJBD COc1cc(C(=O)N[C@H](Cc2cccc(F)c2)c2cccc(F)c2)ccc1[N+](=O)[O-] 512077935 RPNKAMCDWFCAQN-LJQANCHMSA-N 412.392 4.595 5 20 HJBD COc1c(Cl)cccc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 516670558 ZHVGCJBPOQWREB-ZIAGYGMSSA-N 417.893 4.991 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(Cc1ccccc1)Cc1ccccc1 516786353 SIKGWGHVELUEBO-UHFFFAOYSA-N 416.886 4.639 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1ccccc1 517436494 KXOMWABLMHMEHJ-OAQYLSRUSA-N 408.479 4.845 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCC4(CCCCC4)C3)cc2)c(C)c1[N+](=O)[O-] 518423858 ZITPMCCRTMKXMT-UHFFFAOYSA-N 410.518 4.643 5 20 HJBD CSCc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 521347753 VHRQUWYMWODSGA-UHFFFAOYSA-N 410.499 4.572 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 522735056 RKQKZMUUUSUKFM-UHFFFAOYSA-N 424.284 4.563 5 20 HJBD NC(=O)c1ccc(-c2nc(CSCc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 523850173 PAKZFXRYFGHVSJ-UHFFFAOYSA-N 419.915 4.904 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H](CCO)c3ccccc3)c([N+](=O)[O-])c2)cc1 525365525 IXASZUNVUQMVOJ-FQEVSTJZSA-N 419.481 4.734 5 20 HJBD COc1cccc(NC(=O)[C@H](N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)c1 531443623 FQIAMFUYOAITBL-GAJHUEQPSA-N 419.481 4.942 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)C(C)(C)c4ccc([N+](=O)[O-])cc4)CC3)c2c1 532658772 QGQBVYCXTFTOPO-UHFFFAOYSA-N 421.497 4.769 5 20 HJBD O=C(c1csc([C@H]2CCCO2)n1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 535211092 PUBGVMXCIRVZMI-LJQANCHMSA-N 409.467 4.750 5 20 HJBD Cc1sc2nc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)nc(N)c2c1C 535503559 HPQMEPUBGAUSDH-UHFFFAOYSA-N 413.484 4.746 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc([S@](C)=O)c(F)c1 537453145 POZRGMWSXXFJED-MHZLTWQESA-N 410.492 4.616 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1F 541464943 KRNOEVFBJVMOQJ-UHFFFAOYSA-N 405.401 4.857 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1[C@@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])cc1F 541610867 LTRYRRIFZOODAR-JLTOFOAXSA-N 408.433 4.598 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 542544510 PHNUWSFVFHXBBY-CQSZACIVSA-N 407.401 4.728 5 20 HJBD C[C@H](NCCC(=O)Nc1ccccc1[N+](=O)[O-])[C@@H](C)c1ccccc1C(F)(F)F 543496860 WAVNSVWLHLZCEB-KGLIPLIRSA-N 409.408 4.724 5 20 HJBD COc1ccc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 545530181 QULSJTXACIDZGB-SNVBAGLBSA-N 407.785 4.510 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 545785382 LZOJORWCSHOLBZ-UHFFFAOYSA-N 413.846 4.716 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(Oc3ccccc3)cn2)no1 547221734 BIEVHLYBUZMOEN-UHFFFAOYSA-N 403.398 4.553 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@](F)(c2cccc(Cl)c2)C1 549186904 YWYKURIJHVGEGW-XCLFUZPHSA-N 416.840 4.930 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1ccccc1[N+](=O)[O-] 555801825 CSUAIXMNFZIHPT-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD CC(=O)c1cc(N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)ccc1[N+](=O)[O-] 557574643 ZKKGEEIGKJUDMN-AWEZNQCLSA-N 404.426 4.618 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561097440 YXYZWMUNQASZGU-VFNWGFHPSA-N 407.470 4.627 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cc(F)c(C(F)(F)F)cc2F)c1 561701459 FUOGRGMYOHCDDT-UHFFFAOYSA-N 406.332 4.544 5 20 HJBD CCO[C@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)c1ccc(F)cc1C(F)(F)F 563876985 AUSFBNNYQGXNAM-HNNXBMFYSA-N 411.311 4.929 5 20 HJBD CCc1ccc([C@@H](NCc2ccccc2Cn2ccnc2)c2ccc([N+](=O)[O-])cc2)o1 565505487 QLWDXJAIYXJJRU-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD O=C(Nc1ccc(OC2CCCCC2)cc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 573848185 OFDPGOHOPMNMGQ-UHFFFAOYSA-N 411.458 4.733 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2ccc(Cl)cc2Cl)no1 578173473 KDXAQIKZVPCUCH-JTQLQIEISA-N 421.240 4.618 5 20 HJBD Cc1nc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)sc1C(F)(F)F 579262615 QFNHRHOGARFIKE-UHFFFAOYSA-N 402.398 4.821 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2(c3noc(C(F)F)n3)CCC2)s1 590053079 WUDRCBCQTZPPIJ-UHFFFAOYSA-N 406.414 4.813 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1cccc(F)c1)C1CCCC1 603531130 YCQFALLTYKXOJK-UHFFFAOYSA-N 408.433 4.505 5 20 HJBD Cc1c(C(=O)Nc2c(C(C)C)cccc2C(C)C)nnn1-c1cccc([N+](=O)[O-])c1 603563793 FNVGLVWTFFKFGV-UHFFFAOYSA-N 407.474 4.983 5 20 HJBD O=[N+]([O-])c1c(N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)nc2sccn12 603580154 FRABKYKRGKSVIT-JIGDXULJSA-N 402.320 4.625 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638857 XHAHJCOGRAHJKE-VIFPVBQESA-N 402.806 4.969 5 20 HJBD O=C(O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1Cl 603738767 YYMGHQRLTBXJFF-UHFFFAOYSA-N 412.785 4.991 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 603744506 OYFVUYVYMRLHFK-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)COCC(F)(F)F)c([N+](=O)[O-])c2)cc1 608974566 KPRLSSRWRZYNEH-ZDUSSCGKSA-N 411.380 4.535 5 20 HJBD Cc1ccc(C)c(OCCCCC(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)c1 609453552 KJEYFFPWDBZNHK-UHFFFAOYSA-N 408.458 4.585 5 20 HJBD O=[N+]([O-])c1cnc(NCC2(c3cccc(C(F)(F)F)c3)CCOCC2)c(Cl)c1 609892554 BKZDYCZMXBRMAC-UHFFFAOYSA-N 415.799 4.822 5 20 HJBD COc1cccc([C@@H](C)c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 612918137 SWHBODRQZMRPFM-VXGBXAGGSA-N 403.822 4.932 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 617516986 YRYQVHRNTABTNR-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD COc1cc(CSc2ccc(C(F)(F)F)cn2)c([N+](=O)[O-])cc1OC(F)F 619263564 RHTABSFJOPIXEA-UHFFFAOYSA-N 410.320 4.911 5 20 HJBD CC[C@H](C)c1ccc(OC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 726730151 ZNWSDHBZTFAVSU-BTYIYWSLSA-N 410.470 4.627 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccccc1)CC1CCOCC1 732414767 VWXNHNIYPOHYQA-UHFFFAOYSA-N 403.866 4.709 5 20 HJBD O=C(Cc1csc(-c2ccc(F)c(F)c2)n1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734894828 PXIVAMHNOOLAJH-UHFFFAOYSA-N 424.812 4.936 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OC(=O)C1CCC1 744282590 BZIVPBYXYMZOMO-UHFFFAOYSA-N 409.225 4.859 5 20 HJBD O=C(OCC(=O)C12CC3CC(CC(C3)C1)C2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745568203 LBTSMVGXZWXZFT-UHFFFAOYSA-N 411.376 4.556 5 20 HJBD O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1N[C@H]1CCCc2cc(OC(F)F)ccc21 746718929 YEYOIGOSEOKGSH-SFHVURJKSA-N 417.412 4.578 5 20 HJBD O=C(Nc1cccc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3o2)c1)C(F)(F)F 751517042 IHTLUGOCDFLYTO-GHXNOFRVSA-N 411.723 4.974 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)C)c1C 755068992 PJDZPRJMUIUKEC-FOIQADDNSA-N 416.449 4.661 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1cccnc1Cl 755914994 XBUWXNAGTZYUFW-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)o2)cc1C 758949799 GFJXRABUGBFYDE-OAHLLOKOSA-N 409.442 4.844 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc([N+](=O)[O-])ccc1Br 770011856 JBKZRTYHTYHRFQ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1sc(Br)cc1[N+](=O)[O-] 770415001 IGUVBBBTHKUJLG-UHFFFAOYSA-N 409.233 4.986 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(\Br)c1ncc(-c2ccc(F)cc2)o1 772797270 NKXVFSJOPOGKBX-ZSOIEALJSA-N 405.179 4.988 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 782076049 YKEVISPUWNKHAV-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(Cl)c1C 783275869 UJFOJOUZQWSEPU-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1[N+](=O)[O-] 784054895 OJFZVZPXEPULTP-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)c1F 790182640 FZOKVSJFSLJNGZ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C(=O)OCc2ccccc2)c1 791575741 ZNODMBHWOUHUIJ-UHFFFAOYSA-N 420.421 4.603 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OS(=O)(=O)c1cc(Cl)ccc1C(F)(F)F 795878585 CWBGPYKGIKSANG-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(OCCCO)cc2)ccc1Oc1ccc(F)cc1 796523453 SSPYDVLRYXWLKS-UHFFFAOYSA-N 412.417 4.900 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1ccsc1)c1cccnc1 799328626 QRHUZYXZBXGUPP-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 800354038 KESJZZLBFXGPBH-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD O=C(Nc1cc(Cl)c(O)cc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 805256483 NURQKYIMZZEMPJ-UHFFFAOYSA-N 416.792 4.924 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809919916 JLLFLANPGRIJDH-JTQLQIEISA-N 414.245 4.959 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cnc([C@@H]2CCCO2)s1 811085440 NIMDSOUPLPMCNK-NSHDSACASA-N 403.243 4.567 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1sccc1OC(F)F 811218394 YOQZEQCQYYBYQF-UHFFFAOYSA-N 412.395 4.738 5 20 HJBD COc1cc([C@H](C)N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 815561604 KJRRMYFERKVRPO-CVEARBPZSA-N 422.485 4.571 5 20 HJBD CC[C@@H](C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccccc1 864244652 HSTXVFPVLMMURT-LJQANCHMSA-N 408.458 4.576 5 20 HJBD CC(C)Cn1cc(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc21 917314693 NQOWRAKYHHTEJW-UHFFFAOYSA-N 420.425 4.613 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)OCc1cc(F)ccc1[N+](=O)[O-] 919003593 IVWWJTUTNREEJW-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1 920224420 FOLRSVYQRXNDBR-UHFFFAOYSA-N 412.446 4.626 5 20 HJBD Cc1ccn(-c2cccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)c2)n1 1320842339 BIHRWJWOKOOEJI-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H](c3nc4ccccc4[nH]3)C2)ccc1Br 1321410157 GWNHZPHZXJVGTD-AWEZNQCLSA-N 415.291 4.613 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cccc(OC(F)F)c3)o2)cc(C(F)(F)F)c1 1327305593 OQHOOBUMIURTRQ-UHFFFAOYSA-N 401.247 4.932 5 20 HJBD CCCC(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])CCC2)cc1 1787700739 GIRNYIBXGGLMIZ-NTEUORMPSA-N 407.470 4.542 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)sc2C)cc1 6217414 GJYRXHAJHCLVSJ-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCn1c(SCC(=O)Nc2ccc(C)c(C)c2)nc2cc3ccccc3cc2c1=O 7577770 IEDQRHLVZJHFBV-UHFFFAOYSA-N 417.534 4.917 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Oc1ccc(NC(=O)c2ccccc2)cc1 15598871 OSMLGMUVOSVQJE-UHFFFAOYSA-N 408.435 4.545 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(NC(=O)C2CC2)cc1 31396881 JPLNOEVGQPAHKA-GFCCVEGCSA-N 409.467 4.649 5 20 HJBD O=C(CSc1nc(-c2ccco2)nc2ccccc12)Nc1ccc(F)c([N+](=O)[O-])c1 71816998 CTNLGEYLHUOIDJ-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD O=C([C@H]1C[C@H]1c1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107799240 VFZVNQMXJIIELG-GJZGRUSLSA-N 412.795 4.943 5 20 HJBD Cc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cccc1C(=O)Nc1ccccc1 109671672 STFMKVJORHUASD-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD O=C(NCc1nc(C(F)(F)F)cs1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 303933732 XNGVMASDQYHAMN-UHFFFAOYSA-N 422.388 4.744 5 20 HJBD COc1ccc(CN2CCS[C@H](C)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 426407080 YAOLPSIEFFTLFN-MRXNPFEDSA-N 402.516 4.510 5 20 HJBD CCc1[nH]nc(C(=O)Nc2nc(-c3cccc4ccccc34)c(C)s2)c1[N+](=O)[O-] 429965041 HBUUKMWBBMYJPM-UHFFFAOYSA-N 407.455 4.718 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)c1ccc(Br)cc1[N+](=O)[O-] 430935011 RHVAOGJUKDFIMC-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD COc1cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)cc([N+](=O)[O-])c1OC 438730982 FQAASZRZHZXQOB-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD CC(=O)c1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])nc1C 442638398 OCXSIWHOFCLKAA-UHFFFAOYSA-N 421.478 4.582 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2cccc(F)c2)cc1OC 446649617 UVPITIZQFIOAGC-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(F)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 447322556 YZZIYGKQUKTMQJ-JOCHJYFZSA-N 405.429 4.591 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2c(F)ccc(F)c21 462763192 LPRZMOLJQKVILE-OAHLLOKOSA-N 408.426 4.629 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(OC)c2)ccc1OCc1ccncc1 462787269 ARABBCGNRZPWCG-HNNXBMFYSA-N 409.442 4.759 5 20 HJBD CCN(CC(C)(C)C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463013709 SWASRBXKZDXIQH-UHFFFAOYSA-N 415.456 4.725 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 467836623 OWOMNCMCAGWFNH-GOSISDBHSA-N 403.482 4.684 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C1)OCc1ccccc12 471619808 NZCDREMPRUEWSY-QFIPXVFZSA-N 412.511 4.759 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 471905325 BDNCKDWVANLVOU-PZJWPPBQSA-N 421.497 4.571 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 472028277 VIDVFONBOVFVKQ-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472260571 ILXPWKDJTJFBDT-INIZCTEOSA-N 414.380 4.683 5 20 HJBD O=C(Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 473247447 YZEJWVWDRJXQLO-UHFFFAOYSA-N 412.343 4.535 5 20 HJBD CCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])C12CC3CC(CC(C3)C1)C2 482180025 JQGAIWGUHCJTQQ-UHFFFAOYSA-N 404.532 4.756 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1-c1cccc(C)c1 482743570 VRDYKLKTVQMFJQ-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD CSc1cccc(C(=O)N(CCc2ccccc2)Cc2ccncc2)c1[N+](=O)[O-] 486239275 JRZJZBPKGWNBKJ-UHFFFAOYSA-N 407.495 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1CSc2ccc(Br)cc21 486767973 MSIZNLSZZSWDON-CQSZACIVSA-N 408.277 4.634 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(CCSc3cc(Cl)ccc3Cl)CC2)n1 489011296 LTJPVZDIUWLYDQ-UHFFFAOYSA-N 401.319 4.527 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 489668999 UOSNUGGFOREGBU-GJZGRUSLSA-N 420.412 4.661 5 20 HJBD O=[N+]([O-])c1cc(CNc2nncn2-c2cccnc2)ccc1Oc1ccccc1Cl 490092029 XGYZAYNIEVNVAJ-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 491130999 GILFAYQWXSWTAF-CYBMUJFWSA-N 411.483 4.696 5 20 HJBD COc1ccc(CN(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)C2CC2)cc1 493003632 MMKYQPFNTPSHAN-DLBZAZTESA-N 411.502 4.983 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@](F)(c3cccc(Cl)c3)C2)cc1SC 512376314 GTBPAPQIMLBBDW-IBGZPJMESA-N 424.881 4.690 5 20 HJBD CCCOc1cc(NC(=O)c2cnc(C)nc2C(C)C)c([N+](=O)[O-])cc1OCCC 513492003 KJWQCQSSVBYDPN-UHFFFAOYSA-N 416.478 4.647 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)c2C)cc1 518563215 WOGLWGGXITUXHV-UHFFFAOYSA-N 420.513 4.953 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 521218388 CHSKSUUOGYOWJV-CYBMUJFWSA-N 412.433 4.762 5 20 HJBD Cc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1OCC(F)F 523958677 DNUVFXZUYMGEQQ-UHFFFAOYSA-N 414.792 4.608 5 20 HJBD CCc1ccc(OCc2nnc(-c3c(-c4ccccc4)noc3C)o2)c([N+](=O)[O-])c1 524528120 LZGUVTFROQNNBG-UHFFFAOYSA-N 406.398 4.750 5 20 HJBD O=C(CN1CCc2c1cccc2[N+](=O)[O-])Nc1c(Cl)cc(Cl)cc1Cl 536048507 VBUCAAXRWOYFDP-UHFFFAOYSA-N 400.649 4.556 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H](C)c1ccccc1C(F)(F)F 541638551 TTZKSMWQUOLCRE-STQMWFEESA-N 420.391 4.945 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCOc2c(Cl)cccc21 542222228 FPEVSPGJKVTMQB-CYBMUJFWSA-N 413.282 4.634 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542527520 JQMXJTTWROZABF-AHRSYUTCSA-N 416.543 4.565 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 544428697 PUUNXPXUZHETPT-SNVBAGLBSA-N 419.409 4.747 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)c1ccc([N+](=O)[O-])c2cccnc12 549029951 SMHWOJOGJPTGSL-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD Cc1csc(C2(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)CCCC2)n1 550291982 NLOXFERWMRRVKW-UHFFFAOYSA-N 424.320 4.630 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 565503885 BNABTBJWTTUZPU-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD Cn1cc(CN2CC[C@@H](CSc3ccccc3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 568306196 STQPVTWVZOXDGD-QGZVFWFLSA-N 408.527 4.610 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 568422285 CFWLRVCFSJETPL-SFHVURJKSA-N 423.391 4.903 5 20 HJBD CCc1nc2cc(CNC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)ccc2n1C1CC1 570145109 WNHDPFAZEAJVBA-UHFFFAOYSA-N 407.474 4.780 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2cc(Br)ccc2C1 579652757 UCERBJCVQIJQAB-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD Cc1nn(C(C)C)c(C)c1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 583490486 IHSXXMVAMPNXMH-UHFFFAOYSA-N 406.486 4.765 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)c2ccccc12 588797354 JGRIIZXYLDIING-MRXNPFEDSA-N 421.472 4.981 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCO[C@@H](c2ccc(F)c(F)c2)C1 589534088 XNEOUMQWNGSUTL-JOCHJYFZSA-N 404.416 4.880 5 20 HJBD Cc1sc(NC(=O)CCNc2ccccc2[N+](=O)[O-])nc1-c1ccc(F)cc1 591274114 UKVVIVWTEAESSC-UHFFFAOYSA-N 400.435 4.607 5 20 HJBD Cc1cc(C)c2cc(C(=O)Nc3cc(C)nn3-c3ccc([N+](=O)[O-])cc3)sc2n1 592947083 OERIQFMEWXJNFA-UHFFFAOYSA-N 407.455 4.568 5 20 HJBD O=C(Nc1c(F)cc(F)cc1-c1ccccc1)c1cc([N+](=O)[O-])cc2c1OCCO2 608939381 KACDPHIGYSFYFN-UHFFFAOYSA-N 412.348 4.564 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609216355 MPUYZXVZEHTRQE-GOSISDBHSA-N 408.376 4.807 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2noc(C3CC3)n2)nc1-c1ccc(F)cc1 619527214 VIZRYKHCEAWNOS-QGZVFWFLSA-N 409.421 4.788 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 619672560 DXRMZPCKOITAQI-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccccc1OCC(F)(F)F 727642434 LNGQPJGPSNJIOQ-LLVKDONJSA-N 401.362 4.622 5 20 HJBD CCCN(Cc1ccccc1Br)C(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727706636 KXFPKLVSCXJRFR-UHFFFAOYSA-N 413.218 4.688 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1Cl 730644600 OSPCMNHKBXTPRW-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(Nc1ccc(F)c(Cl)c1)C1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 733793200 MNHWTWMGMRSNPW-UHFFFAOYSA-N 412.248 4.896 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735644306 MPSGTZIULFTHAN-ZDUSSCGKSA-N 422.890 4.531 5 20 HJBD COC(=O)CN(C(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1)c1ccccc1 736038444 PKNJWSRWKUYJOE-UHFFFAOYSA-N 416.499 4.553 5 20 HJBD C[C@H](C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 748142858 LUFPQCPXXMEWIC-KPZWWZAWSA-N 402.834 4.517 5 20 HJBD CCOc1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)C(C)C)cc1 751079605 IKEUBSFPCWLGNT-UHFFFAOYSA-N 421.291 4.807 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCCC[C@H]3C[C@@H](O)c3ccccc3)o2)cc1 751558607 CVYXFSPXYRDFPG-LEWJYISDSA-N 422.485 4.513 5 20 HJBD CC(C)(C)c1ncc(/C=C/C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)s1 760531791 XTUDSDBOOFKAQY-CMDGGOBGSA-N 413.455 4.760 5 20 HJBD O=C(Nc1cccc(-c2cn[nH]c2)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 762393848 PGCAVLOXVHLSDQ-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)Nc1ccccc1-c1ccccc1 763714618 DROCUZRSQUWKMH-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767195848 SHYNUTFAERCPBQ-AWEZNQCLSA-N 410.417 4.722 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)ccc1Br 769463888 SFAYXEJTQLWMGS-UHFFFAOYSA-N 421.135 4.674 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1sc(CCOC)nc1C 773916473 ABDQOXYPFNWGRD-GFCCVEGCSA-N 410.517 4.579 5 20 HJBD O=C(CCn1ccc2ccccc21)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134546 DCLVEUYBJMUNPH-HSZRJFAPSA-N 401.422 4.667 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)Nc2ccc3ccccc3c2)c1[N+](=O)[O-] 777075732 ZAKUMFLQBZPNPO-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c(C)c1O 781031454 IABSMRSUGCWALQ-UHFFFAOYSA-N 408.404 4.843 5 20 HJBD CC(C)(C(=O)OCc1cc(-c2cccc(F)c2)on1)c1ccc([N+](=O)[O-])cc1F 786770498 NMCWUWVCMUSVLC-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(-c2cccc(F)c2)on1 786776556 HYNRMJBRDPMFDC-NSHDSACASA-N 420.780 4.553 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nc3cccc(Br)c3s2)c1 795557103 UBGOMBVQJDXOAR-ZDUSSCGKSA-N 411.302 4.793 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 805679755 WIHMMEFJHSGYSH-HNNXBMFYSA-N 417.421 4.720 5 20 HJBD O=C(NCC[C@H](O)c1ccc(Cl)c(Cl)c1)Nc1cccc([N+](=O)[O-])c1Cl 809919438 JPFKGVGDNPSYCQ-AWEZNQCLSA-N 418.664 4.800 5 20 HJBD O=C(CCc1cc2ccccc2nc1O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815230501 SBBCPHWKLOJNDB-UHFFFAOYSA-N 420.343 4.543 5 20 HJBD COc1cc(C(=O)O[C@@H](c2nc3ccccc3o2)C(F)(F)F)cc([N+](=O)[O-])c1C 816063683 QKEZKZJNTQIVAW-HNNXBMFYSA-N 410.304 4.513 5 20 HJBD CC(C)Nc1ccc([N+](=O)[O-])cc1NCc1c(Br)cccc1[N+](=O)[O-] 864044824 LYRAGLQIVIWPOD-UHFFFAOYSA-N 409.240 4.698 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(Oc3ccccc3)nc2)no1 904451146 VNSFADISPGRCTN-UHFFFAOYSA-N 403.398 4.565 5 20 HJBD COc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 915079035 NQFWTDYYHBSTAJ-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CCN(C(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1cccc2ccccc12 917265376 XGYWLMFZBNWPMS-UHFFFAOYSA-N 418.405 4.704 5 20 HJBD Cc1cc(N2CCC([C@@H]3C(=O)Nc4ccccc43)CC2)c([N+](=O)[O-])cc1C(F)(F)F 1324201525 IBPKZWJTGCYZBZ-IBGZPJMESA-N 419.403 4.874 5 20 HJBD COc1ccc(C(=O)OCc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 7605243 NDDFQYNEEIOQFD-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@@H](c1nnc(Sc2ccccc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 29001656 PBGXJPSZABELKB-LBPRGKRZSA-N 403.895 4.603 5 20 HJBD Cc1cc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)ccc1[N+](=O)[O-] 46791315 UXOSPZRTJRPZBL-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD C[C@@H](c1ccccc1)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53003539 WMYKMCQVIQZOJR-SJORKVTESA-N 404.470 4.996 5 20 HJBD COc1ccc(Nc2ncc([N+](=O)[O-])cc2Cl)cc1NC(=O)C1CCCCC1 301268892 IXODPLJNWWSSHF-UHFFFAOYSA-N 404.854 4.914 5 20 HJBD COc1ccc(NC(=O)CCN[C@@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426123605 OKOYJFKMEUQMQX-NSHDSACASA-N 412.273 4.590 5 20 HJBD COC(=O)c1cc([C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)oc1C 430980703 GAWYCOBWPHBWCS-ZDUSSCGKSA-N 408.479 4.628 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2[nH]c3ccccc3c2C1 435949225 KYDPQFCYDBOZCX-UHFFFAOYSA-N 411.436 4.500 5 20 HJBD O=C(N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 437494412 HODAVIHRUMVDIW-MQMHXKEQSA-N 403.360 4.771 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)[C@H](C)c1ccccc1 444095573 HANCNBDTLGWWCQ-KBPBESRZSA-N 406.432 4.882 5 20 HJBD CC[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1Cl 444112916 QMUVRYZFLAELBO-MRXNPFEDSA-N 420.896 4.922 5 20 HJBD COc1ccc2c(c1)[C@@H](N(Cc1ccccc1)C(=O)c1ccccc1[N+](=O)[O-])CCO2 460256029 WWZDTFHTCJEURD-NRFANRHFSA-N 418.449 4.770 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)c1 460708962 LEUIBZXZXHMXGH-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)CC2 463974513 VXMHAPLFTPJRRI-UHFFFAOYSA-N 413.474 4.599 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 464278334 SXJFWPXSZRSDQC-DIFFPNOSSA-N 407.239 4.720 5 20 HJBD CN(C[C@H]1CCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466542451 RAEPAWZASLFNPT-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)nc2)cc1Cl 469884743 PJFPXNNSYHLERP-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2C)cc1[N+](=O)[O-] 471355008 MXHNHCDTCWYGRC-UHFFFAOYSA-N 409.486 4.780 5 20 HJBD CCC(=O)c1ccc(NC2CCN(C(=O)[C@H](CC)c3ccccc3)CC2)c([N+](=O)[O-])c1 479965331 PKCSMSXGBLKFCX-HXUWFJFHSA-N 423.513 4.784 5 20 HJBD COc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1OC1CCCC1 485349056 IVXDTCQVPFSHFH-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(c1csc(-c2c(F)cccc2F)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486068735 KRIHJXFVTKXLLF-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD Cn1c(C(=O)Nc2ncc(Cc3cccc(Cl)c3Cl)s2)ccc1[N+](=O)[O-] 487685942 QGGHFJUJGADTJA-UHFFFAOYSA-N 411.270 4.540 5 20 HJBD Cc1cccc(CN(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506152871 JNGJMTCDRJZSPS-QGZVFWFLSA-N 404.470 4.744 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)C2)cc1F 506327833 MMFWDNKKQWMCKH-SHTZXODSSA-N 418.490 4.919 5 20 HJBD COc1cc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1OCC(F)(F)F 507158053 YVHNOFMQDMQSPX-UHFFFAOYSA-N 410.392 4.709 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CN[C@H](C)c3cccc([N+](=O)[O-])c3)c2)cc1 513126787 YGHSITYRTDRJFJ-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc([N+](=O)[O-])c1 518666800 JKWVKDGBQOORAD-OAQYLSRUSA-N 421.453 4.620 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H]3CCCN(Cc4cccs4)C3)o2)c1 520964931 IBGJNEJYDLGFBK-QGZVFWFLSA-N 411.527 4.918 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2Cl)C(C)C)cc1OC 524510119 DCGPQXSTWLHSSQ-IBGZPJMESA-N 406.866 4.783 5 20 HJBD CCCOc1cc(NC(=O)N2C[C@@H](C)S[C@H](C)C2)c([N+](=O)[O-])cc1OCCC 525736529 UTXAZMHEXQOLSL-ZIAGYGMSSA-N 411.524 4.530 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3noc(-c4ccccc4)n3)o2)c([N+](=O)[O-])c1 532119734 CDCLGVJJPZOZSB-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)c2sc(C(C)C)nc2C)cc1OC 534438771 SYEACNQRTKFTJP-CYBMUJFWSA-N 421.519 4.724 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)cc1 534818053 QWNUTSALWBKANC-UHFFFAOYSA-N 404.422 4.562 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1OC 537178356 DLHGSZPPJCQQPQ-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)c1C(F)(F)F 538552523 PYSIQBVFIGVIKL-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CCN(Cc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1)Cc1ccccn1 538729350 JGPVVRHDVIEUHV-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD CN(C(=O)c1ccc(Br)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355623 JGZCLLDYNTZNEY-UHFFFAOYSA-N 418.272 4.757 5 20 HJBD Cc1ccc(N[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)CN1CCCCC1 539734859 VPEDHOGCRRCQAA-GOSISDBHSA-N 410.518 4.809 5 20 HJBD CCOc1ccc([C@H](C)Nc2cccc(NC(=O)[C@@H](C)n3cccn3)c2)cc1[N+](=O)[O-] 540739202 OUZXWGGVCJYJJY-JKSUJKDBSA-N 423.473 4.563 5 20 HJBD CCN(Cc1ccccc1)c1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)cc1 545077985 XGLYIEOOHROQTI-UHFFFAOYSA-N 415.453 4.657 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 546488108 MBKZIWCPVOPRQD-INIZCTEOSA-N 400.822 4.569 5 20 HJBD Cc1nnc([C@@H](C)NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 546824031 ROZAQQLOCJLRFW-SNVBAGLBSA-N 418.862 4.691 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCC2CCCCC2)CC1 547318543 UBOVKZJXSVQPAN-HXUWFJFHSA-N 402.535 4.751 5 20 HJBD Cc1c(-c2nc(Cc3c(F)ccc(Br)c3F)no2)cccc1[N+](=O)[O-] 551066103 IVUMADJQWXONNH-UHFFFAOYSA-N 410.174 4.585 5 20 HJBD O=C(N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1ccc([N+](=O)[O-])s1 552418563 FPXNLARJOFUQID-INIZCTEOSA-N 414.405 4.573 5 20 HJBD COCCC[C@H](CNC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Cl)cc1 556926541 GTTNPFZFWWGWIL-QGZVFWFLSA-N 418.921 4.852 5 20 HJBD CC(C)c1ccc(CN[C@@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 558141495 YHAQQJGQTARHCB-MRXNPFEDSA-N 405.445 4.688 5 20 HJBD COCCC[C@H](CN[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(Cl)cc1 560574342 PJQZVUWEJWZVRX-RLLQIKCJSA-N 420.941 4.728 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(Cn3ccnc3)c2)no1 561872954 JPCZKDLPSKORPT-GOSISDBHSA-N 403.442 4.626 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccccc3OCc3ccc(Cl)cc3)n2)nc1 567083401 LRSJZWBITNMAIF-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD C[C@@H](C(=O)Nc1ccc(CC(=O)Nc2ccccc2F)cc1)c1cccc([N+](=O)[O-])c1 580022902 OCQKNJAMBBKMLH-OAHLLOKOSA-N 421.428 4.657 5 20 HJBD O=C(CSc1ccc(Cl)c(C(F)(F)F)c1)N1CCc2ccc([N+](=O)[O-])cc21 596463748 PSXNPSMFQIDYNM-UHFFFAOYSA-N 416.808 4.948 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2nc3c(s2)CCC3)cc1 603616406 IGVMTCKOZHTNKZ-UHFFFAOYSA-N 411.439 4.593 5 20 HJBD CC1CCN(Cc2ccc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 603910368 QYBGWWSSSVKQSP-QGZVFWFLSA-N 413.543 4.946 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(O)c([N+](=O)[O-])c2)N1c1ccc(F)cc1Cl 603940698 RJVUZEYECFIWGQ-LJQANCHMSA-N 413.792 4.864 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCc3cc(Br)ccc32)c1 604016050 FPWCYLGZLHXUHJ-UHFFFAOYSA-N 407.289 4.672 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 610162262 MSKFDWZYMBJVML-CQSZACIVSA-N 403.866 4.743 5 20 HJBD O=C(Nc1ccc(-c2nnco2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611201564 BZEQEYHGBRQKDW-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD CC(C)n1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 611205980 YFJQJJQCIVWAJF-AWEZNQCLSA-N 402.520 4.898 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 611222169 WCMSDOWYMGOSQH-MRXNPFEDSA-N 400.438 4.644 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)c2C(F)(F)F)c1 619717949 BWFYTQCQDVDPDW-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1cccc(C2(C(=O)OCc3nc(-c4cccc([N+](=O)[O-])c4)no3)CCCC2)c1 726826615 NNHZQNFPBOGGMQ-UHFFFAOYSA-N 407.426 4.508 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(CC(=O)Nc2ccccc2F)cc1 728006705 YXXIJZXXLBMAAA-ZROIWOOFSA-N 419.412 4.567 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 728899947 ZBUIFCOVVUXCKN-NFBKMPQASA-N 406.486 4.516 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732017711 USGSYHPOUMUYLY-SXGZJXTBSA-N 423.494 4.709 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H](C)c3cccnc3)cc2[N+](=O)[O-])n1 733395993 WSGHCTJUFZJOCM-LBPRGKRZSA-N 401.469 4.824 5 20 HJBD Cc1nc(-c2ccccc2F)sc1[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] 734738780 PFTZYLWNWKAIFQ-ZDUSSCGKSA-N 416.430 4.849 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)CCCn3ccccc3=O)c3ccccc3n12 734990775 AKJOONRDQAVZSZ-UHFFFAOYSA-N 410.477 4.530 5 20 HJBD COc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccc1[N+](=O)[O-] 735250287 BJYQHURJDSNSPO-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735250322 DUTLXNPTJUKIGC-UHFFFAOYSA-N 423.381 4.703 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccccc1C(F)(F)F 735820908 MWCVUTSZEOYSCC-JTQLQIEISA-N 402.756 4.981 5 20 HJBD C[C@@H](Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1nc(C2CC2)no1 742350993 IAEJWHAWKSYFED-GFCCVEGCSA-N 419.393 4.769 5 20 HJBD COc1ccc(CNCc2c(C)nn(-c3cccc(Cl)c3)c2Cl)cc1[N+](=O)[O-] 742717489 JBMQSAMCSOEXEE-UHFFFAOYSA-N 421.284 4.694 5 20 HJBD C[C@@H](OC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1)c1ccccc1-c1ccccc1 748034420 BBJOHLDLERVKKX-MRXNPFEDSA-N 414.421 4.761 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@@H](C)c1cccc(OC)c1 751078286 ZKHYOOQLDNCLHR-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD C[C@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ncc(-c2ccc(Cl)cc2)o1 751667758 JXYOKBMOEQZAQH-LBPRGKRZSA-N 402.790 4.586 5 20 HJBD O=C(NC[C@H]1CCC[C@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 752509148 NDJXGRHOPMOMOP-GJZGRUSLSA-N 413.440 4.684 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)c1 754961582 YRSUJRWLSWDIAE-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(-c2ccccn2)ccc1Cl 754988312 CFMYIIPRNWYDBO-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC=C(c2ccc(F)cc2)CC1 755030412 QJLWMUJDRBPHLN-UHFFFAOYSA-N 420.238 4.818 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cs2)c1 756765701 JUKIQCZZIWPFGE-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1cc(C)c(F)c([N+](=O)[O-])c1 758181645 MWFKHBBYFSYROR-UHFFFAOYSA-N 410.467 4.548 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc3cccc(Br)c3n2)c1[N+](=O)[O-] 758595047 NYVZJWIFZDGXHN-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1C 765482258 FXWSENLUOPURNI-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H]3CCC(=O)c4cccc2c43)CC1 766881660 IEAJNPMFISGAFY-MRXNPFEDSA-N 419.481 4.552 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1cc(F)c([N+](=O)[O-])cc1F 772905857 BEKWQRPXKOWLMU-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(N(C)C)cc2)CC1 774073863 BEXVRNLRQCTIFY-INIZCTEOSA-N 413.543 4.548 5 20 HJBD Cc1cccc(-c2nnc(COC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)o2)c1 775242338 LCWQWTSCLQRWEL-UHFFFAOYSA-N 413.455 4.811 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 787406545 PTLWUELVQMUZCA-VVIBLMHHSA-N 403.523 4.623 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)c2ccccc2Br)c1 789015760 OLZBNLNXZRANAA-SNVBAGLBSA-N 424.272 4.507 5 20 HJBD COCN1C(=O)CCc2cc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)ccc21 789563859 VXNIKUOUVAHVCY-UHFFFAOYSA-N 423.494 4.819 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790927613 LUFDVKZQESINHV-KZULUSFZSA-N 406.841 4.548 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cccc(Cl)c1[N+](=O)[O-] 791868451 PQGIXNOVOPRYFO-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](COc2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 792875778 JYHXGAPRKPVEJQ-IBGZPJMESA-N 411.389 4.552 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796445317 WOCFJBSYMFOGTD-HNNXBMFYSA-N 412.486 4.568 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 799067284 RLVZNDUGCNTIHG-SECBINFHSA-N 413.268 4.709 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1Cl 805439137 MJLFZYPYZXFWLX-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2ccc(F)cc2Cl)cc1[N+](=O)[O-] 815406816 WNYQXHLNFDYIHD-SECBINFHSA-N 415.204 4.533 5 20 HJBD Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@H]1N2C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 824409806 VNZXJGJIAXUFAH-PKOBYXMFSA-N 407.495 4.566 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1N1C[C@H]2CC[C@H]1C2 864035791 PALIQKIHCWJLEE-KBPBESRZSA-N 402.292 4.958 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nc(-c2cccnc2Oc2ccccc2)no1 904453493 KLZQDKRHILPNDH-UHFFFAOYSA-N 403.398 4.565 5 20 HJBD COc1ccc(-c2ccc(CNC3CCC4(CCOCC4)CC3)o2)c([N+](=O)[O-])c1 1116225776 HPERNDWRZRODHV-UHFFFAOYSA-N 400.475 4.692 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccco2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 17704173 DDCYRUDBCAXRJN-UHFFFAOYSA-N 416.817 4.914 5 20 HJBD Cc1ccccc1[C@H](C)NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374945 ZVNVGMYHMWGATL-KBPBESRZSA-N 412.467 4.541 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)n2)cc1 52939109 PLVQJQYFQQXVPT-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@@H](C)c3ccncc3)cc2[N+](=O)[O-])n1 54086044 ZCAZSMOTVAEJCR-ZDUSSCGKSA-N 414.512 4.739 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(OCc2cccnc2)cc1 58138688 ZNLKDLOJPSVMMO-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1ccsc1 65895537 PPFRFGFBHUWRAY-LJQANCHMSA-N 401.875 4.627 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 301819723 YRHBOSNJQPOKSN-UHFFFAOYSA-N 402.410 4.789 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 301932320 MNLVDPAHXKOALI-NSHDSACASA-N 423.541 4.665 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)c3ccc(C(C)C)c([N+](=O)[O-])c3)CC2)c1 410230153 VEOJSZQLDXSXRW-UHFFFAOYSA-N 400.450 4.828 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1Br)N1CCc2cc([N+](=O)[O-])ccc21 432204202 YPYAAKYLKUZOPP-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CN(Cc1ccc(F)cc1Cl)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444010767 QQWTVLZUCCIHAE-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Oc3cccc(F)c3)c3ccncc23)c1[N+](=O)[O-] 444085712 HXAYWDPKYCTCSZ-UHFFFAOYSA-N 421.388 4.612 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCN(c2ccccc2)C1 447732195 OXAOYECUWKLVLI-KRWDZBQOSA-N 413.543 4.742 5 20 HJBD CCc1ccc(N(C(C)=O)c2nc(CSc3ccc([N+](=O)[O-])cn3)cs2)cc1 448111786 PDWJTJXUJQZCMF-UHFFFAOYSA-N 414.512 4.986 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 461257267 LTEQRVPBAAWLDL-SJORKVTESA-N 407.495 4.613 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 462632885 QUCMRNCPQOZMDI-JSNMRZPZSA-N 420.868 4.602 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccnc(OC(C)C)c1)C1CC1 462779768 TWEQYOCARGLNRF-IBGZPJMESA-N 411.502 4.537 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccccc1NCc1ccc(Cl)cc1 462835697 DWTBKPUHZNZUQU-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD Cc1cccc([C@H]2CCCN2c2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])c1C 462867227 RTXZOGBMDLEAKK-LJQANCHMSA-N 404.470 4.518 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1Cc2ccccc2C[C@H]1c1ccccc1 464222354 FSRHZMLXZVTDFB-QFIPXVFZSA-N 402.450 4.690 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](C)Oc1ccccc1Cl 466166783 FMFGLDSIWPHOID-HNNXBMFYSA-N 405.882 4.636 5 20 HJBD O=C(CCC(=O)c1csc2ccccc12)NCc1ccc([N+](=O)[O-])cc1Cl 466302894 MCJIXJQOKGZYET-UHFFFAOYSA-N 402.859 4.742 5 20 HJBD Cc1cnc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])o1 467763122 RCKFNMCVZWUFRW-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 471185526 JGQONHKKZWSXLO-LLVKDONJSA-N 410.879 4.636 5 20 HJBD O=C(NCCCOc1ccc2ccccc2c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 476708789 ZBZGPXLJDPPEDY-UHFFFAOYSA-N 418.371 4.966 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1 477073058 VVAYIQKTOAQYQZ-UHFFFAOYSA-N 424.501 4.820 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 481446247 SGRNLEIEEUJTTL-ORAYPTAESA-N 401.850 4.769 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2(c3ccc(F)cc3)CCCC2)cc1SC 483434069 BRHZBHNITOKMDZ-UHFFFAOYSA-N 404.463 4.664 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3ccc(Cl)cc3)s2)cc1[N+](=O)[O-] 484760575 KOOCFSJSDLYMMI-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD Cc1cc(S(C)(=O)=O)cc(NC(=O)c2cc3ccccc3c3cccnc23)c1C 484871011 CMJKKRFZNRSJJE-UHFFFAOYSA-N 404.491 4.661 5 20 HJBD CSc1c(F)cc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1F 486131525 UZJIGFGWXULRIG-UHFFFAOYSA-N 409.233 4.671 5 20 HJBD Cc1ccnc(-c2n[nH]c([C@H](C)NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)n2)c1 486801071 KRAGLLYHOQKVGL-AWEZNQCLSA-N 420.498 4.663 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC(F)F)c2ncccc12 490014312 XWSGLKYYTKNIKQ-LLVKDONJSA-N 419.409 4.864 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc2nc(C(F)F)sc2c1 490609616 BTVQBKVVKHGNPO-UHFFFAOYSA-N 406.414 4.849 5 20 HJBD CC(C)(NCc1ccc(OC(F)F)c(Br)c1)c1ccccc1[N+](=O)[O-] 492239591 JAQMBFXNEXZPNV-UHFFFAOYSA-N 415.234 4.984 5 20 HJBD CC(C)(C(=O)Nc1cc(Br)cc(Cl)c1O)c1ccccc1[N+](=O)[O-] 493005309 XYRBVOIQRCZVDN-UHFFFAOYSA-N 413.655 4.633 5 20 HJBD O=C(CCC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1csc2ccccc12 493207382 CFPVRRAARDIZPI-UHFFFAOYSA-N 408.479 4.964 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1ccccn1 497895577 ATPIGCMKBAASAT-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 498144493 MECCLJLXOXGEHR-LBPRGKRZSA-N 403.360 4.523 5 20 HJBD CC[C@H](C)Sc1ccc(NCC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])c(C)c1 498647647 VCUWFLWRBNSXSV-AWEZNQCLSA-N 403.504 4.853 5 20 HJBD COc1cc(C(=O)N[C@H](C)CC(C)(C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 502294911 QNQDUMHTXZPQTD-CYBMUJFWSA-N 422.428 4.691 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)O[C@@H](CC)C(=O)N3)cc1[N+](=O)[O-] 504917928 DHSDDINSRCXOTP-KSMMKXTCSA-N 413.474 4.515 5 20 HJBD COc1ccc([C@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C(F)(F)F)cc1 505991506 INDUXTDIJRUIAW-IBGZPJMESA-N 421.375 4.694 5 20 HJBD CC(=O)NC1CCC(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 508103659 OZWUDRCVNJUNRT-UHFFFAOYSA-N 417.893 4.577 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])C(F)(F)F)c1 511822218 DBIQAZPRJFITCG-CQSZACIVSA-N 417.181 4.699 5 20 HJBD C[C@H](C(=O)Nc1cccc(Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)n1cccn1 514820689 XDHJMYHALQQUFN-GFCCVEGCSA-N 419.363 4.753 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1OC[C@@H]1CCCCO1 517806227 KGTDVSLSXFOVCM-AWEZNQCLSA-N 405.838 4.840 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)s1 519050036 UWQQBHAOSUZBEY-UHFFFAOYSA-N 422.466 4.586 5 20 HJBD COc1ccc(C(=O)CCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 522671624 WWMSSBPYCPEMPB-UHFFFAOYSA-N 420.421 4.997 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OCC(F)(F)F)c(C)c2)cc1[N+](=O)[O-] 523093111 ISJCDEAKZDHZHD-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 523489012 NGCXAQDPNQKABR-CYBMUJFWSA-N 411.683 4.762 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccco3)nc3onc(C(C)C)c23)c([N+](=O)[O-])c1 524316012 GMWGVJDDCAXPJL-UHFFFAOYSA-N 422.397 4.775 5 20 HJBD CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)C(C)(C)c1ccccc1 525023242 HVUAKKWYJDLFSF-QGZVFWFLSA-N 405.292 4.844 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(OC)cc2C)c([N+](=O)[O-])cc1OCCC 525133978 AWVLEJBCAIBZMP-UHFFFAOYSA-N 402.447 4.742 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1cccc(Cl)c1SC(C)C 525525377 IXZJSIVGGIVQJT-UHFFFAOYSA-N 416.908 4.558 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)ncn1 525645368 GGWLSJJTALZMHE-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Br)cc2C(C)C)cc1[N+](=O)[O-] 534078294 QDEOMVYUQBQJDT-UHFFFAOYSA-N 407.264 4.671 5 20 HJBD CN(Cc1ccccc1)CC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 534123389 CIQJXJFNDQIMJC-UHFFFAOYSA-N 420.513 4.594 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1OC 535010326 JIWMKRFMZCGRJJ-UHFFFAOYSA-N 404.488 4.637 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc([C@H]4CCOC4)c3)n2)cc1[N+](=O)[O-] 535587276 LEWJUBUODDFHHD-KRWDZBQOSA-N 409.467 4.783 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)cc1F 537453482 IJLVCXAZHOMUGZ-UHFFFAOYSA-N 406.435 4.665 5 20 HJBD CCS[C@H](C)c1noc(CN(C)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 537695314 JXMPIZYWORAFPS-GFCCVEGCSA-N 419.532 4.547 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)on2)cc1 540454463 GZMUSCQVOJIKQM-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD COc1ccc(-c2noc(CSCc3ccc([N+](=O)[O-])cc3Cl)n2)c(OC)c1 542002055 FGVSFYPLQLZRPV-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD Cc1c(Cc2noc(-c3cc(C(C)C)nc4c3cnn4C(C)C)n2)cccc1[N+](=O)[O-] 547198287 IYSFHEGEQCPABB-UHFFFAOYSA-N 420.473 4.993 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1 555460973 GBGHGIRXGVMISW-IRXDYDNUSA-N 402.319 4.512 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(N(C)C(=O)c2ccc(Cl)cc2)cc1 578572644 VTUMOZIWAAOSQA-UHFFFAOYSA-N 414.849 4.842 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CC(F)(F)F)cs1 578933248 JQTXAGWPIHUSGR-UHFFFAOYSA-N 405.423 4.911 5 20 HJBD COc1cc(Cl)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C 581335644 XFWWDFUZSXOKOH-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1cc(Br)cc(C)c1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 604008238 BTGWPTNNTCQMRW-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H](c1ccccc1)C(F)(F)F 604127177 DVUWIRHWZDVZOE-ZDUSSCGKSA-N 405.279 4.621 5 20 HJBD Cc1c(NC(=O)N(CCCO)Cc2ccc(Cl)c(Cl)c2)cccc1[N+](=O)[O-] 609030923 RIJGWHVVLIKVEB-UHFFFAOYSA-N 412.273 4.627 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCc2cc(Br)cc(Cl)c21 609444317 VLDHLOOYHVYRSA-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 609546914 HDFJQCDLBVUKJI-MRXNPFEDSA-N 421.453 4.956 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)[C@@H]1C 609819914 UIOQYCICSVHSHV-ZIAGYGMSSA-N 419.934 4.826 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 610041379 JPSPCSRULPUZJU-MRXNPFEDSA-N 409.486 4.526 5 20 HJBD CCc1ccc(C(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)cc1[N+](=O)[O-] 610434307 CZHHIOYKLMYZPQ-UHFFFAOYSA-N 411.433 4.656 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611200502 WDXRKTHQTDESBN-UHFFFAOYSA-N 416.499 4.907 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)n1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 726149138 YUESBHQVWFOTIY-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3ccc(CC[C@@H](O)c4ccccc4)cc3)cc2N1 727829327 MXRPKQQFSXWNGA-HSZRJFAPSA-N 417.465 4.889 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728165429 KACQYFQCBYAGBR-UHFFFAOYSA-N 415.877 4.900 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 732546346 IRYPCVPBYLHSNV-SFHVURJKSA-N 405.564 4.579 5 20 HJBD COC(=O)C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 737713276 CHQDGYLVGHHJLE-KRWDZBQOSA-N 418.877 4.580 5 20 HJBD CC1(COC(=O)C/C(=C\c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)COC1 744590494 URUMEIBORIYSMQ-MHWRWJLKSA-N 424.478 4.715 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)cc21 747126105 HCIAJDDLMLRPHC-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD C[C@H]1CC(=O)c2c(OC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc(F)c21 747628879 JGWBASWAWIIQAB-JTQLQIEISA-N 412.398 4.767 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccccc1Oc1ccccn1 748675692 NVGOPZPMJYBZLB-CYBMUJFWSA-N 414.801 4.808 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3cnc(-c4cccs4)s3)[n+]2[O-])c1 751515407 YUWXGPATNWLPQI-VOTSOKGWSA-N 408.464 4.646 5 20 HJBD CSc1c(F)cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1F 752863889 MGJWXQIGJCEENL-UHFFFAOYSA-N 421.194 4.749 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3c(c2)NC(=O)[C@H](C)O3)c2ccc([N+](=O)[O-])cc2)o1 753700616 RKQUQBFIGVIAIO-ZSEKCTLFSA-N 407.426 4.671 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cn(C)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 772852880 MTELCWMJULKOPH-ZIAGYGMSSA-N 420.425 4.544 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@H](O)c2ccsc2)c2ccncc12 772901085 BBAZSYGKVVAIBF-QFIPXVFZSA-N 405.479 4.945 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2cccc([N+](=O)[O-])c2Br)c1 782169479 LMVHWIYPVUNRMZ-UHFFFAOYSA-N 421.291 4.602 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 782652794 RHFVMOXXINPVPS-UHFFFAOYSA-N 421.409 4.604 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2csc(-c3ccncc3)n2)CC1 788141510 AITQDCFNCDXJPT-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CC(C)n1ncnc1COC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 789678903 BWSCXKIFXRYXOF-UHFFFAOYSA-N 416.821 4.570 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790557674 GJPBCUQEGUBHBX-NSPYISDASA-N 418.856 4.710 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OCC 809915016 QSAMYEPQOMJAEE-GFCCVEGCSA-N 407.854 4.928 5 20 HJBD CCC(=O)c1ccc(N2CCC3(CCN(Cc4ccccc4)CC3)C2)c([N+](=O)[O-])c1 814261389 XIVFWZXMLYJFII-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD CC(C)CN(Cc1ccccc1F)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 914519027 YRHBPVUUXTWXDO-UHFFFAOYSA-N 409.255 4.795 5 20 HJBD Nc1ccc(C(=O)Nc2ccccc2SC[C@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] 918641474 HGXFWWSOFSZDOJ-LLVKDONJSA-N 412.298 4.715 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COc1ccc(Cl)cc1Br 1317689538 QPWVHRWBCMDWSB-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(C)c3c2C(=O)C[C@H]3C)cc1SC 1326270858 VAWUHXVYWHPODQ-LLVKDONJSA-N 401.440 4.543 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nnc(-c2cnn(-c3ccccc3)c2)o1 1326989104 AVZVHGIEMJXMAA-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD COc1ccc(OC(F)F)c(NCc2ccc([N+](=O)[O-])cc2Br)c1 1338292091 JWIPQIDIJUCQQK-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD C[C@H](Sc1nccn1Cc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16685329 NISLQMSQWMEUED-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(OCc3cccnc3)c(Cl)c2)cc1[N+](=O)[O-] 31471594 JCEZTJNUNVNCEV-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD CSc1ccccc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 43318984 NUQUOTNDUXTVST-INIZCTEOSA-N 417.487 4.521 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 55593040 IXAQLJPWIOGVEP-GASCZTMLSA-N 409.486 4.582 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cc(-c3ccccc3)nc21 63446962 PWHQQERHEYJLGA-UHFFFAOYSA-N 419.416 4.979 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 72032951 DHEQWENCIJALOM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1cc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cc([N+](=O)[O-])c1 195694205 MZDYYHUZQCLMRN-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3cccc(-n4cccn4)c3)o2)c([N+](=O)[O-])c1 237423768 MVFRWXDAQPVEDD-INIZCTEOSA-N 418.453 4.900 5 20 HJBD O=[N+]([O-])c1cccc(CNCc2cn(-c3ccccc3)nc2-c2ccc(F)cc2)c1 237920180 IAVMJPHAQRSSGT-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD CCn1c(Sc2ncnc3sc4c(c23)CCC4)nnc1-c1ccc([N+](=O)[O-])cc1 301706581 IOMUGIWYFOSDHU-UHFFFAOYSA-N 424.511 4.518 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)ccc1[N+](=O)[O-] 303325109 VDGBLFFJUCDUQK-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD COc1ccc(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)cc1[N+](=O)[O-] 303508235 SLKRBKRFQXEBRC-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCc2sccc2[C@@H]1c1cccs1 427126313 NGIDDUFAMMOWEX-GOSISDBHSA-N 400.481 4.514 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])cn1 434955509 NNDUHNPYPWPLKA-UHFFFAOYSA-N 400.822 4.841 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccc[nH]2)ccc1OCc1ccc([N+](=O)[O-])cc1 435769633 ASIXDGSIPWLYSG-OAQYLSRUSA-N 407.470 4.848 5 20 HJBD CC(C)(C(=O)Nc1cc2c(cc1Br)CCC2)c1ccc([N+](=O)[O-])cc1 443864308 ZOMBDGDAHGZLMC-UHFFFAOYSA-N 403.276 4.762 5 20 HJBD Cc1cc(C)c2c(c1)CN(C(=O)c1cc(F)cc3c(=O)c4cc(F)ccc4[nH]c13)CC2 444332728 UINIWACUSPWQAK-UHFFFAOYSA-N 418.443 4.775 5 20 HJBD COc1ccc([C@H](NC(=O)CCc2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 445923006 FWTYHEXMNQOYHX-HSZRJFAPSA-N 408.429 4.581 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 463379775 UVLDSFXLVHTOHI-SECBINFHSA-N 404.291 4.706 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 465146104 NFVLJMFYHHZOIC-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCO1 466778647 XENKJPFBYRDYIJ-INIZCTEOSA-N 404.850 4.682 5 20 HJBD Cc1coc(-c2cccc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2)n1 468321763 FNBRHXIRIQRRGA-UHFFFAOYSA-N 422.441 4.634 5 20 HJBD Cc1nc(C(C)(C)C)nc(O)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 474821260 KPDSTEYFMIFJTN-UHFFFAOYSA-N 422.441 4.741 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)NCc1ccccc1[N+](=O)[O-] 476856454 UKVTWMLNEXCXPL-INIZCTEOSA-N 420.307 4.520 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(F)c(OC)c2)c([N+](=O)[O-])cc1OCCC 479061700 CERUHOFBAIUTOR-UHFFFAOYSA-N 406.410 4.572 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 482143503 NQBZNEJXCGDTMP-KRWDZBQOSA-N 411.355 4.712 5 20 HJBD COc1ccc([C@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)C(F)(F)F)cc1 482336683 PERFLSPYMNUSFU-SFHVURJKSA-N 423.391 4.581 5 20 HJBD CSc1cccc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)c1[N+](=O)[O-] 485757372 MTWIMOYLYWFFSZ-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@H]2C)c1[N+](=O)[O-] 486253226 RJYXDIHYKHOCTO-MLGOLLRUSA-N 406.891 4.572 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Br)cc2C(C)=O)c1[N+](=O)[O-] 486564719 JPMRZHMHGIHOLH-UHFFFAOYSA-N 409.261 4.534 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCCc2cc(OC(F)(F)F)ccc21 487209680 RCRDGXOHNIVXHT-UHFFFAOYSA-N 421.375 4.661 5 20 HJBD CCNC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 488543185 YUAGHEZPPXNEOQ-UHFFFAOYSA-N 415.515 4.653 5 20 HJBD COCC1(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCOCC1 491586878 RVGAVXJFSLSCAJ-UHFFFAOYSA-N 420.893 4.573 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1c(Cl)cccc1[N+](=O)[O-] 494778815 IZDYVCOVCKRQAJ-LLVKDONJSA-N 411.241 4.569 5 20 HJBD CC(C)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)NC1CCCCC1 498086570 VRMNCLRFSNAKMH-NRFANRHFSA-N 423.582 4.652 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 504912366 VEXORYIRYAQAOX-JLTOFOAXSA-N 414.893 4.821 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](COc2ccccc2)C1 505661071 NGHAIPWJIWWKIY-MRXNPFEDSA-N 400.500 4.638 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 509398105 ZXEYJFRZWDUMNB-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD O=C(c1cc(N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)ccc1[N+](=O)[O-])N1CCCC1 509467578 APSSTTRQLRUSGC-ACDBMABISA-N 405.498 4.746 5 20 HJBD O=C(NCc1ccncc1OCc1ccccc1)Nc1ccc([N+](=O)[O-])cc1Cl 513606235 KTAWZSBEZBPPLK-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1)c1ccccc1 515451142 BIFCYIBFYQOQGH-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1c(Cl)cccc1[N+](=O)[O-] 517655852 AEBXQCRQMNGFOS-UHFFFAOYSA-N 415.877 4.697 5 20 HJBD C[C@@H]1CCN(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2S1 518877357 QTRGQUKTQTVJJR-CHWSQXEVSA-N 406.891 4.933 5 20 HJBD CSc1cccc(-c2nc(-c3ccc(C(=O)N4CCC[C@H]4C)cc3)no2)c1[N+](=O)[O-] 519848364 GEHVZILXCOKXHR-CYBMUJFWSA-N 424.482 4.658 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccncc2)c1 522636637 ILIOSDUHVHWQIB-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD CC(=O)c1ccc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)s1 522816839 ABMNLRWHOPDSTK-UHFFFAOYSA-N 422.422 4.557 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)o1 523496379 UGYVZAQUCZYJBD-HXUWFJFHSA-N 408.458 4.693 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)[C@@H]3CCc4c(Cl)cccc43)co2)cc1 534797216 WYSQNIHYBJRROC-GOSISDBHSA-N 418.858 4.506 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534965121 MKZARONLCWFKNU-UHFFFAOYSA-N 420.469 4.509 5 20 HJBD CN(Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1)Cc1nccn1Cc1ccccc1 536677428 VREPENPMXLMQIR-UHFFFAOYSA-N 402.454 4.732 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCCCOc1ccc(F)cc1 539294401 CYYMVOVUPMMYBE-UHFFFAOYSA-N 406.479 4.823 5 20 HJBD C[C@H](Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 539726747 GBIUKYFEWQWWIB-KRWDZBQOSA-N 410.495 4.883 5 20 HJBD O=C(CCN1CCCCC1)Nc1cccc(NC/C=C/c2ccccc2[N+](=O)[O-])c1 539921403 XFFXRUHENOTTED-VQHVLOKHSA-N 408.502 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCN(c4ccc(Cl)cc4)C3)cs2)c1 540686631 UQGLHBALZAOEGH-INIZCTEOSA-N 414.918 4.740 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 543519949 YHWSALQORUDRRA-GFCCVEGCSA-N 416.890 4.661 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 546846799 HXOFCTRBFIKIKX-IBGZPJMESA-N 421.419 4.833 5 20 HJBD Cc1csc(-c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)n1 547202821 RLWLEEXWUWTHBS-UHFFFAOYSA-N 423.241 4.569 5 20 HJBD C[C@H](C(=O)Nc1cccc(Br)c1Cl)c1ccc([N+](=O)[O-])cc1F 549015825 XKTVKPROTSFRDO-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549256286 NZZAJEPMUBQBGK-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(Br)c1Cl 549328659 KBYOJEVNSCLJRM-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD COc1cc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cc([N+](=O)[O-])c1OC 554984251 BUDZNWOVXNXLOK-QGZVFWFLSA-N 424.375 4.512 5 20 HJBD C[C@H](C(=O)N(C[C@H]1CCCO1)c1nc2ccccc2s1)c1cccc([N+](=O)[O-])c1 570579606 PPFRVIKKOIBDFW-WMLDXEAASA-N 411.483 4.520 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 570972650 YGPANXWNDVTFES-UHFFFAOYSA-N 418.818 4.999 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc2nc(-c3ccccc3)oc2c1 582563448 YHEZIXULSOUHGD-UHFFFAOYSA-N 401.422 4.718 5 20 HJBD CC(C)OCc1nc([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)no1 584561452 JKMAGRIRCOFJIU-GFCCVEGCSA-N 420.853 4.667 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NCc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 600861258 MXHBHZGOUURNJH-UHFFFAOYSA-N 407.392 4.770 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4ccc(NC)c([N+](=O)[O-])c4)CC3)c[nH]c12 604193446 XAKSAZFGIOIBRL-UHFFFAOYSA-N 406.486 4.700 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc2ccccc2c2cccnc12)c1c(F)cccc1F 604341173 GSYRFNSAOKYUDO-OAQYLSRUSA-N 405.448 4.699 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H]3CCCN(Cc4ccccc4)C3)o2)c1 608837058 NCMRDVMRPWHGQO-FQEVSTJZSA-N 405.498 4.857 5 20 HJBD COc1ccc(C(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 608945313 FQFBFPNPUJOOTA-MRXNPFEDSA-N 408.376 4.990 5 20 HJBD C[C@H](c1ccccc1)N1CC[C@@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 609599672 NJIGKENWJFLKPV-VQIMIIECSA-N 408.527 4.643 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1csc(-c2cnccn2)n1 609761739 GMIVCNDRJWEBPM-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC([C@@H]2CCOC2)CC1 612308506 YLNMXWBMWUCDKS-QGZVFWFLSA-N 411.458 4.668 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 726796563 FBWKMMWNIGKAJT-VIFPVBQESA-N 420.878 4.614 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cc(Cl)ccn3)cc2[N+](=O)[O-])n1 726861814 SAAJZVDAQBSVRY-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD CC[C@@H](OC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(Br)c1 727960235 BZAATUSEGLRUQL-MRXNPFEDSA-N 408.248 4.603 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 730110170 ZHFAYQPHLFIANO-GFCCVEGCSA-N 417.428 4.743 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@H](c1ccccc1)c1ccc(F)cc1 730193938 WKDZDQQJUIPETN-OAQYLSRUSA-N 423.400 4.894 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)Nc1cc([N+](=O)[O-])ccc1F 730451585 BOBMJUSCNHSWGL-UHFFFAOYSA-N 413.352 4.934 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@@H](C)C2 730695834 XVOFLULVACCWHQ-HZUKXOBISA-N 417.845 4.679 5 20 HJBD O=C(COC(=O)[C@H]1C[C@@H]1c1ccc2ccccc2c1)Nc1ccc([N+](=O)[O-])cc1Cl 731277076 PJVRBGMIOFVVEE-MSOLQXFVSA-N 424.840 4.687 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1nc(-c2ccccc2)cs1 731413605 LADUCRNKLJKGHL-NTEUORMPSA-N 411.826 4.716 5 20 HJBD COc1ccc(OC(=O)c2ccc(NC(=O)OC(C)(C)C)cc2Cl)c([N+](=O)[O-])c1 740775674 WNQUHEVTVUVCFX-UHFFFAOYSA-N 422.821 4.823 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc4ccccc4[nH]3)CC2)c(Br)c1 742174730 IXZRQOPEMKIHTG-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2nc(C)cs2)cc1 744309282 OVIJDYRJJOMTMC-UHFFFAOYSA-N 400.412 4.518 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1C 745823936 IBZSNIVSZBCFTD-UHFFFAOYSA-N 420.874 4.854 5 20 HJBD CC(=O)c1ccc(OCC(=O)c2cc(C)n(Cc3ccccc3)c2C)c([N+](=O)[O-])c1 749819808 WWMNDIFHULLVML-UHFFFAOYSA-N 406.438 4.526 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])ccc1Br 755420864 JXENIWFSDHJHJU-WFASDCNBSA-N 416.275 4.598 5 20 HJBD C[C@H](OC(=O)c1cccc(-c2ccoc2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 760571490 IOKBQTYUXSCDHJ-ZDUSSCGKSA-N 405.366 4.823 5 20 HJBD O=C(N[C@H](CCO)c1cccs1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761935984 RKJFYGQRPWGFPC-GOSISDBHSA-N 414.508 4.661 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3c(Cl)nc4sccn34)no2)cc(C(F)(F)F)c1 771321510 MRSMCXHCROXYMS-UHFFFAOYSA-N 415.740 4.693 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 774627724 SYIAWZOTDKFHEG-KKSFZXQISA-N 414.893 4.655 5 20 HJBD Cc1cccc2[nH]cc(CC(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c12 776136594 WAUVYSSUCGVJHT-HSZRJFAPSA-N 401.422 4.655 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 787575749 LTDRAYIEJKYUEA-UHFFFAOYSA-N 410.451 4.854 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)c1ccccc1Br 789035290 VUDHPPOKIDHKEB-JTQLQIEISA-N 418.199 4.532 5 20 HJBD CN(C)C(=O)Sc1cccc(CSCCC(=O)Nc2ccccc2[N+](=O)[O-])c1 799988094 RMRLMOQKXZMBFS-UHFFFAOYSA-N 419.528 4.631 5 20 HJBD CC(C)([C@@H](OC(=O)[C@@H]1CCCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 800404680 QFHBKSZPMOVCSZ-OCCSQVGLSA-N 420.250 4.914 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C(=O)Nc2ccccc2Cl)C(C)C)c1F 803457407 IEEINVKRFFHHAE-QGZVFWFLSA-N 408.813 4.516 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2cc1Br 808703305 KLJXOIIVZKRUFA-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD O=C(NCc1ccccc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812876933 NJUMHDFIIGMLAV-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc([N+](=O)[O-])c1Cl 813246235 DGBSKVLXVAYWJQ-UHFFFAOYSA-N 402.215 4.541 5 20 HJBD CCOc1c(Cl)cc(/C=C2\C(=O)Nc3cc(Cl)c([N+](=O)[O-])cc32)cc1OC 915114682 OWBXGEPPVIOHIY-WCIBSUBMSA-N 409.225 4.802 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 919515904 GHMZGZKPLCWJKT-UONOGXRCSA-N 409.442 4.774 5 20 HJBD COc1cc(C(=O)Oc2ccccc2Cl)c([N+](=O)[O-])cc1OCc1cscn1 919972458 QWZAOKGCZUQPJM-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2nc3ccccc3s2)CC1 7645045 XNNZBAPXTIRODU-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1[N+](=O)[O-] 29516584 NFWMZGBCOWNVKI-HUUCEWRRSA-N 412.511 4.666 5 20 HJBD CCCOc1ccc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1OCCC 33245790 ICRYZOMRGBQKAT-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD COc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1Cc1ccccc1 59743169 QTLOPJPXLTVJOH-UHFFFAOYSA-N 406.438 4.602 5 20 HJBD O=C(c1cc(NCCc2c(Cl)cccc2Cl)ccc1[N+](=O)[O-])N1CCCC1 64915763 AERHOJNRIQTZHG-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD C[C@H](NC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCC1)c1ccccc1 97570433 KLBIXOLGFARGIK-HNNXBMFYSA-N 401.894 4.642 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 105918802 GYXJZOCOBFLOOR-UHFFFAOYSA-N 404.897 4.857 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccccc3)c3ccccn3)cs2)c1 238009712 HBDGHEXKTPSQPR-NRFANRHFSA-N 402.479 4.993 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(c2nc3ccccc3s2)CC1 301367891 RAYWANKKKAXGSQ-UHFFFAOYSA-N 424.482 4.545 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCc2cc(OC(F)F)ccc21 301409841 OJBXLKUEZGCCHI-MRXNPFEDSA-N 419.384 4.642 5 20 HJBD Cc1nc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)ccc1[N+](=O)[O-] 301661517 UPVKQEJFZFYESU-UHFFFAOYSA-N 419.349 4.772 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2ccccc2)n1Cc1ccco1 301743083 MEZOGMXHGFCLPG-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CCNc1cc(C)nc2c([N+](=O)[O-])cccc12 301979810 AMHGVVXSJBLNLJ-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD Cc1ccc(CNC[C@H](Cc2ccc(-c3ccccc3)cc2)C(=O)O)cc1[N+](=O)[O-] 426637517 FDJCXJSSMWNLMQ-QFIPXVFZSA-N 404.466 4.603 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[nH]1 427188301 YRXHUFFNHORYPV-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc(C2CC2)nc2ccc(F)cc12 429916664 BMMTVUPCWFCHIN-UHFFFAOYSA-N 423.400 4.573 5 20 HJBD COc1ccc(Cl)cc1[C@H](CC(C)C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] 435878424 BPQXOIGNVYZBHU-INIZCTEOSA-N 406.866 4.783 5 20 HJBD CCOc1ccc([C@@H]2C[C@H]2NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 437225617 DIDDDLFHHUDFQU-PZJWPPBQSA-N 416.452 4.505 5 20 HJBD O=C(c1ccc2c(c1)nc(O)n2C1CCCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437478273 UMWJDUUNZODNTJ-UHFFFAOYSA-N 420.469 4.570 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)c2ccc(Cl)cc2F)cc1[N+](=O)[O-] 439180025 AJHNQHOYXZUQPF-UHFFFAOYSA-N 401.619 4.735 5 20 HJBD COCc1cccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440756359 MXYWWWSOBMJSEB-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 444229028 SWKSJLANUJINPD-LJQANCHMSA-N 410.473 4.559 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444235103 IBLIAVCYCWOYQB-HXUWFJFHSA-N 402.416 4.922 5 20 HJBD CCN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(C)cc1)c1cccnc1 445792763 FBXRRYIZZDYYNQ-DEOSSOPVSA-N 403.482 4.869 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc2cc(Cl)ccc2s1 462483209 JUAUUKDMGJATHQ-CYBMUJFWSA-N 403.891 4.743 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 466270449 KGUSZGLUCWYFQT-MRXNPFEDSA-N 407.495 4.562 5 20 HJBD COc1ccc(N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 466902376 GQMNLNGXBMPIHA-UHFFFAOYSA-N 418.368 4.516 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1 469450505 QDHIPGQPNWGTIQ-UHFFFAOYSA-N 403.385 4.593 5 20 HJBD Cc1cc(Sc2nnc([C@@H](C)N3CCCCC3)n2-c2ccccc2)ncc1[N+](=O)[O-] 470861813 ZQGAWEQSPMNHTP-MRXNPFEDSA-N 424.530 4.577 5 20 HJBD O=C(c1cc2nccnc2cc1F)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 470980653 ASCBLQVTUYQZLX-UHFFFAOYSA-N 402.385 4.524 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 471547115 LJHOSGCLEUFWPL-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472942177 RMPKGJLITDCRIR-UHFFFAOYSA-N 415.298 4.785 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCCC[C@@H]1c1nc2ccccc2o1 474221156 XZXKDXICCSCTBY-LJQANCHMSA-N 408.458 4.600 5 20 HJBD Cc1cccc2c1N(C1CC1)CCN2C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 478681653 LHNCUSRJRCFYNC-UHFFFAOYSA-N 420.494 4.656 5 20 HJBD COc1ccc(NC(=O)N(C)[C@@H](C)Cc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 480360291 AXIQMXJEQKDPGD-LBPRGKRZSA-N 411.380 4.717 5 20 HJBD COc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1OC 481548949 ZDAFMNNLMOPIJN-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1ccccc1OC 482121196 IEFZNDNKPVIOLS-IRXDYDNUSA-N 411.502 4.752 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCc1nc(-c2cc(F)c(F)c(F)c2)no1 486720576 DPIGDIDXJXACDD-UHFFFAOYSA-N 411.361 4.504 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC[C@@H](Oc2ncccc2F)C1 487078756 AGDRDZUPRKDGRA-QGZVFWFLSA-N 401.441 4.606 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)CC3 498718143 BORAGKPMQIYUJH-QGZVFWFLSA-N 418.497 4.616 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC2CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 501244704 KUSYLRWTRHIMNA-AWEZNQCLSA-N 418.444 4.718 5 20 HJBD Cc1cccc([C@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C(F)(F)F)c1 511693681 VFEFGXNUWAUFFP-QHCPKHFHSA-N 424.422 4.883 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](n2ncc3ccccc32)C1 511734130 LUZYJKFEZBEEEE-SFHVURJKSA-N 424.526 4.924 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H]3c3cccc4c3OCCO4)cs2)c1 513123363 UJUOPKAWTZXDNS-IBGZPJMESA-N 423.494 4.827 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Oc3ccccc3Cl)CC2)c1 513893254 PVBLXEBYLWYFTO-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)cs1 514051811 LDODTYSXOFYONC-CQSZACIVSA-N 410.499 4.630 5 20 HJBD CCN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)c1cc2ccccc2o1 519158022 YYGNOBIGKNGWCV-HNNXBMFYSA-N 404.426 4.750 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)s1 521631018 JPVLWOFSNSCNHE-KRWDZBQOSA-N 421.482 4.582 5 20 HJBD COc1ccc(CN[C@H](C)c2cnn(C(C)C)c2)cc1OCc1ccc([N+](=O)[O-])cc1 522136699 NRXMYZRLDZIIIB-QGZVFWFLSA-N 424.501 4.811 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc(F)cc([N+](=O)[O-])c1 524680998 NWVKHQZZVVXQBK-MRXNPFEDSA-N 415.421 4.804 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 525016113 IBWULXITGYYJMQ-UHFFFAOYSA-N 416.481 4.893 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)c3cc(OC)c(OC)c([N+](=O)[O-])c3)ccc21 525517958 OSZRTKCRCCTLJA-UHFFFAOYSA-N 419.437 4.992 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN[C@@H]2CCOC3(CCC3)C2)cc1 531759851 UWSWHKAWKJQTTH-HXUWFJFHSA-N 418.497 4.639 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)nn1C(F)F 535602374 LXMNFGPWJAPIRB-UHFFFAOYSA-N 410.427 4.960 5 20 HJBD O=[N+]([O-])c1c(N2CCC3(CCN(Cc4ccccc4)C3)CC2)ccc2ncccc12 535687098 RTRPAEJBCXQANG-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 537323610 WVZNQJDPVGKZJJ-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538045467 MQIUENGUKQHJSM-CRAIPNDOSA-N 407.829 4.656 5 20 HJBD C[C@@H]1C[C@@H](CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 541370218 ZAJQMOZKHCTROK-MOPGFXCFSA-N 420.513 4.742 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 542763610 XKVIDLNBVLHCMQ-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2nncc3ccccc23)cc1 544030054 WLWJWMXJPCADEK-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@]3(C)CCCO)cc2[N+](=O)[O-])cc1 544540197 AHXUUKHUYSASLP-QFIPXVFZSA-N 414.527 4.822 5 20 HJBD C[C@@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)C(=O)c1ccc(Cl)cc1 547264195 WYDAAESJSOBJEK-LBPRGKRZSA-N 424.844 4.765 5 20 HJBD COCc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 552814772 KTFVXXSCIOPANR-UHFFFAOYSA-N 407.517 4.732 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 556419935 PCUDKOOQTONHBM-KXBFYZLASA-N 422.403 4.820 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)C2CCC2)cc1 560099664 SHTTWVXKRFBBQV-UHFFFAOYSA-N 417.259 4.901 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCCO1 561745104 JSLCJGCVYALHNV-IBGZPJMESA-N 400.500 4.633 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2cc3ccccc3n2CC(F)(F)F)n1 564126254 SRIJARPMDLKNLJ-UHFFFAOYSA-N 402.332 4.753 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C/c3ccc([N+](=O)[O-])cc3)n2)c1)c1ccco1 564525534 RLRMITIOAZGOOR-DHZHZOJOSA-N 402.366 4.661 5 20 HJBD COc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 570857901 IXUCXEFPVYVKNT-UHFFFAOYSA-N 411.380 4.767 5 20 HJBD Cc1cc(F)ccc1-c1nc(C(C)(C)C(=O)NCc2ccccc2[N+](=O)[O-])cs1 571334665 PILFLKFZJLOGGZ-UHFFFAOYSA-N 413.474 4.760 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)N3CCOc4ccc([N+](=O)[O-])cc4C3)cc2s1 580680264 FUMNQDPEWUUWEP-UHFFFAOYSA-N 418.862 4.593 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 603966501 BKLQTDYWRBIIGY-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1OC 608948505 BLWCALIJYNDWFH-HXUWFJFHSA-N 420.465 4.634 5 20 HJBD O=C(Cc1ccccc1OC(F)F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 609814044 VYCFOWSFMFQTFS-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609982015 ZKDXJTBLVNHMAX-GDBMZVCRSA-N 424.526 4.894 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC[C@H](c3nc4ccccc4o3)C2)cc1[N+](=O)[O-] 610597024 MNULVAFUROFQDV-WBVHZDCISA-N 408.458 4.695 5 20 HJBD O=C(Nc1nncn1Cc1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206140 HXIRNFMNGAHMKI-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCc1ccc(C)nc1N1CCCC1 611591345 IWABNSIRGHAVCC-SFHVURJKSA-N 400.548 4.559 5 20 HJBD Cc1c(CN[C@@H](c2ccc(Br)cc2)[C@@H]2CCCO2)cccc1[N+](=O)[O-] 616357504 RIIYFIWLGHJWML-OALUTQOASA-N 405.292 4.676 5 20 HJBD CCCOc1cc(NC(=O)c2cccc3cccnc23)c([N+](=O)[O-])cc1OCCC 619206462 UWQHIZNVTCDRFG-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 619638753 AKSLZOSKWWCFSA-UTKZUKDTSA-N 413.474 4.625 5 20 HJBD Cc1c(CO)cc([N+](=O)[O-])c(N(C)Cc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 725791336 RPIXVWRHQLIGMT-UHFFFAOYSA-N 407.426 4.607 5 20 HJBD CC[C@@H](CC(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 729017515 PRBVFUQTCCPRSH-KBPBESRZSA-N 404.850 4.702 5 20 HJBD C[C@H](OC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccnc1 733388348 TXLDEFOJYVTZDJ-ZDUSSCGKSA-N 410.813 4.792 5 20 HJBD O=C(CSc1nc2ccccc2s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 741957872 OVYZHVGNVHOYPD-KRWDZBQOSA-N 417.537 4.571 5 20 HJBD Cc1c(COC(=O)Cc2csc(-c3ccc(F)c(F)c3)n2)cccc1[N+](=O)[O-] 750167697 SCDMGWJXQJKBRG-UHFFFAOYSA-N 404.394 4.591 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])cc2)n1 753353484 ZERRULQOPFPZQV-UHFFFAOYSA-N 412.446 4.853 5 20 HJBD Cc1ccc(CC(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)o1 753910902 LUCWHYFPIVEVFN-UHFFFAOYSA-N 414.801 4.550 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)nc12 757440443 VQAWKUSPXITKSY-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1csc(Sc2ccc(-c3nc([C@]4(C)CCCO4)no3)cc2[N+](=O)[O-])n1 763318095 QMQHQDKWFNIAJA-KRWDZBQOSA-N 404.473 4.587 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 763434005 LIJBWTUWFLSGGE-UHFFFAOYSA-N 412.496 4.511 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1c(C)cccc1C 763811921 VVBNLISKHDWEMZ-AWEZNQCLSA-N 402.472 4.508 5 20 HJBD COc1cc(/C=C(\Cl)c2nc(O)c3c4c(sc3n2)CCCC4)ccc1[N+](=O)[O-] 770568105 ZTWYCNQZSAKWRJ-WQLSENKSSA-N 417.874 4.929 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 778791355 VUHBOVDIIBVGTF-LBPRGKRZSA-N 413.411 4.853 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(c1ccc(N(C)C)cc1)[C@H](C)c1ccccn1 779463506 FJVMCMKXXPSFKI-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC[C@H]1/C=C/c1ccccc1 780293892 SHLZBFHIXDNQHC-HVHJFMEUSA-N 401.260 4.675 5 20 HJBD COc1ccc(-c2nc(S/C=C/c3ccc([N+](=O)[O-])o3)n[nH]2)cc1Br 789970437 NUWMAVFOOMKVKY-VOTSOKGWSA-N 423.248 4.507 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc(OC3CCCC3)c(F)c2)cc1[N+](=O)[O-] 791376469 NHEKRIYRVQZHMP-UHFFFAOYSA-N 405.401 4.513 5 20 HJBD Cc1onc(-c2cccnc2)c1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 796899551 ZMYXTKGRVXZZCH-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)COc1ccc([N+](=O)[O-])c(C)c1 797468836 PNTQEAZVRZBRCV-UHFFFAOYSA-N 415.446 4.576 5 20 HJBD Cc1ccc(CN(CCc2ccccc2)C(=O)c2cc([N+](=O)[O-])c(F)cc2N)s1 801802832 IHRFBYBWFJIURZ-UHFFFAOYSA-N 413.474 4.571 5 20 HJBD CC(C)Cn1ncc(NC(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1C1CC1 806367613 PIDLLYYXCNMACK-UHFFFAOYSA-N 422.283 4.731 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 807687975 VZTDCMXTHPNBGC-UAGQMJEPSA-N 415.287 4.766 5 20 HJBD C[C@H](OC(=O)c1nc2ccccc2cc1Br)c1cccc([N+](=O)[O-])c1 808228293 SROZBJCYDCMQJC-NSHDSACASA-N 401.216 4.824 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CCOCC1)Nc1cccc([N+](=O)[O-])c1Cl 809916640 QZQFRYWPYJZUDN-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD CC(=O)c1cccc(OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 898280640 FULUGGJGVKELJZ-UHFFFAOYSA-N 414.464 4.933 5 20 HJBD CON(C)Cn1c(=S)n(-c2cccc(C(F)(F)F)c2)c2ccc([N+](=O)[O-])cc21 917064552 DSLADIFZNAGYDA-UHFFFAOYSA-N 412.393 4.539 5 20 HJBD O=C(OCc1noc(-c2ccsc2)n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917257944 YDUKIJBMRGVZCJ-UHFFFAOYSA-N 423.406 4.856 5 20 HJBD CC(C)c1ccc(CN2CCc3c(ncn3CCc3ccccc3)C2)cc1[N+](=O)[O-] 929066838 DPWOHFPNKXAPFV-UHFFFAOYSA-N 404.514 4.716 5 20 HJBD COc1ccc(CSCc2nnc(-c3ccc4ccccc4n3)o2)cc1[N+](=O)[O-] 1345626012 CCISLGLHYHDHMX-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD CCn1c(SCc2nnc(-c3ccco3)o2)nc2cc3ccccc3cc2c1=O 7577838 VOGIQDKETXARTR-UHFFFAOYSA-N 404.451 4.505 5 20 HJBD CCN(CC)C(=O)[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1 26428779 YNHSXCFYIZQIOZ-KRWDZBQOSA-N 401.513 4.906 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccccc2C(C)=O)cc1 33150019 MBNXXTDXEYWXCS-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccnc1Oc1ccccc1 36759628 PAJQSAUKJCFIMY-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cccc(OCc2cccnc2)c1 56288421 MPOJBMCBIPDLQN-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Sc2ccc(Cl)cc2)cc1 61190038 HRVNANDATUEXML-UHFFFAOYSA-N 416.890 4.933 5 20 HJBD COc1ccc(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 72042986 ZZUGESNWQBVTIP-CQSZACIVSA-N 409.467 4.585 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)c2cc(C(=O)OC)cc([N+](=O)[O-])c2)c(C)c1 109642431 CEAREMXPTDXFMU-CYBMUJFWSA-N 402.472 4.833 5 20 HJBD COc1cc(C)ccc1CNCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 237223561 XXDNKYKIWCDCNC-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD Cc1nccn1C[C@H](C)CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237577707 HROIBAHFBOIOGJ-OAHLLOKOSA-N 414.893 4.971 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](CCc2ccccc2)C(=O)O)cc1[N+](=O)[O-] 426778032 SZKXYWMGLOYMQV-NRFANRHFSA-N 419.481 4.538 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc(Br)c(F)c1 430424732 JICOEKQFYJOWLE-KRWDZBQOSA-N 422.254 4.543 5 20 HJBD Cc1cc(C(=O)N2CCC(c3ccccc3Br)CC2)cc([N+](=O)[O-])c1 439030804 WMEXHYPGLRFHPK-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1cc(C(=O)Nc2ccc(NCCc3ccccc3)cc2)cc([N+](=O)[O-])c1OC 439218567 VRDZLEDUWVVJDW-UHFFFAOYSA-N 421.453 4.519 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)n1 440815815 AKHNBRKBJKGMLW-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD COCC[C@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccco1 441282994 AMKYJICKRUXRBK-INIZCTEOSA-N 412.467 4.847 5 20 HJBD COCc1nc(CNCc2ccc([N+](=O)[O-])cc2Cl)c(-c2ccccc2)s1 441364430 ZEVZAMVITHNXGE-UHFFFAOYSA-N 403.891 4.808 5 20 HJBD Cc1ccc(Oc2ccccc2)c(NC(=O)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 442431189 ODLZUZLSLIELDC-INIZCTEOSA-N 419.437 4.511 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)cc(F)c1Br)c1ccc([N+](=O)[O-])cc1 443529768 HMWYSFWCEMHIPO-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD CCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H]1C[C@@H](OCC)C1(C)C 444090933 ZXWHWPVJSQVETG-FCHUYYIVSA-N 406.526 4.737 5 20 HJBD O=C(Nc1ccc(OCC(F)F)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444101043 MMZUYCHGHAGMJT-UHFFFAOYSA-N 412.367 4.717 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1noc(-c2ccccc2)n1 446053748 MOOKICYNVXMHTA-CQSZACIVSA-N 414.490 4.990 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 461754219 HDIBIQMTYTWLKW-UHFFFAOYSA-N 418.453 4.791 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 462628263 DKSCJTSUXVFFOH-OAHLLOKOSA-N 406.442 4.730 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCC1(c2cc(C(F)(F)F)ccc2Cl)CC1 469842126 NFPZAQDCUBMIIF-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2cc(F)ccc2C)s1 469892609 JOJYKBLXVOBOHN-UHFFFAOYSA-N 418.475 4.925 5 20 HJBD CC[C@H]1CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])CC[C@H]1c1ccccc1 470002507 GJTYTIUBXRHSFS-DOTOQJQBSA-N 414.527 4.981 5 20 HJBD Cc1ccc(-n2cc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cn2)c(C)c1 470198634 UBGHPOFXLPRNNY-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD Cc1cc(N[C@@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)ncc1[N+](=O)[O-] 470866535 NBIMEKBRJXZFFM-NRFANRHFSA-N 411.845 4.924 5 20 HJBD COc1ccccc1[C@@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)OC(C)C 473774113 FZLPIJJZXQTEMS-HXUWFJFHSA-N 401.463 4.508 5 20 HJBD CC(C)(C)c1nsc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)cc2Cl)n1 474395922 LYIYGSGIHAEDEH-UHFFFAOYSA-N 421.866 4.770 5 20 HJBD COc1c(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)cccc1[N+](=O)[O-] 476339959 ZMHPCHLEJDKZIT-CQSZACIVSA-N 411.483 4.869 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-])CCC2 479077695 LZLDGQMZPIRFAG-ZDUSSCGKSA-N 424.320 4.526 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)c2ccncc2)c1 481798222 FAWYBTHMKCQPHE-UHFFFAOYSA-N 422.466 4.606 5 20 HJBD CCc1c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cnn1-c1cccc(Cl)c1 482742617 GGCFOXVRLBOXMF-UHFFFAOYSA-N 423.860 4.590 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1 484393905 AYOLIPKDRWSMSJ-UHFFFAOYSA-N 404.488 4.620 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@H]1CCCSC1)c1ccccc1 487937095 VFYBPOODSJIDES-KUHUBIRLSA-N 419.934 4.661 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Br)cn1 488161343 QHPQDOOIUYBMPS-UHFFFAOYSA-N 424.320 4.777 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)C1 490581683 DRMODINYAPVUCN-PKOBYXMFSA-N 413.543 4.953 5 20 HJBD CCCCOC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CC1 491028082 MKOOKZNNTNDEKW-UHFFFAOYSA-N 411.502 4.628 5 20 HJBD CCC1(CO)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 497353629 NPFOMRCQYXHEBY-UHFFFAOYSA-N 418.877 4.665 5 20 HJBD Cc1cccc(-c2ccc(CNC(=O)c3cc([N+](=O)[O-])ccc3SCCO)cc2)c1 497887070 QTSICEVCNGIHDN-UHFFFAOYSA-N 422.506 4.585 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 500123443 ROIRUHCNDKZJMX-GOSISDBHSA-N 419.334 4.667 5 20 HJBD COCCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)c1ccccc1 500135262 GNLAOSOECQOZCD-HNNXBMFYSA-N 411.483 4.568 5 20 HJBD C[S@@](=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 508658379 VAQCTPDJFLNNTO-XHCCPWGMSA-N 400.525 4.533 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc2nc(-c3ccccc3)oc2c1 513015145 RNDAXMFIPHVRFF-UHFFFAOYSA-N 401.422 4.718 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN[C@@H](C)c2c(F)cncc2F)cc1OC 513254831 CBRRECQEATVECD-STQMWFEESA-N 409.433 4.552 5 20 HJBD O=[N+]([O-])c1ccc(N2CCN([C@@H](c3ccccc3)c3ccc(Cl)cc3)CC2)cn1 514593576 ZFWXSELHTONSBO-QFIPXVFZSA-N 408.889 4.555 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 514621319 MXWCXSXVVKUQCD-UHFFFAOYSA-N 407.264 4.934 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)s1 518047099 CHZQXKAXTGBSMN-AWEZNQCLSA-N 407.455 4.722 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1 518343493 FVQIRFZQJQHFPD-FLIBITNWSA-N 400.394 4.789 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 518836124 BPHOUZJEQRMZMZ-KGLIPLIRSA-N 406.866 4.680 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 521377328 MUZSQINMNOIOTG-UHFFFAOYSA-N 420.400 4.668 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)n1 522644571 MTGRHSHJFUYTIR-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=C(NCCCCSc1ccccc1Br)c1csc([N+](=O)[O-])c1 522898422 DTAMUHAZSVZLAA-UHFFFAOYSA-N 415.334 4.721 5 20 HJBD C[C@@H](N[C@@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533505162 APYLXTDEHBFWBC-CWTRNNRKSA-N 405.857 4.845 5 20 HJBD C[C@@H]1CC(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C)O1 535766759 GAWPSFZLAVLUQH-CHWSQXEVSA-N 404.850 4.726 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCC1CCCCC1 536303057 TWNKVUYYOSORNF-CQSZACIVSA-N 403.504 4.568 5 20 HJBD CC(C)[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(C(F)(F)F)cc1 538226413 RGNXWQSHUYBODJ-SFHVURJKSA-N 410.392 4.967 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(C2CCCC2)CC1 539673255 HABVKPBOMXEPQH-UHFFFAOYSA-N 405.564 4.624 5 20 HJBD COc1cc(Br)c(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OC 539910843 WXGVANBLPSSSRU-UHFFFAOYSA-N 423.263 4.552 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1cc(SC)c(Cl)cc1Cl 542261493 MSOFKVMJISYTBA-UHFFFAOYSA-N 401.271 4.562 5 20 HJBD COc1cccc([C@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2cccc([N+](=O)[O-])c2)c1 543306123 GFQAFLPSNOWDDM-ZCYQVOJMSA-N 416.477 4.903 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@](=O)C4CCCCC4)c3)c12 543437206 VQJVKLITNOGDBM-LJAQVGFWSA-N 410.499 4.863 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCN[C@@H](Cc1ccccc1)c1cccs1 544414090 AOPBFADWSRADEM-SFHVURJKSA-N 423.494 4.528 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 545286722 LKTDYFWQCACJGE-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD COCCc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 553016389 UOIYGYUFQKBNPE-UHFFFAOYSA-N 409.467 4.582 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3nc(C(C)(C)C)cs3)CC2)c1 558829511 PQZQSTDMAWJJMH-UHFFFAOYSA-N 417.531 4.767 5 20 HJBD Cc1ccc(C)c(-n2ncc(N[C@@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)c2C)c1 560547918 IDNQHZVENDUOBR-QFIPXVFZSA-N 416.485 4.641 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1cccc(Oc2ccccc2)c1 564477394 CLDPOSOKRVTDQE-UHFFFAOYSA-N 405.410 4.527 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccccc1C(=O)c1ccc(Cl)cc1 571823176 UGLBOZYGUZEKKA-UHFFFAOYSA-N 422.868 4.794 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2cccs2)C(CC)CC)c([N+](=O)[O-])cc1OC 604025747 XQTDJJHIORDRTR-LJQANCHMSA-N 406.504 4.971 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2)n1 604076387 ZERGWCUMJLQIIA-UHFFFAOYSA-N 419.466 4.886 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(Cl)c2OC(C)C)cc1[N+](=O)[O-] 609817502 VWLPXZJKVBRYFH-UHFFFAOYSA-N 424.906 4.916 5 20 HJBD CCOc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C(C)C)cc1 610038734 BTMUFHPKDNKGDW-UHFFFAOYSA-N 421.291 4.807 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)N3C[C@@H](C)C[C@@H](C)C3)ccc2C)c1 610224725 JFWOYKYILPGWLH-IYBDPMFKSA-N 409.486 4.582 5 20 HJBD O=C(NCCc1nc2ccccc2o1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206449 STUPEFDRXKYWBJ-UHFFFAOYSA-N 411.483 4.743 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1sc(C)nc1-c1cccs1 619607284 LXJCUYRVBLCCMP-UHFFFAOYSA-N 403.485 4.668 5 20 HJBD Cc1cccc(C2=CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)CC2)c1 678370021 CORLKZFNUMDPSQ-UHFFFAOYSA-N 408.501 4.779 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 727682301 UZXKNCXALXVOGG-CQSZACIVSA-N 419.478 4.845 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1)c1ccc(F)cc1 728245486 ILEUUHKXMOZJFI-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 729036737 QAPIVPOUEKKBKB-UHFFFAOYSA-N 400.435 4.606 5 20 HJBD CC(C)[C@H](C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 733576509 MYHGFXDHJLEXBT-SCLBCKFNSA-N 404.850 4.763 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734910840 WFQPNODLYIPVHV-UONOGXRCSA-N 404.850 4.621 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 735491551 MGJZSHRDZJHXFM-IAGOWNOFSA-N 401.677 4.645 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)CC2)cc1 739565284 VIUYDSANGQLMHW-UHFFFAOYSA-N 423.557 4.955 5 20 HJBD CC(C)(C)Cc1nc(-c2cccc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c2)no1 741529917 OHOUBPSUPMTIRU-UHFFFAOYSA-N 416.384 4.764 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 741957816 NTWMRYLDVSTZQP-SFHVURJKSA-N 415.452 4.606 5 20 HJBD CCCN(Cc1ccccc1Br)C(=S)Nc1ccc([N+](=O)[O-])cc1 745688554 PHBKEMVKDALBDI-UHFFFAOYSA-N 408.321 4.966 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])C1(c2ccccc2Cl)CCOCC1 746075705 IAIUSVQASLSRPZ-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD CCN(CCc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 746830848 JYFRINZTONIJNS-UHFFFAOYSA-N 407.495 4.846 5 20 HJBD COc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)ccc1OCc1ccncc1 748819612 JNHAGAYHYWSIRS-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)cc2)o1 751074042 YSKSGHLPJQZXSU-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c(F)ccc([N+](=O)[O-])c1F 752566800 GGSDKKSXTLDRPT-NEPJUHHUSA-N 406.385 4.571 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)c1[N+](=O)[O-] 753450687 SQSJLJRBRNOACK-UHFFFAOYSA-N 411.458 4.919 5 20 HJBD O=C(Nc1ccc(OCC2CC2)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 755005598 AJRMQLYRMNEOOB-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(N2CCc3sccc3C2)cc1 755258867 AHGBEANLCOXWRA-UHFFFAOYSA-N 407.495 4.790 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 759907118 JGZKKNIKQCZKND-UHFFFAOYSA-N 407.426 4.686 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763717585 NFBWAAJLGZZMAZ-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 770116179 JXMZYTARVGTLFI-INIZCTEOSA-N 414.380 4.683 5 20 HJBD CSc1ccc(O[C@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133113 GGKIHYTZDJRHDG-VFNWGFHPSA-N 424.478 4.812 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 780391652 CVJJZTMCIVBOOT-LSDHHAIUSA-N 408.298 4.540 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1nc(-c2cccc(C(F)(F)F)c2)oc1C 782204089 YIGDJLDKPAFYBI-UHFFFAOYSA-N 422.315 4.805 5 20 HJBD O=[N+]([O-])c1cc(CNC(=S)Nc2ccc(OC(F)F)cc2)cc(C(F)(F)F)c1 782389145 YVDCELJIUKBYRB-UHFFFAOYSA-N 421.347 4.702 5 20 HJBD Cc1nn(C(F)F)c(C)c1COC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 784985981 VTJBZSNMJDCWOI-UHFFFAOYSA-N 417.368 4.953 5 20 HJBD CCN(CC)Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 787505220 DHYBNCBLLQHZDC-UHFFFAOYSA-N 424.270 4.591 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(COc3ccc(Cl)nc3)CC2)cc1[N+](=O)[O-] 788788821 LVLKBUDLOYPNAA-UHFFFAOYSA-N 417.893 4.698 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)cc1[N+](=O)[O-] 791220969 PQNKBUDKAFMGCO-UHFFFAOYSA-N 422.627 4.718 5 20 HJBD O=C(COC(=O)c1ccc(C2CCC2)cc1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 791620000 OXTMLVHKPRWENU-UHFFFAOYSA-N 422.359 4.677 5 20 HJBD CCOC(=O)/C(=C\c1cc(Br)ccc1Cl)c1ccc([N+](=O)[O-])cn1 794950328 TXFXSHIWSHOTTM-JYRVWZFOSA-N 411.639 4.509 5 20 HJBD CCC(=O)c1ccc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)c([N+](=O)[O-])c1 795086568 SSBJOAAWDVQTGV-INIZCTEOSA-N 424.432 4.761 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 795724979 PJUVSQHJXIBPDN-HNNXBMFYSA-N 418.405 4.914 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 795947052 VKDSBUKSDDXOEF-UHFFFAOYSA-N 408.835 4.614 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(c2cccc(Br)c2)CC1 798795133 KZILCXGTKQEMTF-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCN(CC)C(=O)c1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930375 XYUFEGWMBZJREQ-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc21 813140004 ZQSDYIWMXZFXNI-UHFFFAOYSA-N 422.268 4.843 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)c1 815510951 PUGNIIUHKRGJSE-LLVKDONJSA-N 421.331 4.890 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 816819479 GKZXDJAOIKQQJS-DDDWLJDVSA-N 420.387 4.615 5 20 HJBD COCCOc1c(Cl)cccc1NCc1c(Br)cccc1[N+](=O)[O-] 864026779 CPTNGBFRNCGUQA-UHFFFAOYSA-N 415.671 4.648 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)/C=C\c1ccccc1OC(F)(F)F 914753232 PCIPFJJVYYGCGJ-TWGQIWQCSA-N 414.767 4.819 5 20 HJBD Cc1c(OC(=O)[C@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cccc1[N+](=O)[O-] 917755965 QCVBVINBCSJZOB-SFHVURJKSA-N 418.449 4.514 5 20 HJBD Cn1c([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2ccccc2)nc2ccccc21 920752259 BGPJJCFAHHDIET-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD C[C@H](OC(=O)C1(Sc2ccccc2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1320071088 DTWYXNMOJXFOCK-ZDUSSCGKSA-N 411.439 4.574 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(Cc2ccc(OCc3ccccn3)cc2)n1 1320213809 VNEFIALWKULBNV-UHFFFAOYSA-N 416.437 4.826 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1324659585 PBUUXCNMCJOMJL-CHWSQXEVSA-N 407.392 4.831 5 20 HJBD Cc1ncc(Br)c(C(=O)OCc2nc3ccccc3c3ccccc23)n1 1338985079 IAHNATCZQFWFPM-UHFFFAOYSA-N 408.255 4.606 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(Nc2ccccc2Cl)CC1 1342653108 JIJXSUXDDIIWQA-UHFFFAOYSA-N 402.882 4.973 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1ccc(C(=O)Nc2ccccc2)cc1 10611858 KRBHLISYOZTCCJ-UHFFFAOYSA-N 422.462 4.683 5 20 HJBD Cc1ccc(NC(=S)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 18146099 KRTBXIVBEQJWEC-UHFFFAOYSA-N 412.437 4.629 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 22495707 OVXGCXVJNOTVKK-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1c1ccc(Cl)cc1 45152777 DOEOULRJMCEEGS-LJQANCHMSA-N 413.905 4.828 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 56147169 CUNVAKBPEVWYFX-ZWKOTPCHSA-N 407.495 4.613 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1cccc([N+](=O)[O-])c1Br 159037563 CRGWICPMDZNXHW-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(=O)Nc1ccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 236598166 OXVCCVYRUHBGEH-UHFFFAOYSA-N 413.861 4.799 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](c3ccccc3)N3CCCC3)cs2)c1 238007071 CHSYWZFQCUDCID-OAQYLSRUSA-N 408.527 4.645 5 20 HJBD Cc1ccc(N2CCC(C(=O)Nc3ccccc3Br)CC2)c([N+](=O)[O-])c1 301428142 DRTRAWHVLBTTSH-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD Cc1ccc([C@H](Nc2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)c(C)c1 302005433 UQMLQEAJBJQDGU-JOCHJYFZSA-N 410.495 4.817 5 20 HJBD C[C@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCN1Cc1ccccc1 303805989 MOLWKCOOOUASOE-RDJZCZTQSA-N 416.909 4.765 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1ncc(-c2cccc(Br)c2)o1 303878845 ISQXUISQTVIGQD-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1NC(=O)NC(C)(C)C 427578014 NGBBPWPOTDIKOO-UHFFFAOYSA-N 424.501 4.628 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@@H]1c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 430555212 HTGYNDAIEORPLZ-IAGOWNOFSA-N 403.276 4.512 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431402642 KIDYFUKKNQIYMR-UHFFFAOYSA-N 422.279 4.731 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC(=Cc2cccc(F)c2)CC1 433015246 JOYBYCLOGPWKKR-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD COc1cccc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)c1[N+](=O)[O-] 435805169 BIHJMVHQFJNRIR-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436365955 NLUKJAIJTQUSMT-HXUWFJFHSA-N 400.478 4.961 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)c(Br)c1 437200862 ISACOXZZTRUEOY-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CCCOc1cc(NC(=O)N2CCS[C@H](CC)C2)c([N+](=O)[O-])cc1OCCC 437609835 WIEWNEYNGAARSL-CQSZACIVSA-N 411.524 4.532 5 20 HJBD COc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c(OC)c1 440449180 DSLOPTKECSOJBM-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(Br)cc3)CCC2)c1 460957395 ILHKRZCPCBLRQU-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 464937746 FWRKPPYVDDYQRH-FQEVSTJZSA-N 423.557 4.877 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2c[nH]c3ncccc23)c(C)s1 471092459 KONNCXADRUFRMD-UHFFFAOYSA-N 423.454 4.554 5 20 HJBD CCc1ccc(C(=O)Nc2cc(NC(=O)c3ccccc3)ccc2C)cc1[N+](=O)[O-] 471356197 YDGZCYMPGMLEIN-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](C)c3ncnn3C)cc2[N+](=O)[O-])c1 474025045 RWZAILHFGCPALG-MRXNPFEDSA-N 409.490 4.798 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4c[nH]c5cc([N+](=O)[O-])ccc45)CC3)c[nH]c2c1 484285393 ZKQRVAIARFXZMK-UHFFFAOYSA-N 400.438 4.795 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3cccc(SC)c3[N+](=O)[O-])oc12 485757459 NMIUQIUKTZEWSS-LBPRGKRZSA-N 400.456 4.953 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485894792 DDAGTWDVOFQMPD-NQBHXWOUSA-N 409.305 4.826 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1sc(Br)cc1[N+](=O)[O-] 486205970 UQEDCFBGSYNJHF-HTQZYQBOSA-N 415.231 4.517 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 488047886 XBNBSNSMAOLCEQ-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 488397793 OJBQWXCKPWBSQP-UHFFFAOYSA-N 424.379 4.592 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 488423586 XWNQPZZSYCNWEG-UHFFFAOYSA-N 406.479 4.525 5 20 HJBD CCn1c(S[C@@H](C(=O)c2ccccc2)C(C)C)nnc1-c1ccc([N+](=O)[O-])cc1 489436286 FFGULGGPEXNIQX-LJQANCHMSA-N 410.499 4.873 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc2c(c1)C(C)(C)C(=O)N2 494505692 CQMSZAMHUCDIKI-NSHDSACASA-N 407.392 4.694 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cnccc1Oc1ccccc1 499621077 ZSPCIOCPECPOQH-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD COc1ccc(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)cc1[N+](=O)[O-] 505139839 PSHRXPLBTWPTRC-LJQANCHMSA-N 404.422 4.524 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@H](c3nnc4ccccn34)C2)s1 506147498 JMVJVKXXQZYIDO-INIZCTEOSA-N 419.510 4.746 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3cnc4ccccc4c3)cc2[N+](=O)[O-])C1 508936588 YKHFONFBRFDQCB-IAGOWNOFSA-N 418.497 4.555 5 20 HJBD COc1ccc(-c2ccc(C)c(NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)c2)cn1 509493295 OFRJKQBQASRBQR-UHFFFAOYSA-N 417.425 4.636 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCO2)c1 511491412 BIKDCJINAXOGLN-ZDUSSCGKSA-N 422.890 4.780 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2OCCc2ccccc2)cc1[N+](=O)[O-] 512512973 CPMKPFRTGGLNFR-UHFFFAOYSA-N 406.438 4.867 5 20 HJBD Cc1[nH]nc2ncc(NC(=O)c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)cc12 516277694 GHCSKLKAXSMYKF-UHFFFAOYSA-N 423.816 4.873 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)c1ccc([N+](=O)[O-])cc1F 518066241 GTWUKJSABHQMTD-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2CCN(Cc3ccc(F)cc3)CC2)o1 518272951 XUOMQOVMKOBPAW-UHFFFAOYSA-N 409.461 4.748 5 20 HJBD CC(C)(C)c1ncc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cn1 518791554 CLJCQKMVXWWPAZ-UHFFFAOYSA-N 405.458 4.547 5 20 HJBD CC(=O)c1ccc(NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c([N+](=O)[O-])c1 518911033 JKRZNQIMLBADDU-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1Cc2ccccc2[C@H](c2ccccc2)C1 520498485 PNBNXJIZSGSGRT-NRFANRHFSA-N 401.466 4.571 5 20 HJBD Cc1nc(-c2ccsc2)ccc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 522392249 YFIQJKGUJYGVNL-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CN(C)c1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522738662 NESPFCXVMFWZQP-UHFFFAOYSA-N 401.772 4.514 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cc(Cl)cc([N+](=O)[O-])c1 524050236 IYWHBBZXHUVNPC-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1C(F)(F)F 524387769 WMVSZXQPPRZGLH-MRVPVSSYSA-N 406.719 4.812 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2cccc(COCc3ccco3)c2)cc1[N+](=O)[O-] 531442729 ZTEJUWRUPSEXGJ-QGZVFWFLSA-N 423.469 4.773 5 20 HJBD C[C@H](CSc1ccc(F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 531505795 AXEVDXKPIYDJGL-SNVBAGLBSA-N 400.378 4.702 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc2nc(Cc3ccccc3)oc2c1 532331110 PEORUCNVLLDXIU-UHFFFAOYSA-N 402.410 4.649 5 20 HJBD Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n1[C@H]1CCC[C@H](C)C1 534606263 LDSFFMMLYCTDNH-LRDDRELGSA-N 414.491 4.588 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCCc2cccc([N+](=O)[O-])c2)c1 535439094 AKXQQJFSFMXBBC-UHFFFAOYSA-N 417.893 4.595 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(NCc4ccco4)s3)co2)cc1 535505308 XPAVYRRFMKSSDX-UHFFFAOYSA-N 415.456 4.599 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CN(C)C(C)C)CC1 535716850 QDZIXLZWECBMIV-UHFFFAOYSA-N 407.580 4.535 5 20 HJBD CC(=O)c1ccc(Br)cc1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536304268 YHJJAKRLKOUKRO-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD O=[N+]([O-])c1cc(CN2CCS[C@H]3COCC[C@H]32)ccc1Oc1ccccc1Cl 537707586 DULTZUCENOFOSS-UZLBHIALSA-N 420.918 4.747 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544603190 ATSMYNXOHDMISJ-PKOBYXMFSA-N 401.438 4.735 5 20 HJBD C[S@](=O)Cc1ccccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 545165596 OLPSIHUMVKBSPK-MHZLTWQESA-N 404.875 4.999 5 20 HJBD COCC[C@@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 551667116 AZGJIFYBGLAARD-KPZWWZAWSA-N 411.285 4.899 5 20 HJBD CCc1cc(C2CC2)nc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)n1 566033584 ZUZYNUJKKFJCRE-UHFFFAOYSA-N 400.504 4.825 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccnc1Oc1cccc(OC)c1 573371854 MUHRQZCBKDYPLZ-UHFFFAOYSA-N 422.441 4.675 5 20 HJBD COc1cc(/C=C/c2ccc3c([N+](=O)[O-])cccc3n2)cc(OC)c1OCC(F)F 579642082 PNUMYRIPDUYQPA-VOTSOKGWSA-N 416.380 4.975 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 588771744 RBZUESNJFYBSOA-SFHVURJKSA-N 421.291 4.518 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1OC(F)F 601908646 NRQVIBLRYWJROU-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469528 FVKAVZSJKAHPOS-HXUWFJFHSA-N 422.441 4.501 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])CC2 609305112 YMUJRCFPVUOZHU-OAHLLOKOSA-N 410.417 4.818 5 20 HJBD Cc1cc(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)cc([N+](=O)[O-])c1 609309832 MUOGDMJDNADKLB-QGZVFWFLSA-N 413.232 4.563 5 20 HJBD Cc1sc2nc([C@H](C)SCCOc3ccc([N+](=O)[O-])cc3)nc(O)c2c1C 609388201 UDTJAKXYIOHINN-LBPRGKRZSA-N 405.501 4.795 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@H]1CCCO[C@@H]1c1ccccc1 611170444 NWVASGXMNDHKTE-DENIHFKCSA-N 407.470 4.850 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(N2CCOC2=O)cc1 726606711 KRYQPVSWABOCOQ-OAHLLOKOSA-N 407.426 4.662 5 20 HJBD Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])cc1NC(=O)c1ccccc1 728992417 PZCWEXCRFPBSJH-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)c1 729404039 CXXLLSJHBDFDRW-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 732003533 XQJLIAJKIXSMHM-XNTDXEJSSA-N 404.422 4.725 5 20 HJBD O=C(Oc1cccc(NC(=O)c2cccs2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 734232921 XCPVAZOEFLOLMB-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(CSC3CCOCC3)c2)cc1 734764511 AAUVIXURFZKROW-UHFFFAOYSA-N 403.529 4.816 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC3(CCCC3)C2)cc1[N+](=O)[O-] 742878019 OFVWMQLEXGTTNX-UHFFFAOYSA-N 424.522 4.954 5 20 HJBD O=C(C1=NO[C@@H](c2ccccc2)C1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743788708 ALYJNLVMLZHIER-JOCHJYFZSA-N 401.422 4.646 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c2cccnc2c1C 744374280 OZGZCUQIGANUCM-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])cc1)c1ncoc1-c1cccc(C(F)(F)F)c1 752220051 TUXOHKYSJQNPQR-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD Cc1c(CC(=O)OCc2nc(-c3c(F)cccc3F)cs2)cccc1[N+](=O)[O-] 753473033 HRCBZURYYLEYBK-UHFFFAOYSA-N 404.394 4.591 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754989306 PKYCLZGNIFLXAF-LLVKDONJSA-N 422.840 4.696 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)o2)cc1C 766219806 IDBMPFOHDPWWDR-CQSZACIVSA-N 418.409 4.728 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H](F)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 769159213 BEVXAYKMTHVVTF-NHCUHLMSSA-N 422.412 4.837 5 20 HJBD COc1cccc2cc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])oc12 776139862 RXXGTZWOGVSFCC-FQEVSTJZSA-N 404.378 4.691 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c2c1N(C(=O)c1ccc([N+](=O)[O-])s1)CCC2 777778923 FIPWSPFMFHYFBT-UHFFFAOYSA-N 417.487 4.905 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778782373 BXVIYGQMLLCSKD-ZIAGYGMSSA-N 402.472 4.647 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1ccc([N+](=O)[O-])c(F)c1 788470207 NTMKMERVSHZZQD-UHFFFAOYSA-N 400.237 4.501 5 20 HJBD CCC(CC)N(C(=O)c1cc([N+](=O)[O-])ccc1N1CCOCC1)c1cccc(C)c1 791963638 MIVASPCXJXFBOI-UHFFFAOYSA-N 411.502 4.575 5 20 HJBD O=C(CCC(=O)N(CCO)CC(F)F)c1ccc2ccc3cccc4ccc1c2c34 794054046 PCXWXUBZZCZGIZ-UHFFFAOYSA-N 409.432 4.633 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccc2nc(C(F)F)sc2c1 795384824 XSDNRGJBCRMCFS-UHFFFAOYSA-N 419.818 4.596 5 20 HJBD C[C@H](OC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F)c1ccc(C(F)(F)F)nc1 808953461 BPOXDLFIRQDYAT-QMMMGPOBSA-N 408.254 4.945 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(C(F)(F)F)nc1 808954978 AKRRJDBDLOPEDQ-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1)C(C)C 809919291 DUYPGAGYXQPRFS-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD C[C@H](N[C@H](C)c1cccc(NC(=O)c2ccccc2)c1)c1ccc(N)c([N+](=O)[O-])c1 815560118 YMMMMTPUURHENJ-CVEARBPZSA-N 404.470 4.841 5 20 HJBD Cc1ccc2nc(COc3cccc(C(=O)Nc4cc([N+](=O)[O-])ccc4F)c3)cn2c1 841216082 DNTXNSRUSQKFCH-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(C2SCCS2)cc1 918533691 CMTPLXNIFIDCPU-UHFFFAOYSA-N 405.497 4.829 5 20 HJBD Cc1ccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2c1[C@@H](C)CC2=O 1318107137 SAUYRIBUJAZJCN-LBPRGKRZSA-N 408.435 4.936 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cc([N+](=O)[O-])ccc1Cl 15640420 OLTUKZHWZUMJPC-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)N1c2ccccc2Sc2ccccc21 22500413 DMIFEERIIIJWID-CQSZACIVSA-N 420.446 4.970 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)s2)c1 24031484 VQAZWMSYOYRNEV-UHFFFAOYSA-N 415.496 4.625 5 20 HJBD COc1ccc2c(c1)CCCN2C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 24875138 JDEXONWRDCQPPK-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD O=C(Nc1ccc(OC[C@H](O)c2ccc(Cl)cc2)cc1)c1cccc([N+](=O)[O-])c1 27214424 IPWNRJVAFKXNCO-FQEVSTJZSA-N 412.829 4.613 5 20 HJBD O=C(Nc1ccccc1-c1cccs1)N1CCN(c2ccccc2[N+](=O)[O-])CC1 43910363 AUCWYMXWQHRVMX-UHFFFAOYSA-N 408.483 4.677 5 20 HJBD Cc1ccc([C@@H](Nc2ccc(F)cc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301482388 XCIDITRSYCJGJB-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(OC[C@@H]2CCCCO2)CC1 427150850 SBKSAVSOIAHQJK-FQEVSTJZSA-N 404.510 4.578 5 20 HJBD CC(C)(NCC[S@](=O)c1cc(Cl)ccc1Cl)c1ccccc1[N+](=O)[O-] 429238856 SDENLBCYUHNKHE-VWLOTQADSA-N 401.315 4.534 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CC2(CCC2)c2c(F)cccc21 431446642 CLFKKICTLPALSQ-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD CCCCOc1cccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436070532 NJXFYTVBVQEHGT-UHFFFAOYSA-N 418.468 4.929 5 20 HJBD CC(C)(C)c1csc(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)n1 436425016 LJNLRHRXLHSHKY-UHFFFAOYSA-N 423.513 4.547 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccco1 438034496 AZCBYSJYIMKGKS-JOCHJYFZSA-N 421.453 4.660 5 20 HJBD COc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c(OCc2ccccc2)c1 439030888 WVEXCHAVCGBGOS-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD CC(=O)c1cccc(O[C@@H](C)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440608017 CKQYNYPYCYXKNK-SFHVURJKSA-N 418.449 4.798 5 20 HJBD COc1cc(C(=O)N2Cc3ccccc3C[C@@H]2c2ccccc2)cc([N+](=O)[O-])c1OC 464214468 WYOGMWCQZLXTBK-HXUWFJFHSA-N 418.449 4.552 5 20 HJBD Cc1c([C@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)oc2ccccc12 465670467 VXEZRIJLGMYVAG-INIZCTEOSA-N 423.469 4.963 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 468164469 MENFCYWTZKYELF-KRWDZBQOSA-N 419.485 4.720 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 468375982 XSEJEPXMGRTTGP-UHFFFAOYSA-N 423.444 4.527 5 20 HJBD CCOc1cc(C(=O)NCCc2cccc(-c3ccccc3)c2)c([N+](=O)[O-])cc1OC 472211774 GYBYLWJFAUDOTF-UHFFFAOYSA-N 420.465 4.642 5 20 HJBD Cc1nc(NC(=O)CCSc2ccnc3cc(Cl)ccc23)ccc1[N+](=O)[O-] 475298966 SQXMVAKMYXMAEU-UHFFFAOYSA-N 402.863 4.621 5 20 HJBD Cc1nc(NC(=O)Cc2csc(-c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 475309502 IWZLVWXGJWGYMS-UHFFFAOYSA-N 422.388 4.622 5 20 HJBD CCC(=O)c1ccc(N[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)c([N+](=O)[O-])c1 480081501 FZRVZINKFSTGQO-MRXNPFEDSA-N 424.501 4.617 5 20 HJBD COc1ccc(NC(=O)CNc2ccc(F)cc2-c2ccc(F)cc2)c([N+](=O)[O-])c1 488030761 ZXOGQTKWFXLYCN-UHFFFAOYSA-N 413.380 4.599 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccc(Oc3cccnc3)c(F)c2)cc1[N+](=O)[O-] 489442508 WCOSXANMOIOWNT-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCCc1ccc([N+](=O)[O-])cc1 495247690 NTMWGIVURQKCJM-ZDUSSCGKSA-N 415.902 4.636 5 20 HJBD CC(C)NC(=O)Nc1ccc([C@@H](C)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 495374466 QBOASKDYBFQJCP-CQSZACIVSA-N 404.898 4.671 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(n4ccc(C(F)(F)F)n4)CC3)o2)cc1 497212332 COBGCHPQJHXOSK-UHFFFAOYSA-N 420.391 4.907 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 502092456 NRAWGKQUGYOIJX-CYBMUJFWSA-N 405.520 4.871 5 20 HJBD O=C(O)C[C@H](O)C[C@H](O)c1cc2c(C3CC3)nc3ccccc3c2c2ccc(F)cc12 504249830 JEAIZQYPWPSXQH-QRQCRPRQSA-N 419.452 4.817 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 515157412 PNHZRIVEKUUUOK-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(COCC(F)(F)F)cc2)no1 518002982 ULMHUGLUOFRADD-LBPRGKRZSA-N 407.348 4.876 5 20 HJBD C[C@H](Sc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 520472252 CCGLRRRGWTXJLO-JTQLQIEISA-N 421.418 4.750 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCSC[C@H]1c1ccc(Cl)cc1 523856418 QFJPODZPDLMYAJ-KRWDZBQOSA-N 401.875 4.660 5 20 HJBD CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(F)cc1)c1cccnc1 525663010 WJBQXGZWTRIKBJ-QHCPKHFHSA-N 407.445 4.700 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)ccc21 533148156 UPYFACGLPKGLCS-UHFFFAOYSA-N 406.364 4.821 5 20 HJBD Cc1ccc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)Nc1ccccc1 533606036 HYRPTHJTYRUQCS-UHFFFAOYSA-N 404.426 4.824 5 20 HJBD CC(=O)N1CC[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c2ccccc21 533803640 KZUSGUIBKKPUBZ-IBGZPJMESA-N 407.495 4.911 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1nnc(-c2c(Cl)cccc2Cl)o1 533915088 KFFLZBDUWZQIBX-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1 535221792 UAIRLXBOSKNSBL-UHFFFAOYSA-N 424.526 4.930 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537141120 KAAFJGNPYGAPRT-GOEBONIOSA-N 414.767 4.527 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 538812617 YENKZTSTJNNXHW-CQSZACIVSA-N 423.513 4.953 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539351623 YKYIHWYIXUVPCU-CQSZACIVSA-N 411.483 4.884 5 20 HJBD CC[C@H](NCc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1F 540386745 YNSLPMLOEGBHPY-AWEZNQCLSA-N 402.344 4.724 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](CCc2ccccc2)c2ccccc2)s1 541172612 GMLXNDIBRWQRFQ-KRWDZBQOSA-N 416.524 4.846 5 20 HJBD CCc1ccc([C@H](NCCc2nnc(-c3ccccc3)o2)c2ccc([N+](=O)[O-])cc2)o1 541563638 BJPAMBRPALGZSL-JOCHJYFZSA-N 418.453 4.722 5 20 HJBD NC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1 543497044 WJKKNTADGXDOCH-UHFFFAOYSA-N 414.487 4.620 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)[C@@H]1CCCO1 544097640 SHOJEGXROJZPDE-QFBILLFUSA-N 424.881 4.516 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(CSc4nncs4)n3)cc2)c1 545068617 IRFKFLRQUBRULE-UHFFFAOYSA-N 413.440 4.581 5 20 HJBD COc1cc(-c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1OC(C)C 545530724 FDZZZNXVPCLTNY-GFCCVEGCSA-N 401.394 4.732 5 20 HJBD CCCN(CCC)C(=O)c1cccc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c1 545686820 MUHLDIJWTHQBLR-UHFFFAOYSA-N 408.458 4.882 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(N[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 552063204 SDUYWUZMONCMTJ-WZONZLPQSA-N 419.529 4.904 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1cc(F)cc(Br)c1)c1cccc([N+](=O)[O-])c1 555315023 GNRJSGWARDBPQS-KPZWWZAWSA-N 421.266 4.964 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCN(C/C=C/c2ccccc2)CC1 560290058 YXCDYWAWLHAUTJ-JXMROGBWSA-N 407.517 4.859 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C3CCC(OC(F)F)CC3)n2)cc1[N+](=O)[O-] 561686263 ADKIWRJNHXIPNC-UHFFFAOYSA-N 411.430 4.763 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 561705917 WYXNPXXQKCDRSE-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD COCCCN(Cc1ccncc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 561979488 BFZDXBRFTKUGLB-UHFFFAOYSA-N 415.877 4.942 5 20 HJBD CCOCc1ccc(-c2noc(-c3cc(Br)cc([N+](=O)[O-])c3)n2)cc1 562198585 HOWLSDOJUYFGPN-UHFFFAOYSA-N 404.220 4.611 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 566813247 GMKJFZZFPKZGPD-UHFFFAOYSA-N 420.469 4.790 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(Oc2cccnc2)cc1 570004475 CTVOZZNMWJIFLG-KRWDZBQOSA-N 420.469 4.770 5 20 HJBD CN(C(=O)c1ccc(-c2ccc(Br)cc2)s1)c1ccc([N+](=O)[O-])nc1 579520540 DJAYLDVPXPWMIP-UHFFFAOYSA-N 418.272 4.757 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)c2)cn1 579976533 SLBHQMJNPOTEBA-UHFFFAOYSA-N 418.453 4.564 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc([C@H](C)c2ccc(Br)cc2)no1 589138792 XBJUALXJTKWTCO-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])cc2OC)n1 589153435 LIINECZPLCFXBO-INIZCTEOSA-N 417.849 4.865 5 20 HJBD CCOc1ccc(CN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 603529262 XIIBTTGDSFMLCQ-INIZCTEOSA-N 402.516 4.911 5 20 HJBD C[C@@H](NC(=O)c1cccnc1SCc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 603966618 BJXMAKAETWNIEM-CQSZACIVSA-N 411.458 4.912 5 20 HJBD Cc1ccc(-c2nnc(SCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c(C)c1 608930339 QWVJLJVMQAJCOI-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD CCSc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cn1 609032057 NFNNGGQHVMMREN-UHFFFAOYSA-N 400.485 4.786 5 20 HJBD COc1ccc(CNC[C@@H]2Cc3ccccc32)cc1OCc1ccc([N+](=O)[O-])cc1 609222346 DNAQLQHSZCTENA-FQEVSTJZSA-N 404.466 4.612 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3ccc(Cl)cc3[N+](=O)[O-])CC2)c(OC)c1 609705228 IQPYAEXGAJFLSV-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccccc1 609781040 MCQCKRQNCWTKHM-OAHLLOKOSA-N 420.425 4.536 5 20 HJBD O=C(Nc1cc(Cl)cc2cccnc12)c1ccc([N+](=O)[O-])cc1Br 610037364 BEQOEFUTMJKHCC-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610186757 MOPKKBIUPGOLQM-XWFZLUIHSA-N 416.481 4.524 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610388226 TUSGAERJWBNSAY-INIZCTEOSA-N 408.376 4.990 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(NC2CCCC2)c1 610674243 QZESZZKHQNZBTJ-UHFFFAOYSA-N 418.291 4.893 5 20 HJBD CCOc1ccc(N(C(=O)c2cc([N+](=O)[O-])cn2C)c2nc3ccccc3s2)cc1 612530857 WKFBSDQCQYPCQR-UHFFFAOYSA-N 422.466 4.920 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccc(F)cc1)c1cccs1 613525980 KGGICSPFOIUJBX-LJQANCHMSA-N 420.462 4.932 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1cccc([N+](=O)[O-])c1 617702953 FVAXPKNHOCVVAH-CQSZACIVSA-N 407.401 4.728 5 20 HJBD Cc1csc(-c2cccc(OCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c2)n1 726375933 LNTCOLKVFWNFAZ-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD Cc1c(C(=O)Nc2ccc(N3CCCC3)c(Cl)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 730838420 RKSHFTIJAGDVGO-UHFFFAOYSA-N 418.837 4.626 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@@H](c3cccc(Br)c3)C2)c1 735490115 FRAJLICRDBEKIY-QGZVFWFLSA-N 411.683 4.584 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])c(Cl)c1 740786209 QUCQJBKDGZKTMH-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD COc1ccccc1-c1ccc(CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C(C)C)cc1 741727583 MNRQBWPFGDKOFQ-UHFFFAOYSA-N 419.481 4.903 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1Br 743837094 HFGSOYSPFAMPMU-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1noc(C)c1CSCC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 745353974 MPEOGXADVSXZAR-UHFFFAOYSA-N 409.895 4.529 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1ccc(Br)cc1[N+](=O)[O-] 750825766 NOXXPHFCFUQQGT-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)s1 758854747 YRMIYFHPIDKDGV-UHFFFAOYSA-N 421.931 4.943 5 20 HJBD Cc1csc(-c2cccc(C(=O)O[C@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)c2)n1 759928087 GMFDRZONRBBIHQ-SJORKVTESA-N 412.471 4.564 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 766345278 INQNRGFTNCGBFS-HYBUGGRVSA-N 409.530 4.630 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2nc3cc(C(F)(F)F)ccc3o2)c1 767283022 UAJZFNOQTWKQAX-UHFFFAOYSA-N 424.331 4.576 5 20 HJBD Cc1[nH]nc(C(=O)Nc2ccc3c(c2)CN(c2ccc(C(C)C)cc2)C3)c1[N+](=O)[O-] 772890388 GYSVBNJBOPNZMI-UHFFFAOYSA-N 405.458 4.522 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1noc(-c2ccccc2)n1 784649858 SRTXCHHXWJPPHO-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD COc1ccc2cc(/C=C\C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)ccc2c1 790653760 QEGMSNVCJWIMLI-YWEYNIOJSA-N 420.421 4.570 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(C2CC2)nn1-c1ccccc1 791125858 BIMCXTUWBFPOCR-UHFFFAOYSA-N 414.824 4.632 5 20 HJBD Cc1nnc([C@@H](C)OC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 799552882 HDPXCMCTXMGCFS-LLVKDONJSA-N 401.469 4.824 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)OCc1c(F)cc([N+](=O)[O-])cc1F 803270660 TVUUWKUCSUQZTC-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD CS[C@@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 811217631 ZUBHMBGFFJOKHM-QGZVFWFLSA-N 400.481 4.866 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(-c2cnco2)cc1 811220756 ORFAGJYNOQAUCP-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD C[C@@H]1CCCCN1CCOc1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813246293 GGZIJCLYQNNWAP-OAHLLOKOSA-N 417.893 4.754 5 20 HJBD COCCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H](C)c1cccc(C(F)(F)F)c1 914516392 OABHTIMDBVRLGL-MEODZPNASA-N 422.403 4.863 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 916148823 AXBQJLOVOSAYPT-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)c([N+](=O)[O-])c1 1123334420 BTQGEHXHDZEYIA-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD O=C1Cc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)c(Cl)cc2N1 1252409900 KBOXHQUVNJUTNW-UHFFFAOYSA-N 423.812 4.787 5 20 HJBD CCCN(Cc1ccc(C(C)C)c([N+](=O)[O-])c1)Cc1nc(O)c2sccc2n1 1319687241 FRPCHZMEFUYHJN-UHFFFAOYSA-N 400.504 4.841 5 20 HJBD CC[C@H](C)n1ncc2c(-c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)cc(C)nc21 1320208238 VJNAUQFQAVUHEJ-AWEZNQCLSA-N 406.446 4.953 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cccc(NC(=O)c3cccnc3)c2)n1 1320211331 CRRSKHCLYHWFIZ-UHFFFAOYSA-N 415.409 4.576 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cccc(OC(F)F)c3)o2)ccc1N1CCCC1 1324183812 OIJGZUIXNKAMCW-UHFFFAOYSA-N 402.357 4.513 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCCN2C(=O)OC(C)(C)C)n1 1327288796 KSVRMNMXEMMVRY-HNNXBMFYSA-N 402.451 4.724 5 20 HJBD Cc1ccccc1C(=O)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])o3)CCC2)cc1 1790251151 NRDKPOOMSYVLAO-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD COc1ccc(OCC(=O)c2cc(C)n(CCc3cccs3)c2C)c([N+](=O)[O-])c1 5196160 KEMPZIQSDCKUCH-UHFFFAOYSA-N 414.483 4.588 5 20 HJBD CC(C)N1CCC(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 10125683 HSSRZPZRBMIAOH-UHFFFAOYSA-N 417.893 4.643 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cccc(C(F)(F)F)c1 11276381 WVLNFEYSLFIPPY-UHFFFAOYSA-N 411.379 4.917 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1Oc1ccccc1 28614385 UXOPXLZISTUNSC-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(OC)c(OCC)cc2[N+](=O)[O-])cc1Cl 55770455 GGWZAHWOCBKGLQ-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1c1ccccc1Cl 55778589 YTQNNCFYTJTBNZ-LJQANCHMSA-N 413.905 4.828 5 20 HJBD O=C(NC1CC1)c1ccc(N[C@@H]2CCCc3cc(OC(F)F)ccc32)c([N+](=O)[O-])c1 58555740 LIDSUTIYDFLNSI-QGZVFWFLSA-N 417.412 4.578 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 59286547 TTZJOQXLVBQBDC-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 108853726 PKGYHUFEVLTSOX-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1OC 109135537 YHMCGYJGSBAHLA-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)c2cc(C(=O)OC)cc([N+](=O)[O-])c2)c(C)c1 109642433 CEAREMXPTDXFMU-ZDUSSCGKSA-N 402.472 4.833 5 20 HJBD CCN(C[C@@H]1CCOC1)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 141195178 MGYLZKRARXQLHH-INIZCTEOSA-N 400.500 4.553 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)oc12 216758312 YBUPSGCOTBNUQG-CYBMUJFWSA-N 408.414 4.641 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNC[C@H]2CCN(C3CC3)C2)cc1 237731987 VNPUXUXXLQCVJD-MRXNPFEDSA-N 401.894 4.614 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC(c2nc3ccccc3o2)CC1 301072972 WLZNOGPNKPHDGC-UHFFFAOYSA-N 402.248 4.883 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc(C)c1OC(F)F 303313349 RPMZRULGCAVNMC-UHFFFAOYSA-N 402.300 4.667 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303958973 MBJQQNOZOGGADK-NRFANRHFSA-N 412.533 4.943 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc(-c2ccccc2F)cs1 428546928 BWCFJGUFINHCJU-OAHLLOKOSA-N 413.474 4.743 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 430616728 HGWWQNVFNRQDSP-WCQYABFASA-N 407.239 4.515 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 430925201 CHELPTCSQPGUNF-VIFPVBQESA-N 412.309 4.602 5 20 HJBD CC(C)(C(=O)Nc1nnc(SCc2ccccc2)s1)c1ccccc1[N+](=O)[O-] 431333849 TYBNTKNMVWLUGP-UHFFFAOYSA-N 414.512 4.655 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@@H](c2ccc(Cl)cc2)C1 436073249 BRZYMFIHKNNDRM-JOCHJYFZSA-N 418.880 4.548 5 20 HJBD C[C@@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 439633018 GGDQZCHLKGQDRI-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 443957220 KTIOUUHZMHQNCJ-FXAWDEMLSA-N 410.518 4.728 5 20 HJBD CCCOc1cc(NC(=O)Nc2c(C)noc2C2CC2)c([N+](=O)[O-])cc1OCCC 444808621 UBQDNNVZDMAJBF-UHFFFAOYSA-N 418.450 4.990 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(C3(c4cccc(Cl)c4)CCCCC3)n2)n1C 445612916 LJQAXBAUIZIJQW-UHFFFAOYSA-N 401.854 4.590 5 20 HJBD Cc1cc(Nc2cccc(CS(=O)(=O)Cc3ccccc3)c2)c(F)cc1[N+](=O)[O-] 461960526 UJYAGVUTQGRNMO-UHFFFAOYSA-N 414.458 4.901 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(Cc3ccc(F)cc3)CC2)c(Br)c1 462779172 QSEWLPXFKJUCKC-UHFFFAOYSA-N 408.271 4.573 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)cc1F 463973136 SFLAFHTYWNAJEU-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD CC(=C/c1cccc([N+](=O)[O-])c1)/C=C1\CN(C)Cc2c1nc1ccccc1c2C(=O)O 468834618 FBOHDPQSKBLUQP-JBOZTJCHSA-N 415.449 4.774 5 20 HJBD Cc1cccc2c1OCC[C@H]2NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 476631100 PFACCINCWDBFLJ-LJQANCHMSA-N 420.415 4.522 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 478731335 GQLUVWNRUNGKDE-UHFFFAOYSA-N 420.263 4.583 5 20 HJBD O=C(Nc1ccc(-c2nnco2)cc1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 480841599 GNAMDOYGAGMWDT-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@H](Oc2ccccc2Cl)C1 487701409 PMKUFCNVMUKMTN-GFCCVEGCSA-N 414.767 4.561 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cnn(-c3ccccc3)c2C(F)(F)F)cc1[N+](=O)[O-] 488006371 FPAYTRDUPSFITR-CYBMUJFWSA-N 418.375 4.599 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)[nH]c1-c1ccccc1 488268294 XOQULTWOFVFOGR-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nnc(-c2ccc3ccccc3c2)o1 488724887 CVLJOARTCWARQX-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)c1C 488886917 VWIOQPYFUNYCFB-UHFFFAOYSA-N 416.474 4.878 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 500648216 SZMBQYOGRUNZMZ-CKFHNAJUSA-N 400.500 4.983 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(-c2ccc(OC(C)C)cc2)n1 501935696 CGGBWIHXYDYYSG-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2c(Cl)cncc2[N+](=O)[O-])cs1 506537221 AFEQJEGRBGSEGT-UHFFFAOYSA-N 418.862 4.672 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC2(c3ccc(Cl)cc3)CCCC2)cc1OC 509086501 NKRGEKJPHDPQBX-UHFFFAOYSA-N 418.877 4.855 5 20 HJBD CC(=O)c1ccc(N[C@@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 511659741 WPGKLFUOXBBUEI-QGZVFWFLSA-N 407.392 4.503 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](c3ncon3)C(C)C)cc2[N+](=O)[O-])cc1 514744843 WATHTWQQSXIVIR-SFHVURJKSA-N 412.471 4.565 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc(C)s3)c(C)s2)cc1[N+](=O)[O-] 517764593 GYDDZGDJLJRTKK-UHFFFAOYSA-N 403.485 4.586 5 20 HJBD C[C@H](NC(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cccc([N+](=O)[O-])c1 519910798 QZVXZNJFFGVHIF-KPZWWZAWSA-N 405.401 4.634 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2Cl)C2CCCC2)cc1[N+](=O)[O-] 520486032 QLEZYNQNUDKZDA-UHFFFAOYSA-N 402.878 4.771 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 530544226 OHRFENOUIJVTNO-FQEVSTJZSA-N 423.448 4.561 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc3oc4ccccc4c3c2)cc1[N+](=O)[O-] 530975286 UPNZAGOQJHNRRS-CQSZACIVSA-N 417.421 4.619 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 535485776 DKUPJWBATPWXPQ-GOSISDBHSA-N 424.375 4.533 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 535509263 XQPREZYNPQKIPS-UHFFFAOYSA-N 420.469 4.924 5 20 HJBD C[C@](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)(c1ccccc1)C(F)(F)F 536135982 NYJCYPYBXNQKRA-QHCPKHFHSA-N 424.422 4.749 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1cc2c(cc1Br)OCCO2 538177593 XQAWBFZAYPRQDE-RYUDHWBXSA-N 407.264 4.540 5 20 HJBD O=C(c1cc(N2CCC[C@@H](c3ccc(F)cc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 539773149 PZURNXSXPLZNKX-QGZVFWFLSA-N 411.477 4.744 5 20 HJBD CN(C(=O)Cc1ccccc1OC(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452634 YTXCACWKJONSIC-UHFFFAOYSA-N 419.409 4.525 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2csc(-c3c(F)cccc3F)n2)n1 546242067 IIQRUOFVQUGBAT-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 561705640 MCUANLIRNGVYFD-UHFFFAOYSA-N 413.861 4.887 5 20 HJBD CC(C)(c1nc(-c2cnc(Oc3ccccc3)cn2)no1)c1ccc([N+](=O)[O-])cc1F 570622094 VLODZXZPNHJMPL-UHFFFAOYSA-N 421.388 4.692 5 20 HJBD Cc1ocnc1-c1noc(-c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 575981119 JGCNYFBPSPWKAH-UHFFFAOYSA-N 411.805 4.874 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C(C)=O)[C@@H]2C[C@H]2C)cc1 584490124 GZLPKKKCVUZTAL-BFUOFWGJSA-N 413.499 4.720 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1OC(F)F 603943425 DSDJPEDIIFBHDP-ZDUSSCGKSA-N 405.401 4.605 5 20 HJBD CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 603956077 AEWQGJRNOMEXOT-AWEZNQCLSA-N 410.392 4.992 5 20 HJBD O=C(c1ccc([N+](=O)[O-])o1)N(Cc1cccs1)c1ccc(Br)cc1 603975691 HBDFPUHATJKVAN-UHFFFAOYSA-N 407.245 4.859 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)c2)cc1 604465157 QVUSIBHRXXFRJX-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD COc1ccc(CC(=O)N[C@H](CCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 609011036 SXEHHBPGOFANQW-OAQYLSRUSA-N 404.466 4.636 5 20 HJBD O=C(Nc1cc(F)ccc1Oc1ccccc1)c1cc([N+](=O)[O-])cc2c1OCCO2 609022770 NHUPBVJHEHUNFK-UHFFFAOYSA-N 410.357 4.550 5 20 HJBD Cc1cc(O[C@@H](C)c2ccccc2)ccc1NS(=O)(=O)c1ccccc1[N+](=O)[O-] 609069049 IHNRDMPQVXVUPD-INIZCTEOSA-N 412.467 4.844 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(-c2ccncc2)cs1 609523541 TYZWYASPNQNYMA-CYBMUJFWSA-N 410.499 4.902 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)s1 609784067 ISCJDASECZCQCG-UHFFFAOYSA-N 408.892 4.626 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@H]3c3noc(C4CC4)n3)cs2)c1 611263509 OIPRQYDQRPYDKV-KRWDZBQOSA-N 411.487 4.706 5 20 HJBD O=C(CC1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1)NC1CCCC1 619626912 ZXASLBODKLRIQX-UHFFFAOYSA-N 415.537 4.689 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 726078216 GLYCGEPTNXBEKP-UHFFFAOYSA-N 408.483 4.677 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])sc1Cc1cccc(F)c1 727708424 RYYTXXZOSXRMNK-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@@H]1COc2ccccc21 733216127 YFXVGURSQRZGFO-MRXNPFEDSA-N 424.840 4.942 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 735317177 GDJKADWXITYGPG-AWEZNQCLSA-N 422.397 4.734 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(OCCc4ccccc4)CC3)c2c1 742556553 KAHGXLRXEGXTNI-UHFFFAOYSA-N 413.499 4.553 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1OC 751086924 MQRMQTWRJNPBBU-CQSZACIVSA-N 404.438 4.803 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1cc([N+](=O)[O-])ccc1Br 751096940 VDYYABUCGKHQEG-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CC[C@@H](Cc1ccccc1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755012466 FKUSQTFQVWFYOP-AWEZNQCLSA-N 409.255 4.590 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755020768 DMINQHOYRJCULV-FZMZJTMJSA-N 407.239 4.515 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(N2CCCCC2)c1 755224212 PIPZFFKWBAUHNH-UHFFFAOYSA-N 418.291 4.529 5 20 HJBD COc1cc(C2(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CCC2)ccc1C 760507677 MDAHRGGKWNBUMZ-UHFFFAOYSA-N 422.437 4.732 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ncc(-c2ccccc2)o1 760837774 JIKMEAAGMKOUJQ-LLVKDONJSA-N 416.231 4.503 5 20 HJBD CCC(CC)(CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 763696662 XXWREJMUWDYSIN-UHFFFAOYSA-N 418.465 4.547 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 766506408 QWADFJPTKHAVJG-CABCVRRESA-N 418.327 4.514 5 20 HJBD COC1CCN(c2ccc(NCc3ccc([N+](=O)[O-])cc3)cc2C(F)(F)F)CC1 770564373 MKBZMBCCFQBKIQ-UHFFFAOYSA-N 409.408 4.841 5 20 HJBD Cc1cc2ccccc2n1CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136716 XARFFIABFICMEW-QHCPKHFHSA-N 401.422 4.586 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4ccc(C(F)(F)F)cc4)C3)sc2c1 782378869 MVSYCVTZSIRIIB-OAHLLOKOSA-N 409.389 4.801 5 20 HJBD CCCc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cnn1C1CCCC1 784090979 PAADHOUOMVCGRA-UHFFFAOYSA-N 421.295 4.874 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(CC(C)C)C[C@H](O)c1ccc(F)cc1 789430716 NCZZIOFXKYNEHR-FQEVSTJZSA-N 403.454 4.574 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 792877647 UQNZXLFENOXBPI-HNNXBMFYSA-N 420.425 4.606 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NCc1nc(-c2ccc(Br)s2)no1 799973015 TWVGVNKYZJODEF-UHFFFAOYSA-N 413.228 4.528 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2c(c1)[C@@H](C)CCN2 809553608 BLBCFELJLGNCHC-KBPBESRZSA-N 422.441 4.790 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 813292339 OXOZWHMMRGBPFG-QFIPXVFZSA-N 416.433 4.864 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(C[C@H]1CCCO1)c1nc2ccccc2s1 877869866 IAFJPOOXEPXXEO-CYBMUJFWSA-N 417.874 4.684 5 20 HJBD COc1cc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc(Cl)c1OC 1117680812 SNFICOWJHKAYJR-UHFFFAOYSA-N 405.665 4.862 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(COc3ccc(C)c(C)c3)o2)cc1SC 1257686251 BOOHYBRKUVCXJW-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC(Nc4ccccc4)CC3)cc2[N+](=O)[O-])CC1 1318108736 WNDZYWSLAQFIKA-UHFFFAOYSA-N 422.529 4.548 5 20 HJBD COCCN(Cc1cccnc1)Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1324443193 SAFRDPJWWZYXKZ-UHFFFAOYSA-N 401.850 4.552 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1oc2ccc(CCC(C)=O)cc2c1C 8876307 TVWKUEVHAATUQP-UHFFFAOYSA-N 411.410 4.537 5 20 HJBD Cc1ccccc1-n1c(C)nnc1S[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17740395 VBNARNCTGFBPEH-CYBMUJFWSA-N 422.470 4.696 5 20 HJBD C[C@@H](Nc1cccc2c1CCCC2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 22468867 ZHJXFDYICVVRNM-GFCCVEGCSA-N 407.392 4.932 5 20 HJBD CN(Cc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)C1CCCCC1 59888537 SOJAIWVBBKGELH-UHFFFAOYSA-N 415.921 4.943 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(-n3cnc4ccccc43)cc2)c1 61284357 VFWATSMKMFRWJX-UHFFFAOYSA-N 418.478 4.586 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1c(F)cccc1Br 128054349 ZFGOWVKNHQMERG-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccc(-c2nc3ccccc3s2)o1 301100473 XOJNHNAICTUFQR-UHFFFAOYSA-N 419.422 4.725 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1 302485871 YYOCGHUWHUAXDV-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD O=[N+]([O-])c1cnc(Oc2ccc(Oc3ncc(Br)cn3)cc2)c(Cl)c1 302669794 DFCPWZNTZMPGJD-UHFFFAOYSA-N 423.610 4.780 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3cccc4cccnc34)cc2[N+](=O)[O-])C1 302966350 HJRUKXOWUXMENK-CALCHBBNSA-N 418.497 4.555 5 20 HJBD COc1ccccc1C[C@H](C)N(Cc1ccccc1)C(=O)Cc1cccc([N+](=O)[O-])c1 303964257 CNKGDNHMGXPOGD-IBGZPJMESA-N 418.493 4.806 5 20 HJBD C/C(=C/c1ccccc1)CN(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426276957 IGQVXBXVEIOVOF-ZSOIEALJSA-N 407.392 4.859 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(CO[C@@H]3CCC[C@H](C)C3)c2)c1[N+](=O)[O-] 434191223 YDYRLNTWVAIIHT-WMLDXEAASA-N 400.479 4.789 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(OC2CCCCC2)CC1 436242292 FBNZVVLDIXIXIP-UHFFFAOYSA-N 422.500 4.774 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccc(Oc2ccc(F)cc2)nc1 436314792 ZEWPXTNEQWCZNQ-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC([C@H]2CCCO2)CC1 439339366 YVTOZVARQIFXNF-OAQYLSRUSA-N 411.458 4.810 5 20 HJBD O=C1CCN1c1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 441234902 OQEICXVEYZZYOY-UHFFFAOYSA-N 421.428 4.553 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cnc(C(C)C)nc1 441411241 SXUHCHUFMVYJCW-UHFFFAOYSA-N 402.520 4.673 5 20 HJBD CC(C)OC(=O)CC[C@@H](C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442816627 WROCBWOUCFWHBW-OAHLLOKOSA-N 416.499 4.596 5 20 HJBD C[C@H]1CCN(C(=O)c2sc(Br)cc2[N+](=O)[O-])c2ccccc2S1 445254238 OYGNNVMCTIZOGR-VIFPVBQESA-N 413.318 4.950 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2C)cn1 446489837 DYGYCNUHSPADOS-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H]3CCO[C@@H](C4CC4)C3)cc2[N+](=O)[O-])cc1 462191958 ABDITUMAFHEIGF-FXAWDEMLSA-N 412.511 4.742 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1ccc(Cl)cc1[N+](=O)[O-] 465063279 GFEPMBOSXQGDBS-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC[C@@H](c1ccccc1)N(CC(C)C)C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2 465137501 IREJTTBNEQRILU-IBGZPJMESA-N 412.486 4.544 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)s1 468170927 SLBKIJIRDADJMO-NXEZZACHSA-N 415.334 4.777 5 20 HJBD CC[C@@H]1CN(C(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)CC[C@H]1c1ccccc1 470186026 NWVDXTUKIZIIQC-DYESRHJHSA-N 418.497 4.651 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1sc(Br)cc1[N+](=O)[O-] 471505863 RVKTUGWKQGWHCJ-CMPLNLGQSA-N 413.268 4.624 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(CN(C)Cc2ccccc2)CC1 475584013 XMZXLZZJSOYUSH-UHFFFAOYSA-N 410.518 4.588 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2ccccn2)c1 477545614 WPWAUCIBVVDZCS-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](c3ccccc3F)C2)cc1SC 482892540 BFGQSGNXBGSPHD-OLZOCXBDSA-N 404.463 4.531 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2c(s1)CCCC2 486073760 NAKAALPBOSTORN-UHFFFAOYSA-N 419.572 4.651 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1c(O)c(Cl)cc(Cl)c1Cl 496843877 ABANMPKQLSNGSW-UHFFFAOYSA-N 414.632 4.923 5 20 HJBD Cc1cc(N2CCO[C@H](c3ccccc3Cl)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 505607683 IAVFQHGWZMQFMJ-IBGZPJMESA-N 410.861 4.592 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1 508283979 DDUMGWHZANWCMK-OKILXGFUSA-N 415.877 4.904 5 20 HJBD CCCOc1c(Br)cc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cc1OC 513856330 UIKLVWNEVOTMFK-UHFFFAOYSA-N 420.263 4.708 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC(F)(F)F)cc1 516757445 NBJJOZDGNKYGII-JTQLQIEISA-N 402.756 4.680 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N1CCC[C@H]1c1cccc2ccccc12 517815673 FZJDHNZUUWBZAZ-FQEVSTJZSA-N 423.856 4.704 5 20 HJBD COc1ccc(-c2ccc(CNCCc3csc(C(C)C)n3)o2)c([N+](=O)[O-])c1 520964309 RHVAWVWANHCHTC-UHFFFAOYSA-N 401.488 4.776 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1OC 522473971 XQOYXCMSIKCWGY-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD COc1cc(/C=C/c2ncc(C(=O)O)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 522482365 HIQQUAYQUPGQEL-WEVVVXLNSA-N 412.423 4.508 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1scnc1-c1ccccc1 522872105 ZGHVDERGXZCAQP-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 523882085 CAFFWPLVISZJPT-CQSZACIVSA-N 406.866 4.682 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(S(=O)(=O)C2CCCC2)cc1 537991130 MAYXNFCYSVFKHP-HZPDHXFCSA-N 402.516 4.723 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(F)ccc2n1C 538555360 AHTAABHBOWLJIS-UHFFFAOYSA-N 402.451 4.765 5 20 HJBD CC(C)(C)c1noc2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)cc12 542057880 SIWLAYOTXDJALX-UHFFFAOYSA-N 408.336 4.700 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3ncnc4cccc([N+](=O)[O-])c34)C2)nc2ccccc21 543436263 GAQJXFQYPRFJEJ-MRXNPFEDSA-N 416.485 4.853 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4cccnc4)cc3)no2)cc([N+](=O)[O-])c1OC 544633331 QVCPMLXMPRSXBO-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD Cc1cc(Cc2noc(C3(c4cccc(Cl)c4)CCOCC3)n2)ccc1[N+](=O)[O-] 545144569 NYMLMWOVSCQVPP-UHFFFAOYSA-N 413.861 4.627 5 20 HJBD Cc1ccc(-n2ncc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c2C)cc1 546493067 FERPSDVVZIUMAG-UHFFFAOYSA-N 414.425 4.519 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)c1 554959564 OZRAHVHDPFCILV-FQEVSTJZSA-N 411.845 4.561 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)nc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 559957632 RKVIGFROYWYZOP-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(N3CCCC3=O)c2)no1 561872724 XONRBDXENVYOAE-INIZCTEOSA-N 406.442 4.508 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(C(=O)c2ccc(C)cc2C)CC1 562109674 HDXKMUXCSCWOGJ-UHFFFAOYSA-N 409.486 4.901 5 20 HJBD COc1cc(/C=C/c2ccc3cc([N+](=O)[O-])ccc3n2)cc(OC)c1OCC(F)F 569766722 RNOGYSPMNBVGTI-HWKANZROSA-N 416.380 4.975 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 575858563 SHUFFYJDQHAWLR-HRAATJIYSA-N 406.486 4.993 5 20 HJBD CC(C)N1C[C@H](c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CC1=O 578088134 VQMYYJVOKVVKNE-QQBNSCQMSA-N 424.482 4.601 5 20 HJBD COc1cc2c(cc1Cl)CN(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)CC2 582793239 FMTHPGIHMZLMKD-UHFFFAOYSA-N 413.861 4.513 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccoc1)C1CCCCC1 584813766 ARXDUEZVTQYXNY-UHFFFAOYSA-N 410.474 4.756 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccccc3OC3CC3)n2)c(Br)c1 589133751 NDEPECPYJJERKB-UHFFFAOYSA-N 416.231 4.539 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(OCc2ccccc2F)CC1 589375800 QRJIINAUJBPZCU-UHFFFAOYSA-N 401.438 4.509 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603993494 ZIMDOJCIBMCWGM-UHFFFAOYSA-N 421.453 4.774 5 20 HJBD CC1(C)CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@H]1c1ccccc1 604490319 VLFPUVKHJCUSRG-KRWDZBQOSA-N 408.376 4.759 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC4(CCCCC4)CC3)cc2)c(C)c1[N+](=O)[O-] 608808580 AIJJVLJWKGBNET-UHFFFAOYSA-N 410.518 4.643 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1(c2ccc(Cl)cc2)CC1 608948807 HXSRURULIQGZIR-LBPRGKRZSA-N 409.269 4.517 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@H]2CCC(C)C)c([N+](=O)[O-])cc1OC(F)F 609033947 CQYCPGIVLKTITA-JSGCOSHPSA-N 400.422 4.540 5 20 HJBD O=C(c1cc2ccccc2n1Cc1ccccc1F)N1CCc2c1cccc2[N+](=O)[O-] 609972989 YFVGDWOHODOJAB-UHFFFAOYSA-N 415.424 4.940 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1Br 610038204 KCOAZRHPIMFXGG-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H](C(C)(C)C)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 610247542 YFMVJBOGHRRGEY-KZULUSFZSA-N 424.423 4.870 5 20 HJBD CC1(C)[C@H]2OCCC[C@@H]2[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206319 GLRKRHKYQVZNEZ-LZQZEXGQSA-N 404.532 4.563 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)C[C@H]1CCCO[C@H]1c1ccccc1 611266742 GJFYFNNZQPTLIJ-UZLBHIALSA-N 406.429 4.796 5 20 HJBD CO[C@H](C)c1nc(COc2c([N+](=O)[O-])cnc3ccc(Br)cc23)cs1 612661301 XQGCUBFJGUYBAB-SECBINFHSA-N 424.276 4.648 5 20 HJBD COc1ccc([C@H](NC(=O)c2cccc(SC)c2[N+](=O)[O-])c2ccccc2)cc1 614392383 LDRNJASVGNKZJY-HXUWFJFHSA-N 408.479 4.845 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1C(=O)O 617720165 BRTOIUOXSAOIOU-UHFFFAOYSA-N 400.456 4.888 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1C 733138023 CJOZDWCEVCXGDF-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccc(NCc3cc(F)ccc3[N+](=O)[O-])cc2C1 742259795 RMNAACJZEFODPQ-UHFFFAOYSA-N 401.438 4.639 5 20 HJBD CN(c1ccc(-c2ccccc2)cc1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 742333756 SJXBTOJQUCQKKF-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD Cc1ccc(Sc2nsc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1 743728004 ZVQHXLYPYDIHML-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C2CCC(c3ccccc3)CC2)cccc1[N+](=O)[O-] 745501518 NPVRKRNBEPWAHU-IPJUCJBFSA-N 410.470 4.747 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755493079 OYRKUQKJAMOCAF-KDOFPFPSSA-N 418.515 4.712 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2ncc(-c3cccc(Br)c3)[nH]2)c1 756899804 CFHBHLLGOQZPHW-UHFFFAOYSA-N 421.682 4.691 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757981009 IABKXBHDRDMQBV-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 759905512 UJJMQBRVOOYWTE-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(F)c(Cl)c1F 760640422 XLAUIHNBYPXMQI-GOSISDBHSA-N 404.756 4.868 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)ccc21 762970000 BXQRLZYHWWSFIP-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 763391337 FETPMCDCOPLQRR-NEPJUHHUSA-N 401.806 4.706 5 20 HJBD CC(C)(COc1ncc(Cl)cc1Cl)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 772233186 PJVPZCDIVXJZES-UHFFFAOYSA-N 418.664 4.537 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1I 773041900 TTWPAFHRIPKMTA-SNVBAGLBSA-N 416.646 4.704 5 20 HJBD O=C(OCc1noc(C2CCCCC2)n1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 773150549 BHQHRKWDODCYQO-UHFFFAOYSA-N 414.443 4.506 5 20 HJBD Cc1ccc([C@H](C)NCc2nnc(-c3ccc(Br)s3)o2)cc1[N+](=O)[O-] 775549932 RXVJZSTUPDUFBC-JTQLQIEISA-N 423.292 4.628 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)[nH]c1-c1ccccc1 777585632 OPOHWQJESXNIRL-GFCCVEGCSA-N 400.435 4.552 5 20 HJBD C[C@@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 777963824 MMAYGBOHNHPOBP-LLVKDONJSA-N 418.318 4.505 5 20 HJBD C[C@@H]1CCN(C(=O)c2cccc([N+](=O)[O-])c2Br)c2ccccc2S1 782120521 AQRNCXTXOACUGF-LLVKDONJSA-N 407.289 4.888 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)c1F 787937602 BDIWNMWHWVZWHI-UHFFFAOYSA-N 408.385 4.505 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)c([N+](=O)[O-])c1 792876166 MHPAYELZFYMHIE-IBGZPJMESA-N 412.833 4.625 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@@H](F)CC1CCCCC1 796529330 BJJBQYNKQRAIHH-SFHVURJKSA-N 418.469 4.690 5 20 HJBD O=C(Nc1cccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)C1CCC1 811472706 IERGFJGEYAFEAI-UHFFFAOYSA-N 408.285 4.930 5 20 HJBD COc1cc(C)c([C@@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 812912250 KKCFHAWEBWSFMP-SNVBAGLBSA-N 413.257 4.718 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1ccc(Cl)c([N+](=O)[O-])c1 864049681 RTJOOQUVMGBQAR-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 917487348 FWBWBNNYWXOPQW-UHFFFAOYSA-N 406.273 4.640 5 20 HJBD COc1ccc(COC(=O)c2c(-c3ccccc3Cl)noc2C)cc1[N+](=O)[O-] 918875729 LGOFHSSGRMAADI-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD COc1ccc(-c2nc(C(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1OC 920266929 BLTJAGISOHIMPZ-LBPRGKRZSA-N 414.439 4.654 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1csc(Cc2ccc(Cl)cc2)n1 1115984452 QWEFSRSERQFYHI-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1F 1116099759 HUWAXYIBETZBGN-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cs1 1116390412 PBEADBOKIVDQQU-UHFFFAOYSA-N 418.478 4.640 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@H]3CCCC[C@@]32c2ccccc2)c(C)c([N+](=O)[O-])c1 1321884558 VLENBNBJHBBCIW-WZONZLPQSA-N 423.469 4.623 5 20 HJBD CC(C)(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nn1 1341368599 CNKQDZFFQZLIGQ-UHFFFAOYSA-N 400.504 4.969 5 20 HJBD Cc1ccc(SCC(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(C)c1 9213926 MIAOLXFTKTZKMW-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 9900237 BMILRFQZWODLHR-IBGZPJMESA-N 400.431 4.714 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)Nc1ccc(OC(F)F)cc1 16324997 YTHWKAJWLGYALF-UHFFFAOYSA-N 420.393 4.942 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3C)c3c(C)noc3n2)c(C)o1 39539704 DNGHPULSYXRYSC-UHFFFAOYSA-N 406.398 4.877 5 20 HJBD C[C@H](NC(=O)Nc1nnc(SCc2ccccc2)s1)c1cccc([N+](=O)[O-])c1 47350433 JVMXMNTVXMWEIB-LBPRGKRZSA-N 415.500 4.621 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2OC[C@H]2CCCCO2)c1 57369317 YKROLZQPAZMUGR-CQSZACIVSA-N 402.472 4.517 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 65308462 HRIASVXQVCLYHC-UHFFFAOYSA-N 422.510 4.591 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCc3nnc4n3CCC4)cc2[N+](=O)[O-])c1 236743014 UIBDVMFMLDRBQY-UHFFFAOYSA-N 421.501 4.646 5 20 HJBD Cc1ccnc(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)c1[N+](=O)[O-] 301274791 IMQDZSVKCABIEC-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD COc1cccc([C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2nccn2C)c1 301878724 OJRDYKYYWIJSEJ-QFIPXVFZSA-N 415.453 4.600 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1ccc([N+](=O)[O-])cc1Cl 303722066 RTSOKUBNQFCJFB-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2oc3ccccc3c2C)c([N+](=O)[O-])cc1OC(F)F 409450850 BSMNUKPSDSKSFM-LLVKDONJSA-N 420.368 4.750 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCCC[C@@H]1c1ccncc1 426277306 JHXRZMGHNZYYDK-GOSISDBHSA-N 422.407 4.836 5 20 HJBD O=C(O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 426800134 MTCREVWQROCVHN-GOSISDBHSA-N 400.818 4.814 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(/C=C(\Cc3ccccc3)c3nccc(O)n3)cs2)c1 432704072 MXXIKTSDDDZWJF-SFQUDFHCSA-N 416.462 4.997 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 437297232 VFGUXFKGUDILOO-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(CCC(=O)N3CCCC3)cc2)cc1[N+](=O)[O-] 438973399 NBCSGEVBAXIANI-UHFFFAOYSA-N 409.486 4.526 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccs1 443655534 PURDPVBFJDHUAK-ZDUSSCGKSA-N 400.481 4.749 5 20 HJBD Cn1ncc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1C(F)(F)F 446362576 JIFIITHUSMNXOQ-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD C[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc2S1 446621133 AVKCJGGZFIHGNO-INIZCTEOSA-N 400.503 4.682 5 20 HJBD O=C(Cn1ccc([N+](=O)[O-])n1)Nc1ccc(Cl)cc1-c1cccc2ccccc12 447627887 BMMSYNVPKWVGMX-UHFFFAOYSA-N 406.829 4.904 5 20 HJBD Cc1nc2c3ccccc3nc(SCc3ccc([N+](=O)[O-])cc3C(F)(F)F)n2n1 448104158 BIEFWYILUJSWBO-UHFFFAOYSA-N 419.388 4.805 5 20 HJBD C[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1OCCCO 462956886 JZUIOKIUZSFSEX-KGLIPLIRSA-N 423.307 4.530 5 20 HJBD COc1ccc(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1Oc1ccc(C)nn1 463954379 YBCBTESZKHKPAH-UHFFFAOYSA-N 423.429 4.755 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)cc1C 464839859 RISIALNCJGLAJI-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 465148659 RKOYJICHUFAOLM-UHFFFAOYSA-N 421.419 4.945 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1cc(F)cc(Br)c1 466586996 RGTWIMWXQJVPMS-MRXNPFEDSA-N 422.254 4.543 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](CO)c3ccccc3OC(F)(F)F)o2)cc1 475552396 MBQODJAAKVCGCS-KRWDZBQOSA-N 422.359 4.577 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cc2cccc(F)c2o1 475697612 OBUKCOVLNLVGJU-WDEREUQCSA-N 405.813 4.811 5 20 HJBD Cc1noc(C)c1Cc1noc(-c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 482727747 JXICTGLCQNNAOJ-UHFFFAOYSA-N 408.439 4.992 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1coc(-c2c(F)cccc2F)n1 485273447 OAIDSCGBKZNBLJ-LBPRGKRZSA-N 422.409 4.512 5 20 HJBD C[C@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488703611 XEZTWVSRHVSVPW-BONVTDFDSA-N 401.772 4.628 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])cc1Br 489635548 FZFUDQNOKIJEOX-LSDHHAIUSA-N 403.276 4.814 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(C2CCCCC2)no1 489906550 PXVBVKYNVQHPTF-LBPRGKRZSA-N 404.492 4.629 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 496590348 GPBMQTHJKDBFGX-XMMPIXPASA-N 403.482 4.733 5 20 HJBD CCCOc1ccc(CCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1 498154256 WIFPMMREBDWGQM-NRFANRHFSA-N 400.500 4.590 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc2c(F)c(F)ccc2s1 500621762 BDDSEDJCEPZZQY-UHFFFAOYSA-N 421.428 4.681 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(C)c(NC(C)=O)c2)cc1OC 513602751 JIPBGSWMYNPRFJ-CQSZACIVSA-N 415.490 4.907 5 20 HJBD COC(=O)Cc1cccc(Oc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1 517577523 ZPJXTQAAAPKFPA-UHFFFAOYSA-N 420.421 4.663 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nccn1-c1ccccc1 521301373 PUWRDBYZSRQPSC-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C)c1 521356183 RJHUDUSFPCIQEU-HUUCEWRRSA-N 415.515 4.551 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1ccc(Cl)cc1C(F)(F)F 521438394 IXJAQIHHXNOQRA-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD CCCc1ccc([C@@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C(C)C)cc1 524212233 LMCXUHUEBRQSQX-QFIPXVFZSA-N 407.470 4.928 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)COc2ccc([N+](=O)[O-])cc2)cc1 525591140 RILDTOVUMWXHDF-INIZCTEOSA-N 406.438 4.527 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 532826930 APIYEYWPYIVSGI-NSHDSACASA-N 407.289 4.746 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(F)cc1 534880237 YBZWBBVXHQQRID-NRFANRHFSA-N 403.417 4.731 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1c[nH]c2cccc([N+](=O)[O-])c12 536509354 HDJMUZHHCAVDRM-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1nccn1Cc1ccccc1 536673408 QXZVRVZXYYULRD-UHFFFAOYSA-N 419.510 4.595 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1 538694880 IOHXLRKSXINJJM-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(F)cc2)c(C)s1 540509948 VXVMAGZILGTMQR-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 540587196 DNMLFDIAEMEMGY-IBGZPJMESA-N 415.449 4.534 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(OC)c(OC)cc1OC 540741056 BXUHVCHVXPPKTD-UHFFFAOYSA-N 420.487 4.765 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)[C@H]1CCCO1 544097638 SHOJEGXROJZPDE-APWZRJJASA-N 424.881 4.516 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2ccc(C)nc2)cc1OC 546105749 XVICUOBQCPRPIX-OAHLLOKOSA-N 401.507 4.757 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 546464314 LZTSNKHOXWMOFA-UHFFFAOYSA-N 421.862 4.616 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C[C@H](C)C(=O)OC)cc1 546784047 LZKRMISMLWYLIV-AWEZNQCLSA-N 416.499 4.701 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(OC2CCCCC2)cc1)c1cccc([N+](=O)[O-])c1 552250818 BPIXHEFNLQMEBM-QHCPKHFHSA-N 420.513 4.919 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)N(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)C1 553336122 IANCNUINSAVMHF-FZKQIMNGSA-N 403.276 4.510 5 20 HJBD COc1cc(CN(C)C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])ccc1SC 555549605 DXGAKBNOCWHWBR-UHFFFAOYSA-N 423.494 4.660 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cc1[N+](=O)[O-] 557437882 BTUVTJCMUHKLJT-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD O=[N+]([O-])c1cc2c(N3CC=C(c4cccc(Br)c4)CC3)ncnc2s1 561276236 SUKICEOIBNERIT-UHFFFAOYSA-N 417.288 4.656 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1cc(Cl)cc([N+](=O)[O-])c1 564238816 MPVHGDUUNBQTDM-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@@H]2CCCCN2c2ccccc21 576973646 QOKLPHNEZXDAFH-KRWDZBQOSA-N 420.494 4.738 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1csc(Cc2ccc(F)cc2)n1 608871371 XUMPRTZUICUUIJ-UHFFFAOYSA-N 413.474 4.832 5 20 HJBD CCCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 609507361 FNUQTMZDPIYYRF-UHFFFAOYSA-N 401.894 4.908 5 20 HJBD CN(CCCc1cc(-c2cccc(F)c2)n[nH]1)Cc1ccc(Cl)cc1[N+](=O)[O-] 609705166 YPQKRLWBGBJRPB-UHFFFAOYSA-N 402.857 4.842 5 20 HJBD C[C@H](c1ccccc1)[C@H](CO)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 609708157 JPSMYTUVLCBNRG-IERDGZPVSA-N 410.445 4.780 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609723546 CIBWFLAJBRRUPA-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)Nc3ccc(-c4cncnc4)cc3)cc2[N+](=O)[O-])C1 609789112 GZXJCGMMONVMNQ-MRXNPFEDSA-N 417.469 4.540 5 20 HJBD C[C@@H](c1ccco1)N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609854924 SIGOKNIZSDKLTN-JTQLQIEISA-N 409.229 4.514 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCc3nc4ccccc4n3C(F)F)o2)c1 609919135 DPUBZKPXROXBEM-UHFFFAOYSA-N 412.396 4.932 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N1N=C(c2cccs2)C[C@H]1c1ccco1 610498898 IWGBGORHAKAGME-SFHVURJKSA-N 424.482 4.656 5 20 HJBD CC(C)NC(=O)Nc1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1 643182183 CNUCITQFPKJZNP-UHFFFAOYSA-N 412.493 4.848 5 20 HJBD Cc1ccc(C(C)C)cc1OC(=O)[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(C)c1 726729641 LFCXAXXVAWNBJQ-HXUWFJFHSA-N 410.470 4.545 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1CC(F)(F)F 726871786 KZWQMPKBQCUGLG-SFHVURJKSA-N 417.343 4.984 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 727312607 IADBUTHSBJHQFL-UHFFFAOYSA-N 402.472 4.817 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccccc1OCC(F)(F)F 727640803 MACJCNFMELSQAX-JTQLQIEISA-N 419.739 4.562 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)CC1 728898691 IXKNTNPBBGJHBT-UHFFFAOYSA-N 424.888 4.664 5 20 HJBD O=C(NC[C@](O)(c1ccccc1)C(F)(F)F)c1cc2ccccc2c2cccnc12 728969735 OGZRNAWKKBQDLA-QFIPXVFZSA-N 410.395 4.568 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC([C@H](O)c2ccc(F)cc2)CC1 731056223 NHCHONILVBRKBQ-HXUWFJFHSA-N 414.458 4.534 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)C2)cc1 743068882 PFGIHHVDRJLAGA-OAHLLOKOSA-N 403.866 4.743 5 20 HJBD COc1cc(COC(=O)CC(C)(C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 743086419 JEPGEQVIOMEKDD-UHFFFAOYSA-N 409.385 4.616 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cs1 745569781 ZWXAEQWPEPHKST-VIFPVBQESA-N 404.366 4.525 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2CCCCCC2)cc1 749260680 IXWXRMLJMYENDX-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 751633599 DZKLRVYNGYKABT-UHFFFAOYSA-N 422.890 4.646 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1cccc(Cl)c1[N+](=O)[O-] 755833832 YTWAMNGDSXWVIS-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(COC(=O)c1ccc2sccc2c1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761312223 NCHFEBAQNCECSV-UHFFFAOYSA-N 424.356 4.624 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@H](O)c1ccco1 762320673 SJIXXRKDLSKMEG-QFIPXVFZSA-N 411.457 4.990 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(N2CCCC2)c(F)c1 763950792 TXIKFPLBVVGSMG-UHFFFAOYSA-N 407.442 4.838 5 20 HJBD Cc1cc(Br)c(NC(=O)c2cc([N+](=O)[O-])ccc2N(C)C)cc1Cl 765992173 BCYNCCTUZVVAGG-UHFFFAOYSA-N 412.671 4.637 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)Nc1cccc2c1CN(c1ccccn1)C2 770002526 KICVQEQLEAGZBC-UHFFFAOYSA-N 409.833 4.807 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770418089 OEPHRFHZMYHQCD-SFHVURJKSA-N 412.396 4.556 5 20 HJBD CC[C@H](C)Oc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccn1 777117632 XNCQDOINMDVGAS-BTYIYWSLSA-N 407.426 4.509 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2Br)s1 782172452 MDWPOICOAGGHCR-JTQLQIEISA-N 412.309 4.518 5 20 HJBD Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)cc1NC(=O)c1ccccc1 782750083 KXDKIQAQWIWADK-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1cnc(-c3ccco3)s1)CC2 793614092 OAGRXWIEPGFMAZ-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD COCc1nc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)c(-c2ccccc2)s1 799362974 RKLVLDCTUBQEIY-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD COc1cc(COC(=O)[C@H](F)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1F 801898314 PENOYOGQPIGGIA-OAHLLOKOSA-N 405.275 4.515 5 20 HJBD CSc1ccc(OCCOC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 805429928 GMUZHBABLQCJDB-UHFFFAOYSA-N 416.480 4.676 5 20 HJBD C[C@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 808952833 MPOAXXBJKBHJQO-QMMMGPOBSA-N 406.719 4.648 5 20 HJBD COC(=O)[C@H](C)Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813169848 VFPGQLDHGUNROB-SNVBAGLBSA-N 411.241 4.506 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)S(=O)(=O)c1cc(Cl)c(F)cc1[N+](=O)[O-] 814985786 SHPWMQSLWBEOQF-SNVBAGLBSA-N 412.826 4.515 5 20 HJBD Cc1ccc(Sc2ccc(CNc3cc(C(N)=O)ccc3F)cc2[N+](=O)[O-])cc1 863997629 SZSBPNDAVGCZCI-UHFFFAOYSA-N 411.458 4.905 5 20 HJBD Cc1ccccc1NC(=O)C(=Cc1csc([N+](=O)[O-])c1)C(=O)Nc1ccccc1C 917144571 IRKKBAYZCOBSID-UHFFFAOYSA-N 421.478 4.934 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(OCC(F)(F)F)cc1 920285621 NNBXFRYMTMKJAF-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD CCCC(=O)NC[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1319717035 SDOKKXKBJUNWAQ-HNNXBMFYSA-N 419.909 4.637 5 20 HJBD O=C(Nc1cccc(-n2cnnc2)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 1355229573 ZVZVXMBHJLQWBX-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@H](OC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 7628958 KDCVCCQKZYSWRP-JTSKRJEESA-N 418.449 4.760 5 20 HJBD O=C(Nc1ccc(N2CCCC2=O)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 22068154 MYRIFDPQJBXVSS-UHFFFAOYSA-N 416.437 4.718 5 20 HJBD Cc1ccc(-c2noc(CSc3nc4cc5ccccc5cc4c(=O)n3C)n2)cc1 22254527 VMAOQQPUBXCCKL-UHFFFAOYSA-N 414.490 4.737 5 20 HJBD C[C@@H](NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 24886678 XXDPHUDBHXTWMB-SECBINFHSA-N 403.649 4.811 5 20 HJBD COc1ccc(NC(=O)CNc2ccccc2SCc2ccccc2)c([N+](=O)[O-])c1 28662282 DOOJTUWFDSKLLH-UHFFFAOYSA-N 423.494 4.946 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc2c(Cl)cc(C(F)(F)F)cn12 36848987 PACCSINCDKIMHQ-UHFFFAOYSA-N 418.784 4.611 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(COCC(F)(F)C(F)F)c1 56328201 ZXDQXTBAKBKPTC-UHFFFAOYSA-N 401.316 4.656 5 20 HJBD Cn1ccnc1C(=O)c1ccc(NC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 64113100 VSDSVGOHTGHLLD-UHFFFAOYSA-N 412.449 4.761 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1C(F)(F)F 65718315 HHDSLQKSDAXNLV-AWEZNQCLSA-N 421.419 4.707 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)oc1C 78882819 ZPOXBFYRIURFCE-UHFFFAOYSA-N 412.412 4.543 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(OCc4ccc(F)cc4)c3)n2)c1 108352786 RCYDQCBPDFRFEF-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4cccc(F)c4)no3)C2)c2ccncc12 110025462 ZQJWCTRNBCRFMN-HNNXBMFYSA-N 419.416 4.716 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 116160476 DRCQXZWRXYLSBI-LEWJYISDSA-N 407.514 4.993 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641505 XXWBJZZUXMVKQT-SCLBCKFNSA-N 412.515 4.731 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC(c2nncn2C2CC2)CC1 301264525 DVWBZQZHLZHWCG-UHFFFAOYSA-N 415.803 4.577 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1cc(C(F)(F)F)nc(-c2cccnc2)n1 301323574 WDZDDTXQELGGTO-UHFFFAOYSA-N 423.782 4.755 5 20 HJBD COc1ccccc1[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccccn1 301891665 VCOXZCWLEBLZEN-IBGZPJMESA-N 414.259 4.962 5 20 HJBD O=C(Nc1ccc(NCc2ccccc2)c(Cl)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 303023048 MGAAHIBNRMMDNI-UHFFFAOYSA-N 421.844 4.989 5 20 HJBD COc1c(Cl)cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1Cl 429785174 QBLJLCVBSWSUMQ-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435392459 WMZMJMYRIRGZMF-VIFPVBQESA-N 419.812 4.743 5 20 HJBD Cc1ccc2c(c1)CC[C@H]2NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436137888 AQBBOQFTMGWXIO-HXUWFJFHSA-N 404.416 4.685 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436307070 MQFMXZJCPQPOFN-UHFFFAOYSA-N 422.444 4.824 5 20 HJBD O=C(NCc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc([N+](=O)[O-])s1 437011731 BUMYEFBVSJBZSR-UHFFFAOYSA-N 413.402 4.729 5 20 HJBD CC(C)Oc1ccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(F)c1 438777984 LGRDCIHFCNJUTB-UHFFFAOYSA-N 423.249 4.629 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 440871887 PRHQIJNVASGTSR-OAQYLSRUSA-N 400.500 4.777 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446924764 JBTZPSZYJJLESJ-UHFFFAOYSA-N 416.481 4.821 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc([C@H]3CCCO3)nn2C)cc1[N+](=O)[O-] 447654529 SBRXDQXZVWQCLS-QGZVFWFLSA-N 418.519 4.570 5 20 HJBD O=C1CCCc2cc(Oc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])ccc2N1 447675603 NJTIFZCCNGIPQQ-UHFFFAOYSA-N 417.290 4.711 5 20 HJBD CCn1c([C@H](C)Oc2cccc(C)c2C)nnc1N1CCc2ccc([N+](=O)[O-])cc2C1 460160293 BBNROXHJVISUFK-KRWDZBQOSA-N 421.501 4.526 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccccc3F)n2Cc2ccccc2)c([N+](=O)[O-])c1 463058668 XOUPSFKKOOTILD-UHFFFAOYSA-N 421.457 4.895 5 20 HJBD CN1CCC(N(C)C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 464440054 HZNSIJFVLMEENC-UHFFFAOYSA-N 419.934 4.566 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccccc1CCC(F)(F)F 464786142 VAXFZMOCIKCZCA-GFCCVEGCSA-N 411.380 4.537 5 20 HJBD CC[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465180477 ATXUXRTYDQSEQU-BXKDBHETSA-N 419.450 4.818 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OCC)c1 466523325 VYVDBYPSJXRQBD-UHFFFAOYSA-N 413.474 4.508 5 20 HJBD C[C@@H](NC(=O)c1ccc(Sc2ccccc2Cl)nn1)c1cccc([N+](=O)[O-])c1 468597954 QGFYVHLVWSRAPR-GFCCVEGCSA-N 414.874 4.680 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)Nc3ccccc3OC)cc2)cc1[N+](=O)[O-] 471284377 YSEGTCQPXVCWGH-UHFFFAOYSA-N 419.437 4.670 5 20 HJBD COc1cc(C(=O)Nc2ccc3oc(C)c(C)c3c2)c([N+](=O)[O-])cc1OC(F)F 477913250 KZMUBSWZASGGQT-UHFFFAOYSA-N 406.341 4.820 5 20 HJBD C[C@H](C1CCN(C)CC1)N(C)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 479475833 WRYKMSWDQAHKBW-MRXNPFEDSA-N 413.543 4.548 5 20 HJBD Cc1c(CNC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)cccc1[N+](=O)[O-] 481481449 UUYWNMPOMMNSLH-UHFFFAOYSA-N 421.501 4.519 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(NC(C)=O)cc2C(F)(F)F)c1[N+](=O)[O-] 485731321 MFDNLZMJCODVFZ-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2c(C)nn(CC(F)(F)F)c2C)cc1[N+](=O)[O-] 495444029 FZNQDUSYGHSISK-NWDGAFQWSA-N 414.428 4.781 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccccc2CN2CCCCCC2)c1 497214827 LWCQEGUTORVXED-UHFFFAOYSA-N 419.472 4.862 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(F)c1)c1ccccn1 498894378 VGVYXYFIQYMPRG-VBKZILBWSA-N 411.458 4.515 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 500873097 FKOHVOGHFAQLOC-CQSZACIVSA-N 404.426 4.623 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CSc1ncnc2c1cnn2-c1ccc(F)cc1 501145261 TYUAPAYKROVTFT-UHFFFAOYSA-N 415.837 4.809 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(C)nn(-c2ccccc2)c1C 502556117 UFIHDSBEBKCVQZ-UHFFFAOYSA-N 424.526 4.829 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cs2)cc1 504056429 GKNGBVXZIQMBRC-UHFFFAOYSA-N 411.483 4.779 5 20 HJBD COc1ccc(CN(CC2CC2)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(OC)c1 505400379 VJPWALAGHSSZKZ-UHFFFAOYSA-N 413.474 4.673 5 20 HJBD C[C@H](NC(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 505846147 UFUBBGYYKZWMHH-NSHDSACASA-N 415.343 4.948 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 509666608 QMFVTJXKTMADKA-UHFFFAOYSA-N 416.886 4.736 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H](CO)c1ccc(Cl)cc1 512722112 BAZSHAMEYARAPP-HXUWFJFHSA-N 412.829 4.755 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C[C@H](O)CO[C@H](C)c1ccc(Cl)cc1 513484911 NAIAVDVFNVWPNB-IERDGZPVSA-N 406.910 4.597 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])oc12 517048395 PQTWOQBQYNAEBG-OAHLLOKOSA-N 411.458 4.685 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1ccc(Cl)cc1[N+](=O)[O-] 518570981 FBFOLJFOPQQBLR-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1cccc(Oc2ccccc2CNc2cc3ncnc(O)c3cc2[N+](=O)[O-])c1 520727201 NNXDZZKNWZVVNF-UHFFFAOYSA-N 402.410 4.956 5 20 HJBD COc1cc(Cl)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1C 520783182 UICRUHJVTMMIOR-UHFFFAOYSA-N 418.755 4.759 5 20 HJBD COc1ccc(-c2nc(Cc3noc(/C=C\c4ccc(F)cc4[N+](=O)[O-])n3)co2)cc1 521892302 BSIAYFNIJJIHJU-UITAMQMPSA-N 422.372 4.542 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 523893149 XIURMHWFWVUFRB-UHFFFAOYSA-N 423.416 4.833 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1SCC(F)(F)F)c1cc([N+](=O)[O-])c[nH]1 524876127 HWWJSRVMRTXSJI-UHFFFAOYSA-N 413.299 4.848 5 20 HJBD COC(=O)c1ccc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 530472815 OOQBVHQIIAQLEH-UHFFFAOYSA-N 402.403 4.609 5 20 HJBD C[C@H]1C[C@@H](N(C)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCN1Cc1ccccc1 534843155 HSCMLSPUQNSUNO-MBSDFSHPSA-N 420.513 4.735 5 20 HJBD C[C@H](Nc1ccc(N2CCOCC2)cn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537397204 SPRSZUVRMMZZDQ-HNNXBMFYSA-N 410.499 4.728 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@@](C)=O)c1 537468800 BJXJCXMGYPHXIY-HHHXNRCGSA-N 406.529 4.618 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(COCc2ccco2)c1 538537348 KPOJTXMRLXHFKC-MRXNPFEDSA-N 409.442 4.734 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H](C)c1ccccc1C(F)(F)F 541636303 SOZOSJJLNYGOFD-OLZOCXBDSA-N 420.391 4.945 5 20 HJBD COc1cc(CNC[C@H](C)c2nccs2)ccc1OCc1ccc([N+](=O)[O-])cc1 541778924 HSEKOYURHVTDQG-HNNXBMFYSA-N 413.499 4.532 5 20 HJBD CSc1ccc(-c2nc(-c3ccc(C(=O)N4CCC[C@@H]4C)cc3)no2)cc1[N+](=O)[O-] 545079510 QOUACLDUZFQORW-ZDUSSCGKSA-N 424.482 4.658 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)Nc4ccc([N+](=O)[O-])c(C)c4)CC3)c2c1 547450286 NWWXTSNTPPALIY-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cnco2)cc1 555787972 KFMBGSANBRMHBS-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](NC(=O)N(C)[C@@H](C)Cc1ccccc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 557807078 BLHASPRUJMWZKE-KBPBESRZSA-N 409.408 4.947 5 20 HJBD COCCC[C@@H](CNC(=O)NCc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)cc1 561751557 CCKKCTGFQAZUAU-SFHVURJKSA-N 419.909 4.566 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](c2cccnc2)C(C)(C)CO)cc1[N+](=O)[O-] 566397862 IGWVDTDDDNYKRK-QHCPKHFHSA-N 420.513 4.607 5 20 HJBD CC(C)N1CC[C@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 583399971 YVCHVPOYYVKNRT-OAHLLOKOSA-N 417.893 4.501 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC[C@@H](c2nc(C(F)(F)F)cn2C)C1 584139907 JACJAPGWRUHKCJ-SCZPIIQISA-N 408.424 4.630 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1nc2ccccc2n1C1CC1 585701421 RWZWUEOYDLZICO-UHFFFAOYSA-N 415.247 4.603 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@@H](c2nc3ccccc3o2)C1 603629595 AZBJJCOKDIYLSU-GOEBONIOSA-N 419.441 4.727 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)COc2ccc(C(C)(C)C)cc2)c1 604199603 RRBCARCSNFHHQR-CQSZACIVSA-N 402.516 4.812 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(-c2ccc3c(c2)CCO3)cc1 604510769 XDKIOOIUZZDEQA-UHFFFAOYSA-N 417.465 4.538 5 20 HJBD CC(C)(C(=O)Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 610062691 UDTKIZNOVPTCBR-UHFFFAOYSA-N 424.501 4.919 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCC(C)C)c(Cl)c2)cc([N+](=O)[O-])c1OC 610170562 LNSSVGLYHFAZTD-UHFFFAOYSA-N 408.838 4.553 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)c3cnccc3C)cc2[N+](=O)[O-])n1 611300763 XTOZEQYYWLBQFU-CYBMUJFWSA-N 414.512 4.705 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@H](OCC2CC2)C1 614641774 SSRHMEMMCDLOJN-KRWDZBQOSA-N 404.532 4.661 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1csc(-c2ccccc2F)n1 728319850 DVTHEAKSRNKTDH-UHFFFAOYSA-N 404.444 4.693 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C[C@@H](c2ccccc2)C(F)(F)F)cccc1[N+](=O)[O-] 728652271 CRPHROGGWHNLAF-ZFWWWQNUSA-N 424.375 4.510 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)c1 731830513 DDCUWCPADZKPON-IBGZPJMESA-N 420.918 4.556 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)C1 733276359 CCPXWGWCOQDFMC-DEOSSOPVSA-N 407.514 4.779 5 20 HJBD O=C(OCc1ccc(OCc2cccnc2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 733450307 PYRPCCQMMWAPFW-UHFFFAOYSA-N 404.378 4.672 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2c1C 735163656 WVYIWBKJSQCEIS-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD CCCCCCN(C(=O)Cn1cc([N+](=O)[O-])cn1)c1nc(-c2ccccc2)cs1 737398410 SHUFSVNIVYJDMI-UHFFFAOYSA-N 413.503 4.528 5 20 HJBD Cc1cc(N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCC(F)(F)F 738426813 WUVPVDUIMJGRTI-CYBMUJFWSA-N 411.380 4.592 5 20 HJBD CCN(c1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1)C(C)C 750817702 IHDVXOLGJRBHED-UHFFFAOYSA-N 406.280 4.844 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N(Cc1csc(Br)c1)C1CC1 752377816 MOCDPDCOFVWXNM-BAQGIRSFSA-N 407.289 4.623 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c(F)ccc([N+](=O)[O-])c1F 752566801 GGSDKKSXTLDRPT-NWDGAFQWSA-N 406.385 4.571 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)NCc2cccc(C(F)(F)F)c2)C2CC2)cc1 763309469 XSQBNIRQHUUHEP-UHFFFAOYSA-N 409.433 4.653 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)OC(C)(C)C)nc1 764225725 QCKWAJVRQMSYQU-UHFFFAOYSA-N 418.475 4.701 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@H](O)c1cccc(F)c1 765202997 WWSPIFPQFOFZPG-RBZFPXEDSA-N 418.490 4.621 5 20 HJBD C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(-c2ccncc2)cc1 766384650 QIFNJMVWPDSPFP-GOSISDBHSA-N 402.498 4.976 5 20 HJBD COc1cccc(C(=O)O[C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 769600801 OZSHUPCISAMGTO-LLVKDONJSA-N 402.790 4.830 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C3)cs1 772859963 VZQHPTHZOMXOPQ-QPJJXVBHSA-N 411.508 4.686 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(c2ccc(Cl)cc2)CC1 775378529 ZOUYZCSRHUKZME-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cncc(-c2ccccc2)c1 776136369 JNQRCTLCDFCHAH-QHCPKHFHSA-N 411.417 4.998 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Cl)nc1Cl 776217733 KUEZILYSNRQZIS-INIZCTEOSA-N 404.209 4.638 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)cc1[N+](=O)[O-] 777219849 BNWJTKSGRPXCKQ-IPMKNSEASA-N 409.442 4.610 5 20 HJBD CC(C)(CC(=O)c1ccc(Cl)cc1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 781749092 KBACSVYERVMCOR-UHFFFAOYSA-N 416.817 4.732 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCc2[nH]c3ccc(Cl)cc3c2C1 790544977 AGTNCOQGKQZXLH-UHFFFAOYSA-N 422.243 4.649 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])OCc1csc(-c2ccsc2)n1 790746724 USQKJLRFZHLXLM-UHFFFAOYSA-N 412.851 4.858 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])cc2)n1 790896394 GGRJPNDXYYLRAQ-UHFFFAOYSA-N 418.812 4.742 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccnc3ccccc23)cc1 791364867 WUAVXORKHDGDHC-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc2c(F)cccc2c1 797284446 XGMICTGDUTVYNP-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD CCCn1c(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 799922435 YPMXKMOHSIWNBR-UHFFFAOYSA-N 419.850 4.976 5 20 HJBD CC(C)OCc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1O 811595885 WXICOXIKAAZXKM-UHFFFAOYSA-N 402.450 4.564 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815067086 QKQKNWKJTCHCRY-UHFFFAOYSA-N 415.318 4.744 5 20 HJBD C[C@@H](C(=O)O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 816065039 VXXKLRRLBVQWJO-PSLIRLAXSA-N 412.295 4.825 5 20 HJBD COc1cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)c([N+](=O)[O-])cc1F 904454825 VVQYCODKQKHVGX-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(C)c(NC(=O)c2ccccc2)c1 915020251 YCEDASXDYHXKNU-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1OCC 915308760 RTTLZGCKXYFEPJ-LBPRGKRZSA-N 407.854 4.928 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cc2)c1 917841597 LJKDGEYDQKPJSK-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD C[C@H](Nc1ccc(C(=O)OC[C@H]2CC2(Cl)Cl)cc1[N+](=O)[O-])c1ccccn1 920547450 OEHAQDMDCYKRHS-WCQYABFASA-N 410.257 4.514 5 20 HJBD Cc1sc2ncnc(SCCC(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])c2c1C 921133347 FVCBTPSKLSRGFF-UHFFFAOYSA-N 423.903 4.958 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCc2cccc(F)c2)cc1C 1115976107 KXDWHAXTBLLRIT-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD C[C@@H](Oc1cccc(Br)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2F)o1 1117191686 NJQAHIXLSCZMLI-SECBINFHSA-N 408.183 4.686 5 20 HJBD Cn1cc([C@H]2CSCCN2Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cn1 1338953316 FVALKPPJKCTHII-GOSISDBHSA-N 418.906 4.532 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@H](O)c2c(F)cccc2F)o1 1353546931 AOHXNMZEQARSHX-KRWDZBQOSA-N 408.788 4.610 5 20 HJBD O=C(c1ccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)N1CCCCC1 15141722 DYAPQWOWWFRZDI-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)Cc1coc2ccc3ccccc3c12 21152584 FDPUAEMDBWRSBX-UHFFFAOYSA-N 418.405 4.527 5 20 HJBD CCc1ccc(OCc2nnc(Sc3ccc([N+](=O)[O-])cn3)n2CC(C)C)cc1 23615922 UMVILODYSDSDCP-UHFFFAOYSA-N 413.503 4.530 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 24969698 BGUZOZRZDCTEBW-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50289540 PXHRUJGGGMCYTL-UHFFFAOYSA-N 419.409 4.592 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3nc(C)cc3C)c(F)c2)c1 55716838 ZXHQNCLYOJQVQV-UHFFFAOYSA-N 400.435 4.511 5 20 HJBD Cn1c(-c2cccc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)c2)nc2ccccc21 65899436 ZYHOBEXLGMTFDI-UHFFFAOYSA-N 411.421 4.882 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 110636500 ZAJJWJZFCDHCGX-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD Cc1sc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c(C(N)=O)c1C 110872024 VREQGWKMISFKSZ-UHFFFAOYSA-N 421.544 4.763 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(N4CCCCCC4)nc3)cs2)c1 238008792 KGFCUVYEUYWTOQ-UHFFFAOYSA-N 423.542 4.784 5 20 HJBD Cc1cc(N2CCC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C2)c2cccc([N+](=O)[O-])c2n1 302044552 XKQGBLOFSYDONI-HOTGVXAUSA-N 414.506 4.581 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 302995056 NDWYNGKGFUGYMV-UNMCSNQZSA-N 404.470 4.579 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 410227877 CURMXHWKCHUCKC-HNNXBMFYSA-N 418.490 4.571 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)c(Cl)c1F)c1cccc([N+](=O)[O-])c1 435539978 NRFZYIAKGSPVNZ-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD C[C@@H](CCc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435822723 UAYSYIBJENYQTA-AWEZNQCLSA-N 406.432 4.711 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc1C 435905559 BNWDGEJPEYEANU-UHFFFAOYSA-N 422.812 4.709 5 20 HJBD CCn1ncc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc21 436248952 YWYWFMHUEVALHD-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@]2(O)CCC[C@@H](C(F)(F)F)C2)s1 436405768 GOZVPYYAYKSULG-ACJLOTCBSA-N 414.449 4.897 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 438619631 KXXGZSFYILUCJB-UHFFFAOYSA-N 423.391 4.775 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 440365270 HKWGYMYNZIXTAI-QUCCMNQESA-N 407.470 4.702 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)CSc2ccccc2[N+](=O)[O-])c1 440884788 HNNTZABDRJLYHA-UHFFFAOYSA-N 416.890 4.605 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(Cl)c(C)c1 442423207 WNNYGPVAYAWUBX-UHFFFAOYSA-N 422.890 4.773 5 20 HJBD Cc1csc([C@@H]2CCCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)n1 444085328 GYUJPBYEKHTFHB-CQSZACIVSA-N 421.497 4.605 5 20 HJBD C[C@@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc2ncsc2c1 444337291 LQOXUEQKEDXTTN-GFCCVEGCSA-N 417.465 4.921 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2sc3c(c2C(=O)C2CC2)CCC3)c1 446609779 ILRNUBVJBUWCKW-UHFFFAOYSA-N 402.497 4.712 5 20 HJBD Cc1cc(N2CC[C@@H](n3c(C)nc4c3CCCC4)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461943313 WWZZDHMEZPBJJK-CQSZACIVSA-N 408.424 4.757 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)cc1Cl 462505889 DEAHFTWECDXUJE-OAHLLOKOSA-N 417.893 4.595 5 20 HJBD COc1ccccc1[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1Cl 462881415 AUTVNWKZVLKGFJ-HXUWFJFHSA-N 411.845 4.557 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@](CO)(c3ccccc3)C2)ccc1Oc1ccc(F)cc1 463041953 HIUMMWUMSVEJTK-DEOSSOPVSA-N 422.456 4.662 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc(F)cc1[N+](=O)[O-] 464237449 UNWFNMSBNRXLIC-HNNXBMFYSA-N 415.421 4.804 5 20 HJBD C[C@@]1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCO1 466426874 YGAWCETVSOJBQP-IBGZPJMESA-N 406.891 4.698 5 20 HJBD CC1(C)CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)[C@H]1c1ccncc1 476326540 XYVGZVSYKJNSNK-QFIPXVFZSA-N 416.481 4.825 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1CC(F)(F)F 477573273 NTVBBFPPXRSRIM-UHFFFAOYSA-N 401.772 4.717 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CN(C)C(=O)N2 478967259 ALTNUPKPBAXTOJ-UHFFFAOYSA-N 414.487 4.573 5 20 HJBD O=C(c1cc(N2CC=C(c3cccc(Cl)c3)CC2)ccc1[N+](=O)[O-])N1CCCC1 480614147 SLOWCJKYYDAHDA-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD C[C@@H](NC(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 482402185 RUSOTRLDLOUHLG-PSLIRLAXSA-N 403.307 4.537 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](c2oc3ccccc3c2C)C(C)C)cc1[N+](=O)[O-] 483784331 LHCDMHICYVASMF-HXUWFJFHSA-N 411.458 4.855 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(COC(C)C)cc1 484065964 IVVMDPULGYOOQU-UHFFFAOYSA-N 404.488 4.511 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c12 486072918 YASFZDLOJJLTPL-UHFFFAOYSA-N 420.469 4.766 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1Br 486606554 QPJNLQKOBGXXRN-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(NC(C)=O)sc2c1 488188158 VNSDRSKKKCPAQD-UHFFFAOYSA-N 416.484 4.527 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1F 488437281 WNHJQBSXIWFMTP-UHFFFAOYSA-N 405.451 4.693 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccccc2)C(C)(C)C1 489170636 LYWKHMNVCDDWAZ-LJQANCHMSA-N 414.527 4.599 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1F 489193044 CRYLVIDMHAURMR-UHFFFAOYSA-N 406.435 4.521 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@H](C)CCCC(F)(F)F)cc2[N+](=O)[O-])CC1 494879133 QLMUWYUPGSABIL-CQSZACIVSA-N 401.429 4.682 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 499889917 SSYDIYCUILSBCI-HXUWFJFHSA-N 422.403 4.730 5 20 HJBD COc1cccc(C(=O)Nc2cc(-c3ccsc3)nn2-c2ccccc2)c1[N+](=O)[O-] 500234895 WUZWBKRTNCFTFP-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1csc(-c2cccs2)n1)c1cccc([N+](=O)[O-])c1 503159870 RXONGEOYBNGHNB-GFCCVEGCSA-N 401.513 4.935 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(CC(C)C)cc2)C2CC2)c([N+](=O)[O-])cc1OC 509381214 SPKGTENBSWJWFI-QFIPXVFZSA-N 412.486 4.692 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1CCc2sccc2[C@H]1c1ccccc1 509650864 RNUIPLPECRIKIB-OAQYLSRUSA-N 410.520 4.923 5 20 HJBD COc1ccccc1CN(Cc1nc2ccc([N+](=O)[O-])cc2[nH]1)Cc1cccs1 510397851 WWWWMMSOMIYHOO-UHFFFAOYSA-N 408.483 4.744 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)ccc1[N+](=O)[O-] 510800343 WOSSVNHAQCRHHC-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccc(F)cc3Cl)CC3CC3)o2)cc1 511066945 SMXRWOSLVQTYHD-UHFFFAOYSA-N 416.840 4.850 5 20 HJBD CN(CCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 515991532 NYIOBALWXQDGDG-UHFFFAOYSA-N 422.416 4.575 5 20 HJBD O=C(CCc1cnn(-c2ccccc2)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 517645340 KBLRCVGBAHNXOJ-UHFFFAOYSA-N 404.470 4.689 5 20 HJBD Cc1cc(C(=O)N(CC(F)(F)F)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 522865138 SCDOLLYGASLGSR-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD C[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 523260394 ANVZVIRKKDHWGQ-SUMWQHHRSA-N 404.850 4.728 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(NC(=O)c2ccc(F)cc2)c1 531258343 CZFIKBCYYZLFMW-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])s1 531326186 QKLZFGGTSBYOGO-UHFFFAOYSA-N 408.439 4.503 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 532467312 IDYHSYRXVQMGHG-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc4c(c3)CCCC(=O)N4)o2)c([N+](=O)[O-])c1 532892055 XFLVSYPBFLVXNF-UHFFFAOYSA-N 407.426 4.750 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cc2ccccc2c2cccnc12 537244690 RAGHIXQTCKZSAZ-GGAORHGYSA-N 404.416 4.926 5 20 HJBD O=[N+]([O-])c1cc(CN2CCS[C@@H]3COCC[C@H]32)ccc1Oc1ccccc1Cl 537707585 DULTZUCENOFOSS-OXQOHEQNSA-N 420.918 4.747 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(C(=O)Nc3ccccc3)cc2)c1 540574527 BYDOSLFDEGPEOX-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccc(Br)cc1[N+](=O)[O-] 543306628 NWINXGCJDSUDMR-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 543668758 PXDKLJQMAMIPKA-PAXLWEDBSA-N 415.465 4.665 5 20 HJBD Cc1cc(C)cc(C(=O)N2CCC[C@H](c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)C2)c1 545577512 NUSLFBQKJCVTTF-SFHVURJKSA-N 420.469 4.590 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4ccc(C(F)(F)F)cc4)n3)c2c1 545853782 MECZTSCUVAYJQN-UHFFFAOYSA-N 402.332 4.660 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](c2nc3ccccc3s2)C1 547752879 ASNDEPQEHVTKFK-ZDUSSCGKSA-N 412.471 4.625 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H]2c3ccccc3CC23CCOCC3)c1 549718116 WNKVADPBYGOYJY-IBGZPJMESA-N 406.404 4.797 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2sc(-c3ccccc3)nc2C)c1 554872200 OFQXHKGHABBECJ-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4ccccc4C(F)(F)F)o3)n2)nc1 568931907 SCKGBRZANBBBFW-UHFFFAOYSA-N 416.315 4.909 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nc(-c3ncoc3-c3cccc(C(F)(F)F)c3)no2)c1 573484316 PALMKPBVMRJWQB-UHFFFAOYSA-N 416.315 4.909 5 20 HJBD CC(C)c1c(NC(=O)NCc2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 575969347 TYTVHTXYOSEREL-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD C[C@H](c1ccccc1Br)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 604492802 VAUKSPVMZIOPKE-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD CCSc1ccc(Cl)cc1N[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 609221703 QVNWIRMECOTTHS-LLVKDONJSA-N 409.895 4.808 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2O[C@H](C)c2ccccc2)cc([N+](=O)[O-])c1 609652400 ULJYRYOURIHRFU-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(N2CCCC2)nc1 609762362 DQBINUYWZHBFIK-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CCO[C@H](CC)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791529 HWYHFBOUWBAIPQ-MRXNPFEDSA-N 410.829 4.611 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCN(C3CCCC3)CC2)cc1[N+](=O)[O-] 609995850 ULHWBGIEURMJBU-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD Cc1ccc(NCCCNC(=O)C[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 610052593 KUIAUGYAUUOVCG-MRXNPFEDSA-N 409.408 4.558 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC([C@@H]3CCOC3)CC2)cc1[N+](=O)[O-] 612347274 YYJMZFLXNNIKLK-GOSISDBHSA-N 406.548 4.622 5 20 HJBD Cn1cc(C(=O)Nc2ccc(OC(=O)c3cc4ccccc4c4cccnc34)cc2)cn1 727808127 HYEWNYOAJGFQRG-UHFFFAOYSA-N 422.444 4.593 5 20 HJBD O=C(OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1sccc1-c1ccccc1 729192071 GNVQLJSKVUAHGW-UHFFFAOYSA-N 423.494 4.792 5 20 HJBD COc1ccc(OC(=O)[C@H](Oc2ccc(F)c(F)c2)c2ccccc2)c([N+](=O)[O-])c1 730449962 QBPHNMBBWCRSJT-HXUWFJFHSA-N 415.348 4.607 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1Oc1ccccc1 731518704 JHMGFMYPZMHVCU-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD CC(C)C[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 741050508 RFJOXRVRWGUKBS-MRXNPFEDSA-N 404.850 4.560 5 20 HJBD Cc1ccc(F)c(NC(=S)/C(=C(/O)c2cccc([N+](=O)[O-])c2)[n+]2cccc(C)c2)c1 744115793 HMWNDRYPZCXXRG-UHFFFAOYSA-O 424.477 4.962 5 20 HJBD CCN(C(=O)[C@H](C)OC(=O)c1cc(C)ccc1[N+](=O)[O-])c1cccc2ccccc12 745388840 WETIVBLOZJKBJN-INIZCTEOSA-N 406.438 4.655 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc(-c3ccccc3)cc2F)cc1[N+](=O)[O-] 752135073 IVWVLLUGHRNJEK-HNNXBMFYSA-N 421.428 4.525 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1F 755060590 DPMOFSKCGRCOSH-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cccc([N+](=O)[O-])c3Br)CC2)cc1 757896299 QOKKQBQBKPOZJA-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(F)(F)F 760766471 STZNPZZTVNFRDN-OAHLLOKOSA-N 413.377 4.620 5 20 HJBD CCCCCCN(C(=O)c1cc([N+](=O)[O-])cnc1N)c1ccc(Br)cc1 764387959 NKESXEQGFGRGGP-UHFFFAOYSA-N 421.295 4.562 5 20 HJBD C[C@H](C[C@@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764580078 BOOKPKDINXIBMP-FOIQADDNSA-N 414.527 4.872 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@@H](O)c1ccc(F)cc1 765189369 XPPDZMPEXALTTR-ZUOKHONESA-N 418.490 4.621 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H]1C[C@@H](O)c1cccs1 770289492 DNMNTPIRTYFGKN-MLGOLLRUSA-N 411.321 4.507 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775497072 ZJHSHIKQJOHKFK-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)ccc1Br 776251963 GQOSZMLREUMMRP-QAPCUYQASA-N 405.292 4.615 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 777210920 DYIKWOXDHAXUNL-HBMCJLEFSA-N 423.469 4.620 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CC[C@@H](c4cccc(C(F)(F)F)c4)C3)n2)c1 784485835 LENZOAZAIXLZPX-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD Cc1cc(N[C@H](C)c2ccc(N3CCOC3=O)cc2)c(Br)cc1[N+](=O)[O-] 788838379 PSRIGAYBTPRJFP-GFCCVEGCSA-N 420.263 4.795 5 20 HJBD CCOC(=O)Nc1ccc2c(CSc3cccc([N+](=O)[O-])c3)cc(=O)oc2c1 800838707 KKSTUHTVZOPODW-UHFFFAOYSA-N 400.412 4.562 5 20 HJBD Cc1cccc(Cn2nccc2NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812895093 TYWMENNQCIZOND-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD C[C@H](CCNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)Cc1ccccc1 813035076 IZXOKUUDBKUBPY-CYBMUJFWSA-N 410.301 4.542 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCCc2c1cnn2Cc1ccccc1 1116755370 FWDIAWAJQKZIBO-IBGZPJMESA-N 419.485 4.656 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCN2c2ncnc3sccc23)n1 1117547053 FVUHEUSDDVDILQ-OAHLLOKOSA-N 422.470 4.608 5 20 HJBD CCc1ccc([C@@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c2cccc(F)c2)cc1 1317724366 RTTZHZXFHVCFSK-JOCHJYFZSA-N 422.412 4.544 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1 1317780873 UKWNFBQQPZXJRB-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cn2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318770907 RWIXMSFZGZQWMT-UHFFFAOYSA-N 410.817 4.983 5 20 HJBD COc1cc(-c2nnc(-c3cc4ccccc4o3)o2)c([N+](=O)[O-])cc1OC(F)F 1322920207 HENUTXYILQAMDB-UHFFFAOYSA-N 403.297 4.668 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(O)(Cc2ccccc2F)CC1 1327575501 SUJFNCDMZORHFI-UHFFFAOYSA-N 413.276 4.610 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cnn(-c4ccccc4)c3C(F)(F)F)o2)c1 1329135363 QYXFLCDSSIARNI-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD CN(Cc1ccccc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1339467678 JTECCDVWCANKKQ-UHFFFAOYSA-N 402.454 4.779 5 20 HJBD CCn1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc21 21739293 GEMFLNNINQYMKY-ZDUSSCGKSA-N 406.398 4.537 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1 63950526 VWTWQJXYCXJGFV-HNNXBMFYSA-N 421.478 4.966 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])s1 78609743 IGFXVOBLKXASKU-CQSZACIVSA-N 414.512 4.962 5 20 HJBD C[C@@H]1C[C@H]1[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 302161992 KAFOTGTWYIUZHK-DDHOLCJHSA-N 400.909 4.522 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1c1cccc(Br)c1 324812328 PTCKZKIJWGUPNY-MRXNPFEDSA-N 409.667 4.988 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](c2cccc(Cl)c2)C2CCCC2)cc1[N+](=O)[O-] 433894713 DJYLECHFJFZSKW-LJQANCHMSA-N 415.877 4.543 5 20 HJBD CN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C1CCCCC1 435506697 INTIHKWLIVWLQB-UHFFFAOYSA-N 419.528 4.537 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)c1ccc(N2C(=O)CCC2=O)c([N+](=O)[O-])c1 435657074 UXFXMVBATHBNED-UHFFFAOYSA-N 423.469 4.747 5 20 HJBD C[C@H](Oc1cccc2ccccc12)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 435813944 OFFWUWJYVSDDHK-KRWDZBQOSA-N 420.465 4.585 5 20 HJBD COc1cccc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)c1[N+](=O)[O-] 436108447 ICAOXHFFSNDPOX-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD CCN(Cc1c[nH]c2ccccc12)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 442891844 NXVPKEQRDUQWBV-CYBMUJFWSA-N 400.866 4.579 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(-c2ccccc2)ns1 443875474 IWVWXMUJRLYGOQ-LLVKDONJSA-N 403.847 4.778 5 20 HJBD CCS[C@H]1CCC[C@H](NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444100899 HYOBUBIPSBOCEK-KBPBESRZSA-N 416.493 4.754 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444128841 ZNHYUMYTSPMFCF-HSZRJFAPSA-N 402.469 4.818 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(Sc4ccc(Cl)cc4)o3)n2)n1C 445617766 DKLDBBQJXIOPKO-UHFFFAOYSA-N 417.834 4.751 5 20 HJBD COc1cc(Cc2noc(CSc3ccccc3Cl)n2)c([N+](=O)[O-])c(OC)c1 445817197 DKWNNJUKLTZXHT-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD CN1CCC(N(C)C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 464439106 DNYUYDJUOBGVAT-UHFFFAOYSA-N 419.934 4.566 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)oc2ccc(Cl)cc12 464662905 NACWXVAUAGUGDQ-UHFFFAOYSA-N 414.845 4.986 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2CCC(F)(F)F)c([N+](=O)[O-])cc1OC 465469595 LBYSDYYNRAWNEQ-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD O=C1NCCN1c1cc(N[C@@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)ccc1Cl 467406044 RTTQJEFWZPFOOI-NRFANRHFSA-N 422.872 4.979 5 20 HJBD CC[C@H](NC(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C)c1cccc([N+](=O)[O-])c1 471857528 PGCBXBMLLLNMEO-JXFKEZNVSA-N 407.474 4.982 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CSc2ccc(C)cc2)cc1SC 472267866 COXMKXUPVFHQHQ-CYBMUJFWSA-N 406.529 4.544 5 20 HJBD Cc1nc(CNC(=O)CSc2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 473600872 YGRDUELCHOSVCW-UHFFFAOYSA-N 417.874 4.620 5 20 HJBD COc1ccc2c(-c3ccccc3)c(C(=O)Nc3ccc([N+](=O)[O-])c(C)n3)oc2c1 475313832 OXTRTUNMEMEOFO-UHFFFAOYSA-N 403.394 4.972 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1csc(CCc2ccccc2)n1 475558372 IYFIFOKZAWNQFO-UHFFFAOYSA-N 410.499 4.775 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 477384278 VBEUOXRDVIWLTC-UHFFFAOYSA-N 420.307 4.786 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)c1c(F)c(F)cc(F)c1F 481781007 PVHRPRFCWKKPPY-UHFFFAOYSA-N 418.302 4.635 5 20 HJBD COc1ccccc1-c1nc(C2CCN([C@H](C)c3ccccc3[N+](=O)[O-])CC2)no1 484321915 BYDRZZPPWCGDRK-OAHLLOKOSA-N 408.458 4.594 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2cc(Br)ccc2C1 484775706 MAWSCJIQPQIHIF-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486108835 VRGZXOQJHOJHMX-ZWKOTPCHSA-N 404.388 4.908 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(CN3CCCCCC3)cc2)c1[N+](=O)[O-] 486482981 BZKTZVGERMUNIF-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1ccc(SC)c([N+](=O)[O-])c1 498943813 YDLKAAVDMTUVFO-UHFFFAOYSA-N 409.305 4.742 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@](C)(c2ccccc2)C(F)(F)F)cc1SC 504594324 VZKNDPDHTNNULD-KRWDZBQOSA-N 414.405 4.533 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 504701880 CDFCJTINTXFWMP-GFCCVEGCSA-N 406.529 4.928 5 20 HJBD COc1cc(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)ccc1[N+](=O)[O-] 511030285 KNENWCZJFHXTLG-LJQANCHMSA-N 415.449 4.647 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCCc2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 511447081 GYRGIHANGCQDMM-UHFFFAOYSA-N 416.428 4.610 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCc2c(ncn2-c2ccc(F)cc2)C1 511796625 SOFUPMZENDSBPU-UHFFFAOYSA-N 422.463 4.911 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Sc2ccccc2)c(Cl)c1 512535202 DIMIOGDZOBUIKE-UHFFFAOYSA-N 416.890 4.933 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 513081316 FRMLUNVKPMUZAJ-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CCC2(CCCC2)CC1 517625829 OIJMSYAFXVJJBA-UHFFFAOYSA-N 423.300 4.884 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3cccc(C(F)(F)F)c3)C3CC3)n2)cc1 518221881 RIYZLAQBPYUEHQ-GOSISDBHSA-N 418.375 4.905 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 520499742 ZGUXTBCHVVZKQU-QFIPXVFZSA-N 423.444 4.698 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 522502631 MIXNOUGHVSMSNC-HNNXBMFYSA-N 409.511 4.765 5 20 HJBD COc1ccc(CN[C@@H]2CCC[C@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 524224918 RDOSLULLJACZOM-QUCCMNQESA-N 416.543 4.946 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 525111102 IYKOMVJMZWIBRE-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD Cc1c(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cccc1-c1ccccn1 533669585 KAKXITHYPQVIKC-JOCHJYFZSA-N 405.479 4.853 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cc2)cs1 535699073 KSJVHVSLWWUKSA-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 537094827 YBSMSOYZSKEATI-CQSZACIVSA-N 418.515 4.994 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](Cc1ccccc1)c1ccccc1F 538258289 XWVCUPWPAFKTPT-FQEVSTJZSA-N 407.445 4.554 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 539276480 MHXLBVCALVDVSW-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD COCc1c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)oc2ccccc12 539355040 BPDLOPTWUAPBOV-UHFFFAOYSA-N 423.450 4.888 5 20 HJBD COc1cc(C)c(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 540450793 ZAFGDKFDQSFGPW-UHFFFAOYSA-N 418.515 4.693 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 541660511 NRVIAILYBJNQJS-GFCCVEGCSA-N 406.385 4.749 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-c2cn3ccsc3n2)cc1 542197451 UEVFQBIELOAIHT-UHFFFAOYSA-N 410.480 4.702 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Oc3ncnc4cccc([N+](=O)[O-])c34)c2)cc1 544393346 JYGASUXLFHYEBR-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD Cc1nn(C)c(C)c1CCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 546473819 WKMVDVUWTQGHJG-UHFFFAOYSA-N 419.441 4.573 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C[C@@H](Cc3ccccc3)c3ccccc3)n2)nc1 547190341 FIYFHXKKQVHZLO-LJQANCHMSA-N 400.438 4.533 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 547372193 OGICMLHCZOOWAR-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551477923 WTGAYEBHRCLWKY-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCn1c(SCc2ncc(C)c(OC)c2C)nc2cc3ccccc3cc2c1=O 554782393 MWUHBNFKQDLUHZ-UHFFFAOYSA-N 405.523 4.882 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(-c4cccnc4)noc3C)n2)cc1[N+](=O)[O-] 557487464 BKRCBOAWQHDXHD-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H]2c3ccccc3CC23CCOCC3)c1Br 558598123 ABVOYDAJOYMTJU-KRWDZBQOSA-N 418.291 4.567 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)c1cc([N+](=O)[O-])ccc1OC(F)F 568666936 DAXJLNIGOZGZOK-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 570108799 BMGYVAJLNREJKQ-UHFFFAOYSA-N 405.458 4.636 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@H](CSc2ccccc2)C1 571099762 MJJOTBMJPXTBNT-CYBMUJFWSA-N 410.417 4.868 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 588798540 NQEMYSKELQPXRF-KRWDZBQOSA-N 408.376 4.652 5 20 HJBD CC(C)n1ccnc1S[C@@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 590717961 SLXPGRDWQIKYTI-LBPRGKRZSA-N 417.516 4.615 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(-c3cn4cccc(C)c4n3)cc2)cc1[N+](=O)[O-] 603680367 MQEKDKOHWBHRJI-UHFFFAOYSA-N 401.426 4.512 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCCO[C@H](c3ccccc3)C2)c([N+](=O)[O-])c1 609233529 YVUUJQQAOIPCJC-QHCPKHFHSA-N 402.450 4.794 5 20 HJBD COc1cc(CN[C@@H]2CCC[C@@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 609771196 LDYAIWYGJVCBEK-UYAOXDASSA-N 416.543 4.946 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)c2)cc1 609856143 WBAQSJFXQJCWBL-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1Cl 609856157 WTZNSCQCSPLNRC-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(C)CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 612064258 BQXOIJIIYDBLSP-CQSZACIVSA-N 418.444 4.861 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccc(-n4cncn4)cn3)cc2[N+](=O)[O-])cc1 616206074 UTBNKRFFMWEHII-UHFFFAOYSA-N 418.482 4.642 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Oc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 729827807 UATGZYSUHXWSHK-HXUWFJFHSA-N 418.449 4.678 5 20 HJBD CC[C@H]1CCC[C@H](OC(=O)c2cc(OC)c(OCc3cscn3)cc2[N+](=O)[O-])C1 734723790 VDULMWLOTVQNCT-ZFWWWQNUSA-N 420.487 4.765 5 20 HJBD C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 735424198 IAMHDVIBYKGBCH-JTQLQIEISA-N 409.776 4.840 5 20 HJBD COC(=O)c1cc(NC(=O)c2sccc2-c2ccc(F)cc2)cc([N+](=O)[O-])c1 736196152 SLRJWVJPLSJBAH-UHFFFAOYSA-N 400.387 4.501 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 736525794 DUEASLVWXOIYJL-FUHWJXTLSA-N 411.477 4.742 5 20 HJBD C[C@@H]1CN(C(=O)c2cc3ccccc3c3cccnc23)CC[C@@H]1NC(=O)OC(C)(C)C 740831156 BVXNUYKHLGOQIF-IERDGZPVSA-N 419.525 4.763 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C)[C@H](Cc1ccc(F)cc1)C(C)C 744377298 AKIMFTDYNJYFSM-LJQANCHMSA-N 417.437 4.680 5 20 HJBD CCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1Cl 748625700 YSQWKGAMCCLHKD-UHFFFAOYSA-N 407.810 4.814 5 20 HJBD CCOc1cc(CN2CCC([C@H](O)c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 755000006 AZBAQKCIAMYUOY-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD C[C@H](OC(=O)[C@H]1CCCC(C)(C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 756267263 YCIJREUEOYINPF-RYUDHWBXSA-N 416.396 4.700 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)CC2)c1 761612089 CAERNUJCNZJNBD-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD CC(C)n1ccc(Cc2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n1 761858404 YCZRLDBFEYEZHL-UHFFFAOYSA-N 405.414 4.805 5 20 HJBD CSc1ccc(-c2noc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)c(Cl)c1 762281038 GSNOGGDQKPTJTD-INIZCTEOSA-N 416.846 4.886 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1 765849024 OCSGDDIZWGUXFX-IEBWSBKVSA-N 413.474 4.558 5 20 HJBD Cc1csc(SCc2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3O)cc2)n1 770859369 ZHPLQIMRNIOWTQ-UHFFFAOYSA-N 401.469 4.610 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777935376 LYFGMWVRLVBRAE-LLVKDONJSA-N 402.319 4.925 5 20 HJBD COc1ccc(-c2ccn(-c3ccc(S/C=C/c4ccc([N+](=O)[O-])o4)nn3)n2)cc1 789983613 JXXVJIMWMVWPNH-ACCUITESSA-N 421.438 4.602 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)COc2cc(Cl)c(Cl)cc2[N+](=O)[O-])cc1 794498244 QVPHJRUKLWTBHX-UHFFFAOYSA-N 411.241 4.758 5 20 HJBD Cc1c(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cccc1[N+](=O)[O-] 799373184 FMPXNHLDMSJPQY-UHFFFAOYSA-N 423.450 4.893 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(Nc2ncnc3sc([N+](=O)[O-])cc23)cc1 804523119 LFMJRVTVJYUQMU-LLVKDONJSA-N 415.475 4.929 5 20 HJBD CCC(=O)c1cc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1F 813305228 WOQVMXMOFNMIJS-UHFFFAOYSA-N 414.414 4.807 5 20 HJBD O=C(NC[C@@H]1CCCN(Cc2ccccc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813425758 SREIDUIOKWHENV-HNNXBMFYSA-N 422.312 4.544 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H](C(C)=O)c2ccccc2)cc1 915442110 MNTFUVHYAXKVNU-QFIPXVFZSA-N 421.405 4.883 5 20 HJBD O=C(CCN1Cc2ccc([N+](=O)[O-])cc2C1)Nc1ccccc1Oc1ccccc1 1116331328 RWGZNMBUDKNDED-UHFFFAOYSA-N 403.438 4.732 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC(Cc2c(F)cccc2Cl)C1 1324300009 HQXKJKSSTXAZRP-UHFFFAOYSA-N 416.758 4.721 5 20 HJBD CN(CC(=O)Oc1ccccc1-c1nc2ccccc2s1)c1ccccc1[N+](=O)[O-] 1523850937 BKAZZCMTBSZHOH-UHFFFAOYSA-N 419.462 4.913 5 20 HJBD O=C(CN1CCC(c2c[nH]c3ccccc23)CC1)Nc1ccc([N+](=O)[O-])cc1Cl 10139937 VGQSSTJMBFQSLM-UHFFFAOYSA-N 412.877 4.548 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccc(Cl)cc2)n[nH]1 10376389 NEHUKRVTCMLRHD-UHFFFAOYSA-N 405.241 4.564 5 20 HJBD C[C@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 11331810 OJVGUJCKDBOVHB-JTQLQIEISA-N 404.831 4.647 5 20 HJBD COCCN(C(=O)c1cc(C)cc(C)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 14790564 XVHZKWKURQVITR-UHFFFAOYSA-N 411.483 4.628 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 15124016 ZMUAWMUASLLXFP-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 18079590 MEXXRCVVQUCJMT-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)Nc1ccccc1Oc1ccccc1 28424262 OMARKAYGHWXZSP-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD CC(C)n1c(Sc2c([N+](=O)[O-])ncn2C)nnc1-c1ccc(Cl)cc1Cl 58458192 NYOWWVRMWZNEPS-UHFFFAOYSA-N 413.290 4.626 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cccc(-n2nccc2-c2ccccc2)c1 60371651 AXRKRBWSFONFMJ-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)Cc1ccccc1[N+](=O)[O-] 63613615 RJVHOLKHPHBVMU-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 65721044 GUAVIFJOWRRRTP-UHFFFAOYSA-N 417.421 4.989 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-c3cn4c(n3)CCCC4)cc2)cc1[N+](=O)[O-] 195610344 BVOHMVWLEMQHTO-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(C[S@](=O)Cc3ccccc3)c2)c([N+](=O)[O-])c1 301310997 FQDHKBSBSRMZIR-GDLZYMKVSA-N 411.439 4.696 5 20 HJBD O=C(c1cc(N[C@@H]2C[C@@H]2c2c(F)cccc2Cl)ccc1[N+](=O)[O-])N1CCCC1 301350239 LATWOTGRIKUPIR-SUMWQHHRSA-N 403.841 4.591 5 20 HJBD COc1ccc(C(=O)NC[C@H]2CC3c4ccccc4C2c2ccccc23)cc1[N+](=O)[O-] 302892802 INUUVHAGUHJNBK-NEDNOIINSA-N 414.461 4.631 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CC=C(c3ccc(O)cc3)CC2)c([N+](=O)[O-])c1 302906338 ANRNUPNTULLOJQ-UHFFFAOYSA-N 400.434 4.825 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3cc(F)ccc3F)cc2[N+](=O)[O-])C1 303130887 IFVOHPFXQQHDGW-KBPBESRZSA-N 403.429 4.632 5 20 HJBD O=[N+]([O-])c1cc(I)ccc1N1CCC[C@@H]1c1cccs1 303378326 LBIVEMNDGNSLGD-GFCCVEGCSA-N 400.241 4.602 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 433910130 WBOBQLDPGHQUIN-UHFFFAOYSA-N 409.446 4.829 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2noc(-c3ccccc3F)n2)s1 435034764 NXVLJSNALDCQBF-UHFFFAOYSA-N 410.430 4.802 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 436354914 BFFXETGILPAXLL-MRXNPFEDSA-N 418.375 4.512 5 20 HJBD O=C(C[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccco1 438195614 AYJBVPBJRLUWDR-HNNXBMFYSA-N 424.375 4.864 5 20 HJBD Cc1c(CC(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)cccc1[N+](=O)[O-] 438840899 KJSUXTVOCNSKHS-SSEXGKCCSA-N 422.506 4.533 5 20 HJBD O=C(N[C@H]1CCc2c(O)cccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442711831 IGDOTBZBDBUDNH-KRWDZBQOSA-N 406.463 4.869 5 20 HJBD CCn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2ccc(F)cc21 444315549 YSVXKBWGIVFINW-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD CC(C)c1nc(CCNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cs1 447674706 GCPKWCTVEYPHMW-UHFFFAOYSA-N 410.368 4.878 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N1CCC(Sc2ccc(Cl)cc2)CC1 448207969 CLBGSMGRGMIOCL-UHFFFAOYSA-N 405.907 4.715 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)cc1[N+](=O)[O-])c1ccccn1 460596304 BPEZHYLRRMZECU-IMBTUZDBSA-N 408.502 4.862 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Br 463839228 WOBJKZGODWVWNW-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 463952533 DEMYUMUKIPPRED-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CC[C@H](Sc1ccccc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464664184 ZDJXGLLVOYPXNE-FQEVSTJZSA-N 400.500 4.536 5 20 HJBD Cc1c(C(=O)NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 466269247 IRZBBKIUCZWNPK-MRXNPFEDSA-N 407.495 4.562 5 20 HJBD CCOc1cc(NC(=O)c2cncc(-c3ccccc3)c2)c([N+](=O)[O-])cc1OCC 466396502 RLRHYZYRNDTPNZ-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD CCc1ccc(C(=O)N(c2cccc(SC)c2)C2CCN(C)CC2)cc1[N+](=O)[O-] 471908311 BRQHEQCWMNCKIB-UHFFFAOYSA-N 413.543 4.620 5 20 HJBD COc1cc(CN2CCC[C@](C)(OC)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 475559025 NEIKFIJINSNMNT-QHCPKHFHSA-N 414.502 4.573 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])C1 477340924 RRLNNNXHCPDLPP-HUUCEWRRSA-N 424.526 4.963 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](OC)c1ccccc1Cl 479675274 MIYAGPHZOREANW-KPZWWZAWSA-N 408.907 4.866 5 20 HJBD Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)cc1NC(=O)Nc1ccccc1 481474065 PDHIXDXUYWZLPW-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD Cc1c([C@@H](C)N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cccc1[N+](=O)[O-] 482947603 QGRYXFBJTTZSBJ-DLBZAZTESA-N 404.470 4.962 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(COc2ccc(Cl)cc2)o1 485365406 BXLIWCSSDUCQHE-UHFFFAOYSA-N 407.835 4.511 5 20 HJBD CCn1c(SCCCC(=O)c2ccc(F)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 489416922 VKGGPTAHBBUQLX-UHFFFAOYSA-N 414.462 4.768 5 20 HJBD C[C@@H](NC(=O)NCc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 489848128 XTAQHODWRXYIDH-MRXNPFEDSA-N 423.444 4.873 5 20 HJBD CCCN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)C(=O)Nc1ccccc1C 490064235 HKNJDRHSJJPGQH-QGZVFWFLSA-N 422.485 4.797 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(OC(F)F)cc1F 490269395 ITKWTRXDIBGYQS-NSHDSACASA-N 400.328 4.682 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498424105 SHQBUWWCACGTFA-HNNXBMFYSA-N 401.894 4.684 5 20 HJBD Cc1ccc(C(=O)N(Cc2cc(Br)ccc2F)C2CC2)cc1[N+](=O)[O-] 499045187 YFXDJGADCQCHSF-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCC1CCSCC1)c1ccccc1 500286503 BTBOZFYMPQKVIA-IBGZPJMESA-N 419.934 4.661 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc(C)nc21 502013015 GGSLGIKYRCQXKI-INIZCTEOSA-N 409.490 4.670 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(OCC)c(OC)c1 502809665 CZSMSTIPUUSCDB-AWEZNQCLSA-N 418.515 4.995 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3c(F)cccc3[N+](=O)[O-])o2)cc1 504551700 FPPHVHGUOWNTNG-UHFFFAOYSA-N 402.451 4.922 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(F)cc2OCCC(F)(F)F)cccc1[N+](=O)[O-] 505859656 ACHDQPPGPCMDQN-UHFFFAOYSA-N 415.343 4.695 5 20 HJBD CC(C)c1ccc(CN2CCC(C(=O)Nc3ccc(Cl)cn3)CC2)cc1[N+](=O)[O-] 509348317 MZDMOJGYLDNOKM-UHFFFAOYSA-N 416.909 4.617 5 20 HJBD CCCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@H]1CCc2ccccc2C1 511381891 KMUDEEUBWAGATG-QFIPXVFZSA-N 424.544 4.951 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516342657 SLVUUCFZWWOPRL-POZUXBRTSA-N 422.403 4.695 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)c1 517877066 HIWCDDKHWCFPPP-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD C[C@@H](Nc1ccc2ncsc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 520029386 VHSMMBKHFGIYHY-SECBINFHSA-N 410.377 4.662 5 20 HJBD CNc1ccc(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)cc1[N+](=O)[O-] 520773116 VASUSCXEROKLFS-CYBMUJFWSA-N 410.499 4.868 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 521857938 ISVRQLROVFDGLG-HZPDHXFCSA-N 416.359 4.542 5 20 HJBD O=[N+]([O-])c1cnc(NCc2cccc(COCc3ccco3)c2)c(Br)c1 530903359 VFLWGNZHIMLDNI-UHFFFAOYSA-N 418.247 4.674 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CC=C(c2c[nH]c3cc(F)ccc23)CC1 533034055 RKBXDNKUSLGXCE-UHFFFAOYSA-N 422.460 4.642 5 20 HJBD CC(C)N(CC(F)F)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 533184362 TYMWGBDWGBIMDT-UHFFFAOYSA-N 411.836 4.976 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1nc(C(F)(F)F)cs1 536663995 CCAUZJYUIDXXGT-UHFFFAOYSA-N 414.434 4.826 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 537043957 STZNOWUWMNNYLB-KBPBESRZSA-N 412.421 4.525 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 538203949 UQYZDALHYMCIDP-INIZCTEOSA-N 418.453 4.754 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3)cc2)cs1 540378767 COEBIURBGFQZGG-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1ccc(F)cc1 540471615 OCYSLIZQWIQLNT-ZDUSSCGKSA-N 404.463 4.626 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccc([N+](=O)[O-])cc1F 543583231 RKRXFNJTKKYPDC-CQSZACIVSA-N 410.401 4.761 5 20 HJBD Cc1ccncc1N(C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 546630363 QYHWKWYNBFXQDR-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 563923242 VXHGBNQGBOMURE-ZWKOTPCHSA-N 408.420 4.701 5 20 HJBD CN(C(=O)C1(c2cccnc2)CCCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 580477997 LBGVQZBAURQMDK-UHFFFAOYSA-N 408.483 4.588 5 20 HJBD CCOc1cc(NC(=O)c2ccc(C(F)(F)F)s2)c([N+](=O)[O-])cc1OCC 588834150 GBIRTTHNBCWWQD-UHFFFAOYSA-N 404.366 4.725 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1csc([N+](=O)[O-])c1 603749874 FKANMCYHUOZYHR-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)CCOc3ccccc3[N+](=O)[O-])n2)cc1 603965099 YSCVGIGSGVUUOO-UHFFFAOYSA-N 413.455 4.525 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 609199516 PFQWWJBDGHTKAR-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD Cc1ccc(C[C@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccn2)cc1 609678358 JNHOIOOVJHJJJY-JTSKRJEESA-N 404.470 4.642 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1N1CCCCC1 609724113 ITKOINVOTDNDRX-UHFFFAOYSA-N 421.856 4.785 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@@H]1c1ccccc1 609874302 QAOPVRAUMJLKGI-QGZVFWFLSA-N 417.252 4.675 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 610159253 PVRDSFNGRINIKL-UHFFFAOYSA-N 422.510 4.743 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 610624729 ZUVPLSCMHFPSDF-UHFFFAOYSA-N 411.502 4.765 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N(CCc1ccccn1)Cc1ccc(F)cc1 727313968 QOUZHMRWVQEIEB-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)Nc3nc4ccccn4c3[N+](=O)[O-])s2)cc1 733793219 NOJIKMPFTDKVOP-GFCCVEGCSA-N 409.471 4.856 5 20 HJBD COC(=O)C[C@@H](C)S[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734368020 NBARUOFHZVVQFF-XIKOKIGWSA-N 422.890 4.613 5 20 HJBD Cc1ccc([C@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)o1 737744652 XKDZOIBRTALLAJ-MRXNPFEDSA-N 401.419 4.779 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1)c1ccc([N+](=O)[O-])cc1 740389494 SIVVQFIXRNUGKV-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)OCc1ccc([N+](=O)[O-])cc1F 746955103 LFWOEIKSPQURTC-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)c1cc(C)n(CC(F)(F)F)c1C 750949929 QSQVFGFNRWAPLA-UHFFFAOYSA-N 416.421 4.700 5 20 HJBD C[C@H](OC(=O)CNc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751693758 XDHQDIWYLGGLAN-LBPRGKRZSA-N 401.806 4.620 5 20 HJBD C[C@H](CCC(C)(C)C)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755138914 NKLWHXCTQZPELO-LLVKDONJSA-N 404.385 4.558 5 20 HJBD Cc1oc(-c2ccccc2)nc1CC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755369815 IIENPOMTDFKSRA-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD COCc1c(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)sc2cccc(F)c12 756988451 GYCTXXYIMRKJSA-UHFFFAOYSA-N 408.838 4.678 5 20 HJBD COc1cccc(NC(=O)c2ccccc2NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 761679122 LQMIFJFXJADSGX-OAHLLOKOSA-N 419.437 4.598 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 762987186 DHYHFSLFXPOISJ-CYBMUJFWSA-N 413.405 4.674 5 20 HJBD Cc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 777956402 JUHLPHJOMOHYTH-UHFFFAOYSA-N 404.291 4.575 5 20 HJBD Cc1nc2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2s1 781100811 FVAWSRGFNSEQKZ-SECBINFHSA-N 424.404 4.971 5 20 HJBD COCc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cs1 781846387 JNCYVRQEOOCLAB-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD CC(C)c1ccc(-c2nc(C[C@H](C)N(C)C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 783945626 OBVMWSZHCHBQRG-ZDUSSCGKSA-N 404.467 4.566 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NC1(c2cccc(C(F)(F)F)c2)CC1 790901240 MRXGUTHJOBSIQP-UHFFFAOYSA-N 416.758 4.754 5 20 HJBD COc1c(C(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)cccc1[N+](=O)[O-] 796309331 LRVXUJGCSAOSOU-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 800152760 ZDAUVHHCVUSRSI-UHFFFAOYSA-N 403.797 4.922 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CCC=C(c2ccco2)C1 804184182 NUEWDBVUMWISAO-UHFFFAOYSA-N 411.639 4.533 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1OC(C)C 809912761 JMCUQQNXZVQAOQ-GFCCVEGCSA-N 407.854 4.927 5 20 HJBD O=C(Cc1c[nH]c2cc(F)ccc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215996 CFZZMIZNOZBUBR-UHFFFAOYSA-N 411.414 4.625 5 20 HJBD CCN(Cc1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C(C)=O 812906091 RUENLMVIIJDEFR-UHFFFAOYSA-N 410.257 4.522 5 20 HJBD Cc1cc(C)c(C(=O)[C@H](C)OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1C 844239721 APGJCIKOFLHXSO-SFHVURJKSA-N 424.497 4.551 5 20 HJBD O=C(Nc1ccccc1-c1cccs1)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 915334892 BTDGGSGMZQMOGS-UHFFFAOYSA-N 408.483 4.677 5 20 HJBD COc1cc(OCc2nnc(-c3ccc(OCCC(C)C)cc3)o2)ccc1[N+](=O)[O-] 1117215840 VJIPYLOPPOJBAM-UHFFFAOYSA-N 413.430 4.657 5 20 HJBD CCOc1ccccc1OCc1nnc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)o1 1257692742 BVVVLLIKZLPIKT-ZDUSSCGKSA-N 401.444 4.809 5 20 HJBD O=C(c1cccs1)C1CCN(C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])CC1 1320031527 XHHOBWWYVQADAR-UHFFFAOYSA-N 424.931 4.523 5 20 HJBD C[C@@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 9483891 JHKYOEHBZVXANJ-MRVPVSSYSA-N 411.270 4.897 5 20 HJBD CCn1c(C)cc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1C 27151397 UUYAOBDIBVRCEI-UHFFFAOYSA-N 407.426 4.505 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@H]1c1ccccc1 44576007 QFVZXRPZCAXOCU-HRAATJIYSA-N 407.495 4.509 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccccc1Cc1ccccc1 60964601 LMELKASCRMQBHE-MRXNPFEDSA-N 406.438 4.600 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2SC2CCCC2)ccc1[N+](=O)[O-] 66021458 WBAQFYNIYYABQL-UHFFFAOYSA-N 402.472 4.656 5 20 HJBD O=C1CCCN1Cc1cccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 238063894 DGFNTYZPBZEHNO-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD CSC[C@@H]1CCCN([C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)C1 429279673 VLTAZYSPUPUSBR-DYESRHJHSA-N 413.543 4.658 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCSc2ccncc21 429876919 JKRRODFCSGFHAO-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD C[C@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)NC2CC2)cc1 433145616 IBIXKRCSEGRUJG-QKKBWIMNSA-N 408.502 4.952 5 20 HJBD Cc1cc(C)cc(OCc2noc(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)n2)c1 434717872 NFAAUFPYWXQNMA-UHFFFAOYSA-N 410.474 4.584 5 20 HJBD CN(CCCc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436112133 HGDZKUNOWJTINE-UHFFFAOYSA-N 406.432 4.664 5 20 HJBD O=C(N[C@H]1CCc2c(F)cccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436147351 CKKVMBYFBQKOBT-IBGZPJMESA-N 408.379 4.516 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCc3nc4ccccc4o3)c([N+](=O)[O-])c2)cc1 439702216 ZWLIRYSZTDFTMK-UHFFFAOYSA-N 416.437 4.951 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCC(C)(C)C[C@@H](C)O)cc2[N+](=O)[O-])cc1 439732995 RCZHLEDGHUPMLS-OAHLLOKOSA-N 402.516 4.581 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 441416174 CLOXAVZWCMPPAT-UHFFFAOYSA-N 418.755 4.664 5 20 HJBD Cc1c(CS(=O)(=O)[C@@H]2CCc3c(Cl)cc(Cl)cc32)cccc1[N+](=O)[O-] 443442244 LCDFTYQKPGTYQD-QGZVFWFLSA-N 400.283 4.812 5 20 HJBD CCn1nccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)CC1 447737326 QYTQGSHADQEIHZ-UHFFFAOYSA-N 416.547 4.579 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1ccccn1 448098648 WVTCBEYLRCFHGP-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1CN1C[C@H](C)O[C@H](C)C1 462218371 NEJAYLYFNWCZGL-HDICACEKSA-N 413.518 4.603 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 462641272 BAHIOTAVMOIUNE-MQMHXKEQSA-N 414.259 4.515 5 20 HJBD Cc1ccc(N2CCC(Nc3ccc(C(=O)c4ccccc4)cc3[N+](=O)[O-])CC2)nc1 462862321 MOLFKPZALWMMPO-UHFFFAOYSA-N 416.481 4.610 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Br)cc1OCCCO)c1cccc([N+](=O)[O-])c1 462972566 ZDMGVPOCVNHMSY-UONOGXRCSA-N 423.307 4.530 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 463954538 ZSTUNAVKJVIENN-QGZVFWFLSA-N 407.474 4.566 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cnc2ccccc2c1C(F)(F)F 466272889 MPGOKVNYKGYUCN-UHFFFAOYSA-N 409.751 4.745 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1Oc1cc(F)cc(F)c1 468940691 KBPJJBJOSWYIFE-UHFFFAOYSA-N 400.337 4.683 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 471843028 GEEJFUSFDYYZJI-KRWDZBQOSA-N 419.485 4.906 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CSc1nc(-c2cccs2)c(-c2cccs2)[nH]1 476477778 VDULTFXSQIBBCN-UHFFFAOYSA-N 403.514 4.801 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H](C(=O)N(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483133396 HWDJFEZMJWKGDM-HRCADAONSA-N 401.532 4.621 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-n3cc(C(F)(F)F)cn3)c2)c1[N+](=O)[O-] 485494747 UAVDIUGKDMLCKR-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cc(F)ccc2Br)cc(C(F)(F)F)c1 487178607 GXMNRNIPYYHSGL-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD O=[N+]([O-])c1cc(CNCc2csc(COc3ccccc3)n2)cc(C(F)(F)F)c1 487215167 LSGJHADRZTUMHT-UHFFFAOYSA-N 423.416 4.939 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 488006292 LMOGKGJHIAHJIU-SJORKVTESA-N 420.469 4.768 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3ccccc3Cl)o2)cccc1[N+](=O)[O-] 488872127 XATCXSCTBYTCPN-LLVKDONJSA-N 418.862 4.726 5 20 HJBD CCN(Cc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 490113846 VZMGYMRPXQXGLG-UHFFFAOYSA-N 424.888 4.918 5 20 HJBD Cc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1S(=O)(=O)C1CCCC1 491062839 XMHJDJPJCXMEOO-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD COc1ccc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cc1OCc1cccnc1 492194016 PUMHMNWUUQHRFS-UHFFFAOYSA-N 407.470 4.602 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(F)cccc1F 497373963 DWGORGMAHKEYTO-SECBINFHSA-N 420.359 4.861 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)c1 497828488 MOHRFUJYJROBMF-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 498248640 ZBOLNXXUCKGBOD-FQEVSTJZSA-N 408.433 4.800 5 20 HJBD COc1cc(CN[C@H](C)c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC(F)F 505503278 NVNFTPNHUZMBIY-SNVBAGLBSA-N 418.799 4.891 5 20 HJBD O=C(Nc1ccccc1)C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 506725645 IFPZWUXQZQMSMH-UHFFFAOYSA-N 405.454 4.706 5 20 HJBD Cc1occc1C(=O)Nc1cc(Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)ccc1F 506855147 HUUDPFBTBGLGCA-UHFFFAOYSA-N 424.388 4.516 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 512752345 NBZWRNKZRYMIDY-UHFFFAOYSA-N 402.385 4.905 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1)c1cccs1 513834452 UIVUXIMAXFCMJW-UHFFFAOYSA-N 407.451 4.502 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 517546248 KUEUXLTVELKHNH-AWEZNQCLSA-N 420.307 4.520 5 20 HJBD Cn1ccnc1[C@@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 517599858 KGVCQMSSZMYFAI-IRLDBZIGSA-N 406.461 4.783 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 517990256 QAKAJENAWWYWEG-MRXNPFEDSA-N 406.438 4.985 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cccs1 523162943 GJLVAAAMTKSOOU-ZDUSSCGKSA-N 408.439 4.844 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](CC2CCCCC2)c2ccccc2)cccc1[N+](=O)[O-] 523995500 VFHYQFXGCRPPBE-HXUWFJFHSA-N 409.486 4.670 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2c(F)cccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 525340660 CNMIJGXJCKHEGY-LSDHHAIUSA-N 414.824 4.624 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3ccn(C)c3c2)cc1[N+](=O)[O-])c1ccccn1 527199286 XDXNNJBSIJKCAU-OAHLLOKOSA-N 415.453 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCCOc1ccccc1Br 531260782 QDLJFUZGGJNLKS-UHFFFAOYSA-N 407.264 4.772 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1cccc(COCc2ccccc2)c1 531262024 RKXYBZYIJJSQIB-UHFFFAOYSA-N 404.466 4.561 5 20 HJBD CN(C(=O)CCSc1ccnc2cc(Cl)ccc12)c1cccc([N+](=O)[O-])c1 533606633 GFWNPYXCOOGQGT-UHFFFAOYSA-N 401.875 4.942 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 534001795 UCMLLOXQJMQOHN-UHFFFAOYSA-N 414.465 4.551 5 20 HJBD C[C@H]1CC(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@H](C)O1 536611945 KMMHRDVQBDTCLN-KBPBESRZSA-N 417.893 4.546 5 20 HJBD COc1ccc(-c2ccc(CNc3nnc(Cc4ccccc4F)o3)o2)c([N+](=O)[O-])c1 538592677 BLNQMWWVZSWHBM-UHFFFAOYSA-N 424.388 4.588 5 20 HJBD CCn1cnnc1-c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539297310 KGKUTBUTIPTXGO-UHFFFAOYSA-N 411.487 4.626 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1F 542619045 GOTBZWRSVTZUOI-SGTLLEGYSA-N 421.266 4.964 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544530473 RTLYOANLSDYQOR-LEWJYISDSA-N 411.433 4.741 5 20 HJBD Cc1cc(Br)ccc1Cc1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 546472047 AEYHRHISTNKSOB-UHFFFAOYSA-N 424.201 4.780 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 551831758 RBPBNDPENUHTBV-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(OCc3cccc(F)c3)c2)c1 554870797 HGSFHWYJIXDQDJ-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3cccc(OC(F)(F)F)c3)C2)c2ccncc12 558047477 MLWKEORNHCYNIG-LJQANCHMSA-N 419.359 4.620 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3ccccc3COCc3ccccc3)ncnc2s1 566026287 HMWUFKIUTPURPB-UHFFFAOYSA-N 406.467 4.928 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(Nc2cccc(Br)c2)CC1 567140346 WYEXSZKROYKZMM-UHFFFAOYSA-N 418.291 4.641 5 20 HJBD O=C(Nc1ccc(Cl)c2cccnc12)c1sc(Br)cc1[N+](=O)[O-] 571863709 MCRVRZQFJKBHCX-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 579645665 UYPKDQFQHXNEAW-HNNXBMFYSA-N 408.439 4.741 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC[C@@H](c3nc4ccccc4s3)C2)c([N+](=O)[O-])c1 589795132 FPKJGBZQJWNLKB-MRXNPFEDSA-N 424.526 4.727 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2cc(C)ccc2[N+](=O)[O-])c2cccs2)cc1 603905560 GCEKVYMKRAWMOL-QFIPXVFZSA-N 410.495 4.812 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 608835809 UJUQWWCLDQVKDL-NRFANRHFSA-N 404.495 4.936 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2cc(F)ccc2F)cc1[N+](=O)[O-])c1ccccn1 608895522 OWJQVYMQZLZHCI-ZDUSSCGKSA-N 412.396 4.718 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC3CC3)C3CCCCC3)cc2)c(C)c1[N+](=O)[O-] 609021677 MKUMOYHRVDHJNL-UHFFFAOYSA-N 410.518 4.641 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609482344 BOZTXORZUNXHCE-UHFFFAOYSA-N 418.497 4.916 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCCc1ccc(F)cc1 609768643 FYQJZDWEVUTLRN-MRXNPFEDSA-N 423.444 4.686 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCCC[C@@H]1c1ccncc1 610040335 MQNIVJWLXNIAGQ-QGZVFWFLSA-N 404.264 4.510 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@@H](C[C@H]2CCOC2)C1 611356382 ZFZMWSVDWBHSHE-DLBZAZTESA-N 418.559 4.908 5 20 HJBD O=C(N[C@@H](CCCc1ccccc1)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 618939910 PDTKWGJYGZVMAP-FQEVSTJZSA-N 418.449 4.818 5 20 HJBD C[C@@H](Sc1nc2ccccc2c2nc3ccccc3n12)c1nnnn1-c1ccccc1 643090103 XGADQBUXCMJRAY-OAHLLOKOSA-N 423.505 4.865 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1cccc2ccccc12)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 730872303 NBKBIQRIAKPWHD-FASAQXTFSA-N 422.412 4.561 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1ccc(C(F)(F)F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 733519954 FMTBYIIURFJYOL-OLZOCXBDSA-N 424.375 4.678 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2CCCC2)c(Cl)c1 735011725 RYZTUUPCHZCPHQ-UHFFFAOYSA-N 424.284 4.909 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1cn2cc(Cl)cc(Cl)c2n1 735820326 BKIYKAKFTOPQQN-UHFFFAOYSA-N 413.648 4.692 5 20 HJBD O=C(Nc1cccc(N2CCCCC2)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 743120143 IUSCODDVZUSLBX-UHFFFAOYSA-N 424.501 4.660 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@H](C)c2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 745311200 SKSKUEDLTWCKJK-YPMHNXCESA-N 424.375 4.596 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Cl)c(Cl)c1 747021119 BBPPENQAKMRASL-JTQLQIEISA-N 422.224 4.799 5 20 HJBD CN(Cc1ccccc1)Cc1cccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 751072103 KNQHKZZLRLKPHI-UHFFFAOYSA-N 411.408 4.757 5 20 HJBD COCCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@@H](C)c1ccccc1 755021165 APGHTZVJBKDBHE-ZDUSSCGKSA-N 422.279 4.599 5 20 HJBD C[C@@H](C(=O)O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755066397 PCYXTKMUERQYFC-VFNWGFHPSA-N 422.412 4.761 5 20 HJBD CC1=C(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCCO1 755907837 JFSRLNDTQFXJEP-UHFFFAOYSA-N 420.824 4.880 5 20 HJBD O=C(Cc1cccc(OCc2cccnc2)c1)OCc1ccc([N+](=O)[O-])cc1Cl 778088696 VSFYJMBDWBYIOF-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD O=C(Nc1ccccc1[C@H](O)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 780991930 UXSZGALPBGGSSI-FQEVSTJZSA-N 412.367 4.529 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 785945811 CPVZKOZZHIBREB-LLVKDONJSA-N 419.796 4.593 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)OCc3ncc(C)c([N+](=O)[O-])c3C)c(C)c2c1 789671914 MMDQVJGPYXDZEB-UHFFFAOYSA-N 410.426 4.540 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)s1 790457486 XOYAORLLSBOWSL-AWEZNQCLSA-N 400.435 4.688 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803863532 IQIDIWLQBCIYDS-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD O=c1ccn(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c2ccc(Oc3ccccc3)cc12 810001271 CRFYUVJYBUSVHG-UHFFFAOYSA-N 417.377 4.659 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(N2CCCCC2=O)cc1 810234624 FKINMFQFYIYXHE-CYBMUJFWSA-N 416.865 4.648 5 20 HJBD O=C(Cc1csc(-c2cccnc2)n1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811040441 LLTRCYFSOHJUQL-UHFFFAOYSA-N 424.265 4.706 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc2ccc(F)cc2c1 811215466 BYMFHDLFTPQGQN-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2cccc(Cl)c2C)cc1[N+](=O)[O-] 815406286 CASZEKYLXUDZDG-NSHDSACASA-N 411.241 4.702 5 20 HJBD COc1cc([C@H](C)N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 815561605 KJRRMYFERKVRPO-HOTGVXAUSA-N 422.485 4.571 5 20 HJBD O=[N+]([O-])c1c(-c2nc(-c3ccsc3C(F)(F)F)no2)ccc2c1OCCCO2 904916396 DCDBBDHAAAUCQC-UHFFFAOYSA-N 413.333 4.553 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(N(C)c2ccccc2)nc1 917069368 QGONWTQRVPHDNJ-UHFFFAOYSA-N 410.861 4.683 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 917612000 TWPQOSKGGUINEI-UHFFFAOYSA-N 412.421 4.988 5 20 HJBD C[C@@H](NC(=O)COc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 920992603 BZJXQEJGHQSPGV-SECBINFHSA-N 403.649 4.811 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)cs1 1116007519 KUCWQQQXLNZTSJ-VIFPVBQESA-N 412.309 4.602 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cs1 1116383527 VKVXKFFVJYSNRD-UHFFFAOYSA-N 422.510 4.814 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H]1CCCc2c1cnn2Cc1ccccc1 1116607923 OGUVXYAZELLBLU-LJQANCHMSA-N 419.485 4.656 5 20 HJBD CC(C)(c1ccccc1)c1nnc(-c2cc(F)cc([N+](=O)[O-])c2Br)o1 1328363233 AOPJKXUQAJAYEI-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3ccc([N+](=O)[O-])cc3Br)o2)c1 1341297132 XOMQBUDZZMLUFN-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)c(F)c1 1341458301 APRMCOIEBKOAPI-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(Cl)cc1Cl 11028642 AIRLBFDJHYMPMA-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(Br)cc2)cs1 13954059 LUSQMNPCTJWFED-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)cs1 16504450 TWZZIOQRYNCHGT-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD CC[C@@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 45418895 WRHQHVIAZLGVCE-CYBMUJFWSA-N 414.792 4.739 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1c(-n2cccc2)sc2c1CCSC2 46223523 JDBUWSYUHQEAAR-UHFFFAOYSA-N 413.524 4.509 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1cccc(Cl)c1Cl 55843375 ACNKSMHNURAPBF-UHFFFAOYSA-N 406.172 4.554 5 20 HJBD Cc1nc(NC(=O)Cc2ccccc2[N+](=O)[O-])sc1Cc1ccccc1Cl 59773850 MVPQCAZYXWXACW-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCc3ccccc3C2)cc1)c1cccc([N+](=O)[O-])c1 63937824 SDGHJTRYQAFYFX-QGZVFWFLSA-N 401.466 4.649 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)c1 147733707 RNFIUKRXJJGTGH-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1cc(Br)cc([N+](=O)[O-])c1 226534509 DXBITRBDWDXNIU-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCN(CC)Cc1ccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 236936251 VKEXZKYWYINGIH-UHFFFAOYSA-N 410.543 4.850 5 20 HJBD CCOc1c(Br)cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 237018921 PSBTVQNBCMGCHA-UHFFFAOYSA-N 423.307 4.790 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3cc(F)cc4c3OCOC4)s2)cc1 237803861 MEDTUZZQTCIVJO-UHFFFAOYSA-N 414.458 4.661 5 20 HJBD COc1ccc([C@@H]2CCCCCN2Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 302802964 DUJGGNUIRZDQFS-FQEVSTJZSA-N 408.458 4.771 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)nc1-c1ccc(F)cc1 303084333 WYTDDJIUBLTLML-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1ccc(Br)cc1[N+](=O)[O-] 429796554 OZVAYFVHXDTPNT-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc2)n1 441098860 CQPYIVIXMDQLRO-UHFFFAOYSA-N 421.457 4.630 5 20 HJBD CCCN(C(=O)c1sc(NC(=O)c2ccco2)cc1C)c1cccc([N+](=O)[O-])c1 441431528 CCKPSEPVPWKHEQ-UHFFFAOYSA-N 413.455 4.867 5 20 HJBD CCn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2cc(C)ccc21 444283293 CNKATNSVZXBCPP-UHFFFAOYSA-N 414.440 4.751 5 20 HJBD O=C(C[C@H](Cc1ccccc1)c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446418030 MOHZYNRRXIDQOT-QFIPXVFZSA-N 400.478 4.896 5 20 HJBD CCC(=O)Nc1cccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 446428531 VPUDHFGMUBWZBL-UHFFFAOYSA-N 419.437 4.775 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)ccc2C)c1 447080991 BFAYOWSCJGBDHG-UHFFFAOYSA-N 418.453 4.989 5 20 HJBD Cc1ccn(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)CC2)n1 447621363 UTJSZIQELPORFV-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD CCCCN(C)c1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464666781 VXIUDYSSGFCWGF-UHFFFAOYSA-N 411.502 4.515 5 20 HJBD O=C(COC(c1ccccc1)c1ccccc1)NCc1ccc([N+](=O)[O-])cc1Cl 466285272 DLRIQVOJYVYVNG-UHFFFAOYSA-N 410.857 4.671 5 20 HJBD C[C@H](NCC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc2ccccc2o1 467271257 KYLZLBZNJBPOQK-ZDUSSCGKSA-N 422.466 4.754 5 20 HJBD O=C(N[C@H]1CC[C@H](CO)CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467933016 FVAJBVKNNWTWKO-CTYIDZIISA-N 420.918 4.680 5 20 HJBD CC1(CO)CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 468303411 RQAPNCJUMKPNTM-UHFFFAOYSA-N 420.918 4.634 5 20 HJBD Cc1ccc([C@H](C)N(C(=O)Cn2cc([N+](=O)[O-])nc2C)c2cc(C)ccc2F)cc1 474779796 YCURVCAAUZPSAR-INIZCTEOSA-N 410.449 4.650 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nc(CN2CCOCC2)nc2ccccc12 484490335 OYMWKNGWVXAKQP-INIZCTEOSA-N 424.526 4.532 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(O)cc2)cs1 489517836 KRPQKHQTSSQQMI-UHFFFAOYSA-N 401.469 4.788 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccc(OC(F)(F)F)cc2)cc1[N+](=O)[O-] 493154465 NDKZKZLOAIJPTG-ZDUSSCGKSA-N 411.380 4.704 5 20 HJBD C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 493894942 SJLDIJQULLTCJI-PXAZEXFGSA-N 409.364 4.608 5 20 HJBD CC[C@@H](C)Sc1ccc(NCC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])c(C)c1 498647648 VCUWFLWRBNSXSV-CQSZACIVSA-N 403.504 4.853 5 20 HJBD CN(CCc1ccncc1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 499946963 RUPAXLCPAWRJDP-UHFFFAOYSA-N 424.888 4.570 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2ccccc2)nc1 503487977 NVFYKFCCHZMQOU-HNNXBMFYSA-N 409.467 4.579 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H]1CCSc2ccc(Br)cc21 504651322 URSUTDVVLLBKKL-MRXNPFEDSA-N 421.316 4.595 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOc2c(-c3ccccc3)cccc21 505166727 CZJCNVRNFRJLOV-FQEVSTJZSA-N 404.422 4.524 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)ccc1[N+](=O)[O-] 505371525 FBZCERWHBRTEQE-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD COc1cc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1OC 507601786 RYIPQEZZIIXDFL-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)CCC(F)(F)F)cc2[N+](=O)[O-])n1 508742627 VISLBWJLRNJQKM-UHFFFAOYSA-N 405.423 4.535 5 20 HJBD CCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2C)nn1-c1c(Cl)cccc1Cl 508775206 QCLUBOOVWOGVIZ-UHFFFAOYSA-N 420.256 4.605 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 510990032 FVIJYUONSKJLDV-WDEREUQCSA-N 415.799 4.945 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)COc2cccc([N+](=O)[O-])c2)cc1F 511571587 BNTPDONWSBOZGU-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 511690627 IXLYPOCBCXQZPN-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2OCCc2ccccc2)cc1[N+](=O)[O-] 512513634 ILDDRKRKCRBSGS-UHFFFAOYSA-N 405.454 4.900 5 20 HJBD CN(C(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(Br)cc1Cl 512583313 RUNWPQUYXYNMPN-UHFFFAOYSA-N 415.696 4.766 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 512852740 QOAKLMWDZMJILD-UHFFFAOYSA-N 418.481 4.799 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1 513842040 ZTHGEVCXLDLGIN-UHFFFAOYSA-N 416.437 4.832 5 20 HJBD CN(CCC(=O)Nc1ccccc1-c1ccccc1)CCc1cccc([N+](=O)[O-])c1 514869170 WDECPURMZKBJQI-UHFFFAOYSA-N 403.482 4.765 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 517785027 JYDHEEXWTOFDRK-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD COc1ccccc1S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 519116851 IBKRANVRMZSWSY-JTQLQIEISA-N 400.378 4.742 5 20 HJBD Cc1nc(-c2cccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)oc1C 536100901 FWJNNDCWGQIMQT-UHFFFAOYSA-N 403.442 4.879 5 20 HJBD CC(C)CN(C(=O)CCC1CCOCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355703 OCPKOCWMLANGJK-UHFFFAOYSA-N 417.531 4.914 5 20 HJBD Cn1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(-c2ccccc2Cl)n1 541068204 NWOOROAZMBJFSC-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1ccc(Cl)cc1Br 541821041 JPJAZJVQYKBZSZ-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1F 542204079 HOVDIPFUPVLMIS-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H](C)c2cnn(C(C)C)c2)c2ccccc2)c([N+](=O)[O-])c1 542572226 CVJWNHSJGDBJDD-JTSKRJEESA-N 421.501 4.711 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ncccc1Br 544106968 LOLVEFXWWSTVKI-UHFFFAOYSA-N 424.320 4.777 5 20 HJBD O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)c1cc2ccccc2c2cccnc12 546868864 LROXWLXAXUKMAL-UHFFFAOYSA-N 423.472 4.679 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)c1 546979190 IMRDFRRPBKFQID-UHFFFAOYSA-N 406.320 4.679 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C1CCN(c2noc3ccc(Cl)cc23)CC1 548987413 IJVSHJHSFDNPAX-UHFFFAOYSA-N 414.849 4.553 5 20 HJBD CC[C@H](NC(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(Cl)s1 551493545 METZLKTYSYETON-ZDUSSCGKSA-N 423.922 4.813 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2c[nH]nc2C)CC1 552420111 QXAMXUSMPCROIS-UHFFFAOYSA-N 402.520 4.538 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3ccc(Cl)s3)n2)c([N+](=O)[O-])c(OC)c1 574842650 CMFUTTCAWLOXEJ-VXGBXAGGSA-N 421.862 4.572 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1 577357608 WDNBACVNONLYGP-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)cc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 581404042 BZGHMLSFTFNLSG-JTQLQIEISA-N 402.756 4.932 5 20 HJBD CC1CCN(c2ccc(C(=O)N(Cc3ccco3)Cc3ccco3)cc2[N+](=O)[O-])CC1 603450696 DDTJXTYREWKKRR-UHFFFAOYSA-N 423.469 4.860 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3csc(C(C)(C)C)n3)n2)cc1[N+](=O)[O-] 608846571 GGKNBPFQGXVOOG-UHFFFAOYSA-N 416.528 4.962 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 608954427 NCTXVFBVSXPTEU-UHFFFAOYSA-N 422.510 4.557 5 20 HJBD CCNc1nnc(Sc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])s1 608972546 VFBDWDHBXGSKBX-UHFFFAOYSA-N 415.500 4.590 5 20 HJBD CCc1sc(NC(=O)c2ccc(NC)c([N+](=O)[O-])c2)nc1-c1ccc(OC)cc1 609175869 KPJVUXPTNBZVSH-UHFFFAOYSA-N 412.471 4.583 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@H]2OCCc3ccccc32)ccc1Oc1ccc(F)cc1 609600759 NRVKFDZIPNAZRA-HSZRJFAPSA-N 408.429 4.930 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc2nc(O)[nH]c2c1 609620528 ROJRMOJTENXBOV-OAHLLOKOSA-N 417.469 4.795 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1Br 610045360 YFLSGCIHPAFERY-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCC[C@@H](CCc1ccccc1)NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 610180894 VMLARLCEQLJANO-IBGZPJMESA-N 406.486 4.527 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(-c2ccccc2)nc1O 729117337 ALAHIXIUTNGYMD-UHFFFAOYSA-N 408.410 4.507 5 20 HJBD Cc1cc(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)cc([N+](=O)[O-])c1 729413575 QVWFLEIEJUCIBU-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 730454650 FGZFZNXFAHVEKO-IBGZPJMESA-N 420.318 4.910 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc(O)c(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 732309712 SIXMMDRYMBVQIN-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD COc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1OC1CCCC1 733234044 KALZFULVTSTDQJ-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1CCC(NC(=O)CC2CCCC2)CC1 735645025 YTQULELZWMFUIA-UHFFFAOYSA-N 422.909 4.717 5 20 HJBD CN1CCC[C@@H](COC(=O)c2cccc([N+](=O)[O-])c2)[C@H]1c1ccc(C(F)(F)F)cc1 735909051 TYCJJAFMIDWHHE-QFBILLFUSA-N 422.403 4.854 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cccc2c1C 744673068 QDLDZIKBGWWDKS-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745301400 FADWXIRBYBKSHB-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD Cc1c(C(=O)N(CCc2ccccc2)C2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748619848 NENISHPHIDKXKH-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD O=C(NCc1ccccc1)/C(=C/C=C\c1ccccc1[N+](=O)[O-])c1nc2ccccc2[nH]1 750902803 XREGJEVXFOLPPO-WQESZTHLSA-N 424.460 4.884 5 20 HJBD C[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc2ccccc2c1 753521263 FXVYDYZNAZFUKY-CYBMUJFWSA-N 412.829 4.687 5 20 HJBD CCc1ccc([C@H](NCc2nc3cc(F)ccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 753679681 NKSQKXIVXHRFFJ-JOCHJYFZSA-N 408.433 4.655 5 20 HJBD C[C@@H](C(=O)Oc1ccc(N(C)C(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 754315710 HSJPWTLZUGZOFV-CYBMUJFWSA-N 418.421 4.814 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(F)(F)c1nccs1 756720168 MWQDYXDCKZYUKR-UHFFFAOYSA-N 413.452 4.995 5 20 HJBD Cc1c(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccsc2)cccc1[N+](=O)[O-] 757183222 XXCXRBKYHROEBQ-HXUWFJFHSA-N 411.483 4.732 5 20 HJBD CCCCCCn1c(Sc2ncc([N+](=O)[O-])cn2)nnc1-c1c[nH]c2ccccc12 760461805 CKNHXQNORIFWJV-UHFFFAOYSA-N 423.502 4.856 5 20 HJBD C[C@H](C(=O)Nc1ccc([C@@H](C)NC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 761975449 HUHIIOWTFTZFKK-LSDHHAIUSA-N 413.474 4.923 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1cnc(-c2cccnc2)s1 762053211 ZABWJPGXHDBSHF-UHFFFAOYSA-N 403.419 5.000 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 765580728 SPSXFDFBWAVDJG-UNMCSNQZSA-N 422.481 4.519 5 20 HJBD Cc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)o1 770012050 WCGMFGNJPCMJRD-INIZCTEOSA-N 406.316 4.856 5 20 HJBD Cc1n[nH]c(C)c1[C@H](C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 770876618 SYZRIFZFCGGKLM-LBPRGKRZSA-N 405.414 4.929 5 20 HJBD Cc1ccc(NC(=O)[C@@H](Sc2ccc([N+](=O)[O-])cn2)c2ccccc2)c([N+](=O)[O-])c1 772857964 KLQOUCKJNZFJTL-IBGZPJMESA-N 424.438 4.679 5 20 HJBD Cc1cc(NCc2ccc(S(C)(=O)=O)c(C(F)(F)F)c2)c(Cl)cc1[N+](=O)[O-] 774707131 WFGQTFFWIBMCHL-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nccn2-c2ccc(C(F)(F)F)cc2)cc1 776276683 KSGOJSRHYVTSDD-INIZCTEOSA-N 409.389 4.625 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cccs1 777770740 DXLZUNSPEOKXQF-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1N(C)C 780346566 IYANSPGXOYNPCC-UHFFFAOYSA-N 407.420 4.586 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H](C)c3nnc(C)s3)cc2[N+](=O)[O-])n1 782060120 ZTVCTKNOAOMVIB-SECBINFHSA-N 422.513 4.589 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 782270017 ZZIDKYCNGSIAQN-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2sc(NC(=O)OC(C)(C)C)nc2C)cc1[N+](=O)[O-] 782329954 XPAMZHWHWKEVPF-LLVKDONJSA-N 420.491 4.506 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)ccc1Oc1ccncc1 786024558 VEDYBMZLHAKOHD-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN(Cc2ccccc2)C[C@@H](O)c2ccsc2)c(F)c1 795935121 YSQFSWXMLDYXFC-HXUWFJFHSA-N 404.438 4.670 5 20 HJBD CCc1cc2oc(=O)cc(COC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)c2cc1Cl 798130018 LOTQYFCAJBVBLD-VMPITWQZSA-N 413.813 4.674 5 20 HJBD O=C(NCC[C@H](O)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799646232 DGJLDLAUBSMOFP-IBGZPJMESA-N 408.479 4.600 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 801093386 FWSKIOJZRVLYOS-UHFFFAOYSA-N 424.388 4.584 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccccc1CSC1=NCCS1 803218661 WIBMFTCEVIZFIA-UHFFFAOYSA-N 424.450 4.566 5 20 HJBD CC(C)Cc1cccc([C@@H](C)C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 803746634 JINKPWUHOACTCJ-OAHLLOKOSA-N 409.442 4.690 5 20 HJBD CN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 808840312 GPDOUXQPPHVFMJ-JGZJWPJOSA-N 424.217 4.942 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCCc1nc(-c2ccncc2)cs1 811481788 MRJVRJRCZRHTFN-UHFFFAOYSA-N 409.298 4.752 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)nc1Cl 816762761 FZVYSDWFSDURNU-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1cccc([N+](=O)[O-])c1Cl 816840991 LSNVBUKNEQUVKB-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD O=C(NCc1ccc(CN2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1Cl 816911065 ZXTLTEKMKDYOGO-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(C(F)(F)F)nc1Cl)c1cccc([N+](=O)[O-])c1 817862561 KVPGJYWZCVYMAC-VHSXEESVSA-N 401.772 4.643 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1-c1nc(-c2cccc(Cn3cnc4ccccc43)c2)no1 904491094 CSIKRYNMGSGTSY-UHFFFAOYSA-N 415.384 4.849 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CC=C(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 913041172 WVYIDCSQXOYJBH-MRXNPFEDSA-N 404.470 4.501 5 20 HJBD C[C@@H](CC(=O)N1CCCC[C@H]1CCOC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 918638852 VMBWNCVZKZDHEA-RXVVDRJESA-N 424.497 4.717 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)CO[C@H]3CCCc4ccccc43)sc2c1 921231230 PKGONFCNORHGPQ-QAPCUYQASA-N 416.524 4.752 5 20 HJBD O=C(Nc1cccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c1)c1cccs1 1322204534 BHOBJOMQXJCLTC-UHFFFAOYSA-N 422.422 4.538 5 20 HJBD COc1ccc(CSCc2nnc(-c3cc(-c4ccccc4)on3)o2)cc1[N+](=O)[O-] 1322858434 WGBRIMCEIPIEEW-UHFFFAOYSA-N 424.438 4.742 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCc2nc3cc(Cl)ccc3s2)o1 1323961946 FNZHNIDXJOZVKL-UHFFFAOYSA-N 416.846 4.605 5 20 HJBD C[C@H](Oc1cccc(Br)c1)c1nnc(-c2cc(F)ccc2[N+](=O)[O-])o1 1323965033 ULOMTHYJEFJBBI-VIFPVBQESA-N 408.183 4.686 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c(Br)c1 1325791014 PMEAILQSANTICM-KUHUBIRLSA-N 405.292 4.615 5 20 HJBD COc1ccc(NC(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 1517293621 GTQAJEDRTPYQAA-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(C)c3)cc2)c([N+](=O)[O-])cc1OC 7757946 IVJUAIOOYWUCAQ-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD O=C(NCc1nc2ccccc2s1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 23424263 AZQYWLPZACTMIG-UHFFFAOYSA-N 418.478 4.747 5 20 HJBD CCn1cc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])c(OC)c2)cn1 27917369 BUVFSXRDBRVNIT-UHFFFAOYSA-N 416.821 4.518 5 20 HJBD COc1cccc(Nc2nnc(Sc3ccc(C(C)=O)cc3[N+](=O)[O-])s2)c1 32509766 KZCGNUYNQYWLNN-UHFFFAOYSA-N 402.457 4.552 5 20 HJBD CCn1c(SCc2ccc3c(c2)OCCO3)nc2cc3ccccc3cc2c1=O 65845176 VESLQBONKFBBCO-UHFFFAOYSA-N 404.491 4.633 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 78557391 ZQPMPNJVZHRQBA-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H](C)c3nnc4ccccn34)cc2[N+](=O)[O-])cc1 237559936 REMOFHIJNBPZJO-INIZCTEOSA-N 419.510 4.948 5 20 HJBD Cc1noc(C)c1CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237603860 DLSGHHSPNRJLRJ-UHFFFAOYSA-N 420.263 4.554 5 20 HJBD COc1cc(F)c([C@H](C)NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC 303540402 JMDRBYIMEVZZEW-LBPRGKRZSA-N 404.438 4.540 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1ccccc1Cl 426702135 DVNRRRQWVYISOU-INIZCTEOSA-N 414.845 4.541 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)cc1 429241786 YTEZBKRGEJNDPZ-UHFFFAOYSA-N 424.526 4.566 5 20 HJBD CC(C)c1[nH]nc(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 434231315 MZVDSDPQOYEJDO-LLVKDONJSA-N 410.396 4.826 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436426580 CUZKOAUVNWTQAA-UHFFFAOYSA-N 402.450 4.729 5 20 HJBD CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC[C@@H]1O 438467765 UWSUPVASYCYSKM-SFHVURJKSA-N 420.918 4.632 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441070931 VCRWHFZBKXTTID-ZDUSSCGKSA-N 411.483 4.884 5 20 HJBD CCCN(C(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 441439411 VXZAXQYFQGGMNY-UHFFFAOYSA-N 417.465 4.928 5 20 HJBD CC(C)c1ccc2nc(Cc3ccc([N+](=O)[O-])cc3Br)nc(O)c2c1 445654310 LEAZOWVAFPDVMM-UHFFFAOYSA-N 402.248 4.720 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)c([N+](=O)[O-])cc1OC 460548980 IDQIQCSDHAHHQO-UFAGZECESA-N 418.877 4.783 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F 464724081 PRRGCZSWXKVWJO-UHFFFAOYSA-N 421.791 4.581 5 20 HJBD CCOc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(F)(F)F 466459110 UNEJETNOIIQKIS-UHFFFAOYSA-N 418.799 4.878 5 20 HJBD COc1ccc(C(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)cc1[N+](=O)[O-] 467480005 XGXQKETXOXVZKC-GOSISDBHSA-N 408.458 4.511 5 20 HJBD COc1ccc(CN2CCC(CNC/C(C)=C\c3cccc([N+](=O)[O-])c3)CC2)cc1 468643573 QMTMYRTZXFXZEE-RGEXLXHISA-N 409.530 4.509 5 20 HJBD COCCN(C(=O)C[C@@H]1CC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 468991556 KEVMFGIFSREJGK-LSDHHAIUSA-N 403.504 4.524 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@H](c1cccc(Cl)c1)C1CCCCC1 472409051 LYSZWTORCAVKJA-FQEVSTJZSA-N 404.898 4.581 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](c3cccc(Cl)c3)c3ccccn3)n2)cc1 475325166 RYLRHSJOIXUUQZ-HXUWFJFHSA-N 421.844 4.572 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)cc1C 478568056 JYKCQYGXNDSKCK-UHFFFAOYSA-N 408.404 4.837 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3ccccc3OC)n2CC)c([N+](=O)[O-])c1 479923715 AXDNQJZWMCDVCH-UHFFFAOYSA-N 412.471 4.626 5 20 HJBD Cc1c([C@H](C)NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)cccc1[N+](=O)[O-] 482024463 FPUITJGRNAAQLY-SFHVURJKSA-N 420.513 4.873 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(CSC3CCOCC3)c2)c1[N+](=O)[O-] 486239654 CITCPSBREYZZMH-UHFFFAOYSA-N 418.540 4.981 5 20 HJBD CCCCN1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 486554694 IFRMTOHUTGSKBI-UHFFFAOYSA-N 413.543 4.740 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489874954 QVKXDJWQWSGJJN-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1cccnc1)C(C)C 489902853 XCYSGCCXLYZHIB-LJQANCHMSA-N 401.532 4.908 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494036729 GOFBZECCJGIHHD-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1cnc(C)s1 499325974 NFQXEMGEGSPTJS-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@@H]1c1ccccc1 503418796 LDSJRWKLHLDXFZ-OXJNMPFZSA-N 400.500 4.605 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@H](CC(F)(F)F)c1ccc(F)cc1 509261516 CNZWUDQAZOEKHV-CYBMUJFWSA-N 402.369 4.636 5 20 HJBD COc1cc(CN(Cc2cccc(C)c2)Cc2ccccn2)c([N+](=O)[O-])cc1OC 513746063 NEUXWJROMCRJIN-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD COCCC[C@@H](CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Cl)cc1 514957605 IVHZBGATVFPYSD-HNNXBMFYSA-N 415.877 4.670 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(COC(C)C)c3)cc2)c(C)c1[N+](=O)[O-] 517096122 IBXCXAAGPFLTBZ-UHFFFAOYSA-N 422.485 4.634 5 20 HJBD CCn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nc2sc(C)c(C)c2c1=O 522473751 KEIGKGUJUWIIEY-UHFFFAOYSA-N 409.920 4.949 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@H]1CCc2ccccc2C1 523509484 CPPIOJOJSBUWJQ-INIZCTEOSA-N 417.303 4.767 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@@H]3c3ccc(Br)cc3)c1)OCOC2 531449437 NSLRTMTWGLLPEB-GOSISDBHSA-N 419.275 4.561 5 20 HJBD CO[C@@H](C)c1nc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cs1 531451728 CEXLBTKYARHBJE-ZDUSSCGKSA-N 417.462 4.980 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCn4cccc4[C@@H]3c3ccccc3)o2)c1 533495211 DFJXAIMDNJYCKA-DEOSSOPVSA-N 414.465 4.699 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 536438120 SXPXDXSMOVKHIA-QFIPXVFZSA-N 422.506 4.990 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCn3c(nnc3C3CCCC3)C2)s1 536709562 DKYINRDZRIYHQA-UHFFFAOYSA-N 409.515 4.588 5 20 HJBD CC(C)Oc1cccc([C@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 537021621 FLFAIBNGJLXKHJ-UONOGXRCSA-N 405.882 4.713 5 20 HJBD C[C@@H](CCO)C1(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCC1 537256412 AKOBWOZIWAZXJZ-INIZCTEOSA-N 413.474 4.697 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2)C1 537444321 JCUHEUXSJJLYLR-LJQANCHMSA-N 400.500 4.701 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 538693836 MDNGKZKZFZFJJI-HZPDHXFCSA-N 412.795 4.729 5 20 HJBD CC(C)(C(N)=O)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 538833630 OMKBYAMETQCQFE-UHFFFAOYSA-N 413.861 4.883 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])c2)n1 539253787 YKMDKBQCPQXCKC-UHFFFAOYSA-N 411.421 4.675 5 20 HJBD C[C@H](N[C@@H](C)c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3)c1cccc([N+](=O)[O-])c1 539278504 RJWXTLLALXIGLZ-AGIUHOORSA-N 412.515 4.842 5 20 HJBD O=C(c1cc2ccc(C(F)(F)F)cc2[nH]1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 539865512 ZKTXPTMQYVSRKZ-UHFFFAOYSA-N 403.360 4.900 5 20 HJBD CCCN(CCC)C(=O)c1cccc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)c1 545074397 OABDEYOYQBZNAZ-UHFFFAOYSA-N 422.485 4.806 5 20 HJBD Cc1nc([C@@H](N[C@H](C)CCc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)no1 545241707 XLPCQZQLOVWNBY-YJYMSZOUSA-N 400.866 4.640 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4c(F)cccc4F)no3)cs2)c1 547022882 WPBVJIGJTDVOKO-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCC[C@H]1Cc1ccc(F)cc1 552173504 XAOQIDUUEFHUMH-FQEVSTJZSA-N 411.477 4.572 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(OC)c1 554739732 VGLRBOZHMTUNDE-INIZCTEOSA-N 412.486 4.544 5 20 HJBD O=C(C1CCC(OC(F)F)CC1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 560558044 OPAOCYBBTPPCPO-UHFFFAOYSA-N 404.413 4.926 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc([C@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 574079273 HQUUBGJDNRTWLJ-XLIONFOSSA-N 422.485 4.985 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 578575142 MHLARJUSBPTJPY-KRWDZBQOSA-N 420.469 4.770 5 20 HJBD CCOCc1nc([C@H](C)N[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 579770383 KXMRVVWGJSOBJR-STQMWFEESA-N 402.476 4.655 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1c(F)cccc1N1CCCC1 580085395 KSBJGTWPQVPRHS-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD COc1ccc([C@H](CN(C)C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 584520588 LRGCFXKZBYNOFT-NRFANRHFSA-N 411.527 4.724 5 20 HJBD Cc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc(C)c1OCC(F)(F)F 604073893 OLGZSLPMESJISY-UHFFFAOYSA-N 411.380 4.817 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccccc2Cl)o1 609455857 LVIDUSLMSYMXBX-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD CCOc1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1 609852644 FGHHIXQPDONHQL-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC(C(F)(F)F)C1 610468769 FVZKDVVQCMSLNS-UHFFFAOYSA-N 400.740 4.675 5 20 HJBD COc1ccc(COCCSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 611165765 LKQYYIKRYMSOLF-UHFFFAOYSA-N 400.456 4.708 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H](C[C@H]2CCOC2)C1 611355626 FKQWQNDEZXNYRL-QZTJIDSGSA-N 409.486 4.617 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCC[C@@H]3c3ncc(-c4cccc(F)c4)[nH]3)nc2c1 616139356 WZLJZDUABKIGHK-LJQANCHMSA-N 421.432 4.992 5 20 HJBD CC[C@]1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOC1 616689499 CSZFNNKSBMYDOS-IBGZPJMESA-N 406.891 4.698 5 20 HJBD O=C(NCC1(c2ccccn2)CC1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 619834491 KEWWMWSPFQEAHN-UHFFFAOYSA-N 405.479 4.603 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 726228700 QVLXDSATRGAWKG-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD Cc1cc(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)c(C)n1[C@@H](C)c1ccccc1 728323832 SFNTZLMSUDFMGT-INIZCTEOSA-N 406.438 4.662 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3F)cc2)cc1 730983555 CLZPPRCEAAKNCS-UHFFFAOYSA-N 410.401 4.967 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Br)c1cc(Cl)cc(Cl)c1 733227429 LMILFFXZWKGFFR-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD CC(C)CNC(=O)N1CCC[C@@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 741362096 GWJCXZXVHGUNLD-GOSISDBHSA-N 419.525 4.509 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC[C@H]1c1ccccc1 741973384 IECHPXBJKWQYNZ-XJKSGUPXSA-N 403.276 4.623 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3sccc3SC)CC2)ccc1[N+](=O)[O-] 744205583 ZJEYIDXHIQKZJH-UHFFFAOYSA-N 422.528 4.603 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747126739 ZDOZJQZOGYDBKE-GFCCVEGCSA-N 424.375 4.921 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750887168 OZDDQZNHISEUDG-ZDUSSCGKSA-N 412.671 4.629 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCCSc3ccc(Cl)cc32)cc1[N+](=O)[O-] 750935385 UAVKSILOYVCGHF-UHFFFAOYSA-N 407.835 4.607 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccc([N+](=O)[O-])cc1 752646471 SJERUTJMTXCGBO-UHFFFAOYSA-N 404.417 4.530 5 20 HJBD C[C@@H]1COCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 754236202 UYNLYWZXCZSMEZ-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD Cc1cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)ccc1N1CCCC1 757897800 YMZLIQPKGINOEY-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD COc1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 758412402 NAUTXDYQQHHTPL-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)c1ccn(-c2ccccc2[N+](=O)[O-])n1 763547746 FEGLUYBTGJBKOK-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 767083226 MUIOIAGSLCGJQW-HNNXBMFYSA-N 414.458 4.719 5 20 HJBD O=C(OCc1cnns1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 768012603 WKUUYAAWDHGFLR-UHFFFAOYSA-N 407.860 4.608 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)C[C@H]1CCCO[C@H]1c1ccccc1 769211696 LMBWBUMBCVLTGC-XLIONFOSSA-N 419.319 4.957 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1SCCc2sccc21 776217926 MZMCYTHCXJCSQM-RTBURBONSA-N 412.492 4.715 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@@H](O)c2ccc([N+](=O)[O-])cc2)cc1 776589869 WNHHMVQAEZUQQM-UZUQRXQVSA-N 406.482 4.997 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(OCc2ccc(F)cc2)CC1 779605127 RYGGJVJDIOFVKT-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)C[C@H](OC)C2 784239544 LOMIADRFIWENMA-GOSISDBHSA-N 400.500 4.853 5 20 HJBD O=C(OCc1ccc(Br)c(Cl)c1)c1c(F)ccc([N+](=O)[O-])c1F 787733635 HMJQVZWKVRYSDM-UHFFFAOYSA-N 406.566 4.646 5 20 HJBD O=C(OCc1csc(-c2ccc(Br)cc2)n1)c1ccc([N+](=O)[O-])cc1 798105071 KQXMRQIOXFOZDQ-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1C[C@@H](c2ccccc2)OC1=O 809683019 MATAQECFPKIIOA-RTWAWAEBSA-N 418.405 4.630 5 20 HJBD CCc1nc2cc(CNC(=O)Nc3cccc([N+](=O)[O-])c3Cl)ccc2n1C1CC1 809917209 CDCQNCXJVKWFHJ-UHFFFAOYSA-N 413.865 4.817 5 20 HJBD Cc1nnc(-c2ccccc2C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])o1 811085751 ABMZPMYRBNPCPW-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc(-c2ccccn2)s1 811217713 XZRHFAWZEBZOKY-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD O=C(COc1ccccc1OCc1ccccc1)Nc1cccc([N+](=O)[O-])c1Cl 813244466 DBUITSUEXLBRIK-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)c1 813319892 JBJZTQZPMUTBQF-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD C[C@@H](OC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 842049898 BLKIRCPTTNHUCX-CQSZACIVSA-N 420.450 4.822 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 913419071 KWEVUQSGMNPQPS-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)c(Cl)c1 918843065 ZFELGPJXFLZVJO-CYBMUJFWSA-N 406.841 4.908 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H]1CCCC[C@H]1C 920818954 SQXBLYHNHXZWKR-HZPDHXFCSA-N 400.479 4.598 5 20 HJBD Cc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Br)c2c1[C@H](C)CC2=O 1115940585 PRUIOXIOGXGZHG-SNVBAGLBSA-N 404.216 4.575 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)Nc2cccnc2)c(Cl)c1 1318364353 NUQDDJVIYSTSGZ-YHYXMXQVSA-N 422.828 4.547 5 20 HJBD O=C(N[C@H](Cc1cccc(F)c1)c1cccc(F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318962023 CLLNANAWZRDHPA-JOCHJYFZSA-N 423.419 4.882 5 20 HJBD C[S@@](=O)c1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1F 1319727683 AMHTZQFOJONHJJ-HHHXNRCGSA-N 408.838 4.997 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 5456607 UBQZIGIJFUAGSS-CQSZACIVSA-N 413.455 4.658 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 14467209 KKQJAMORNVVOMI-UHFFFAOYSA-N 408.435 4.785 5 20 HJBD Cc1ccc(-c2nnc(SCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)o2)cc1C 26351433 CBSYKTBARZNBQA-UHFFFAOYSA-N 412.471 4.691 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NCc2cccc(C)c2)c([N+](=O)[O-])c1 30785318 ICLOBBRMDKVOGR-UHFFFAOYSA-N 404.422 4.533 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCc3nc(-c4cccc([N+](=O)[O-])c4)no3)o2)c1 31845214 RGWWXPBFHXHGQF-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(C1CC1)[C@@H]1CCCc2ccccc21 58713215 CAORBNIONCDGEU-OAQYLSRUSA-N 405.498 4.877 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(Cl)cc2Cl)cc1OC 105001841 AZIBKYVDCNIWTB-JTQLQIEISA-N 413.257 4.800 5 20 HJBD Cc1occc1-c1nnc(SCC(=O)c2cccc([N+](=O)[O-])c2)n1-c1ccccc1 216808763 JHFKRZJBLDQDMA-UHFFFAOYSA-N 420.450 4.719 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 301941365 VUFOFSKMFNLPAW-NXEZZACHSA-N 422.450 4.683 5 20 HJBD CC(C)(c1nc(Cc2ccccc2Br)no1)c1ccccc1[N+](=O)[O-] 426413266 RTQBPTXJGFGUHC-UHFFFAOYSA-N 402.248 4.657 5 20 HJBD COc1cc(C(=O)Nc2cnccc2-c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 429249215 ZKZHODTZKJTIKT-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)cc1OC(F)F 460511840 YUKLKPSXUSGCCP-UHFFFAOYSA-N 412.367 4.534 5 20 HJBD C[C@@H](CC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 461906083 WZVICAQDACBATP-LBPRGKRZSA-N 409.255 4.649 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3ccc(F)cc3[N+](=O)[O-])s2)cc1 466288140 LOEFSBNANWDTEB-LLVKDONJSA-N 415.446 4.665 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OC1CCCC1 466454592 JUCOFNVSHSXJPR-ZDUSSCGKSA-N 402.422 4.555 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(OCc2cccnc2)c1 467173244 PJEQMXHKNCTZTE-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 472495244 FUUGNRNHZIEEIZ-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD COc1ccc(-c2csc(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2)cc1 475930033 YSZANAWQYHQUEX-UHFFFAOYSA-N 411.483 4.674 5 20 HJBD COc1ccc(OCCS[C@H](C)c2nc(-c3ccccc3C)no2)c([N+](=O)[O-])c1 476214438 DZANGPNOGSNWMW-CQSZACIVSA-N 415.471 4.835 5 20 HJBD CCc1cnc(CN(C)[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)s1 477000335 JCLOBKXTDPFEBI-OAQYLSRUSA-N 424.526 4.734 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(O)c3cc(Br)sc3n2)c(Cl)c1 480041145 WCSZQLLXCYXXFP-DUXPYHPUSA-N 412.652 4.891 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2C1 484364810 SSXNLHUHNDGBSQ-UHFFFAOYSA-N 407.392 4.838 5 20 HJBD COc1cc(C(=O)Nc2c(C)c(C)nn2-c2ccc(Cl)cc2)cc([N+](=O)[O-])c1C 485863781 ZIGQUZPJVWCYTF-UHFFFAOYSA-N 414.849 4.620 5 20 HJBD C[C@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)s1 486147588 HHQIIPTWZBDQEA-NSHDSACASA-N 409.305 4.714 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1CCN(Cc2ccccc2)C1 486522447 YDWSWWIENBMBIR-YLJYHZDGSA-N 422.554 4.719 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(N2CCCC2=O)c1 494490541 CXPJJOZBJLGFAM-ZDUSSCGKSA-N 407.392 4.591 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc2c(F)c(F)ccc2s1 500014717 JCFGIJYVEKYVCK-UHFFFAOYSA-N 406.414 4.925 5 20 HJBD CN(CC1CCN(c2ccncc2)CC1)C(=O)c1cc2ccccc2c2cccnc12 500440439 KFNROALGXJPDMG-UHFFFAOYSA-N 410.521 4.772 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1 502453972 QPPHWGCYQMJMOC-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CN1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 513105975 FOKJBTXULUPMTN-HNSSHINMSA-N 408.433 4.677 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)NCC(C)C)c(Cl)c2)c1 515739982 AWEMNZGYGHCGAD-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(Cl)c1OCC(F)F 517421600 PRVBEECWDKBFBP-UHFFFAOYSA-N 409.776 4.555 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CC)C[C@@H]1CCN(Cc2ccccc2)C1 519791902 JJQOZUWEPYJCLC-LJQANCHMSA-N 410.518 4.533 5 20 HJBD CC1CCN(Cc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)CC1 522742758 KMENRFOHMQKXTH-UHFFFAOYSA-N 401.894 4.661 5 20 HJBD C[C@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(OC(F)F)cc1 522962418 DKEHKJWWPKYXJD-LBPRGKRZSA-N 424.425 4.989 5 20 HJBD CC(C)c1ccccc1OCCNC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 523621159 KHXMQDOAXQVPIP-UHFFFAOYSA-N 409.389 4.520 5 20 HJBD O=C(c1ccccc1CCC(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 534736523 DHBIQSMOKGXHNG-SFHVURJKSA-N 410.417 4.978 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 534939753 HXBHIJVHJTYWPS-UHFFFAOYSA-N 403.236 4.609 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 539531409 SOIQNWVWXKRBCD-WFISCICHSA-N 415.559 4.532 5 20 HJBD CN(CC1CC1)C[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 539851446 WCFCVWYUOZOVQO-INIZCTEOSA-N 403.910 4.672 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](C)c1sc(-c2ccccc2)nc1C 544486790 QCDRJYAFAQZMHF-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD COCc1nc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cs1 545077544 FGHDKFXYUPJPLH-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4csc(-c5cccs5)n4)n3)c2c1 545783774 AVWGUEWEJUWJRB-UHFFFAOYSA-N 423.479 4.826 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545828348 USELENMVIIHJPF-XIKOKIGWSA-N 408.857 4.557 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555137003 WRIFMOSTXVBKQR-CQSZACIVSA-N 400.353 4.720 5 20 HJBD Cc1cc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)n(C)n1 556409385 IPZQYPJLWXIDEP-UHFFFAOYSA-N 404.829 4.511 5 20 HJBD CC[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC)c(OC)c1 557462709 GNXXDDIRALONGY-CQSZACIVSA-N 424.906 4.625 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cc([N+](=O)[O-])c1 580227060 MKKDIXOMNGMIOI-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OCC(=O)N(C)[C@@H](c1ccccc1)c1ccc(F)cc1 603725782 BFLLOPOTTATXEJ-QHCPKHFHSA-N 408.429 4.669 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCC[C@H](C(F)(F)F)C2)c([N+](=O)[O-])cc1OCC 603753565 JWBLXHOXRUXQFK-RYUDHWBXSA-N 404.385 4.699 5 20 HJBD Cc1sc(NC(=O)Cc2ccc(OC(F)F)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997044 OVHLBDZMWLGFEE-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD COc1cccc2c1OCC[C@@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 604571059 UJBUBTKVLBYXNH-IBGZPJMESA-N 420.421 4.649 5 20 HJBD Cc1c(N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cccc1OCC(F)(F)F 609042415 YZWLJEHXLOWSAU-CYBMUJFWSA-N 411.380 4.592 5 20 HJBD CN(C(=O)Cc1csc(-c2cccc(C(F)(F)F)c2)n1)c1ccc([N+](=O)[O-])cc1 609094506 WMIWIXYGRGQBGG-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)cc([N+](=O)[O-])c1 609441450 CJDWVIZIDREUMH-FQEVSTJZSA-N 403.413 4.777 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(-c2ccc(OCc3ccccc3)cc2)no1 609475236 SQKBQLOYHYJPKE-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD CC[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 609724632 FPNCEQJEBZGCLI-NSHDSACASA-N 403.287 5.000 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609784079 JVBCDSAEKOBSJR-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 610876993 VIWFUIPWUWFDCB-INIZCTEOSA-N 405.458 4.597 5 20 HJBD C[C@H](CCO)C1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCC1 611428834 OERIEZJUYVFEIU-OAHLLOKOSA-N 406.548 4.548 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1cc([N+](=O)[O-])ccc1Br 726924144 FSCBEQGVXYTJFN-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OS(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 727680794 CPSBSBNJLUKMHD-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2cccc(Oc3ccccn3)c2)cc1SC 728763154 GPRJNJWHOUEQTE-UHFFFAOYSA-N 412.423 4.732 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H](c1ccc(Br)cc1)C(F)(F)F 731146450 HMEJTSWDNJCKKJ-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 732520401 DNPYBBLKQVOMMQ-QWRGUYRKSA-N 405.863 4.910 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc4ccccc4c4cccnc34)no2)cc1 733103292 HOUBWXDQKOVWMR-UHFFFAOYSA-N 411.417 4.804 5 20 HJBD CC(C)c1cc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)on1 733756903 IUDOXBDEHKWJBC-UHFFFAOYSA-N 420.377 4.699 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734910838 WFQPNODLYIPVHV-KBPBESRZSA-N 404.850 4.621 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)nnn1-c1cccc(Cl)c1 741934336 HYNKCLMCXKMNEL-UHFFFAOYSA-N 420.256 4.605 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 746140149 QNIWSFUCYYMQMX-UHFFFAOYSA-N 406.364 4.686 5 20 HJBD O=C(O[C@H](c1ccccn1)C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 747338553 ODPNNTUVXKCLER-CQSZACIVSA-N 409.345 4.574 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1ccc(Br)c([N+](=O)[O-])c1 750866583 VDQIPPWPUMCJLW-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1Cl 751185174 GQBYWTBKDWSKPF-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CCN(Cc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1)C(=O)OC(C)(C)C 761942830 HCCIHUAEIVVJHN-LBPRGKRZSA-N 408.480 4.588 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 767081992 AAPNGSPOTDVRPP-GJZGRUSLSA-N 414.458 4.890 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H](F)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 769159212 BEVXAYKMTHVVTF-LEWJYISDSA-N 422.412 4.837 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2ncc(C)s2)cc1 771917012 YULOJKHKHJJNRR-UHFFFAOYSA-N 400.412 4.518 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)c1F 790879093 NMNAIOPDJGPFTB-CQSZACIVSA-N 406.841 4.627 5 20 HJBD CC[C@@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cc(F)cc(Br)c1 792878852 ACVCRKZPTRLHCW-OAHLLOKOSA-N 412.215 4.646 5 20 HJBD O=C(OCc1cnc(Cl)cn1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 798562658 ZTBYUCDYCCBZLF-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2Cc3cccc(Cl)c3C2)c1=S 808204697 OMNZBFWDOCKUPA-UHFFFAOYSA-N 415.906 4.636 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cnc(-c2cccnc2)s1 811086285 RFGMIPQYFHIZFL-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C(F)(F)F)cc1 813153385 PGWZNJIBXNGOKV-AWEZNQCLSA-N 423.174 4.944 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 813291771 CEKVDEJSKBZKAN-NYYJTOMGSA-N 407.308 4.830 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 917479369 XVODXZYPONPWTP-HNNXBMFYSA-N 408.241 4.604 5 20 HJBD C[C@@H]1Oc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2NC1=O 1116318711 CFKBWZSRTONFDQ-NSHDSACASA-N 413.817 4.840 5 20 HJBD COc1ccc(CSCc2nnc(COc3cc(C)ccc3C)o2)cc1[N+](=O)[O-] 1117162689 OAZMXYWGPFIBJN-UHFFFAOYSA-N 415.471 4.616 5 20 HJBD c1cnc(N2CCC(c3nnc(-c4cc5ccccc5c5cccnc45)o3)CC2)nc1 1118068801 QDLYOSMCZZQYCG-UHFFFAOYSA-N 408.465 4.612 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@@H](Oc2ccccc2)c2ccccc2)o1 1322205461 NAKGTSYPUOPXJV-NRFANRHFSA-N 403.394 4.725 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cs1 1323321764 JEAFPIYICOEAOY-UHFFFAOYSA-N 408.483 4.560 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n[nH]1 1326296943 XXBUKSDDZCCJOY-NEPJUHHUSA-N 403.870 4.991 5 20 HJBD Cc1ccc(Cn2nc(C)c(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2Cl)cc1 1341223173 SGHGNEWSORLGAI-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD Cc1c(C(=O)OCC(=O)N2c3ccccc3Sc3ccccc32)cccc1[N+](=O)[O-] 3444735 VOTZBAKTRJFHDQ-UHFFFAOYSA-N 420.446 4.890 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1ccc(Cl)s1 26043752 XGYFAXRVTIXSRT-UHFFFAOYSA-N 410.826 4.737 5 20 HJBD COc1ccc(OC)c(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 26329617 ZCFHVXVCHXIADC-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2)c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 26783117 ZJSFGUMDPYAFOY-HSZRJFAPSA-N 420.465 4.830 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 48142013 DUKPHNCYDZPROX-ZDUSSCGKSA-N 418.881 4.517 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)CCCOc1cccc(Cl)c1 53741654 GKZHNAVANZFRLA-AWEZNQCLSA-N 416.865 4.760 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 64421523 LVVYMEPOAXWPAH-ZDUSSCGKSA-N 419.865 4.701 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 71935079 NXPDWGLABWPJRQ-QHCPKHFHSA-N 407.514 4.859 5 20 HJBD C[C@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)Cn1ccnc1 237577356 JYKWCTBCNRHUSL-OAHLLOKOSA-N 400.866 4.663 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)CCCNc2ccc([N+](=O)[O-])cc2)cc1 248259296 UZRUXZNQBAVXIT-QFIPXVFZSA-N 411.502 4.939 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccncc3)n2C2CCCCC2)c(Cl)c1 301712728 LJGPIFFAEGUYEK-UHFFFAOYSA-N 416.894 4.953 5 20 HJBD CCNC(=O)N1CCc2ccc(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)cc21 432726261 DHUHAOJBAWTJEB-UHFFFAOYSA-N 406.442 4.559 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 433934286 OUGCQFIWAYKWQX-UHFFFAOYSA-N 406.423 4.989 5 20 HJBD COc1ccc2cc(CNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc2c1 435947217 DACGNBROXGDKEJ-UHFFFAOYSA-N 408.457 4.773 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436099387 LQLUOACXGGIZCO-UHFFFAOYSA-N 417.440 4.667 5 20 HJBD O=C(Nc1cnn(CC(F)(F)F)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440620941 QJLSNJVXKYNKJD-UHFFFAOYSA-N 422.388 4.757 5 20 HJBD COCc1nc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(-c2ccccc2)s1 441070253 PNTCABGFAKTRIL-CQSZACIVSA-N 411.483 4.664 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444125083 QGCPPWNZSPQCOD-UHFFFAOYSA-N 411.230 4.835 5 20 HJBD O=C(Cc1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1)Nc1cc(Cl)cc(Cl)c1 445383451 HYVHYNQNKFKQJW-PLNGDYQASA-N 419.224 4.636 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1 446482937 YBWPLGZWSWKRKW-AUUYWEPGSA-N 420.872 4.510 5 20 HJBD COc1cc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C2CC2)ccc1OC(F)F 446483106 ACHUHIOHQUUFFY-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD CO[C@@H]1CCCC[C@H]1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460571468 HUSGUWTXFYTEFG-UYAOXDASSA-N 417.893 4.548 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1C(=O)NCc1ccco1 462200453 GEVMXBPEBJSODH-UHFFFAOYSA-N 409.442 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](C)c1ccc(Cl)c2ccccc12 462963609 FMIUIXKMZNYXTJ-CYBMUJFWSA-N 411.845 4.526 5 20 HJBD CCOc1cc(C(=O)NCC(C)(C)Cc2cccc(Cl)c2)c([N+](=O)[O-])cc1OC 465007526 VTHBIXZGBWMKTE-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 466654557 INTIGHOQWYCINQ-UHFFFAOYSA-N 411.458 4.701 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2ccccc2)CC1 480721706 JMRIEAKVNUBXFW-UHFFFAOYSA-N 413.543 4.742 5 20 HJBD Cc1c([C@H](C)N[C@@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cccc1[N+](=O)[O-] 483462500 MJRWEVOAZRZWDG-SBUREZEXSA-N 424.522 4.747 5 20 HJBD Cc1c(CNC(=O)c2sc(Br)cc2[N+](=O)[O-])oc2ccc(F)cc12 485463027 CSXAWLLFFDFWNT-UHFFFAOYSA-N 413.224 4.543 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](C(F)(F)F)C1)c1sc(Br)cc1[N+](=O)[O-] 486205971 UQEDCFBGSYNJHF-JGVFFNPUSA-N 415.231 4.517 5 20 HJBD CCn1c(S[C@H](C)c2nc(-c3ccccc3)no2)nnc1-c1ccc([N+](=O)[O-])cc1 489444340 XDDKCEVNWIGPND-CYBMUJFWSA-N 422.470 4.777 5 20 HJBD COc1cc(CN[C@@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC(F)F 491036138 KXIRLLUASKXXOV-CQSZACIVSA-N 410.442 4.574 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2Br)c1 494700676 BGYBCQYGZUSSDU-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCc2cc(Cl)ccc21 500204641 MERMPEVMBOJDKN-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 500637333 VOVJVEUPLUWBJL-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](Oc2ccccc2Cl)C1 503543757 RVAZDJBXQVKQTL-GFCCVEGCSA-N 414.767 4.561 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1)c1ccc([N+](=O)[O-])cc1F 507285799 SEPMBZRTIXFLLY-QVKFZJNVSA-N 422.460 4.981 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC[C@@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 507353070 ODQSOYJMCNHOGX-XLAORIBOSA-N 408.502 4.719 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(F)(F)F)c2ccccc2)cc1[N+](=O)[O-] 510584371 MBBCMPFRVOVBOK-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 512273395 AIMDNKVWMPIQSH-GUYCJALGSA-N 408.376 4.511 5 20 HJBD C[C@@H](c1cccs1)N(C)C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 512650768 GWZUNGSNVGNHMC-OAGGEKHMSA-N 401.532 4.972 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)c1C 517459469 LMORNHPWZRHEAU-HXUWFJFHSA-N 413.499 4.609 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCCC2)cc1[N+](=O)[O-] 517966344 WGCHUTWGODFVSG-LJQANCHMSA-N 415.877 4.543 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)n1 521343473 TYCXHHYXVHZMSH-OAHLLOKOSA-N 405.458 4.735 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2c(c1)OC1(CCCCC1)O2 522738824 WYUXXSPSOOBMCV-UHFFFAOYSA-N 402.834 4.861 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(c2ccccc2F)CC1 523488033 MHNPFFKFNVLREN-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 525347360 XCMBACWSTUYCGV-UHFFFAOYSA-N 401.463 4.535 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCC2)cc([N+](=O)[O-])c1OC 525562511 MKEJHPCYDJWBTH-GOSISDBHSA-N 404.850 4.537 5 20 HJBD C[C@@H](N[C@H]1CCN(c2ncccc2F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531992136 HROFSKKSRDPDHC-CABCVRRESA-N 412.490 4.787 5 20 HJBD COc1cccc(COc2cccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)c2C)c1 533769279 OTDUDQNJIZPLLB-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 540828062 SUYIJTIZISNGHY-DLBZAZTESA-N 419.547 4.684 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc(OC)c([N+](=O)[O-])c3)c12 542069178 BLVOSDCLWLOOON-UHFFFAOYSA-N 422.397 4.604 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ncccc1Br 542245505 JYNFXZDJFDQDKU-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cc(C4CC4)nc4ccc(Cl)cc34)n2)nc1 547193909 HWQCCBGDHBTOCY-UHFFFAOYSA-N 407.817 4.710 5 20 HJBD Cc1sc(NC(=O)c2cccc(Cn3ccnc3)c2)nc1-c1cccc([N+](=O)[O-])c1 550647059 JTRZIQFGFRDCAD-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccco2)cs1 559566710 HDDVXLGSKHKZJM-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD C[C@]1(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])OCCc2sccc21 562236991 PJHNJUNZGGCWGS-CQSZACIVSA-N 423.363 4.605 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)c1)c1ccco1 564525536 RLRMITIOAZGOOR-FLIBITNWSA-N 402.366 4.661 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 567175244 LHXUBPKQQHLCAS-RDJZCZTQSA-N 409.486 4.518 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)cc(C)c(=O)n2C 571072366 YGSYFYIWKSCUIQ-UHFFFAOYSA-N 411.483 4.510 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Br)c1)c1ccc([N+](=O)[O-])cc1 577322589 XYZPTYFEAJDJQG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C1CC[C@H](C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c2ccccc21 583072922 YTERZPUJRVYYTI-QFIPXVFZSA-N 400.434 4.888 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C(=O)O)c2)ccc1OC1CCCC1 583335369 MKQLKLQXDLRFHE-CYBMUJFWSA-N 400.431 4.796 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Nc3cccc([N+](=O)[O-])c3)c2)cc1 604467827 VACKXZYFPGPCDL-UHFFFAOYSA-N 407.426 4.964 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2nc3c(s2)COCC3)cc1[N+](=O)[O-] 609022446 NUWXDOBCVKASED-UHFFFAOYSA-N 407.517 4.515 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Br 609497703 AMUODNXOVRNUKM-VIFPVBQESA-N 413.655 4.510 5 20 HJBD COc1cc(CN[C@H](C)c2cnn(C(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 609572353 BBXPKTGIHMLTKV-QGZVFWFLSA-N 424.501 4.811 5 20 HJBD CC(C)(C(=O)Nc1ccc(Sc2nncs2)cc1)c1ccc([N+](=O)[O-])cc1 610041642 YLSLSYQZCJUMAX-UHFFFAOYSA-N 400.485 4.514 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610964085 MDLZEASOJULFOS-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD CN(C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@H]1CCCc2c1cnn2C 610977037 ITRHVUDPIMAMMH-FQEVSTJZSA-N 422.510 4.629 5 20 HJBD CC(C)(C)Oc1cc(N2C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 611203552 NBWCZUGFZGWDDH-PHIMTYICSA-N 400.319 4.949 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@H](C[C@H]2CCOC2)C1 611356383 ZFZMWSVDWBHSHE-IAGOWNOFSA-N 418.559 4.908 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nc(Cc2nc3cc(C(F)(F)F)ccc3s2)no1 612881948 SAJFJPGKZQLWEF-UHFFFAOYSA-N 406.345 4.864 5 20 HJBD O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])Nc1ccccc1-c1ccccc1 730643351 ADVDNGJOWZDPRB-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CCCCc1nc(-c2ccc(OC(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2)no1 732742026 WNVKRTKFQRPZNK-UHFFFAOYSA-N 401.806 4.860 5 20 HJBD O=C(CCC1CC1)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 734610873 QSLMNQLMMCEXTH-LJQANCHMSA-N 402.834 4.661 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@H](c3ccccc3Br)C2)c1 735490865 SWMPVKKDXOHRST-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(Nc2nc3sccn3c2[N+](=O)[O-])cc1 742426168 LQEGEBPXJDUPHZ-LLVKDONJSA-N 403.464 4.633 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1CSC1=NCCS1 746060455 YARJBBOUCWDVAZ-UHFFFAOYSA-N 422.915 4.941 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)o2)cc1 751623891 TYTXAQDHGADLGY-UHFFFAOYSA-N 406.350 4.556 5 20 HJBD CC1CCN(c2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2)CC1 753827778 QIDTXPFPUWVSIK-UHFFFAOYSA-N 418.291 4.846 5 20 HJBD C[C@@H](C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755065365 QEEJRUMYFNSYAG-OAHLLOKOSA-N 422.412 4.686 5 20 HJBD O=C(OCc1coc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc(F)cc1[N+](=O)[O-] 756206896 PBQOIEIUFZZRDA-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD COC(=O)Nc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc(C(F)(F)F)c1 763102069 UXUHVPRDSBFGGU-UHFFFAOYSA-N 411.336 4.661 5 20 HJBD Cc1cc(C(=O)[C@H](C)OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)n1Cc1ccco1 763400017 BTMOTQJADHPTMH-RDJZCZTQSA-N 424.453 4.573 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)c1nccn1C 764105455 GIFKNBKYOHASDZ-FQEVSTJZSA-N 410.474 4.716 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2ccccc2Cl)o1 765044438 PLIZYJITOBAUEO-CQSZACIVSA-N 415.833 4.610 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 767061549 FKOVHXZQQAPQPA-LLVKDONJSA-N 422.384 4.678 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(N4CCSCC4)cc3)cs2)c1 770576371 MYCXKMSCQFPFOG-UHFFFAOYSA-N 412.540 4.884 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CSCCS1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496452 VBZUDTAQIMADEP-INIZCTEOSA-N 423.585 4.556 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cnccc1Oc1ccccc1 790892037 TYPWTTUQJZLNRL-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cc(F)c(F)cc1C(=O)c1ccccc1 796130350 IKBKOAJIOBYJOD-UHFFFAOYSA-N 415.323 4.600 5 20 HJBD Cc1nc(-c2ccccc2)[nH]c1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215886 AVUURRCNSWCHBB-UHFFFAOYSA-N 420.450 4.774 5 20 HJBD O=C(Nc1cccc(Oc2cccnn2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813026234 QGDPBOBWEINADB-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD C[C@H](CC(=O)c1ccccc1F)C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815216051 WNAGIRMDYFVEIY-LLVKDONJSA-N 413.323 4.705 5 20 HJBD CC(C)(C)OC(=O)Nc1cc2c(cc1NCc1ccc([N+](=O)[O-])cc1Cl)OCO2 816602144 KMLSYUSGGOXZLA-UHFFFAOYSA-N 421.837 4.936 5 20 HJBD O=C(N1CC[C@H](C(F)(F)F)c2ccccc21)C(F)(F)c1ccc([N+](=O)[O-])cc1 817482566 OEVOAMBSCQKKKB-AWEZNQCLSA-N 400.303 4.769 5 20 HJBD COc1ccc(-c2nc(COC(=O)CSc3ccccc3[N+](=O)[O-])cs2)cc1 819038486 FTKUNOUGKMJYND-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2ccccc2)Cc2ccccc2)cc1[N+](=O)[O-] 857758589 LWAQHEWRTQJGTK-UHFFFAOYSA-N 419.437 4.697 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1cccc2ncccc12 915162754 SREYNZCPHNWRPO-UHFFFAOYSA-N 405.332 4.569 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1C(F)(F)F 920010135 CCQCINLZYDXXLI-SECBINFHSA-N 417.181 4.867 5 20 HJBD C[C@H](NC(=O)COc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 920992605 BZJXQEJGHQSPGV-VIFPVBQESA-N 403.649 4.811 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cc(COc3ccccc3F)on2)n1 1117593218 OMMLBXZHVYTWRU-UHFFFAOYSA-N 410.361 4.635 5 20 HJBD O=C(c1cn(Cc2ccccc2)nc1-c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1252263364 HAOBZCPXZODDOV-UHFFFAOYSA-N 424.460 4.663 5 20 HJBD Nc1c(C(=O)Nc2ccccc2-c2cccs2)cc(Br)cc1[N+](=O)[O-] 1256680353 WUWXEBLFTKKUDT-UHFFFAOYSA-N 418.272 4.920 5 20 HJBD O=C(c1nc(-c2ccccc2)oc1C1CC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 1319267633 YXABCQFOSBPHAE-UHFFFAOYSA-N 403.438 4.932 5 20 HJBD CC[C@H](c1ccccc1)c1nnc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)o1 1323012717 BTIVAGDTORKTIJ-CABCVRRESA-N 412.471 4.559 5 20 HJBD O=C(c1cccs1)C1CCN(Cc2ccc([N+](=O)[O-])cc2Br)CC1 1342951250 SMVLHOHAOPQDQP-UHFFFAOYSA-N 409.305 4.514 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 14816747 LDVDBRPKPMAESJ-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD O=C(Nc1cccc(-c2nnco2)c1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 24739621 STAQYJMIHJRWGG-UHFFFAOYSA-N 401.382 4.641 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3cccc4ccccc34)cc2)c(C)c1[N+](=O)[O-] 56225310 RTQSOWNQHDZSRM-UHFFFAOYSA-N 414.465 4.886 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2ccc(Br)cc2[nH]1 65864880 SMJOKDDMPCFAPY-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OC 107730125 QMQLSMNCTFKDJW-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD O=C(Nc1nc2c(ccc3ccccc32)s1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 109265704 GVLPMASAFJOLDL-UHFFFAOYSA-N 415.434 4.796 5 20 HJBD CN(Cc1cscn1)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 138681884 HCSZGOIFBXWVDU-GOSISDBHSA-N 416.890 4.517 5 20 HJBD Cc1cc(I)cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1C 428327014 QCZNHUQZMCCUGS-UHFFFAOYSA-N 424.238 4.685 5 20 HJBD CN(C)[C@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 430420544 AAKZPQGFWPUQBQ-KRWDZBQOSA-N 417.893 4.597 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)O[C@@H](C)C2 435934241 HBOQSBNOMCDGAQ-AWEZNQCLSA-N 414.461 4.656 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCC(c2ccccc2)CC1 435943331 ZQRKKOGEDLQEOP-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD O=C(Nc1ccc2ccccc2n1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436078769 YEBZPZRVMFFIFU-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD C[C@H]1COc2ccccc2N1C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 443956737 DHLVPFYYKGSAKT-ZACQAIPSSA-N 409.486 4.505 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444027342 DBBYTMLLAFBKDZ-RDJZCZTQSA-N 400.453 4.839 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1OCc1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444238068 MYHZTTCUGBFDDL-DNQXCXABSA-N 412.489 4.549 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 445131296 DBIRNOURLUPTDU-OFNKIYASSA-N 418.880 4.595 5 20 HJBD Cc1cc(C)c(NC(=O)Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)c(C)c1 445333632 PDSZKCMITAHTKY-UHFFFAOYSA-N 422.466 4.966 5 20 HJBD O=C(Nc1cccn(Cc2ccc(F)cc2)c1=O)c1cc2ccccc2c2cccnc12 446424361 KMQVRJMVDWWNRA-UHFFFAOYSA-N 423.447 4.989 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1cccc(-c2ncc[nH]2)c1 447689364 PLVUQPMVBSWHAR-UHFFFAOYSA-N 413.306 4.646 5 20 HJBD CCC[C@@H](C)N(C(=O)c1cc(C)ccc1[N+](=O)[O-])c1nc(C)c(C(=O)OCC)s1 460123090 AYLZNAUWQQFYMC-CYBMUJFWSA-N 419.503 4.680 5 20 HJBD O=C(Nc1ccc(F)cc1OC(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 460479822 JVMAYHYQUPWCRP-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 463929645 CEKKWXPPXAWVQC-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2F)c1C(F)(F)F 464716994 WWVVOVSRNIKVTR-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CN(C[C@@H]1CCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466540294 KELAMMZIZZCMPL-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD Cc1c(CNC(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 480066820 YFBUKFNYZYBTDQ-MRXNPFEDSA-N 407.392 4.621 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc3c(c2)CCC(=O)N3CC2CC2)cc1[N+](=O)[O-] 480671000 ZXKBYYRYDKOIAR-HNNXBMFYSA-N 409.486 4.856 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1cccc(Cl)c1 482733025 KQOZHZHXTBMACY-UHFFFAOYSA-N 419.268 4.831 5 20 HJBD Cc1cc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])nn1C1CCCCC1 484954866 FQZZBEJGIDJFFC-UHFFFAOYSA-N 406.870 4.666 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)N(C)c1cccc([N+](=O)[O-])c1 485740915 ZFWBGTNFISNQTN-UHFFFAOYSA-N 405.332 4.854 5 20 HJBD CCc1cc(Oc2ccc(C(=O)N3CCCC3)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 488027968 KBCATBDCXVARLQ-UHFFFAOYSA-N 418.453 4.643 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2N2CCSCC2)cc1[N+](=O)[O-] 499468886 FZDNVPLUXMTGRY-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD Cc1cc(OCc2cccc(Cl)c2)ccc1NC(=O)c1c(N)cccc1[N+](=O)[O-] 499905722 BYPZZROBHBLMKN-UHFFFAOYSA-N 411.845 4.970 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 501261785 NZVGLTUKXKJUEX-KRWDZBQOSA-N 405.882 4.592 5 20 HJBD COc1ccc(OCc2ccccn2)c(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 507167236 KHOOQVUNAAWVER-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F 507726130 NZSKHPSGXKOOOQ-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1)c1cccs1 509968334 FEBHHGASJDJCPQ-UHFFFAOYSA-N 407.451 4.502 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 510054942 KIFIURIDSQGMNE-UGSOOPFHSA-N 408.376 4.511 5 20 HJBD O=[N+]([O-])c1cc(F)c(CNC2(c3cccc(C(F)(F)F)c3)CCOCC2)c(F)c1 510763563 KVENEGFRKXVABE-UHFFFAOYSA-N 416.346 4.687 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 511777937 XWNFDCAHOWKQNF-UHFFFAOYSA-N 420.469 4.641 5 20 HJBD CC(C)(C)CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 513209835 LWTHHJYGTCNUFI-QGZVFWFLSA-N 420.307 4.673 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC(F)F 513330871 OYJJZESUKDUCMI-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cn1ccnc1[C@H](NCCc1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1 513707740 IGRJDUZLGSQMSW-GOSISDBHSA-N 405.285 4.557 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](CCC(C)C)c1ccc(Cl)cc1 516054027 QPGLUWVOBJJCTF-GOSISDBHSA-N 417.893 4.789 5 20 HJBD C[C@H](C(=O)Nc1c(N2CCCC2)cccc1N1CCCC1)c1cccc([N+](=O)[O-])c1 516616793 DMDPETWMXNTYOY-KRWDZBQOSA-N 408.502 4.537 5 20 HJBD O=C(NCc1ncc(-c2ccccc2)o1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 521203790 QBNIEYGYUSDUOQ-UHFFFAOYSA-N 414.421 4.923 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)NCc4cccc([N+](=O)[O-])c4)cc3)o2)c1 522232039 HKJYCVDXFANMMC-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 523454792 RPNOVGKUCRHNTN-CYBMUJFWSA-N 410.430 4.745 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 524193416 SEQDQBGQXKJWBD-HJFSHJIFSA-N 403.504 4.525 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 524338535 MSUCIOJZEYTWKH-DHIUTWEWSA-N 402.450 4.594 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](Cc2ccccc2)c2ccccc2F)cccc1[N+](=O)[O-] 525403333 KSZFUFHEKVVUPW-VGSWGCGISA-N 421.472 4.943 5 20 HJBD COc1cc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1OC 531356194 VQSYNDWELGDOTJ-UHFFFAOYSA-N 403.822 4.641 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 533993885 KDABYRJWLPZHOD-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534257016 JBIIRSMPWPDAHO-UHFFFAOYSA-N 417.263 4.911 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 534685428 PQFVCWPWYOWPSD-UHFFFAOYSA-N 420.444 4.645 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)NCCc1cccc([N+](=O)[O-])c1 536230924 FTLQSFBGAPFJKP-UHFFFAOYSA-N 420.307 4.520 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 536941597 XRGGZSTXBVUVFI-SJLPKXTDSA-N 424.881 4.759 5 20 HJBD CC[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccccc1OC(F)F 537052565 KCOGECMRFAOROZ-INIZCTEOSA-N 407.417 4.574 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1cccs1 537505218 IQBIOCBZJLLAOP-CYBMUJFWSA-N 406.529 4.940 5 20 HJBD C[C@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1-c1ccc(Cl)cc1 539287911 QAATUVUZKIMVBY-AWEZNQCLSA-N 409.873 4.865 5 20 HJBD COc1ccc(Cl)cc1NC(=O)C[C@@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 540219698 HOMMYTZNHPKKMI-ZIAGYGMSSA-N 405.882 4.633 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccccc3Cl)n2)cc1[N+](=O)[O-] 542930353 OGOPMTXFCTYECT-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD COc1cccc([C@@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)c1 543305588 RAPINCMEUDNEFO-ILBGXUMGSA-N 416.477 4.903 5 20 HJBD CC(C)c1ccc(-c2noc(CCc3nc(Cc4ccccc4[N+](=O)[O-])no3)n2)cc1 544906479 LAXVKXPJFICTBX-UHFFFAOYSA-N 419.441 4.527 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)c1 545624314 YMBSSJSGVLBPJE-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4ccc(Oc5cccnc5)cc4)no3)c2c1 545674287 CZJZHJDOTPQUBJ-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cc(F)ccc3C(F)(F)F)no2)c1 546986325 CMZSUFVAVHMHQU-UHFFFAOYSA-N 406.295 4.806 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1c(F)cccc1Cl)c1ccc([N+](=O)[O-])cc1 552276494 ZEZVJHKJGSTCSN-LJQANCHMSA-N 416.884 4.778 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1OC 554703662 LQPDJMILNCKBJQ-MRXNPFEDSA-N 400.475 4.972 5 20 HJBD CCOC1(C)CCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CC1 557052841 MWZONUOHJYTKAM-UHFFFAOYSA-N 421.544 4.542 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cs1 569408877 BOVFHDGEIDIEMS-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cncc(Br)c4)no3)cc12 570483936 UQCTWHVYZMUSMX-UHFFFAOYSA-N 419.238 4.556 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 574971503 ZKZLTPITBDXTSW-UONOGXRCSA-N 405.292 4.598 5 20 HJBD O=C(c1c([N+](=O)[O-])cccc1C(F)(F)F)N1CC[C@H](Oc2ccc(Cl)cc2)C1 584739770 GLMIEWAVSVKMJQ-ZDUSSCGKSA-N 414.767 4.561 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(CCCOc2cccc3ccccc23)no1 589120951 LUWGULRCWJMYNU-UHFFFAOYSA-N 405.410 4.818 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1OCC(F)F 608849596 CYIRJNFGWHIBAR-LLVKDONJSA-N 414.792 4.607 5 20 HJBD Cc1cc(C(=O)N2c3ccccc3CC[C@@H]2C(=O)Nc2ccccc2)cc([N+](=O)[O-])c1 608872015 JVMWKRNHWGQMTK-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD CC(C)CCN1Cc2cccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)c2C1 609063442 IKFXFSZRDFMQQO-UHFFFAOYSA-N 408.502 4.783 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](c2ccc(Cl)cc2)C2CCCC2)cccc1[N+](=O)[O-] 609254875 ZTEQKCFZRSSCMH-IBGZPJMESA-N 415.877 4.543 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(OCc3cccc(C)c3)c2)ccc1[N+](=O)[O-] 609508225 FRCUMIWFMKPMOG-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CCC(=O)N[C@H](C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 609762700 PNHRXZHTQSNLQN-QFIPXVFZSA-N 419.437 4.593 5 20 HJBD CC(=O)c1sc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nc1-c1ccccc1 609853733 TVECBCDXJQCEQN-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2N2CCCCC2)cc([N+](=O)[O-])c1OC 610169395 VSKRLWLAPIXMBV-UHFFFAOYSA-N 419.865 4.508 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611205676 QXLUJJIWLMJUOY-UHFFFAOYSA-N 409.471 4.667 5 20 HJBD O=C(NCc1nc(C2CC2)cs1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212983 QADGCOMBCFJYMA-UHFFFAOYSA-N 403.529 4.893 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H]2[C@@H]1[C@@H]2C(F)(F)F 612610186 FGXJNWPBFUMKGH-IAOVAPTHSA-N 414.449 4.900 5 20 HJBD Cc1cccc(COc2cccc(CC(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c2)c1 729945741 MSGIPOUYNGBMKA-UHFFFAOYSA-N 412.392 4.942 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@H]1c1ccc2ccccc2c1 731268580 NFNOSNPQRFTMPW-VQTJNVASSA-N 415.405 4.645 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccccc3OCc3ccccc3)no2)cc1F 733736753 GZZYPGBWEYNVLI-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)s1 744152757 WJRQPERYBNYDTD-MRVPVSSYSA-N 404.669 4.919 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)N2c3ccccc3Sc3ccccc32)c1 745389244 ZEHXPBQDRLNTJO-UHFFFAOYSA-N 420.446 4.890 5 20 HJBD O=C(COC(=O)C1CCC(c2ccccc2)CC1)Nc1cc([N+](=O)[O-])ccc1Cl 745501800 QKAZVYVRLFHXAK-UHFFFAOYSA-N 416.861 4.704 5 20 HJBD CC[C@H](C)Oc1cc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1OC 749452779 NUXYWNKSDHYKPT-DZZUKKPASA-N 421.453 4.647 5 20 HJBD CN(C)Cc1ccc(CN(C)C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 750587120 JRCPZVWFHHKPKN-UHFFFAOYSA-N 418.497 4.672 5 20 HJBD O=C(Cc1cc2c(ccc3ccccc32)o1)OCc1nc2cc([N+](=O)[O-])ccc2o1 753444642 TXRWHCNFJSXMDY-UHFFFAOYSA-N 402.362 4.921 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(CCC(=O)Nc2cc([N+](=O)[O-])ccc2F)CC1 753916297 RBYIYTKZLGOGTN-UHFFFAOYSA-N 424.476 4.732 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755020767 DMINQHOYRJCULV-BXUZGUMPSA-N 407.239 4.515 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H]1c1nc2ccccc2s1 757260921 ISASRGWMERKHKQ-INIZCTEOSA-N 416.890 4.905 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CC2(CCC2)Oc2ccccc21 757817564 OXWULOKIEXVRFM-MRXNPFEDSA-N 416.861 4.580 5 20 HJBD Cc1cnc(O)n1-c1ccc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 761827911 HMMZJNQCEOIENW-CYBMUJFWSA-N 423.454 4.698 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccc(NC(=O)OC(C)(C)C)cc2)no1 762285387 BRWFPZHJKKSISU-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD Cc1ccc(Br)c2c1N(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)CCC2 763561400 GLCFLUWLFOZISA-UHFFFAOYSA-N 411.202 4.537 5 20 HJBD Cc1nc([C@@H](C)OC(=O)CNc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 763946211 SZEVTASAKYYYEH-CYBMUJFWSA-N 415.833 4.928 5 20 HJBD C[C@H](OC(=O)c1nccnc1-c1nc2ccccc2s1)c1ccccc1[N+](=O)[O-] 764597885 ODBCIVCJAHRNTN-LBPRGKRZSA-N 406.423 4.580 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H]1c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 769891248 SNUJZDLXVWUDPQ-HNNXBMFYSA-N 420.441 4.515 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 811820768 KDTHIXITOVFWOT-GFCCVEGCSA-N 400.412 4.728 5 20 HJBD Cc1c(-c2ccccc2)oc2c(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cccc2c1=O 812814267 ZUXMEEIWKSJORD-UHFFFAOYSA-N 419.437 4.806 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930064 HOACIUBQKCTZHM-ACJLOTCBSA-N 409.269 4.799 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N(Cc1cccc(Br)c1)C1CC1 914486105 GWXUFRRNZXIZFQ-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COc1ccc(N(C)C(=O)c2ccc(C)cc2)cc1 914686408 UVWLNACTDAMVRV-UHFFFAOYSA-N 406.438 4.767 5 20 HJBD C[C@@H](NC(=O)c1cc2ccccc2cc1NC(=O)C1CC1)c1cccc([N+](=O)[O-])c1 918137175 WYYAEUNQLSERRX-CQSZACIVSA-N 403.438 4.588 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1F 919039856 ZRNKTYJUEPBUMF-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CC(C)[C@@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 919371931 UINBVZZXENSXPD-CQSZACIVSA-N 417.270 4.783 5 20 HJBD C[C@H](CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 920721644 GXERTEVGHSHZQQ-XCLFUZPHSA-N 416.861 4.907 5 20 HJBD Cc1c(CC(=O)Nc2cc(F)ccc2NC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 1116342951 VLPFBBPTRFERDB-UHFFFAOYSA-N 403.410 4.571 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1cc(-c2ccc(Cl)cc2)no1 1248505498 SXSBJPWUIBSIAV-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD COc1ccccc1SCc1nnc(-c2ccc(Br)cc2[N+](=O)[O-])o1 1258000078 GCUPAINWKRHZAS-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nnc(-c2ccc(Br)cc2Cl)o1 1324133722 AGOVZUBWQCOAGP-UHFFFAOYSA-N 423.654 4.794 5 20 HJBD C[C@@H](Sc1ccc2c(c1)OCCCO2)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10603354 NJALQRUGLSYGCK-LLVKDONJSA-N 408.863 4.529 5 20 HJBD CC(=O)c1csc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)n1 15200686 FROFTRJQFWPDRY-UHFFFAOYSA-N 413.480 4.966 5 20 HJBD O=C(Cc1csc(-c2cccs2)n1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 42918315 DKZYQYRVYHLNCI-UHFFFAOYSA-N 411.411 4.562 5 20 HJBD CC(C)[C@H]1CCCN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 63814923 VJHISXDXFLDKSC-JOCHJYFZSA-N 418.497 4.637 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H](c1ccc(F)cc1)c1cccs1 65719985 VQWMYLOGQZOPSL-OAQYLSRUSA-N 409.442 4.656 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 140980880 LUWCDOQFQVALIK-VIFPVBQESA-N 418.755 4.682 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(COCC2CC2)c1 149153123 DVYKPRAJHASYFU-UHFFFAOYSA-N 412.489 4.720 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccccc3)CC2)nc1OCc1ccccc1 302180537 QITYMUYOFQJNQU-UHFFFAOYSA-N 404.470 4.650 5 20 HJBD Cc1cc(CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)nc(N2CCCC2)n1 426289727 NDHWJRZAWDDUTL-MRXNPFEDSA-N 423.542 4.873 5 20 HJBD Cc1ccc(C2(c3noc(C(C)(C)c4ccccc4[N+](=O)[O-])n3)CCOCC2)cc1 426552649 LGKZFVCMVONIQM-UHFFFAOYSA-N 407.470 4.709 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 426644992 BELBCZDYXZMUMJ-UHFFFAOYSA-N 414.512 4.752 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](c2cccc(Cl)c2)C2CCCC2)cc1[N+](=O)[O-] 433894711 DJYLECHFJFZSKW-IBGZPJMESA-N 415.877 4.543 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](c2cccc(Cl)c2)C2CCCC2)cccc1[N+](=O)[O-] 433898147 RILUOTGLTXQOSO-IBGZPJMESA-N 415.877 4.543 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Br)c1F)c1cccc([N+](=O)[O-])c1 435539566 JDKONJVYUWPVEP-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(Nc1ccnc(Sc2ccccn2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437789876 WXJGJUPDJRVULA-UHFFFAOYSA-N 421.482 4.733 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440947636 ZGFXWNXNFBVEHC-UHFFFAOYSA-N 405.435 4.758 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3c4ccccc4OC[C@H]3C)cc2[N+](=O)[O-])C1 443956734 DHLVPFYYKGSAKT-IXDOHACOSA-N 409.486 4.505 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2cccc([N+](=O)[O-])c2C)nc2ccccc21 460405496 ZKWBVMWFEMWDOQ-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 462347481 QSFYCLPYZLLKNT-JOCHJYFZSA-N 419.481 4.729 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1C(=O)N1CCC(C)CC1 462424461 DTLLDTHNZHLBRV-IBGZPJMESA-N 423.513 4.674 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2Cl)c1CC 466516875 HKXVUHPBDRGOKV-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD Cc1nc([C@@H](C)S(=O)(=O)c2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 477038046 XXUSSYNYRZTHOY-GFCCVEGCSA-N 406.847 4.747 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)NCc1cccc([N+](=O)[O-])c1C 481501826 LWMJHQIUXHHBDR-UHFFFAOYSA-N 403.797 4.589 5 20 HJBD O=C(CCc1cc(Br)cs1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486073754 MYAKVGIVTCTTCB-UHFFFAOYSA-N 409.305 4.543 5 20 HJBD COc1ccccc1O[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 487231407 GHXZRLQFKWGCQA-HNNXBMFYSA-N 418.515 4.549 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(C(=O)c3ccc([N+](=O)[O-])cc3)C2)n1 487241716 WBXPKOQCIBXIOG-MRXNPFEDSA-N 423.494 4.747 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1cc2cc([N+](=O)[O-])ccc2o1 487693193 ROONNMKCBADOFD-UHFFFAOYSA-N 407.357 4.597 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(C(F)(F)F)cs1 489952289 JEXSVLCATDXIBG-QMMMGPOBSA-N 405.423 4.673 5 20 HJBD CC(C)(C)[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 490842043 KKYNWYBGSGJAAE-UTKZUKDTSA-N 411.502 4.530 5 20 HJBD Cc1cccc(NC(=O)C[C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1C 494467261 GSKNSLFDJZRCRP-CYBMUJFWSA-N 409.408 4.737 5 20 HJBD Cc1sc(NC(=O)c2cnn(C(C)C)c2C2CC2)nc1-c1cccc([N+](=O)[O-])c1 499614652 QYXNSEZROBYQHF-UHFFFAOYSA-N 411.487 4.934 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCc2c(Br)cccc2C1 503871980 MCFQKIVTYZUCGO-UHFFFAOYSA-N 417.284 4.771 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1cccc(F)c1)c1nccn1C 504928113 ZIXQLJSXKYHEAQ-FXAWDEMLSA-N 414.506 4.717 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 506680250 LXNZJSBRANWPLS-UHFFFAOYSA-N 424.400 4.723 5 20 HJBD COCCN(C(=O)[C@@H](C)CC1CCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 510172272 IYFOSWXFTKSVNU-HNNXBMFYSA-N 417.531 4.914 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 516442484 MALFYGXPBRVYEA-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 517484481 LPNZFNFCTVGLDQ-AWEZNQCLSA-N 410.392 4.514 5 20 HJBD CNc1ccc(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 531580994 XIAGGCIYEFSLSI-AWEZNQCLSA-N 410.499 4.902 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 533021033 VBKQOEAXHMVOLT-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@@H](c4ccc(F)c(Cl)c4)C3)co2)cc1 534858556 DRAKEZXBGXFQRC-LJQANCHMSA-N 417.824 4.616 5 20 HJBD CCN(Cc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1)Cc1ccccn1 536673724 HJCQVIXLXCOAEF-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(Br)c(F)c2)c1 537153883 GDKNQEUJOFVJFQ-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)cccc1[N+](=O)[O-] 537694812 UKHGCLTYNICUKR-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD Cc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1NC(=O)c1ccncc1 539840508 BDBMXMLEVSEZAD-UHFFFAOYSA-N 418.453 4.728 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)CCCc3ccccc3Cl)co2)cc1 542184940 BMCBPPRYXVHCAQ-HHHXNRCGSA-N 404.875 4.785 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1 542208784 BMDRFSFTUFXOMZ-QHCPKHFHSA-N 415.449 4.799 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(NC(=O)c3ccccc3)cc2)n1 544757222 IZVRAWNUYKWEID-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD COc1ccccc1C/C(C)=C/c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 545784910 IPZZGTDZTXFZLH-GXDHUFHOSA-N 404.426 4.705 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 546558901 DVBNOUYQOHKUMN-OAHLLOKOSA-N 420.469 4.595 5 20 HJBD Cc1cccc2[nH]cc(Cc3noc(Cn4c5ccccc5c(=O)c5ccccc54)n3)c12 547086834 QRUOJDFRJJFRGF-UHFFFAOYSA-N 420.472 4.967 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 552213710 BXMHVXSMAWQDKA-INIZCTEOSA-N 405.454 4.937 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1OC(F)F 555852869 HICHYZSJPHFVDR-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(-c2ccccn2)s1 557388913 XFKCZXUQLZNQKO-UHFFFAOYSA-N 400.485 4.866 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3ccncc3)c(C)c2)c([N+](=O)[O-])cc1OC 557471982 GJMIPLXGXADEMP-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCCO1 564120124 FUUMSQWNZQLTAY-FQEVSTJZSA-N 400.500 4.633 5 20 HJBD Cn1cnnc1Sc1ccc(-c2nc([C@H]3CCCC(C)(C)C3)no2)cc1[N+](=O)[O-] 569749560 OWCSKRWWRKUHRH-ZDUSSCGKSA-N 414.491 4.608 5 20 HJBD O=C(NCC1(c2ccccn2)CCC1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 588044119 ALBDAPYHIUSOSP-UHFFFAOYSA-N 411.527 4.876 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccccc2COc2ccccc2)c(Cl)c1 603986992 FRKSSAARJSFABU-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD CC(C)(C)C(=O)N1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 608836267 XYBSUMSRYMXCMG-UHFFFAOYSA-N 419.909 4.635 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21 609186738 MODWTHLEBVRMPB-QGZVFWFLSA-N 411.458 4.594 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCS[C@H](C)[C@H]2c2ccccc2)cc1SC 609819452 LKUCLGOMBHJHGI-YJYMSZOUSA-N 418.540 4.644 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 725790098 BKCCYUHQXKMCOG-GJZGRUSLSA-N 400.479 4.591 5 20 HJBD CC(C)C[C@H](C)CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 727881644 LHXQSZQHAZTEOE-RYUDHWBXSA-N 404.385 4.556 5 20 HJBD C[C@@](O)(CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc(F)cc1F 734004136 PUWJUAMPVHPGGA-HXUWFJFHSA-N 404.438 4.599 5 20 HJBD O=C(CCCOc1ccc(Cl)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442835 JPMCRIBNPSISAG-UHFFFAOYSA-N 416.817 4.806 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1 744048981 QEANCDBZEYLKEK-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1cc([N+](=O)[O-])ccc1Br 744368045 XEKDCXAQXUSXCE-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2ccccc2c1 745074630 TWGAKSKWNQJZOJ-OAHLLOKOSA-N 406.438 4.596 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 745329402 IQOGWVNTWBSSQG-INIZCTEOSA-N 420.421 4.500 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)OCc1ccc(Cl)cc1[N+](=O)[O-] 745748885 KFRNXLKQCAWDEN-OAHLLOKOSA-N 404.633 4.722 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC[C@H](C)c1ccccc1 749609106 UCFBUQBNFKUGIH-KRWDZBQOSA-N 422.485 4.966 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1Cl 754098738 XOBXAMQUMHHVEY-UGSOOPFHSA-N 408.813 4.506 5 20 HJBD Cc1c(C(=O)N(CCc2cccc(F)c2)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 757424460 CPQSOJRWENUCKS-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@H]2c2ccccc2)c1=S 764871582 WODBDIOIWFFIMS-IBGZPJMESA-N 409.515 4.804 5 20 HJBD CCC(=O)c1ccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 766549924 MQOQMUZDFVDKMA-UHFFFAOYSA-N 400.387 4.669 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(C)C 771533166 OIOGDLALQYAQOP-UHFFFAOYSA-N 412.490 4.519 5 20 HJBD O=[N+]([O-])c1cc(CNCc2nc(-c3ccccc3)n[nH]2)ccc1Oc1ccc(F)cc1 774813379 CVSOZGSXGAKYTP-UHFFFAOYSA-N 419.416 4.601 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCC[C@H]3C(C)C)c(F)c2)c1 777837870 WIBHFNAMHJQCCH-SFHVURJKSA-N 401.438 4.620 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCC[C@H]1c1cc2ccccc2[nH]1 781967605 KWGPVVSRXQCZGY-FQEVSTJZSA-N 422.441 4.671 5 20 HJBD CO[C@H](C)COC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782308199 KVWLJEMSACQITO-DSQDWWTGSA-N 412.467 4.713 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Cl)C(=O)N[C@@H](CC1CCCC1)c1ccccc1 783341586 UBPKXXDYAVCVMR-SFHVURJKSA-N 415.877 4.625 5 20 HJBD CC(C)C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790484858 UDBFSSRBXLZHFO-KRWDZBQOSA-N 418.856 4.710 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cc([N+](=O)[O-])c1C 790548752 SEVUVZRMYPCISY-UHFFFAOYSA-N 423.494 4.788 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 790706152 XFHOSRXPZTZBPK-UHFFFAOYSA-N 421.400 4.791 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnn1-c1cccc(Cl)c1 792515926 OCHDBEHFQDZRAO-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(c1cc(-c2ccccc2)nn1-c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 794097874 IPGWITNEHIKUNG-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD CC(C)[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1cccnc1 797801636 QQGUJWYNGUUZJM-NRFANRHFSA-N 419.437 4.587 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 798239759 GPUJUWQEMHVARY-MRXNPFEDSA-N 421.331 4.771 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@@H](c3cccc(OC(F)(F)F)c3)C2)c([N+](=O)[O-])c1 808125844 CPMOVGYLXOYXMA-LJQANCHMSA-N 424.375 4.664 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2c(c1)[C@H](C)CCN2 809553623 BLBCFELJLGNCHC-ZIAGYGMSSA-N 422.441 4.790 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-])Cc1nccn1Cc1ccccc1 809949188 DCSVQLDKGDNSNS-UHFFFAOYSA-N 405.285 4.778 5 20 HJBD C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809951216 BRCJFJPKMRNOLJ-FTJNGKRUSA-N 401.315 4.832 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)[C@H](O)c1ccccc1 811538699 SXNCCOBKYBUJIP-BTYIYWSLSA-N 408.479 4.598 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CSc1nc2ccccc2s1 811932585 ZHXLKVFBEXMLSA-UHFFFAOYSA-N 407.904 4.609 5 20 HJBD C[C@@H](c1nnc(S/C=C\c2ccc([N+](=O)[O-])o2)n1-c1ccc(Cl)cc1)N(C)C 825139417 QYVXZRCEKHWZKE-WEHUKYGOSA-N 419.894 4.808 5 20 HJBD CC(=O)[C@@H](OC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 846719425 JNTBCBVXBRKYFD-JOCHJYFZSA-N 404.422 4.694 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1cc(C(=O)N2CCCCC2)ccc1Cl 864003154 GUBGIWAHXLUDAL-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD COC1(c2noc(-c3ccc(N4C[C@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)n2)CCCC1 904835527 XMUQARFKZPKGDS-HUUCEWRRSA-N 400.479 4.543 5 20 HJBD Cc1ccccc1-n1c(SCc2cc(F)ccc2[N+](=O)[O-])nnc1-c1ccncc1 915791817 JWMKRSRRRQHOES-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCC[C@H]3c3ccc(Cl)c(Cl)c3)n2)c1 917479407 YYVZYAJGPNJVEI-SFHVURJKSA-N 418.284 4.955 5 20 HJBD CN(Cc1ccccc1)c1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1 917824431 VSIXKFKWOSKBDW-UHFFFAOYSA-N 405.454 4.639 5 20 HJBD CN(Cc1csc(COc2ccccc2)n1)Cc1cc([N+](=O)[O-])ccc1Cl 918736668 QWOGOBSNQSUQOJ-UHFFFAOYSA-N 403.891 4.916 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1C 920223859 OWLQAJOLEPOVFU-UHFFFAOYSA-N 424.501 4.884 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1OC(F)F 1320490922 MZKHQTSRLRYMJR-QMMMGPOBSA-N 422.281 4.845 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc([C@H]3Cc4ccccc4CN3Cc3ccccc3)o2)cc1 1341613318 XBZVYPUILZPHFU-JOCHJYFZSA-N 412.449 4.944 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)sc2C)cc1 5814789 NJTURRLKHIWQTP-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccccc2Oc2ccccc2)c([N+](=O)[O-])c1 15124494 YPWRPNGFWRFLIK-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD CN(CC(=O)Nc1cccc(Cl)c1Cl)Cc1cc([N+](=O)[O-])ccc1Cl 16312770 WDSNXCCCYKEUCG-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCSc2ccc(F)cc21 22976310 KSYIPITXKLGSAW-LJQANCHMSA-N 418.493 4.647 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(F)cc1)c1cccs1 25812084 VJIWDNITUXHBRL-OAQYLSRUSA-N 413.474 4.893 5 20 HJBD COc1cccc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 27661521 GOGZJFMQHFLUNU-SNVBAGLBSA-N 400.378 4.742 5 20 HJBD CCN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1ccccc1 36752341 FXUNOZGAHPKNOP-UHFFFAOYSA-N 421.419 4.883 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50311904 XCPBLYYRXIXGCT-LLVKDONJSA-N 403.410 4.830 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1c1ccccc1Cl 55778588 YTQNNCFYTJTBNZ-IBGZPJMESA-N 413.905 4.828 5 20 HJBD O=C(Nc1cccc2ccccc12)c1ccc([N+](=O)[O-])cc1I 72068319 YZDBDZAIBLTLBI-UHFFFAOYSA-N 418.190 4.605 5 20 HJBD C[C@@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 109169461 RADKYFIXAVLXPE-GOSISDBHSA-N 419.481 4.793 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4ccc(Br)cc4)C3)sc2c1 111119983 PWHUVYMJVBNYNW-HNNXBMFYSA-N 420.288 4.545 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4ccc(Br)cc4)C3)sc2c1 111119985 PWHUVYMJVBNYNW-OAHLLOKOSA-N 420.288 4.545 5 20 HJBD Cc1cc(NC(=O)c2cc(Br)ccc2Br)ccc1[N+](=O)[O-] 160504078 BEKGNIBSLTYZFY-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1c(C(=O)Nc2ccccc2Oc2ccccc2)nnn1-c1cccc([N+](=O)[O-])c1 253599135 APJLJJRXLFVNMZ-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD Cc1ccccc1-c1nnc(Sc2ccc([N+](=O)[O-])c(C)n2)n1Cc1ccco1 301633060 JVGXEJXUKMMFRJ-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2nnc(-c3ccccc3)s2)c([N+](=O)[O-])c1 301644788 NIEWIWMPSWJTTE-UHFFFAOYSA-N 416.462 4.956 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(-c4ccccc4)n3)o2)cc1 301840939 BFTDTACIUKHLIG-UHFFFAOYSA-N 406.423 4.867 5 20 HJBD Cc1cc(N2[C@H]3CC[C@H]2CC(N(C)C(=O)c2ccccc2)C3)c(Cl)cc1[N+](=O)[O-] 302016986 CLTXHNNJDILKDH-IRXDYDNUSA-N 413.905 4.829 5 20 HJBD C[C@H]1CN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C[C@H](c2ccsc2)O1 302165623 JGXXNLWPHMMPGY-HNAYVOBHSA-N 411.483 4.597 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](c2ccccc2)c2ccc(Cl)cc2)s1 302187823 QDMPETLNWLPOGJ-QGZVFWFLSA-N 422.915 4.915 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)o1 303806701 MRBVIZRACOAOSR-FQEVSTJZSA-N 408.458 4.693 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cc(C)ccc1[N+](=O)[O-] 304053217 PETGSTPXYRJWGO-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD CC(C)(c1nc(CC(=O)Nc2cccc3ccccc23)no1)c1ccccc1[N+](=O)[O-] 426526490 TUHBCQLRHPWYEI-UHFFFAOYSA-N 416.437 4.638 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1 430560678 XDMWDMCHEOSNSN-IAGOWNOFSA-N 403.276 4.512 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(COCc3ccccc3)cc2)ccc1[N+](=O)[O-] 430703019 JDTDJHXSNQVICS-UHFFFAOYSA-N 406.438 4.638 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437393741 RCNKWGGGFSNMNH-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD Cc1sc(NC(=O)[C@H]2SCCc3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 443883030 UDUIYONDJBGHIH-SFHVURJKSA-N 411.508 4.996 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)nc2ccccc21 443971779 WNDRHCVPZNWUNE-IBGZPJMESA-N 407.474 4.950 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 444000136 DAVYDSCLDXLJOU-OAHLLOKOSA-N 420.459 4.937 5 20 HJBD Cc1cccc([C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccccn2)c1 444283451 CYPJCWTYGGLVIG-VWLOTQADSA-N 419.484 4.904 5 20 HJBD CC(C)(C)c1nc(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cs1 444285055 IJPOJYPSVLXBCE-UHFFFAOYSA-N 423.513 4.547 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444292256 UEUQJQLOOWXNDE-QGZVFWFLSA-N 414.505 4.641 5 20 HJBD O=C(Nc1ccc(Br)c(Cl)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 448335882 XEGUAXAUSMGVJZ-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)cc1OC[C@@H]1CCCO1 462478547 CSKRFIMREBKOND-ICSRJNTNSA-N 412.486 4.668 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] 466272303 MLQVYHUBQKCKSP-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD CC[C@H](Cc1ccccc1Br)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 466320985 RJVZJDSGUIRJIU-CQSZACIVSA-N 405.292 4.585 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(Cc1cccnc1)c1cc(C)ccc1Cl 466631395 WDSJPWLLZUIHOF-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(COCc2ccccc2)cc1 467981485 REYAELIYUUOIRM-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 468900085 JMPXWRFYKGPGLH-MRXNPFEDSA-N 424.526 4.683 5 20 HJBD CN(C)C(=O)C[C@@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])c2ccc(Cl)cc21 473516721 DFKXDFCRRBEGHP-CQSZACIVSA-N 410.861 4.510 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1 475308415 PDJPYAFQJNYDCH-UHFFFAOYSA-N 415.877 4.939 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])o1)c1nc(-c2ccc(Br)cc2)cs1 478487718 IPAIETJQDOAYET-SECBINFHSA-N 422.260 4.565 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cc1SC 478571610 VZJUDZGPUQYBOT-MQMHXKEQSA-N 406.891 4.655 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3ccc([N+](=O)[O-])s3)CC2)cc1 479990250 ZATFLHQVASCBIH-UHFFFAOYSA-N 400.500 4.590 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)cc2)C1 481497187 NBCRVWMFHCMFRZ-IBGZPJMESA-N 418.490 4.696 5 20 HJBD CCn1c(Sc2ncnc3sc(C)c(C)c23)nnc1-c1ccc([N+](=O)[O-])cc1 481841873 QLJZGCMJRRZYFN-UHFFFAOYSA-N 412.500 4.646 5 20 HJBD C[C@H](NC(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 482402187 RUSOTRLDLOUHLG-VFZGTOFNSA-N 403.307 4.537 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cc1SC 483023615 XKWGPXHSNUXLJX-IUODEOHRSA-N 416.499 4.847 5 20 HJBD C[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 485567840 LLGBBOBHLNEZJR-INIZCTEOSA-N 408.429 4.733 5 20 HJBD CC[C@@H](NCCC(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485807293 RROUTJHYLYVDPU-OAHLLOKOSA-N 424.270 4.566 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 486300826 VFEKSUVLYLYVEG-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)ccc1Oc1ccncc1 487709673 PGPALOVIMCVDRR-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 490430519 GFBIEXQXCDOKCZ-UHFFFAOYSA-N 418.384 4.720 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccccc2OC(F)(F)F)cc1[N+](=O)[O-] 493309367 FNYXHHPJJSJTKJ-CYBMUJFWSA-N 411.380 4.704 5 20 HJBD Cc1nc(-c2cccnc2)sc1[C@H](C)NCCc1ccc(Cl)cc1[N+](=O)[O-] 495434422 RSJQBCQFPWDFST-LBPRGKRZSA-N 402.907 4.968 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCCc2cc(C(F)(F)F)ccc21 498537365 QUQRHGOAIRXEJN-UHFFFAOYSA-N 405.376 4.781 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 501389639 DIXZTLXFFGOQGW-UHFFFAOYSA-N 403.438 4.680 5 20 HJBD C[C@@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccccc1[N+](=O)[O-] 504416694 SNNVTLPVNPVMFI-QRWLVFNGSA-N 424.888 4.544 5 20 HJBD O=C(N[C@@H]1CCCOc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 504748159 LSIUFWKBZSDUAA-HXUWFJFHSA-N 417.465 4.851 5 20 HJBD CC[C@H](CC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(OC)cc1 507538536 YWCBJYZPUUTRDS-VFNWGFHPSA-N 400.500 4.761 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCC(F)F 509927737 KYYKNUKXMNTFRH-UHFFFAOYSA-N 407.417 4.990 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cccc(COc2cccc(F)c2)c1 513025103 ACUUVOXGUUQIRY-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccc(F)cc2)cc1 513078633 YRIWCPCGYZJTBY-OAQYLSRUSA-N 409.417 4.962 5 20 HJBD C[C@H](C(=O)Nc1cccc(COCC(F)(F)C(F)F)c1)c1cccc([N+](=O)[O-])c1 515277772 PJTOTCYOJZEKNS-LBPRGKRZSA-N 414.355 4.754 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)N[C@H](C)c1ccc(Cl)cc1Cl 516716430 SLQVYDDRXULCJS-ZJUUUORDSA-N 400.287 4.655 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 518962523 ZKTUMZUHVABDLF-AWEZNQCLSA-N 409.408 4.553 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 525137737 VWTCAQXOQNXIAG-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD CC(C)n1cc2cc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])ccc2n1 542191461 CRZPDMQXCPQBEW-UHFFFAOYSA-N 404.879 4.910 5 20 HJBD C[C@@H]1C[C@@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 544369708 OIBVALHWJMZOLP-KGLIPLIRSA-N 423.307 4.912 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccnn1[C@@H](C)CC(C)C 551209310 QGOXKGFPUAELTR-NSHDSACASA-N 409.284 4.722 5 20 HJBD COc1cc(CNc2ncnc3sc([N+](=O)[O-])cc23)ccc1OCc1ccccc1 552911145 MRGDMNJECDBCNT-UHFFFAOYSA-N 422.466 4.799 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(C(F)(F)c3ccc(F)cc3)no2)c1 556284670 IGZWOIMZUCTMMR-UHFFFAOYSA-N 403.238 4.943 5 20 HJBD O=c1c(Cl)cc([N+](=O)[O-])cn1C[C@@H]1CCCO[C@H]1c1ccc(C(F)(F)F)cc1 565870865 IQIFMGZIILJLQS-LRDDRELGSA-N 416.783 4.597 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CC[C@H](C)c2cccc(O)c21 569616901 JUUAELKMAJJEAY-JTQLQIEISA-N 405.248 4.525 5 20 HJBD COCCC[C@H](CNC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)cc1 571114352 BSAWXOFDJLBOJZ-MRXNPFEDSA-N 405.882 4.889 5 20 HJBD COc1ccc(Cc2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cc1[N+](=O)[O-] 572165872 RHCJPZWZKOFFGB-UHFFFAOYSA-N 419.359 4.676 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@]3(CCCO3)[C@H]2C2CC2)cc1[N+](=O)[O-] 582747222 IWUCIFULIZVOKL-TZIWHRDSSA-N 404.532 4.517 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590190508 JYWDJLFHYPHHIA-UHFFFAOYSA-N 411.767 4.721 5 20 HJBD CCNc1ccc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 609060198 XMQIPTLSUFQUFG-HXUWFJFHSA-N 419.485 4.710 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)ccc2o1 609415598 GVYGLQSXCGKANH-UHFFFAOYSA-N 419.441 4.763 5 20 HJBD Cc1cc(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cc([N+](=O)[O-])c1 609430187 XNIXGKSLMHVNJF-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cc(F)cc([N+](=O)[O-])c1 609727064 SXDJNBLYVYQWPK-MUUNZHRXSA-N 404.463 4.568 5 20 HJBD Cn1cc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 611259298 ZYZGISMBNDYHSP-LJQANCHMSA-N 415.497 4.856 5 20 HJBD O=C(CC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1)NC1CCCC1 611264966 BDWDMZCREFYVLS-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 616171652 YROTXPHJMLQTQC-UHFFFAOYSA-N 404.329 4.804 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(SC(F)F)c1 727344802 GEOKJNCVBKXYBB-SECBINFHSA-N 402.806 4.969 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 727711212 FOPBEGMKWKFRPQ-FQEVSTJZSA-N 422.824 4.955 5 20 HJBD CCCOc1cc(NC(=O)c2cc3nc(C)ccc3o2)c([N+](=O)[O-])cc1OCCC 729172822 ZZFDBJNAHMXBIE-UHFFFAOYSA-N 413.430 4.874 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(c2ccccc2Cl)CCOCC1 730471485 TWAQBYCJOMDELF-UHFFFAOYSA-N 423.296 4.608 5 20 HJBD O=C(OCc1csc(Cc2ccc(Cl)cc2)n1)c1cc(F)cc([N+](=O)[O-])c1 731529633 ZYECCJIOHNGBKJ-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)CCc3ccccc3F)cc2[N+](=O)[O-])C1 731592907 WDTMFEPTDZHFMO-CALCHBBNSA-N 413.493 4.531 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 739484375 DRWCPEBSRNNQLG-GFCCVEGCSA-N 403.385 4.922 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1cc(Cl)ccc1[N+](=O)[O-] 741201293 TUYZQZCOYCOWSO-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 742767166 FUPBKIKKYNTLMG-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD COc1ccc(CNC(=S)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 743061549 KXFAJBDSVPMVJC-UHFFFAOYSA-N 407.495 4.685 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3ccccc3Br)o2)ccc1[N+](=O)[O-] 744484691 JYIXHPCDSWHANX-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1c(C(=O)N2CC[C@H](C)Sc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748612790 MXKJDSFFZGIEPL-NSHDSACASA-N 401.444 4.651 5 20 HJBD Cc1c(C(=O)Nc2nc3cc(Cl)ccc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748623203 BXLFYBRDGXZPSF-UHFFFAOYSA-N 406.807 4.635 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1Cl 749910980 KDHRUXOHAPNFDC-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cccc(Cl)c1[N+](=O)[O-] 750184522 APNFRFBAPYYNLA-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 750540278 HBRKZDCNMOFXNM-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 753939781 QJBVVIIJMDNAKJ-YRISNDGFSA-N 409.486 4.613 5 20 HJBD C[C@@H](C(=O)OCc1cccc(OCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 755053665 JWGHBLDVIRSFNE-LLVKDONJSA-N 401.312 4.522 5 20 HJBD COC(=O)[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1Cl 756880029 DZHMNUPURLIDJX-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cccc(NC(=O)c2ccccc2)c1 757204867 ZMFHKCMHYCEAGK-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(NCC[C@H](O)c1ccc(Cl)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2o1 760718491 WDIHQYOVEPEMPO-HNNXBMFYSA-N 409.225 4.501 5 20 HJBD CCC1(CC)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2O1 760871931 ZHSMIUSBLOAIMP-UHFFFAOYSA-N 421.247 4.897 5 20 HJBD COc1cc(Cc2noc(-c3ccnc(SC(C)(C)C)c3)n2)ccc1[N+](=O)[O-] 762309681 SERXKVVADJEYIN-UHFFFAOYSA-N 400.460 4.530 5 20 HJBD C[C@H](C(=O)Nc1nc2ccc(Br)cc2s1)c1cccc([N+](=O)[O-])c1 762842003 IMNGECAEWDUXJL-VIFPVBQESA-N 406.261 4.709 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1ccc(Cl)cc1Cl 763719214 XSDOBZDQDUUYFA-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1cc(=NC(=O)c2ccc(C3SCCS3)cc2)c2cc([N+](=O)[O-])ccc2[nH]1 765136242 VGQXZPALKKYWNU-UHFFFAOYSA-N 411.508 4.604 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2C[C@H](O)c2cccs2)ccc1Br 770289613 FZGBDSVBASWDJB-BBRMVZONSA-N 411.321 4.507 5 20 HJBD Cc1ccc([C@H](C)NC/C=C/CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775549992 SPKCUGCSWDFJKY-ZBKUBXTQSA-N 412.490 4.677 5 20 HJBD CCOc1ccc(-c2nc(C(=O)OCc3ccc([N+](=O)[O-])c(F)c3)cs2)cc1 782747539 HPBDYRMSMXAHLJ-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2C)c1 782837000 ULALACNRKCRALK-QHCPKHFHSA-N 419.481 4.979 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(Br)c(C)c1 783553151 QKHGTDRLTIZHJD-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD COc1ccc([C@@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2OC)cc1 788672888 STEBWYCNAREHJN-IQMFZBJNSA-N 407.470 4.634 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(OCc2cscn2)c1 790551227 KNRXTJRQUJJAAP-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(F)cc(F)c1Br 791008750 OSKBUJXBAQWBBJ-UHFFFAOYSA-N 423.572 4.609 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@@H](c3ccc(Br)cc3)C2)c([N+](=O)[O-])c1 795078648 QNMJYBUEKMWHPE-LJQANCHMSA-N 419.275 4.528 5 20 HJBD O=C(COc1c(Cl)cncc1[N+](=O)[O-])Nc1cc(Cl)c(Cl)cc1Cl 795246570 BXUYTBOCIIWNNC-UHFFFAOYSA-N 411.028 4.621 5 20 HJBD COc1c(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)cccc1[N+](=O)[O-] 795752263 LLGSLKVUBGXTKK-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD Cn1ncc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)c1C1CC1 809362773 HFOUWIVREXICKR-UHFFFAOYSA-N 403.398 4.715 5 20 HJBD CC(=O)c1ccc(OS(=O)(=O)c2cc([N+](=O)[O-])c(Cl)cc2Cl)c(Cl)c1 809831809 IWMWJUTWCYWUIK-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCc2sc(Br)cc2C1 809919264 ZGTZMPLLLLTMGB-UHFFFAOYSA-N 416.684 4.662 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)c1ccc(N2CCCNC2=O)cc1 812488908 IZMOAIMAIKHWNU-HNNXBMFYSA-N 412.490 4.865 5 20 HJBD C[C@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(N2CCCNC2=O)cc1 812741239 MFSGNJDDLIBKHN-BTYIYWSLSA-N 408.502 4.588 5 20 HJBD COC(=O)c1cc(Cl)cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813011113 PKRZNWKLMDUDOS-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD Cc1nc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Br 813034462 MZDHGQSWRBULSD-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1ccc(/C=C(/Cl)c2nc(=O)c3ccc(C(F)(F)F)cc3[nH]2)cc1[N+](=O)[O-] 916127649 CUXDWLJGFICVDA-AWNIVKPZSA-N 409.751 4.895 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 917779204 LNCYPQDDNWBDKF-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CCCCS[C@H](C)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 918144732 GMPFIJKQVGAUSN-CQSZACIVSA-N 402.472 4.674 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cnc3ccccc3c2C(F)(F)F)o1 1117191331 IXLXAUARQQSSOL-UHFFFAOYSA-N 416.315 4.791 5 20 HJBD Cc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1N1CCN(C)CC1 1248675034 MGLZZWQJTAOUMU-UHFFFAOYSA-N 410.521 4.701 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@H](c2ccc(Cl)s2)C1 1320637542 YZCDRXYJTBKAJH-SFHVURJKSA-N 424.909 4.610 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)cc1 1322283557 NJTLPBFVXIZKIJ-GFCCVEGCSA-N 401.444 4.905 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccc(Br)c([N+](=O)[O-])c1 14127747 QKSBUPJXQIQYCH-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1F 18591151 IDZSFUDDDVZKRC-CQSZACIVSA-N 421.497 4.654 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@@H]1c1ccc(-c2ccccc2[N+](=O)[O-])s1 25310915 RDHPZCPCBJASIC-FQEVSTJZSA-N 409.467 4.536 5 20 HJBD C[C@@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 52960731 RBLHLESKWPMGBY-DLBZAZTESA-N 404.470 4.696 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 58299379 YOVUJNODKXKWSG-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(NC(=O)C2CC2)cc1 105818600 QWQAJEFPSAVVLE-LLVKDONJSA-N 404.264 4.879 5 20 HJBD COc1cc(CN[C@H](C)Cc2ccc(O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 237212795 SXHIWIZTBGCMJH-QGZVFWFLSA-N 422.481 4.609 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCN(Cc2cccc3ccccc23)CC1 302990974 XKCNBCDTPCFAGW-UHFFFAOYSA-N 424.888 4.751 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)c3ccc([N+](=O)[O-])cc3F)C2)nc2ccccc21 303535380 IKARNWWMJLPBAE-HNNXBMFYSA-N 410.449 4.684 5 20 HJBD C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cccc(Cl)c1Cl 303685213 KEVJTFIAILVEEE-SECBINFHSA-N 406.225 4.646 5 20 HJBD COc1ccc(NC(=O)C(C)(C)c2csc(-c3cccs3)n2)cc1[N+](=O)[O-] 409961843 PQYOAXWEVHIDHS-UHFFFAOYSA-N 403.485 4.705 5 20 HJBD CC(C)(C(=O)Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1 426280034 XPDOSQDNHNCDJX-UHFFFAOYSA-N 416.890 4.607 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2c(F)cc(Br)cc2F)cc1[N+](=O)[O-] 428857651 TVOOWBAFBCLOBN-VIFPVBQESA-N 414.206 4.827 5 20 HJBD COc1cc(CN2CCC[C@@H](CSC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 430195692 JOKZCICZLANUQY-LJQANCHMSA-N 416.543 4.757 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431177790 ZJXOGDUUYKTRMS-UHFFFAOYSA-N 423.498 4.901 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2ccc(Br)cc2)o1 434947762 QMVUPGKWBFQZNM-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 437117097 PZYCNZFQLBJXKT-OLZOCXBDSA-N 422.494 4.838 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437279693 DQQSMUNQWKWBPV-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD C[C@H](Nc1ccc(Br)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439647078 VCQSGLSHPWIBDY-QMMMGPOBSA-N 416.634 4.589 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 446434539 KVKDHIYOUZQXKV-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C1CC[C@H](C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)n2cccc21 447556218 GVZTVQLNOWRRLE-OAHLLOKOSA-N 413.480 4.765 5 20 HJBD COc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1OC(C)C 464240379 CVTBGLKTMCKXRH-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(C)cc(C3CC3)n2)cc1 464597134 UZCNOMFPNSIFBB-UHFFFAOYSA-N 420.425 4.624 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1cccc(COC(C)(C)C)c1C 474944187 HXCWJCCCFKZVOO-HNNXBMFYSA-N 415.490 4.666 5 20 HJBD Cc1cc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)ccc1C1CC1 478057570 XTQILAGIYLLQRR-UHFFFAOYSA-N 404.470 4.895 5 20 HJBD CC(C)(C)N1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 483119904 MRJMOFGTAQKXSV-UHFFFAOYSA-N 413.543 4.739 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC(C(F)F)CC3)cc2[N+](=O)[O-])n1 484722794 AVTODCNQQBBJTA-UHFFFAOYSA-N 413.471 4.628 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1csc(-c2ccc(F)cc2)n1 485378885 DKHGVQLGZPISBI-CYBMUJFWSA-N 420.487 4.842 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nccn2CCCc2ccccc2)cc1OC(F)F 487087249 OCALRKMMIFOYFP-UHFFFAOYSA-N 402.401 4.638 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCOC2)c1[N+](=O)[O-] 494521483 DEBHGMNTJDCXJT-GFCCVEGCSA-N 422.890 4.638 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NS(=O)(=O)c1cc(Cl)ccc1C(F)(F)F 508221378 HGKSSDFLNKRLLZ-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](n2ncc3ccccc32)C1 511742432 VLVDXNGJKTYMQV-QGZVFWFLSA-N 410.499 4.532 5 20 HJBD Cc1noc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n1 512593710 XEADAPFCARBALQ-JTQLQIEISA-N 418.862 4.582 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 512966568 IVCIEEAPLVFRIP-GBEXYDAJSA-N 415.287 4.908 5 20 HJBD CCN(Cc1ccc(OC)cc1)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 515831491 VTHQDVFJFVDBOL-UHFFFAOYSA-N 411.502 4.502 5 20 HJBD COCc1ncc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)s1 516344834 UPXZKCFSMHJWAB-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccccc1 518135656 QHTNSGOJKCAYEY-NRFANRHFSA-N 401.422 4.604 5 20 HJBD CCc1ccc(OCC(=O)N[C@H](c2ccc(F)cc2)c2cccs2)c([N+](=O)[O-])c1 524535749 QHMCBICPHISMPO-OAQYLSRUSA-N 414.458 4.642 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(OCc4cccnc4)cc3)CC2)cc1 524766595 PPPGCCAFNUAVOX-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc(Cl)c3)cs2)cc([N+](=O)[O-])c1OC 525045844 OZEMPARZVNOEQZ-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2ccn(CC(F)F)n2)cc1 532865870 IKNHWSWIBCEDQZ-UHFFFAOYSA-N 424.411 4.521 5 20 HJBD C[C@H]1CCc2ccccc2N1C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535509389 ZYBDJKCUASHRJR-HNNXBMFYSA-N 423.494 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(C)n1[C@H]1CCC[C@H](C)C1 536090317 KHHKBFHKSXXGIH-BBRMVZONSA-N 417.535 4.675 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1C(=O)NC(C)C 539292190 ODQNVBWDWCIQDJ-UHFFFAOYSA-N 415.515 4.794 5 20 HJBD C[C@@H](NCc1ccnc(-c2ccc(Br)cc2)n1)c1cccc([N+](=O)[O-])c1 540377007 SBMABGHLYSYSIL-CYBMUJFWSA-N 413.275 4.665 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1Cl 540893169 ZXJSZLYGCPOENB-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(C)c2)Cc2ccccn2)cc1[N+](=O)[O-] 542029635 QBDDQTANEQSKCV-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD COc1cc(-c2noc(CNc3ccccc3[N+](=O)[O-])n2)cc(Cl)c1OC(C)C 544898889 PEMUTGZMOZJKEA-UHFFFAOYSA-N 418.837 4.706 5 20 HJBD Cc1ccc(-c2noc([C@H]3CC(=O)N(c4cccc5ccccc45)C3)n2)cc1[N+](=O)[O-] 546988172 YQCXPSVVTPOQEF-KRWDZBQOSA-N 414.421 4.627 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccnc(OC3CCC3)c2)no1 547174583 VHBAUZAWZBLGQU-LLVKDONJSA-N 416.821 4.765 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CCO1 547788348 WSHQVPBIHOFRLP-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD COC(=O)c1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)sc1C(C)C 554809170 FTOYLTMWWKVGFQ-UHFFFAOYSA-N 423.516 4.714 5 20 HJBD Cc1ocnc1C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 561449907 NYVIRVWWXJWEPE-UHFFFAOYSA-N 406.320 4.906 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](c1ccc(Cl)cc1)C(C)C 561576430 ZFCPFKFYEMVUQF-HXUWFJFHSA-N 405.882 4.572 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 569267522 CFYAKWJUFUOFKW-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(Cc4ccncc4)no3)cc2[N+](=O)[O-])n1 572174115 AQGANBVQQDRISW-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD CC(C)n1cc(N[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cn1 578290105 SFKABNRZUQKIQC-IBGZPJMESA-N 413.865 4.818 5 20 HJBD O=C(NCCc1ccc2c[nH]nc2c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 586831296 FCSPDKXMRCHWGS-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590196161 AWTFZSHGGYNEIL-KXBFYZLASA-N 422.403 4.854 5 20 HJBD COCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)oc2ccccc12 601908539 FDVABBXRLUCKBJ-UHFFFAOYSA-N 409.423 4.863 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 603531608 ZUZFHVBGCFLVDM-CQSZACIVSA-N 410.451 4.789 5 20 HJBD Cc1c(NC(=O)NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cccc1[N+](=O)[O-] 603646553 ZRDPSMYHRULDKB-NXEZZACHSA-N 413.318 4.934 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CCN(Cc4ccccc4)CC3)o2)cc1 608836763 MTHCLZACXFMHOA-UHFFFAOYSA-N 405.498 4.857 5 20 HJBD CSc1ccc(C(=O)Nc2ccnn2Cc2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 609428369 VCMNSJHIMXMJIP-UHFFFAOYSA-N 420.853 4.606 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)c1[N+](=O)[O-] 609697945 KKMZQYBLVYMENX-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD Cc1c(C(=O)N2CC[C@H](Nc3ccc([N+](=O)[O-])cn3)C2)oc2c1ccc1ccccc12 609882375 ORPWYGIHXBBQKK-INIZCTEOSA-N 416.437 4.524 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@@H]2[C@H]1[C@H]2C(F)(F)F 610860856 MFMMZUJBAJMVLM-ULQDDVLXSA-N 408.401 4.769 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 613225618 BHRMFCTZBJGPGH-ROUUACIJSA-N 407.470 4.711 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](c1ccccc1)N1CCC(F)(F)CC1 619516147 PGMAKPJWASIAFH-KRWDZBQOSA-N 409.820 4.659 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1 727711674 MHLHYMCUOSWRNX-UHFFFAOYSA-N 415.833 4.613 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 730243198 HLTCAARYLPHJHV-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2sc(Cc3ccccc3)nc2C)ccc1[N+](=O)[O-] 739591785 JPUUWIFRNPLGDV-CQSZACIVSA-N 412.467 4.877 5 20 HJBD CCOc1c(OC)cc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3o2)cc1OC 751518522 VKBSVOGFGNWGAF-NTUHNPAUSA-N 404.806 4.889 5 20 HJBD Cc1c(C(=O)Nc2ccc(SCc3ccccc3)cn2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 754184270 LVTHUUYMGWLBGH-UHFFFAOYSA-N 424.438 4.751 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1Br 754977335 NCLSMHRSZKIADM-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755414767 BGTSQBJVRUEFME-KSSFIOAISA-N 415.877 4.645 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN(Cc1ccc(F)cc1)C1CCOCC1 756138071 NODIDKDVLWSPGF-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CCO2)cc1 757535535 ZSZMETOEVYIVQD-QZTJIDSGSA-N 409.360 4.999 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ncc(F)cc1F 757856438 RXPKWRZZBZWAPM-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)OCc1cc([N+](=O)[O-])ccc1Cl 761961176 XLPSRZXBZSPUOU-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1C1CC1 763185069 BLIOWEYYCBGWEN-HNNXBMFYSA-N 411.506 4.882 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766222230 GJHQKBZICPRIQZ-HUUCEWRRSA-N 421.453 4.841 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 766345279 INQNRGFTNCGBFS-RDPSFJRHSA-N 409.530 4.630 5 20 HJBD Cc1c(CC(=O)Oc2ccc([C@@H](C)NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 767081181 WQPFDQWFYHOMGW-OAHLLOKOSA-N 414.458 4.637 5 20 HJBD C[C@@H]1CN(c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)C[C@@H](C)O1 770545293 OQSJLPINULBKLN-HZPDHXFCSA-N 424.526 4.944 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C(C)C 771517288 GOWKWCWZLJEIKA-UHFFFAOYSA-N 424.501 4.682 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496797 WCEZFYCFAFWOPR-UHFFFAOYSA-N 424.394 4.649 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1CCC(F)(F)F 781722692 JPSOWMOXCJUYQT-UHFFFAOYSA-N 411.336 4.569 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@@H](C(=O)Nc1ccccc1)c1ccccc1 791357533 CVZRCYRKNHJSEH-OAQYLSRUSA-N 422.462 4.610 5 20 HJBD CCCOc1c(Cl)cc(/C=C(/C(=O)OCC)c2ccc([N+](=O)[O-])cn2)cc1OC 794947916 BAIYOURNJIZAFK-OQLLNIDSSA-N 420.849 4.544 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ncc(-c3ccccc3)cn2)CC1 797367218 DKTRBBXREXOBQT-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1 798541017 AVUIXHBDUKIDNM-UHFFFAOYSA-N 419.409 4.732 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3ccc(N)cc3[N+](=O)[O-])C2)n1 804670144 AFDBTJRMTUNHSW-CQSZACIVSA-N 410.499 4.693 5 20 HJBD O=C(Nc1ccc(NC[C@H]2CCCO2)c(F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809917736 POCWCHRQCKWECF-GFCCVEGCSA-N 408.817 4.622 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813090883 RYUGISZKABSLGJ-PXAZEXFGSA-N 409.269 4.799 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@H](Sc2cccc(Cl)c2)C1 813638847 UTLPUJZQRPDTHA-INIZCTEOSA-N 419.890 4.576 5 20 HJBD Cc1cccc(N2C(=O)c3ccccc3N[C@@H]2c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 877844027 RNNBMKPWVKXHRS-FQEVSTJZSA-N 404.382 4.583 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCc3cccnc3)cc2)no1 904467387 YHYQUUMQHCPHSF-UHFFFAOYSA-N 416.437 4.945 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cs1 1116114403 OLSSCQORJGPBJS-UHFFFAOYSA-N 407.495 4.894 5 20 HJBD O=C([C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116143891 PLBICNYQUKLCRM-ZWKOTPCHSA-N 405.479 4.797 5 20 HJBD Cc1nc(N2CCCCC2)sc1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 1116832470 UHCIUVDJAIZZPL-UHFFFAOYSA-N 414.453 4.659 5 20 HJBD CC(C)n1ncc2c1[C@@H](Nc1ccc([N+](=O)[O-])c(OCc3ccccc3)n1)CCC2 1337988207 NDCYVNOKJQIYNU-SFHVURJKSA-N 407.474 4.836 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 1338408968 YOZQLLAJFJJKGL-HUUCEWRRSA-N 408.298 4.540 5 20 HJBD C[C@@H](N[C@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1342349397 UCRSGNCTJMYLGO-GHMZBOCLSA-N 410.927 4.680 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c([N+](=O)[O-])c1 1346261566 ZSRZQEZDTPRLQL-AWEZNQCLSA-N 419.865 4.580 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(c2c[nH]c3ccccc23)CC1 11260567 BJWQMZRMJRXJDK-UHFFFAOYSA-N 418.497 4.696 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc(F)c(F)c2F)c(-c2cccs2)c1 15359187 NXRNXWDFEMDDQY-UHFFFAOYSA-N 415.370 4.508 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)CC3 50561705 UQOXWHPBCZPRPT-UHFFFAOYSA-N 418.478 4.707 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc(Cl)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 56196528 WXNPCVZHVFKOKF-WDEREUQCSA-N 415.799 4.945 5 20 HJBD Cc1cc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)ccc1Oc1cccnc1 65744496 LLEBNBHEGONHHM-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD CCOc1ccc(C(=O)N[C@H](c2ccc(F)cc2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 72028717 BSAXGBQBDDCKSD-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 78772789 FKPALBKTVQEUPO-MRXNPFEDSA-N 415.515 4.847 5 20 HJBD COc1cc(CN[C@H](C)c2c(C)noc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 237217033 OODQHIBGXHLNEB-CQSZACIVSA-N 411.458 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3CN3CCC(O)CC3)s2)cc1 238161100 PQZVDGIHFGUHDK-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2nccc(C)c2[N+](=O)[O-])cc1 301413115 CNNULXDUSNTPMW-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD COc1ccc(C(=O)NC[C@@H]2CC3c4ccccc4C2c2ccccc23)cc1[N+](=O)[O-] 302892801 INUUVHAGUHJNBK-FERLNZQHSA-N 414.461 4.631 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1ccc(Cl)cc1[N+](=O)[O-] 303633896 GFAOTXCYRLWFPZ-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD O=[N+]([O-])c1ccc2nc3c(c(-c4ccccc4)c2c1)CCc1c-3cnn1-c1ncccn1 427450364 SREXYUBPQZSEGV-UHFFFAOYSA-N 420.432 4.551 5 20 HJBD CC[C@]1(CO)CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 428524876 XFKBKWTWPDATPJ-NRFANRHFSA-N 418.877 4.665 5 20 HJBD Cc1cc(OCC(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)ccc1[N+](=O)[O-] 430826887 XVXNLWQXYVPQHE-UHFFFAOYSA-N 417.465 4.535 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ncc(C)s2)CC1 432442879 RLQSBAXYGLSGGH-UHFFFAOYSA-N 405.545 4.882 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)CC2)cc1 433122967 XLCZKMAJJFTHNU-JOCHJYFZSA-N 407.514 4.520 5 20 HJBD Cc1ccc(CSCCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 435816217 INCXMHCQFCJOAW-UHFFFAOYSA-N 402.519 4.653 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437976473 PIRYFJCEURBIOG-UHFFFAOYSA-N 402.454 4.531 5 20 HJBD Cc1c(CC(=O)Nc2cc(Br)ccc2NC(C)C)cccc1[N+](=O)[O-] 438917272 DVYFVNGXTAHBER-UHFFFAOYSA-N 406.280 4.667 5 20 HJBD COc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 439020878 ILIOFKDVUSTHBU-UHFFFAOYSA-N 424.478 4.913 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@H]3c3ccccc3C(F)(F)F)n2)c1 445579947 RLKRHODMDZRGPA-LSDHHAIUSA-N 419.359 4.867 5 20 HJBD NC(=O)c1ccc(-c2nc(COc3ccc([N+](=O)[O-])c4ccccc34)cs2)cc1 464798577 JVMIRSPFWRRPJR-UHFFFAOYSA-N 405.435 4.549 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 466866303 KPSOMCSOEMSVFJ-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1C(F)(F)F 472377645 XGDMNUNJTVNJNZ-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2N(C)C2CCCC2)cc1SC 475184695 GETVLKAQSOPYBJ-UHFFFAOYSA-N 415.515 4.956 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1c(F)cccc1Cl 477242146 XSSRRKUJISQGQK-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(Cl)cc3Br)n2)c1 477553475 SABLBNKIQSTXII-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)cccc1[N+](=O)[O-] 480057913 IDWQRUZKQQHHBG-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CCc1nc(CN2CCC(CN[C@H](CC)c3cccc([N+](=O)[O-])c3)CC2)cs1 482874395 IMROEHGRAUXJLS-HXUWFJFHSA-N 402.564 4.567 5 20 HJBD COCc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)sc2cccc(F)c12 486015541 IMUXVXQKMNBALL-UHFFFAOYSA-N 414.458 4.900 5 20 HJBD COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1Br 486051744 HNVHGKBRHDIYPE-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)c1C 487150416 BRTNUKUQMWKCFH-UHFFFAOYSA-N 400.431 4.589 5 20 HJBD CCc1ccc(C(=O)[C@@H](C)Sc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)cc1 489449189 SXGRENSABKJGGA-CQSZACIVSA-N 410.499 4.799 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2cccc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 493952376 KGSNLZIFDPNEGK-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497616425 HGJFPIUPIYWPJV-LLVKDONJSA-N 413.446 4.918 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1 498186782 FKEFPTQKBDKVDG-UHFFFAOYSA-N 415.453 4.764 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 501400692 PDEURQVSWSDUQU-AWEZNQCLSA-N 406.282 4.690 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccn(-c3ccncc3)n2)cc1[N+](=O)[O-] 505120217 PQHYOEXACILDJI-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 507428416 YJMJCPGSJYHDTO-MSOLQXFVSA-N 418.497 4.832 5 20 HJBD Cc1ccc(C(=O)COc2c([N+](=O)[O-])cnc3ccc(Br)cc23)s1 508163381 ONTVAPOMMOEHNG-UHFFFAOYSA-N 407.245 4.537 5 20 HJBD CC(C)[C@@H](C)N(CC(F)(F)F)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510831055 NDOKMSUWMXVUJX-LLVKDONJSA-N 401.410 4.767 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cs1 515913451 GQKSINTYVWMRJY-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@H](C)c1cccc(C(F)(F)F)c1 519887352 JIJMAYXSJNBNJE-GFCCVEGCSA-N 414.355 4.603 5 20 HJBD Cc1nc(C(C)(C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)no1 520213689 NAQOYCJMBGNSIN-UHFFFAOYSA-N 402.838 4.757 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 520762901 BQTONGQLZQCCJD-HXUWFJFHSA-N 414.364 4.640 5 20 HJBD CCc1cccc2c(CCNc3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)c[nH]c12 521119075 WBFWLNRUIYZGBR-UHFFFAOYSA-N 406.486 4.529 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 521540271 ZTYQXYMMXWBYLL-UHFFFAOYSA-N 401.216 4.523 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)c1 524552104 HQVYXUDZQBYHCE-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC1(C)[C@H]2OCC[C@@H]2[C@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 525466789 LAGVVSDOGREYID-LZQZEXGQSA-N 416.861 4.584 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3ccn(C)c3c2)cc1[N+](=O)[O-])c1ccccn1 527199285 XDXNNJBSIJKCAU-HNNXBMFYSA-N 415.453 4.907 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](c3ccccc3)c3ccccn3)o2)c1 533012693 CDEBDULZJKFIJQ-HSZRJFAPSA-N 400.438 4.567 5 20 HJBD Cc1ccc(-c2cnc(C[S@@](=O)Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 534061316 ILDOJURBOPYWQE-PMERELPUSA-N 423.450 4.662 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1CO 534957900 NXCMSBIFMBVQCW-UHFFFAOYSA-N 424.478 4.889 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@@H](CO)c3ccc(C(F)(F)F)cc3)o2)c1 535368578 UPWTXAMOPMFGQU-SFHVURJKSA-N 406.360 4.697 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2cc(C)ccc2OC)cs1 538834243 CZNHBRWKAQWMDE-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCc1ccc(C(=O)Nc2cnn(-c3ccccc3)c2C(F)(F)F)cc1[N+](=O)[O-] 542478008 LXKUVAKOXDFJCM-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Cl)cc1OC(F)F 545108298 ZNHBMDLFINTIRH-VIFPVBQESA-N 402.806 4.969 5 20 HJBD O=[N+]([O-])c1cc2c(NCCCCc3nc(-c4cccnc4)cs3)ncnc2s1 553368866 REXFQESTPFDSKE-UHFFFAOYSA-N 412.500 4.553 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 554174937 GPMJOEGALSQYQZ-UHFFFAOYSA-N 423.498 4.551 5 20 HJBD C[C@@H](C(=O)NCC(C)(C)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 569066945 OCRHKDZGFNZLEI-CYBMUJFWSA-N 405.292 4.555 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 608797610 IHGKTCDKUREKRT-INIZCTEOSA-N 419.437 4.511 5 20 HJBD COc1cc(C(=O)NC2(c3cccc(C)c3)CCCC2)c([N+](=O)[O-])cc1OC(F)F 608948332 FHXCXSLWNFVJDP-UHFFFAOYSA-N 420.412 4.713 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)cc1[N+](=O)[O-] 609012480 YUVMGSNABBXIKI-FQEVSTJZSA-N 416.886 4.767 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)COc1ccccc1[N+](=O)[O-] 609064894 RGDWJNZBAPOJRY-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccccc2)Cc2ccccc2C)cc1[N+](=O)[O-] 609211493 KIZPDNLLMIAKOT-UHFFFAOYSA-N 419.481 4.824 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 609973477 VTNBOTPLKMYYOD-UHFFFAOYSA-N 405.888 4.945 5 20 HJBD CC(C)N(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CCC1 610117447 UFQGRMJNEYDBLL-UHFFFAOYSA-N 418.497 4.780 5 20 HJBD Cc1ccc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1NC(=O)Nc1ccccc1 610157921 HABIAYRQFCFRRL-UHFFFAOYSA-N 416.437 4.750 5 20 HJBD C[C@H](O)CN(Cc1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610167263 XYJXJKOGBUBORM-KRWDZBQOSA-N 421.453 4.802 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)CCNc2ccccc2[N+](=O)[O-])cc1 610188984 FPGQYJKKBHYNEY-KRWDZBQOSA-N 419.481 4.950 5 20 HJBD C[C@@]1(c2ccccc2)C[C@H]1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610191415 YTPMVTWBSCDQBG-AEFFLSMTSA-N 410.417 4.552 5 20 HJBD Cc1noc(-c2ccccc2C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)n1 610222287 NQBUYIJWWGGVDZ-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@@H]2[C@@H]1[C@@H]2C(F)(F)F 610860855 MFMMZUJBAJMVLM-GVDBMIGSSA-N 408.401 4.769 5 20 HJBD O=C(NCCCCc1ccccc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 681954732 VIRVHZGOVOUHJP-UHFFFAOYSA-N 406.432 4.712 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(-c3ccc([N+](=O)[O-])cc3Cl)o1)N2 726605216 KYUWETKEZZIRME-IBGZPJMESA-N 413.817 4.713 5 20 HJBD CC(=O)c1cc(Br)ccc1OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 727966938 PRHKMMHMDAZETC-NSHDSACASA-N 424.272 4.646 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1csc(-c2cccs2)n1 728454838 ZBGPHYIKIPGXJB-KPKJPENVSA-N 417.855 4.778 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 730840523 OTRYQTVJTPYXHA-CYBMUJFWSA-N 405.907 4.968 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 735536440 PERAXVJVCBEQPZ-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD Cc1ccc([C@H](NC(=O)CNc2ccc(Cl)c([N+](=O)[O-])c2)c2cccs2)cc1 748537934 KBBSOXFXPZAOMU-FQEVSTJZSA-N 415.902 4.936 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 750601870 LPZFJBSFZZNIDP-SFHVURJKSA-N 419.275 4.733 5 20 HJBD CCc1ccc(-c2nc(CC(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)cs2)cc1 751633992 YWYCLBNQICCQDD-UHFFFAOYSA-N 423.450 4.708 5 20 HJBD CS[C@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)C(C)(C)C 752874658 FVQUNLIZWQEOJX-LLVKDONJSA-N 409.733 4.518 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 753019292 KHUDGENETIJYQG-GFCCVEGCSA-N 400.193 4.686 5 20 HJBD COC(=O)c1cc(NC(=O)c2c(Cl)ccc(Cl)c2Cl)c(C)c([N+](=O)[O-])c1 754615057 MXYHZRGCGVSGJL-UHFFFAOYSA-N 417.632 4.902 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccccc1 754633445 XLNGVGBMZBCELK-OAHLLOKOSA-N 409.255 4.666 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754975460 XCFBJWZWSLSKGO-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD Cc1c(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cnc(Cl)c1Br 759282394 KFIZNQFENXGCGN-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nnc(-c4cccc(F)c4)o3)co2)cc1 760247838 UFQUHVXRFDKYAV-UHFFFAOYSA-N 412.402 4.872 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 762073368 ZUAHFPNNHOTZFO-JTQLQIEISA-N 404.766 4.655 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762996852 FLSQAMCHSVOFAJ-GFCCVEGCSA-N 422.840 4.544 5 20 HJBD CC(C)Oc1cc(CN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C2CC2)ccn1 769998507 PQLFCCARVLQASV-UHFFFAOYSA-N 404.854 4.627 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(SC(F)F)cc1 781545992 ACOMDHJUJGZCQD-FMIVXFBMSA-N 401.394 4.564 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(Br)cnc2Cl)cc1[N+](=O)[O-] 787952116 ZQVZBOBNIMZRFJ-UHFFFAOYSA-N 412.671 4.956 5 20 HJBD COc1ccccc1-c1nnc(SCc2ccc(Br)c([N+](=O)[O-])c2)o1 788099024 IACVIBKHEXZOGG-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2cccc(C3SCCS3)c2)cc1[N+](=O)[O-] 791199298 KOHYDYGCNWSZIN-UHFFFAOYSA-N 407.492 4.531 5 20 HJBD CC(C)(C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1ccccc1[N+](=O)[O-] 796444797 YMLHHGXJYKFIPL-UHFFFAOYSA-N 418.449 4.721 5 20 HJBD O=C(CCN1CCC[C@H]1c1ccccc1C(F)(F)F)Nc1ccccc1[N+](=O)[O-] 800337995 RJDLUXXIRSNPEC-KRWDZBQOSA-N 407.392 4.779 5 20 HJBD O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccc(Cl)cc1C(F)(F)F 808056368 PUHNTYBHVYOYOR-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD O=C(N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812925958 ATAKYGZUSMKTTN-KRWDZBQOSA-N 423.252 4.554 5 20 HJBD COc1ccc(-c2noc([C@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)n2)cc1 815406683 QYSUTTSAAPZMBT-NSHDSACASA-N 417.805 4.533 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 816062072 GQMMERFVNDKUNP-CYBMUJFWSA-N 400.696 4.850 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cccc([N+](=O)[O-])c3Cl)sc2C)cc1 816832592 GRGJPYUEJZIFLJ-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H]2CCC[C@H](OC)C2)cc1 915128279 COWMHVGQBSKFTO-ZWKOTPCHSA-N 401.415 4.510 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccccc2)c1 916422033 SDNRCJHUIGHIHG-OAHLLOKOSA-N 422.462 4.933 5 20 HJBD Cc1ccc(Nc2nc(COC(=O)CCOc3ccccc3[N+](=O)[O-])cs2)cc1 919491170 BMLZWKIKPCLLDQ-UHFFFAOYSA-N 413.455 4.616 5 20 HJBD O=C(Cc1ccc(NC(=O)c2cc3ccccc3o2)cc1)Oc1cccc([N+](=O)[O-])c1 919840561 YQPIKFNDSHLEMV-UHFFFAOYSA-N 416.389 4.741 5 20 HJBD C[C@@H]1CN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])Cc2ccccc21 922309517 KHCGAUQXGRBMNN-GFCCVEGCSA-N 410.417 4.852 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@@H](c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116330338 XXJYJJOBSYSDEC-HSZRJFAPSA-N 417.465 4.607 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1319407072 AUKXYOLYECNCKD-LBPRGKRZSA-N 413.886 4.819 5 20 HJBD Cc1cc(OCC(=O)N(CC(F)(F)F)c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 1321447534 PZWFLRKFVHXQJD-UHFFFAOYSA-N 402.756 4.531 5 20 HJBD CCc1nc(C)c([C@@H](C)OC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 1347093448 UIQSBNFFIKWQFO-SNVBAGLBSA-N 418.393 4.781 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)nc1-c1ccccc1 7799960 DPABJGYFLPRWSB-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD Cc1c(NC(=O)C2CCN(C(=O)c3cccc4ccccc34)CC2)cccc1[N+](=O)[O-] 16911571 JLQWWPQCNQLXIN-UHFFFAOYSA-N 417.465 4.547 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)Nc1ccccc1-c1ccccc1 26116380 UCMVSSDWSDJRDL-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 31128189 JDWUDTZBAJZJNS-HUUCEWRRSA-N 411.483 4.623 5 20 HJBD COc1ccc(NC(=O)Cc2csc(-c3ccccc3Cl)n2)c([N+](=O)[O-])c1 57808064 HYTXIEKOWGXKHZ-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccsc1 60751557 KTWAKNYEXSVZCN-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD O=C(N[C@@H]1CC[C@H]2CCCC[C@@H]2C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61072923 KSZPBJZOJHCUTC-MGPQQGTHSA-N 400.397 4.625 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cc(Cl)ccc1[N+](=O)[O-] 116769848 IXJSTFCTVVDXCO-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 139837567 OSKHOJRZUKRAPU-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cc([N+](=O)[O-])ccc1Cl 218916707 SHUKYXCNQBQDCK-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=[N+]([O-])c1cnc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)c(Cl)c1 301276657 MFHLCAYHQXRGEX-UHFFFAOYSA-N 406.833 4.503 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426015755 GPHOYHAFKPYEDG-SFHVURJKSA-N 407.392 4.963 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cc(Br)cc([N+](=O)[O-])c1 431624351 VXCJYHRVQHAFQS-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435948040 HAPHNHQGFYTTKQ-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD Cc1cccc(C)c1OCCCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436128417 GLQPIWTVJITRTP-UHFFFAOYSA-N 418.468 4.636 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCCO[C@@H]1c1ccc(C)cc1 439906961 ARIHDBBJNGQASW-LAUBAEHRSA-N 413.474 4.591 5 20 HJBD CCC(=O)Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440593800 DMDRGVOLPRFWBX-UHFFFAOYSA-N 403.438 4.790 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)s1 443746722 JJLCKUWRVGZUTM-LLVKDONJSA-N 424.320 4.854 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](Cc2ccc(F)cc2)C1 444097403 UEQSORHVCSLFBA-INIZCTEOSA-N 418.443 4.664 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(CO)(Cc2ccccc2)CC1 444603723 WOSJLZXCSMGKBB-UHFFFAOYSA-N 410.517 4.845 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 445659361 VPCHHBACABBCOF-UHFFFAOYSA-N 405.458 4.825 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)Nc4ccc([N+](=O)[O-])cc4C)CC3)c2c1 446492663 AYQKIMMBEQWWDA-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD Cc1ccc(Br)cc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460355490 XHWHRCYFLJEHTE-QGZVFWFLSA-N 407.289 4.554 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[C@@H](C)CO1 460381167 BNTZDRLOUMTSRE-YWZLYKJASA-N 423.494 4.629 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccc(Cl)cc1[N+](=O)[O-] 462374147 DDPVFORSJKECQQ-YEORSEQZSA-N 409.667 4.687 5 20 HJBD CC[C@@H](Cc1ccccc1)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462394172 LWIJMKUFPWXZAY-AWEZNQCLSA-N 417.314 4.543 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 463975324 QNTPTLMORJZEKR-ICSRJNTNSA-N 410.518 4.728 5 20 HJBD CCC(C)(C)OCc1cccc(NS(=O)(=O)c2cc([N+](=O)[O-])c(C)s2)c1C 466965893 DVAYVUILRJPOJC-UHFFFAOYSA-N 412.533 4.779 5 20 HJBD C[C@@H](O)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 468049247 SJMCCFMXJWIGBN-CZUORRHYSA-N 420.918 4.775 5 20 HJBD CCn1ncnc1CNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 475561010 PUBSXLBOSDHDLZ-UHFFFAOYSA-N 409.490 4.586 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)nc2ccccc21 478306010 PGRUDUNYMVJYIH-FQEVSTJZSA-N 417.469 4.984 5 20 HJBD Cc1c(CN[C@@H](c2cccc(Br)c2)C2CCOCC2)cccc1[N+](=O)[O-] 478497744 BSFVWVPWQKKZSR-HXUWFJFHSA-N 419.319 4.923 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCO[C@@H](c2ccc(F)c(Br)c2)C1 481618014 ZEOHESVKWCABCC-KPZWWZAWSA-N 409.255 4.631 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@H]2Cc2ccccc2)cc1SC 484363560 QBZFOBDQWKDKLI-WMZOPIPTSA-N 414.527 4.857 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 485531600 KNEBWGWKVVFQGC-UHFFFAOYSA-N 424.363 4.581 5 20 HJBD CC(C)(C)OCc1cc(CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])no1 486048315 QFVKFKJNELIFLC-UHFFFAOYSA-N 409.442 4.741 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 486817750 AHEIPJXCJDSATB-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C(=O)c2ccc(F)cc2)C1 489605526 PJGLDXHHPUARKN-HNNXBMFYSA-N 416.474 4.581 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H](C)c1ccccc1C(F)(F)F 500232939 ROXRRVXLCVBVEP-QWHCGFSZSA-N 410.392 4.762 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(SC)cc2)c([N+](=O)[O-])cc1OCC(F)F 500233726 UGGDJJPTJSPGJD-LBPRGKRZSA-N 412.458 4.820 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccc(O)cc1)Cc1ccco1 502639603 SIROIBMTYKAPRU-UHFFFAOYSA-N 420.343 4.755 5 20 HJBD O=C(NCC[C@H]1CCCCO1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 504164999 MUEPESUASNADKN-MRXNPFEDSA-N 404.850 4.730 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@H]1CCc2cc(F)ccc21 508211013 XPORGCXMJYPYOT-AWEZNQCLSA-N 414.380 4.649 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3cccc([N+](=O)[O-])c3C)c12 508806151 KMMYJJNERRLEKU-UHFFFAOYSA-N 406.398 4.904 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](C[C@@H]3CCOC3)c3ccccc3)cs2)c1 513538540 LMAMPRADGGNFNW-HRAATJIYSA-N 409.511 4.976 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1nc(-c2ccccc2)no1 514703995 UAJMLPLRPKSNAU-AWEZNQCLSA-N 414.490 4.990 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCOC3CCCCC3)cc2[N+](=O)[O-])n1 518059838 WNSSWVVPDWJFDT-UHFFFAOYSA-N 421.544 4.590 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1 520713789 GYBOHKJSKZCZKG-QFIPXVFZSA-N 420.469 4.547 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(COC3CCCCC3)c2)cc1[N+](=O)[O-] 520812711 VRSBQHVAQQSTJA-UHFFFAOYSA-N 413.474 4.774 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 520917461 MCPOCQZXBQHYHQ-UHFFFAOYSA-N 401.378 4.846 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](Cc2ccccc2)C1 525031585 OZVVCQLQZSSKNN-OAHLLOKOSA-N 424.444 4.797 5 20 HJBD CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(F)cc1)c1cccnc1 525148990 VGXQLAJSFHNFHL-QHCPKHFHSA-N 407.445 4.700 5 20 HJBD CN(C)CCC(=O)Nc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 532889304 PTRSAWLDSNBIGG-UHFFFAOYSA-N 424.526 4.826 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCc2sccc2[C@H]1c1cccs1 533921164 QDFNEYHWBDXZNR-NRFANRHFSA-N 423.519 4.916 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 537330226 WGSYHJSVNVEHQB-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD CCSc1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cn1 538252380 WCMGHNVHMHJDEU-UHFFFAOYSA-N 400.485 4.502 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 538332140 ZLXMIHUAVCCLQZ-UHFFFAOYSA-N 420.856 4.764 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)c1 538792384 QLGMUJGAWSKXKD-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3cc(C)cc(C)c3)CC2)cc1SC 539903201 KFSBVVROPNXUDO-UHFFFAOYSA-N 412.511 4.872 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)C1 539923095 KUDKQUJAMFILOM-SFHVURJKSA-N 419.529 4.839 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 543588762 QPQNTQVDASSAPM-PEBXRYMYSA-N 418.428 4.620 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](C)c2nc(-c3ccccc3)cs2)cccc1[N+](=O)[O-] 544486122 CRUGUXSMJMMBMR-HNNXBMFYSA-N 410.499 4.706 5 20 HJBD COc1cc(CNCc2ccc([N+](=O)[O-])cc2Cl)ccc1OCc1ccncc1 545220460 BECFSMAIDZRRJG-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CCCOc2ccccc2Br)n1 545692649 AAHGCIJVOZNJGT-UHFFFAOYSA-N 418.247 4.727 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 548361089 PZZLNPDAEUNHSQ-INIZCTEOSA-N 400.478 4.960 5 20 HJBD CC(C)(C)c1ccc(NC(=O)C(=O)Nc2cccc(CC(F)(F)F)c2)cc1[N+](=O)[O-] 551757294 IPEKXUBSGBQWFK-UHFFFAOYSA-N 423.391 4.574 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@H]1CCCC[C@@]1(C)O 552976769 JWIPBUCWYGZMSR-WOIUINJBSA-N 420.575 4.889 5 20 HJBD C[C@@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1nccn1-c1ccccc1 573877447 BLXCHYZGIOSKJD-OAHLLOKOSA-N 424.482 4.573 5 20 HJBD O=C1CCc2cc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2N1CC1CC1 600856417 MHXBPOYKHPBOSP-UHFFFAOYSA-N 419.403 4.915 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2Cc2cccc3ccccc23)c1 603759693 YEMXCMBLIBXEBO-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2cccs2)C(CC)CC)c([N+](=O)[O-])cc1OC 604025745 XQTDJJHIORDRTR-IBGZPJMESA-N 406.504 4.971 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608935891 JQYROOCJRPNUHF-OAHLLOKOSA-N 424.478 4.831 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609854822 HTAVQKKIWBLPRK-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD COc1ccc(CN(C)Cc2ccc(C)cn2)cc1OCc1ccc([N+](=O)[O-])cc1 616152039 CQELADROESOCJX-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD CC[C@@H](C)c1ccc(OC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 726730152 ZNWSDHBZTFAVSU-QVKFZJNVSA-N 410.470 4.627 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 727347161 GNHIPGDEHNRIMK-UHFFFAOYSA-N 407.442 4.765 5 20 HJBD COc1ccc(CSCC(=O)O[C@H](c2ccccc2)c2cccnc2)cc1[N+](=O)[O-] 728417029 VSIXWFLOUPIJCU-JOCHJYFZSA-N 424.478 4.564 5 20 HJBD COc1cc(COC(=O)C(C)(C)c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 728665257 CVUYQPPTKHRZFX-UHFFFAOYSA-N 409.385 4.534 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 730840525 OTRYQTVJTPYXHA-ZDUSSCGKSA-N 405.907 4.968 5 20 HJBD CCc1ccc(OS(=O)(=O)c2c(Cl)cccc2C(F)(F)F)c([N+](=O)[O-])c1 739963538 MODJDEFKRABDGC-UHFFFAOYSA-N 409.769 4.597 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567649 OWERFKBRFPMPTE-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc3ncccc3c2)cc1)c1cccc([N+](=O)[O-])c1 748604343 FRJZWIZJCBOFBK-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD CCOc1c(OC)cc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)cc1OC 750902592 LKURYQLAXMYHGT-NTUHNPAUSA-N 403.822 4.624 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccccc3C(F)(F)F)no2)C1 761378399 WJOKPTNQPQQNLX-HNNXBMFYSA-N 404.304 4.529 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)sc2C)cc1 763968331 CGMGVJTVZUHVJO-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 767123844 ULPUYEYTCOKOQA-NXEZZACHSA-N 402.319 4.814 5 20 HJBD C[C@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCC1=O 775473730 CKTBXVVDWATYBF-DZGCQCFKSA-N 416.861 4.771 5 20 HJBD O=C(CCSc1ccc(F)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136590 KSANUHHCLGRAAK-NRFANRHFSA-N 412.442 4.944 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cc(F)c(N2CCCCC2)c(F)c1 778731748 ACHSHRACVKLAKQ-UHFFFAOYSA-N 414.412 4.526 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1sc(NC(=O)OC(C)(C)C)nc1C 782207300 SDOFSABHIPIDDN-UHFFFAOYSA-N 406.464 4.576 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)c1F 790152103 VQCALRGSXVAPBP-UHFFFAOYSA-N 404.401 4.918 5 20 HJBD Cc1c(CNC(=O)Nc2ccccc2C(=O)N(C)C2CCCCC2)cccc1[N+](=O)[O-] 790563667 IOYCBLOYCFRRME-UHFFFAOYSA-N 424.501 4.630 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790825392 AUNCGXBGJHILJF-UHFFFAOYSA-N 415.646 4.948 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1ccsc1)c1cccnc1 799328378 KIDYVYCKBYHZPT-UHFFFAOYSA-N 405.838 4.620 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ncccc1Oc1ccccc1 799341012 IZYZFOMEPCCLNI-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC(F)F)cc2F)CC1 801074372 RGMXPRLHSFRFGK-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)cccc1[N+](=O)[O-] 805441919 VEIACVZMDWFCDT-UHFFFAOYSA-N 407.879 4.512 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2ccc(Cl)c([N+](=O)[O-])c2)cs1 808057144 SDQXPAZWMOHKSL-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811376844 VCJGTGLLRKCNOG-NSHDSACASA-N 411.241 4.702 5 20 HJBD CC1(C)CN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)[C@@H]1c1cccs1 813785458 BWMUSTFZHYKWLQ-CQSZACIVSA-N 413.268 4.781 5 20 HJBD CCCOc1ccc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 920140174 KGPNLLKGLLWBPZ-UHFFFAOYSA-N 401.462 4.687 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 921135019 VVJLCGZKMZPWPC-UHFFFAOYSA-N 418.858 4.919 5 20 HJBD CCOc1ccc(-c2nc(CN3Cc4ccc([N+](=O)[O-])cc4C3)cs2)cc1OC 1319940022 QTXYGXJAYFWIEJ-UHFFFAOYSA-N 411.483 4.641 5 20 HJBD CC(C)CCC(=O)NC1(c2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)CCC1 1785795526 SFUFKKDYHDNQJN-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cccc(F)c2)c2ccccc2)c([N+](=O)[O-])c1 5631694 ARIDZBRZNMILLI-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD COc1ccc(NC(C)=O)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 11658195 SCNOANCEDBFLCX-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3cccc([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 15629293 WEJWOQSVCUADBY-UHFFFAOYSA-N 401.426 4.840 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1 15963698 DKUIWIXOAWPEDA-UHFFFAOYSA-N 406.394 4.816 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)Cc2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 28985308 MVLHHPNCXUOEHL-UHFFFAOYSA-N 412.515 4.882 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1cccnc1 32034659 RNJUSPVMQFACMC-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD O=C(NCc1cn(-c2ccccc2)nc1-c1ccc(F)cc1)c1csc([N+](=O)[O-])c1 46902379 RVIKYMAAOOHJRE-UHFFFAOYSA-N 422.441 4.578 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)c1 50866639 WIEXJHYRKOEPMY-OXQOHEQNSA-N 400.500 4.557 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 55593041 IXAQLJPWIOGVEP-GJZGRUSLSA-N 409.486 4.582 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 107685320 GBWWFLUEHYDSSA-LJQANCHMSA-N 414.820 4.937 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2ccco2)n1-c1ccccc1 108165332 ZRMREOGEDFFSNT-UHFFFAOYSA-N 408.439 4.607 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2oc(C(F)(F)F)nc2c1 195700160 HPFBQJAFBWGQDT-SECBINFHSA-N 411.361 4.874 5 20 HJBD COc1ccc(Nc2nc(COC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cs2)cc1 216029358 GGPICINKLKFQBP-WCIBSUBMSA-N 411.439 4.560 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 302995055 NDWYNGKGFUGYMV-RBBKRZOGSA-N 404.470 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCSC[C@@H]3c3ccc(Cl)cc3)n2)cc1 303685446 TUUOOCFMVZYHJT-QGZVFWFLSA-N 416.890 4.588 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OCC(F)(F)F)c(F)c2)cc1[N+](=O)[O-] 410405457 DLUVINSVOBDCQT-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(c2ncccc2Cl)CC1 428428786 YIBRECRNHXNSOQ-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1 433948295 DKRKLBSYMOCKRN-UHFFFAOYSA-N 419.224 4.665 5 20 HJBD COc1cc(/C=C\c2ccc3cccc([N+](=O)[O-])c3n2)c(Br)cc1O 436560926 GIRSDIPIKMUWFL-VURMDHGXSA-N 401.216 4.790 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@]1(O)CCC[C@H](C(F)(F)F)C1 437035124 DWGFNPPOZDDHGU-VBQJREDUSA-N 406.470 4.539 5 20 HJBD CCOc1cc(NC(=O)N[C@@](C)(CC)c2nc(C)cs2)c([N+](=O)[O-])cc1OCC 437608908 ZDQYNSMZTJXRGV-IBGZPJMESA-N 422.507 4.604 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 438517580 MKBVQQFUVIMVDG-VXGBXAGGSA-N 408.804 4.502 5 20 HJBD CC(C)c1ccc(C(=O)N(C)CCCc2cc(-c3ccc(F)cc3)n[nH]2)cc1[N+](=O)[O-] 439425697 VEBZVLJAJFRFNT-UHFFFAOYSA-N 424.476 4.952 5 20 HJBD CCSc1nnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 440440642 DMCPCDAZYIVLQE-UHFFFAOYSA-N 418.525 4.962 5 20 HJBD Cc1ccc2nc(CNC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])[nH]c2c1 441060459 FHBSUDWWRZWUIE-UHFFFAOYSA-N 418.478 4.861 5 20 HJBD Cc1cc(Cl)cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1O 444243811 IIAAZSVERNVUCA-UHFFFAOYSA-N 414.795 4.879 5 20 HJBD COCCn1ccc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc21 444294250 XXJIPQDYLBJHJP-UHFFFAOYSA-N 411.461 4.535 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 446305836 PTEYPWMWBFTDKX-HXUWFJFHSA-N 424.888 4.935 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc([C@@H]3CCCO3)nn2C)cc1[N+](=O)[O-] 447654528 SBRXDQXZVWQCLS-KRWDZBQOSA-N 418.519 4.570 5 20 HJBD Cc1ccc2cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)ccc2n1 448248650 RDKJDOXIGTWTFL-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD O=C([C@H]1SCCc2sccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463850933 PLESXCJDPJQBOB-HNNXBMFYSA-N 416.446 4.578 5 20 HJBD CCSc1cccc(Br)c1NC(=O)CCNc1ccccc1[N+](=O)[O-] 464706960 CXBQSUWTDNJLQA-UHFFFAOYSA-N 424.320 4.910 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2)nc2c1cnn2CC 464721368 WKNKWIHGGFCRKC-UHFFFAOYSA-N 415.453 4.841 5 20 HJBD COC(=O)c1cccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1OC(C)C 466642507 YCBSRDMIRJWAQS-UHFFFAOYSA-N 400.431 4.544 5 20 HJBD COc1c(C(=O)N2CCc3sccc3[C@H]2c2cccs2)cccc1[N+](=O)[O-] 474393275 QFIXRWRFPAEIPH-KRWDZBQOSA-N 400.481 4.514 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2Cl)c1)c1ccc(Cl)cc1[N+](=O)[O-] 476641579 HXLCYVLUWJIDFT-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(-c2ccccc2)n1 478090306 YPUMKBIIWSULOR-CQSZACIVSA-N 413.524 4.558 5 20 HJBD COC(=O)c1cnc(NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c([N+](=O)[O-])c1 478283631 SNNUBQPYHDTMQR-SFHVURJKSA-N 416.437 4.502 5 20 HJBD Cc1ccc([N+](=O)[O-])c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)c1C 481615534 SLTLQZAMJWZJMR-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD CCC[C@H](N[C@H](C)c1cc(F)ccc1N1CCN(C)CC1)c1cccc([N+](=O)[O-])c1 482529769 BLPZOVJMHHMLQG-VGSWGCGISA-N 414.525 4.678 5 20 HJBD COC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 487156558 NSUQVRVNUKBXEQ-GOSISDBHSA-N 403.891 4.849 5 20 HJBD O=c1c([N+](=O)[O-])cn(Cc2coc(-c3ccc(C(F)(F)F)cc3)n2)c2ccccc12 487866173 BUGQXTSTWQECBC-UHFFFAOYSA-N 415.327 4.632 5 20 HJBD O=C(NCc1cccc(C(F)(F)F)c1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 492239892 KEXHMVXMRRSFKN-UHFFFAOYSA-N 421.297 4.632 5 20 HJBD O=C(CCc1cc(Br)cs1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493083314 WEHYZQXJLAMJTF-UHFFFAOYSA-N 409.305 4.543 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)s1 498265721 BLXWVJCXNOWQMZ-CQSZACIVSA-N 419.466 4.789 5 20 HJBD C[C@H](NC(=O)N(C)[C@@H](C)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 502483411 UWTNKVBWYJPKLO-STQMWFEESA-N 406.280 4.821 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2csnn2)cc1 504088687 CWAVKTLXDCWLHB-UHFFFAOYSA-N 400.485 4.868 5 20 HJBD COc1cc(CN2CCc3sccc3[C@@H]2c2cccs2)c([N+](=O)[O-])cc1OC 506719438 NICLTUXUKKUBIV-HXUWFJFHSA-N 416.524 4.883 5 20 HJBD CCCCN(C)C(=O)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 510412963 NFLFUIQIQMDIAF-UHFFFAOYSA-N 415.559 4.794 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)c(Br)c1 510607153 UBVUZMLHXWCTNJ-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C[C@@H](O)CO[C@@H](C)c1ccc(Cl)cc1 513484910 NAIAVDVFNVWPNB-HRAATJIYSA-N 406.910 4.597 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCc2c(Cl)ccc(F)c21 523502209 MWKBEIZHYDVSLT-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CCN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@H](C)Cc1ccc(OC)cc1 532556309 FQBQHNGZOSMDHB-QGZVFWFLSA-N 409.486 4.754 5 20 HJBD O=C(Nc1ccc(F)cc1Br)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 532651619 DJMGXBBMVMAMDM-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2)c2nccs2)c([N+](=O)[O-])cc1OC(F)F 533037550 FDULOQUPLZSUKR-KRWDZBQOSA-N 421.425 4.541 5 20 HJBD O=C(c1cnc([C@H]2CCCO2)s1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 534817373 YWYAKGURIHTSJV-GOSISDBHSA-N 409.467 4.750 5 20 HJBD CN(Cc1csc(Br)c1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534847790 YSFNITHZJKOBSL-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)Nc1cc([N+](=O)[O-])ccc1O 535515768 IBSOXMNXXOPAPD-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nn1-c1ccccc1Cl 538440405 KCGDTUZQJJQCCT-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(Nc1ncccc1-c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 540052077 HZNZFFRXQULIHP-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](Cc3ccccc3)c3ccccc3F)n2)cc1 540460296 HOTBRLOQSAFQBA-NRFANRHFSA-N 418.428 4.858 5 20 HJBD COc1ccc(OCc2cc(-c3c(OC)ccc4ccccc34)no2)c([N+](=O)[O-])c1 540858305 CNWFBXSHKBQITP-UHFFFAOYSA-N 406.394 4.999 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccc(Cl)cc3[N+](=O)[O-])n2)c1)c1ccco1 545616966 DJXBCSVIUWJLMU-UHFFFAOYSA-N 410.773 4.811 5 20 HJBD O=C(Nc1cncc2ccccc12)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548702632 UHTJXAHRJSYAKO-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1OCCc2sccc21 552264416 IOCVUPZCQLSELI-KZULUSFZSA-N 406.529 4.589 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 554402462 ZMSXZKKOPYPNHH-UHFFFAOYSA-N 421.501 4.669 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)c1 558804272 SFSRHRNKMFURTG-OAHLLOKOSA-N 400.328 4.556 5 20 HJBD COc1ccc(CN(C(=O)Nc2cccc([N+](=O)[O-])c2C)[C@@H](C)C2CC2)c(OC)c1 573507686 YPEWECXABFKPSS-HNNXBMFYSA-N 413.474 4.753 5 20 HJBD CSc1cccc(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 582185388 JXGYOIXZJNNUOD-INIZCTEOSA-N 409.389 4.831 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c3cccnc23)c([N+](=O)[O-])cc1OC(F)F 602029951 HFHKMOKLONNKDP-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD CCOc1ccc(C(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)cc1[N+](=O)[O-] 603814459 PITUERGCFBHHOO-UHFFFAOYSA-N 412.486 4.801 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 608849993 NMKTYLIZXMJXFT-SNVBAGLBSA-N 403.485 4.641 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609547923 KHGNMSYCCFJKHK-NSHDSACASA-N 419.359 4.701 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 609766292 GNMGRUORWKFGCK-ZDUSSCGKSA-N 423.391 4.605 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 609907679 ZEAPWULCSFOEJI-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD COc1ccc(-c2ccc(/C=C/c3ncc(C(=O)O)c(C(C)C)n3)o2)c([N+](=O)[O-])c1 610106578 VHOJHJUPKMDVFR-RMKNXTFCSA-N 409.398 4.645 5 20 HJBD O=C(c1cnc(-c2ccccc2F)s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610209795 FJGGTQMDQZONEM-IBGZPJMESA-N 415.471 4.745 5 20 HJBD COc1ccc(OCCSc2nnc(-c3c(C)cc(C)cc3C)o2)c([N+](=O)[O-])c1 610218265 PHYWRAWAZMQKBC-UHFFFAOYSA-N 415.471 4.750 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N[C@@H]3C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)c12 612438331 OHNFWMZQEVUCRF-MYJAWHEDSA-N 408.302 4.859 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CC([C@@H]2CCOC2)C1 619423174 ZEZAFECYVQZZNJ-CYBMUJFWSA-N 418.902 4.508 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@@H](C)O1 619798799 LVQJTSBXINOLRF-MUJYYYPQSA-N 406.548 4.953 5 20 HJBD Cc1cc2oc(=O)cc(COC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])c2cc1C(C)C 725936936 LVOGIFFKPPEJBN-UHFFFAOYSA-N 417.364 4.768 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2csc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 729959952 MBCKOCDSMAPXRK-SNVBAGLBSA-N 422.409 4.911 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@H](c1ccccc1)[C@@H]1CCCO1 733792924 XHYGBGWSZRDQFZ-JKSUJKDBSA-N 411.336 4.854 5 20 HJBD COc1ccc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3Cl)o2)cc1OC 735413331 LYTQIVYNXOJVPS-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2ccc([N+](=O)[O-])cc2N2CCOC2=O)CC1 742395384 TYDPSTHOMBOCGC-UHFFFAOYSA-N 420.469 4.725 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c1 753632078 AATIMUXOEJFMKW-UHFFFAOYSA-N 408.414 4.742 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cccc(CC[C@H](O)c2ccccc2)c1 756839522 HUMKXCVROXYGRI-NRFANRHFSA-N 406.438 4.522 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCO[C@@H](c3ccc(C)cc3)C2)cc1SC 757486494 IJQLPEMMEYAMTR-CRAIPNDOSA-N 417.483 4.711 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(C(F)(F)F)ccc1F 760530628 IKAPCCWCVMKZNZ-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CC(C)(C)C(=O)NCCCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761855558 CRKUMBMBXWQIOF-UHFFFAOYSA-N 424.457 4.532 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCSc2ccccc21 773434048 VJKWNFZJQAYFHT-ZDUSSCGKSA-N 408.273 4.680 5 20 HJBD CC[C@@H](C)n1nc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cc1C 777879864 OZWBPVSCUZLONI-CQSZACIVSA-N 422.441 4.542 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@@H](Cc1ccccc1)c1ccccc1 781571953 OUBJMJLSCYXKMX-NRFANRHFSA-N 419.437 4.536 5 20 HJBD O=C(Nc1cccc(Oc2ccccc2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 782832085 UNLQQPCXKQDUPX-UHFFFAOYSA-N 411.801 4.618 5 20 HJBD C[C@@H]1CSc2ccc(Cl)cc2N(C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)C1 784749612 XTMMFEHXCDASJR-JTQLQIEISA-N 407.835 4.545 5 20 HJBD CC(C)(C)c1ccc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cn1 797308946 WVLULZJVDSLMPX-UHFFFAOYSA-N 400.478 4.591 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)OC)cc(C(F)(F)F)c1 813292811 ZRMGGZOYHAGZBU-UHFFFAOYSA-N 411.336 4.607 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813485484 PGDQDICRFIKMHD-UHFFFAOYSA-N 410.238 4.983 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 918564551 BEIGSUXYRDPIMD-UHFFFAOYSA-N 402.756 4.856 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 918887791 XXYSWXGTKDZYQC-OAQYLSRUSA-N 421.380 4.545 5 20 HJBD COc1ccc2nc(C3CC3)cc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c2c1 1325766715 VIMAPSKUAZBIOK-UHFFFAOYSA-N 418.409 4.658 5 20 HJBD Cc1cccc(Nc2nnc(SCc3cc([N+](=O)[O-])cc4c3OCOC4)s2)c1 3542272 YSKVTRUJPZXAEV-UHFFFAOYSA-N 416.484 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C 11102806 RZHOPBAUYIOEAX-ORAYPTAESA-N 405.882 4.571 5 20 HJBD CCC[C@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccccc1 20021794 WOGRSYZVUWCTIV-NRFANRHFSA-N 406.486 4.728 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(NC(N)=O)cc3)cc2[N+](=O)[O-])cc1 25879535 KZQZXPTZVCFQEW-UHFFFAOYSA-N 422.466 4.797 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(Cl)cc1Cl 26226568 NUJIFUDOXYBLHJ-NSHDSACASA-N 412.273 4.590 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H](c2nc3ccccc3o2)C1 31128095 BDAFYPFWRADYAF-ZDUSSCGKSA-N 407.451 4.971 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32659130 CIOIRIPYSWDONA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](c3ccc(F)cc3)c3cccs3)n2)c1 55827780 FYLOZEWWYMBEER-LJQANCHMSA-N 410.430 4.725 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)n2)cc1Cl 61212279 SXDOEGVYZYACAY-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64908778 QYRZSMLMHYLMJD-UHFFFAOYSA-N 422.510 4.957 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1)c1ccccc1 65158058 HBFMPXNACWOUIK-UHFFFAOYSA-N 414.421 4.605 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H](c3cccs3)N3CCCC3)cs2)c1 238007114 HLLROMFGSASTDU-GOSISDBHSA-N 414.556 4.707 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426417713 TWBFWXLVKQDNDV-OAHLLOKOSA-N 420.469 4.595 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@]3(O)CCC[C@H](C(F)(F)F)C3)s2)cc1 436419518 ZHVHCUJWKKMGBJ-KBXCAEBGSA-N 414.449 4.897 5 20 HJBD Cc1c(CC(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cccc1[N+](=O)[O-] 439050168 SYZNMUVSYBHHFF-NRFANRHFSA-N 413.477 4.875 5 20 HJBD CCCN(C(=O)CCc1nnc(-c2cc3ccccc3o2)o1)c1cccc([N+](=O)[O-])c1 441453655 YDDPBFXQPDXFLO-UHFFFAOYSA-N 420.425 4.767 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444232588 RFMDDVMNQCVGGY-CHWSQXEVSA-N 420.406 4.919 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cc1 444739194 VJFVVNORYFHBSP-RYUDHWBXSA-N 417.263 4.819 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1sc(Br)cc1[N+](=O)[O-] 445197799 UMLAIKSWFTZLGC-UHFFFAOYSA-N 424.103 4.698 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(Cl)cc1Cl 445372478 ZLSMWCLZSQOOFE-FPYGCLRLSA-N 419.224 4.636 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 462777848 UPTBKRJGAQYDBT-SIKLNZKXSA-N 415.578 4.667 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1nc2ccc(Br)cc2o1)C1CC1 463113974 CWFNNPTXZNHPMM-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@H]2[C@H](CCCN2Cc2ccccc2)C1 463967642 FXPVFVRGYAIPSX-IRLDBZIGSA-N 422.529 4.730 5 20 HJBD COc1cc(CNC2(c3ccc(Br)cc3)CCC2)c([N+](=O)[O-])cc1F 464677023 JONFPKBJSZBVTQ-UHFFFAOYSA-N 409.255 4.674 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2cccc3cc[nH]c23)cc1[N+](=O)[O-])c1ccccn1 467027745 BEKAXMDQMBBNPV-OAHLLOKOSA-N 415.453 4.574 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1ccc([N+](=O)[O-])cc1S(C)(=O)=O 468742952 JOUPSAVTWOZQCV-UHFFFAOYSA-N 414.483 4.551 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2SC(C)C)cc1[N+](=O)[O-] 472535230 BEEULMBOVOGGKA-UHFFFAOYSA-N 406.529 4.976 5 20 HJBD Cc1cc(NC(=O)N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)ccc1[N+](=O)[O-] 473764327 LWSBCYQKYNEFNR-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD CCc1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cnn1-c1cccc(C)c1 482679392 DLSQSCCRLFAZDX-UHFFFAOYSA-N 419.485 4.504 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1csc(Cc2ccccc2)n1 485385832 OLKQGVAGFXQQBS-OAHLLOKOSA-N 416.524 4.627 5 20 HJBD CSc1cccc(C(=O)Nc2ccnn2Cc2ccc(Cl)c(F)c2)c1[N+](=O)[O-] 486245586 RCBAUXOWFBZIQI-UHFFFAOYSA-N 420.853 4.606 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])ccc2C)n1 486332557 GHDWKVXEGMURKG-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3ccc(F)cc3c2C)c(C)c([N+](=O)[O-])c1 489752753 LHWRPVILTLFGOL-UHFFFAOYSA-N 402.403 4.604 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc(-c2ccc(Cl)cc2)n1 490440019 ZBMNKLMZUGFRQQ-UHFFFAOYSA-N 422.872 4.818 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 497159885 HPEDSDVNTNJETC-SPLOXXLWSA-N 414.893 4.515 5 20 HJBD Cc1ccnc([C@H](NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C2CC2)n1 497517060 CPBVIIVBFLSNMZ-LJQANCHMSA-N 414.531 4.713 5 20 HJBD CCOc1cc(NC(=O)N[C@H](C)c2cc(C)sc2C)c([N+](=O)[O-])cc1OCC 502174464 SNDUMZHEKZSXEG-GFCCVEGCSA-N 407.492 4.953 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(N(C)C)oc2c1 503417526 FOADNUPUUYKQBU-UHFFFAOYSA-N 400.460 4.556 5 20 HJBD Cc1ccc(C(=O)N(C)[C@H]2CCSc3ccc(Br)cc32)cc1[N+](=O)[O-] 504587514 VJQFUBVKAXIQLT-HNNXBMFYSA-N 421.316 4.975 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCCC[C@@H]2O)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 505204015 LZLZDOCGAYRLPN-ROUUACIJSA-N 412.467 4.744 5 20 HJBD COc1ccc(CNc2nnc(SCc3c(F)cc([N+](=O)[O-])cc3F)s2)cc1 505466707 IEPSRQAEHAHRSI-UHFFFAOYSA-N 424.454 4.638 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc2ccccc21 506196759 OUWBUMMWFRSYPH-GOSISDBHSA-N 404.426 4.688 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H](c3c(F)cccc3F)C(F)(F)F)co2)cc1 506276826 FVRIUFYWFQBIDM-INIZCTEOSA-N 413.302 4.921 5 20 HJBD COc1cccc([C@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 506690148 ZCWOOBNAMMXUFH-MRXNPFEDSA-N 408.401 4.551 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c(F)c1 509006799 MPGLQUSDJKSWQV-VIFPVBQESA-N 407.364 4.728 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(Cc2ccccc2)CC1 510106578 ZVGPILHNKXFSEA-UHFFFAOYSA-N 405.401 4.683 5 20 HJBD CC(C)[C@H](C)N(CC(F)(F)F)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510831057 NDOKMSUWMXVUJX-NSHDSACASA-N 401.410 4.767 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cc2s1 515850183 GCHAHOKVCWRMRK-UHFFFAOYSA-N 424.438 4.942 5 20 HJBD COc1ccc(-c2nc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])no2)cc1 516343620 MBMLBIWNPAROQL-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525131184 QAUOHMDBSPDTDX-CPUCHLNUSA-N 422.403 4.943 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1cccc(C(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 536428991 BHGJXWBWMDYNII-IRXDYDNUSA-N 402.450 4.807 5 20 HJBD Cc1nc(O)c(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1-c1ccccc1 536552856 LHJOHHSTYXSYRV-JOCHJYFZSA-N 421.478 4.559 5 20 HJBD Cc1ccc([C@@H](C)NC[C@@H](O)COC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 538278685 GCTPQLPHWLEJRQ-AUSIDOKSSA-N 420.509 4.721 5 20 HJBD CCCOc1ccc([C@@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 539252206 ICJLQNVNRBJNDL-OAHLLOKOSA-N 411.458 4.898 5 20 HJBD COc1ccc(Cl)cc1CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353656 DNWYVEFBCQSXQH-UHFFFAOYSA-N 417.874 4.586 5 20 HJBD COc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])s2)C2CCCCC2)cc1OC 539901543 YNSVYXJFEWKXKN-UHFFFAOYSA-N 404.488 4.649 5 20 HJBD CC[C@H](CSC)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 540338892 XPVXPBNRWBVCPS-RDTXWAMCSA-N 407.923 4.659 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 541584486 CXNDPOPFIPLDLL-GFCCVEGCSA-N 415.446 4.917 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 542544509 PHNUWSFVFHXBBY-AWEZNQCLSA-N 407.401 4.728 5 20 HJBD CC(C)(C(=O)Nc1cccc2oc(C(F)(F)F)nc12)c1ccc([N+](=O)[O-])cc1F 545827454 JLJRKOMSUGTANH-UHFFFAOYSA-N 411.311 4.810 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 547175745 PKPLZGWSLITCDE-CQSZACIVSA-N 415.421 4.659 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(NC(=O)C2CC2)cc1 549536256 RLDHHLFEAKCJAM-LBPRGKRZSA-N 407.392 4.813 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC[C@H]1c1ccc(Cl)cc1 553315409 DEROZTYYGKXJKD-FQEVSTJZSA-N 402.881 4.782 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3c(F)cc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 554276556 WVBZHVZSYJQYDC-ZDUSSCGKSA-N 424.407 4.644 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)C1CCC(F)(F)CC1 558300835 CDKFXNABOFORNO-UHFFFAOYSA-N 403.429 4.857 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 559294219 DWICHCWNQBMZRC-QGZVFWFLSA-N 419.481 4.724 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1CN(C)C(=O)c1cccs1)c1cccc([N+](=O)[O-])c1 562400539 KRAUNBMPUOMACI-OAHLLOKOSA-N 423.494 4.671 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC(c2nc(CCC3CC3)no2)CC1 573533324 IYVGMJQZWGAKTH-UHFFFAOYSA-N 410.396 4.723 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Sc2ccccn2)cc1 576992164 VZENWHAKQBKZFY-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC=C(c2cccnc2)C1 583555842 LAXABBLBMIZFKZ-UHFFFAOYSA-N 400.438 4.663 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])cc1Br 584401266 NKMOWLFHDXCBCZ-UHFFFAOYSA-N 417.712 4.825 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccccc2OCc2c(C)noc2C)n1 589127209 NKDGADLXFZQENN-UHFFFAOYSA-N 406.398 4.804 5 20 HJBD COc1cc(OC)c(C2CCN(c3c(Cl)cccc3[N+](=O)[O-])CC2)c(OC)c1 590078833 QLJNUFTXUISOPQ-UHFFFAOYSA-N 406.866 4.658 5 20 HJBD COc1ccc([C@H](N[C@@H](C)CC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604478641 CLNSYNICHDVUOU-BXKMTCNYSA-N 419.481 4.700 5 20 HJBD O=C(Nc1ccc(NC2CCCCC2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604539621 WLAGAPWUBPYSJI-UHFFFAOYSA-N 405.458 4.777 5 20 HJBD Cc1ccccc1NC(=O)[C@@H]1CCc2ccccc2N1C(=O)c1ccccc1[N+](=O)[O-] 608872915 UVRVLVQFCWMHCU-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD CC[C@H](NC(=O)c1ccc(C(=O)N[C@H](CC)C(C)(C)C)cc1[N+](=O)[O-])C(C)(C)C 609241114 SILILEJKFQZCSR-MSOLQXFVSA-N 405.539 4.704 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cc(F)cc([N+](=O)[O-])c1 609727065 SXDJNBLYVYQWPK-NDEPHWFRSA-N 404.463 4.568 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)c3n[nH]c(C)c3[N+](=O)[O-])cc2)c1 609994750 YKOLDWUOZSQTMA-UHFFFAOYSA-N 408.458 4.889 5 20 HJBD C[C@H](CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Cc1cccs1 610191155 IBYUJMVESHCICI-NSHDSACASA-N 418.462 4.762 5 20 HJBD O=C(Nc1ccccc1-n1cncn1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 610880784 LHNLKQOGGGZNPU-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CC(C)N1CCC(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)CC1 610944409 AVNUKEHXHBGGMG-UHFFFAOYSA-N 405.545 4.866 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2c(F)cc(Br)cc2C1 613300114 AOSFTBOTXGGZNI-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccnn1C 616014396 CUECNBOLYNSEBP-GOSISDBHSA-N 416.547 4.533 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc2ccccc2c1 725986422 XFILZZLKUAHUAG-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 726606005 PRTDCWHZLHJRCR-INIZCTEOSA-N 415.490 4.592 5 20 HJBD CCC[C@@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1cccc(C(F)(F)F)c1 726758162 PNQZNYVZCAQEDI-QGZVFWFLSA-N 420.391 4.621 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1OCCC(C)C 727707735 FBVXACCXVCDYBO-CYBMUJFWSA-N 422.428 4.798 5 20 HJBD CCC(CC)CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 729432269 ANGDUOBEOZIGOD-UHFFFAOYSA-N 403.866 4.667 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(OCc3ccc(C)cc3)c2)ccc1[N+](=O)[O-] 732014042 YHCFFDAOCNWFPX-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 734802984 QGJOUYYSPUGNPO-UHFFFAOYSA-N 408.426 4.538 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc(OCc2ccccc2)ccn1 742579274 QEVHQWWCQODYLA-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD Cc1nn(CN2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c2ncc([N+](=O)[O-])cc12 749939310 PLMLPIJAANCLTG-QGZVFWFLSA-N 406.273 4.749 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cccnc3Cl)cc2[N+](=O)[O-])n1 750637924 WZZKSFCDNXKLED-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)NCc1cc([N+](=O)[O-])ccc1Cl 756992648 FXOXGQJQHKINLM-UHFFFAOYSA-N 410.282 4.760 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2)o1 757932965 QRHMHRSAJUKKRL-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1C(C)C 760913927 QPSPMSWRTHPDBD-UHFFFAOYSA-N 423.311 4.976 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)n1 763280752 UAFYBEFCZJJOGJ-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1csc(Br)c1)C1CC1 764326925 QQAKIINUTLNCQT-UHFFFAOYSA-N 415.696 4.877 5 20 HJBD COc1cccc(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 768021978 FOSRHATWZWMDKA-HXUWFJFHSA-N 406.438 4.522 5 20 HJBD O=[N+]([O-])c1ccc2nc(/C=C\c3cc4ccccc4nc3N3CCOCC3)ccc2c1 769746870 ONZZSAZOYLFJSS-VURMDHGXSA-N 412.449 4.698 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cc(F)c([N+](=O)[O-])cc1F 770427647 SNWMCTWEDPZQTR-LJAQVGFWSA-N 422.453 4.707 5 20 HJBD COc1cc2c(cc1NC(=O)CN[C@H](C)c1cccc([N+](=O)[O-])c1)oc1ccccc12 775188000 WFPOGWASTSTYSS-CQSZACIVSA-N 419.437 4.792 5 20 HJBD CCOc1ccc(SCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131563 FFNAHCKYMGSTFH-JOCHJYFZSA-N 424.478 4.813 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](Nc1ccc(OCc2noc(C3CC3)n2)cc1)C1CC1 777688155 LUSNCWYDCPCHDK-FQEVSTJZSA-N 420.469 4.867 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)COc1ccc(Oc2ccccn2)cc1 778758502 UOSSADFBTNCBMI-MRXNPFEDSA-N 408.410 4.774 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 779162337 NKKQLZOWULLCGS-NVXWUHKLSA-N 415.490 4.590 5 20 HJBD CSc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1F 784141530 CAAFGLGQYKQZLR-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1F 790292148 WNONQKHZTWYOOX-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 797607165 FYWZRXTWNDWOQR-UHFFFAOYSA-N 413.865 4.775 5 20 HJBD Cc1ccc(OCc2ccccc2)c(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 799503296 LBTVMKIQRMSLDK-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD O=C(Cc1cccc(Cl)c1F)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 810656985 QJXZKIJUBDXORQ-HXUWFJFHSA-N 400.793 4.658 5 20 HJBD O=C(NCCO)c1ccc(NCc2c3ccccc3cc3ccccc23)cc1Cl 811839096 MYYVKQBJVRWUET-UHFFFAOYSA-N 404.897 4.981 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NC/C=C\c2ccccc2[N+](=O)[O-])CC12CCC2 813785734 HPTXLGFBNUXAQD-KKMIYCERSA-N 401.507 4.520 5 20 HJBD O=C(NCCc1ccc(Br)cc1Cl)c1cccc(Cl)c1[N+](=O)[O-] 819192651 QAEJLXCOTVDXGM-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 914519967 DKCMQBCBUHFQHB-UHFFFAOYSA-N 413.361 4.699 5 20 HJBD CCOc1ccc(-c2nc(C(=O)OCc3cc(F)ccc3[N+](=O)[O-])cs2)cc1 919094047 NZNJNOGSXIBBNY-UHFFFAOYSA-N 402.403 4.613 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 921008846 MAYYEYOVVMUUHN-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD C[C@H](C(=O)Nc1cc(F)ccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 1324626622 YQNVBQLOXVWFSO-LBPRGKRZSA-N 403.410 4.823 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cccc2C(C)C)cc1[N+](=O)[O-] 5425236 NKLKHPWVZDIVFN-CQSZACIVSA-N 416.499 4.933 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cc(F)ccc1[N+](=O)[O-])oc1ccccc12 5994198 AXUPMMAZGRTLDL-UHFFFAOYSA-N 410.357 4.659 5 20 HJBD O=C(OCC(=O)N1CCc2sccc2C1)c1c2ccccc2cc2ccccc12 14213644 AVEGERYGYVNYNP-UHFFFAOYSA-N 401.487 4.796 5 20 HJBD C[C@H](OC(=O)c1occc1-c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 16537451 XXLIMXYRALSBFS-LBPRGKRZSA-N 414.801 4.692 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(-c2c[nH]c3ccccc23)o1 26363154 CSLUVHJWALSXIK-UHFFFAOYSA-N 423.454 4.555 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(COCCOC(C)C)c2)c1 65784878 HLKWAGRGJYVOLE-UHFFFAOYSA-N 404.488 4.511 5 20 HJBD CCCOc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)c1 71989862 SURKFZLKYOUCLV-UHFFFAOYSA-N 422.485 4.806 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Br)o1)Nc1ccc([N+](=O)[O-])cc1 195603335 WCGBYPXXPJFHDY-UHFFFAOYSA-N 416.231 4.584 5 20 HJBD O=C(O)C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(Cl)c1 426806282 JFCSEMSXTMLNDR-GOSISDBHSA-N 400.818 4.814 5 20 HJBD Cc1ccc([C@H](CC(=O)O)NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)o1 426806560 CGUWDNDGUACFRS-KRWDZBQOSA-N 414.389 4.733 5 20 HJBD C[C@H](NC(=O)c1cc2ccccc2c2cccnc12)c1nc(Cc2ccccc2)no1 427183875 FEGQTRNWPVCRKI-INIZCTEOSA-N 408.461 4.853 5 20 HJBD CC[C@@H](NC(=O)N1CCC(c2ncccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 428812571 ATYSYHWVDYKIBV-GOSISDBHSA-N 402.882 4.684 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2ccc(F)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 434229521 SKUIFRBPZXUYHU-QGZVFWFLSA-N 416.840 4.753 5 20 HJBD Cc1c(NC(=O)N(Cc2cccnc2)C(C)C)cc(Br)cc1[N+](=O)[O-] 435325889 BGLMRWLDHSPSIL-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD CC(C)(NC(=O)NCc1csc(CCc2ccccc2)n1)c1ccc([N+](=O)[O-])cc1 435526164 GTUWDLPYJNYVFL-UHFFFAOYSA-N 424.526 4.571 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccc(Oc2cccnc2)c(F)c1 436316454 ILBUNUXHDHMJJO-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 437472022 LQXYXQQYMCRKQE-CTYIDZIISA-N 412.795 4.872 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)c1cccc([N+](=O)[O-])c1 441252370 WBCBJODFLKGLEE-KPZWWZAWSA-N 413.865 4.732 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2O1 443559920 PQNKALMPRKXIBX-DEOSSOPVSA-N 400.434 4.831 5 20 HJBD CCO[C@@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(CC)CC 444177829 UCKJFQIRHCSUDA-RBUKOAKNSA-N 410.489 4.534 5 20 HJBD CCC(CC)[C@@H](CCNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)OC 444247356 LXIFKJVSWKQCLF-LJQANCHMSA-N 416.468 4.531 5 20 HJBD Cc1cccc([C@@H]2CCN(C(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)C2)c1 444298773 YXNPKWHLJJEPDX-MRXNPFEDSA-N 418.443 4.898 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)C2CC2)n1 460371452 BKDLFKSPYOAKNY-ZIAGYGMSSA-N 414.531 4.651 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 462632887 QUCMRNCPQOZMDI-VOBQZIQPSA-N 420.868 4.602 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 462988888 KJXGPCKXGWSLCZ-QFBILLFUSA-N 415.465 4.532 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)nc2c1CCCC2 463239931 FDOBAHVMTIAXSV-UHFFFAOYSA-N 400.504 4.572 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)c1ccon1 466547792 HWABLWTXUJOYBH-LLVKDONJSA-N 403.847 4.878 5 20 HJBD CCC[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 467834132 PCZBHQQSZKAABB-VGOFRKELSA-N 410.518 4.653 5 20 HJBD CCO[C@@H](CCNC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)C(C)C 470187312 GCCGLZWNSADYIK-INIZCTEOSA-N 423.560 4.692 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2ccc(N3CCC[C@H](C)C3)c([N+](=O)[O-])c2)c1 477617582 RTXGINDGXKNHJY-UWJYYQICSA-N 423.513 4.817 5 20 HJBD CC(=O)Nc1sc(NCc2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 478608356 HMBLWOXZMZVJGX-UHFFFAOYSA-N 402.863 4.942 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)CCC2 479546588 WVUGJVIBRAPGGE-SFHVURJKSA-N 416.547 4.655 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1SCc1cccc([N+](=O)[O-])c1 481402308 PNCYOCKOJUUQCG-UHFFFAOYSA-N 404.879 4.731 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)C2CC2)c1 481552697 UPRROLCNNXTUMB-UHFFFAOYSA-N 413.499 4.944 5 20 HJBD COc1ccc(-c2ccc(CNCc3noc(-c4ccccc4C)n3)o2)c([N+](=O)[O-])c1 483311689 WQWZQPRIKCVPIW-UHFFFAOYSA-N 420.425 4.512 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 485690141 VKRCIXONXHTZGF-ZETCQYMHSA-N 413.224 4.795 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cccc(F)c3c2C)c(C)c([N+](=O)[O-])c1 485979012 MZIYJNFCPKEPPZ-UHFFFAOYSA-N 402.403 4.604 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486119067 KJZKPTYZARGFGY-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nnc(CCC2CCCCC2)o1 488788150 WZIOQGOWCCAQQR-UHFFFAOYSA-N 404.492 4.530 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)c1ccccc1 489418176 IUVARACYPNVCJT-CQSZACIVSA-N 406.394 4.716 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCC[C@@H](c2ccccc2)C(F)(F)F)c1 490876193 VHDSZIKSLGTGOA-INIZCTEOSA-N 410.392 4.525 5 20 HJBD O=C(Nc1cc(F)c(OC(F)F)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 491449630 YDRFAWWJKWTZEV-UHFFFAOYSA-N 413.327 4.671 5 20 HJBD CCOC(=O)COc1ccc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 495080784 RPIUIGBAURZEPK-PXLXIMEGSA-N 404.422 4.521 5 20 HJBD O=C(c1cnc(Cc2ccccc2)s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498154261 WISPLEDMFXMXIY-FQEVSTJZSA-N 411.508 4.530 5 20 HJBD CC[C@H]1C[C@]1(NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(C)c1 501658298 VCPBSABXFGBHFX-YEJXKQKISA-N 420.412 4.568 5 20 HJBD CC[C@@H](C)n1c(SCC(=O)Nc2ccc([N+](=O)[O-])cc2)nnc1-c1ccccc1 504322742 XMBYVHLKANZGMB-CQSZACIVSA-N 411.487 4.555 5 20 HJBD CCOc1ccc([C@H](C)NCC2(c3ccc(F)cc3)CCOCC2)cc1[N+](=O)[O-] 504905996 RCLVMISSIZZGST-INIZCTEOSA-N 402.466 4.532 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)(F)F 505332023 ZTKUPTHRIJGLTP-UHFFFAOYSA-N 418.755 4.597 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H](C)[C@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 505990723 IMXZCCKWNQIKMR-BRFLTPSNSA-N 408.502 4.717 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 513575005 SPBRKOVCSLHSSM-MAUKXSAKSA-N 402.878 4.558 5 20 HJBD COc1cc(F)ccc1NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 513575935 DGPMVKKVZBRPPS-UHFFFAOYSA-N 412.417 4.942 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](C(F)(F)F)C4(CCC4)C3)o2)c1 516879635 QAORHAQFZCIDAR-QGZVFWFLSA-N 409.408 4.847 5 20 HJBD CCO[C@@H]1C[C@H](N(C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C12CCCCC2 517208112 UQLSOZJLPADHNF-RBUKOAKNSA-N 422.547 4.525 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3cccc([N+](=O)[O-])c3)o1)O[C@@H](C)C2 517540956 RDIGODABMODXQO-HNNXBMFYSA-N 408.454 4.867 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 517579255 DJNZWMADAFAHMN-DLBZAZTESA-N 416.359 4.542 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Oc2ccccc2)nc1 518644346 JIDCFRLOJWIEKY-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)cc1[N+](=O)[O-] 519889619 AXMOTGWDCFYHMP-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD O=C(Nc1nnc(Cc2ccccc2F)s1)c1cc2cc([N+](=O)[O-])ccc2s1 521716950 NPBVOUQLRWIHTC-UHFFFAOYSA-N 414.443 4.643 5 20 HJBD C[C@H](NC(=O)N1CCSC[C@H]1c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 522613485 PXJVWZLBAVNVHG-UGSOOPFHSA-N 405.907 4.809 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1csc(C2CC2)n1 524023340 WIOUVJVWLNIAJR-UHFFFAOYSA-N 421.438 4.627 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC1(c2cccc(Cl)c2)CCOCC1 537642197 DTANEKNGMWZWDK-UHFFFAOYSA-N 416.905 4.652 5 20 HJBD O=C(c1coc(-c2ccccc2Cl)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 538458667 MLOODURLMVCYFQ-LJQANCHMSA-N 415.858 4.791 5 20 HJBD CCc1c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cnn1C(C)(C)C 539352755 PVHZTXZTURUZRB-UHFFFAOYSA-N 413.503 4.509 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 542720331 YHVHQEDWWWWLMS-UHFFFAOYSA-N 418.812 4.940 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1oc2c(Br)cccc2c1C 542933004 RBRTUKRACLTGTC-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@@H]3CCCC[C@@H]32)cc1[N+](=O)[O-])c1ccccn1 542991080 VFLUQVJPIZIKTF-STHPQGGCSA-N 408.502 4.814 5 20 HJBD Cc1ccc(OCCCC(=O)Nc2ccc(CNc3ccc([N+](=O)[O-])nc3)cc2)cc1 549916736 YWURZPZNRBQNLV-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD CCn1c([C@@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 551057481 ZEXHDSKILQSUBE-NRFANRHFSA-N 417.469 4.957 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3cccnc3)no2)ccc1NCc1ccccc1Cl 551401148 VOYFTKPWFIZWJG-UHFFFAOYSA-N 421.844 4.896 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccccc1OCc1ccncc1 583044317 FYTUSLQCMRQMLC-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n(-c2cccc(Cl)c2)n1 585716216 SEWVPSOOGWMCOG-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccccc3)c2)nnn1-c1cccc([N+](=O)[O-])c1 603492495 CYYATKNOOVPTHA-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCc1sc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)nc1-c1ccccc1 604470260 RWPPBPUNMUATRM-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD CCCN(Cc1ccccc1F)C(=O)Nc1cc(OCC)c(OCC)cc1[N+](=O)[O-] 604499813 HDGVCOFSHGUHJK-UHFFFAOYSA-N 419.453 4.975 5 20 HJBD O=C(Nc1ccccc1SC1CCCC1)c1cc([N+](=O)[O-])cc2c1OCCO2 608937042 WOWLDVSSCPLUGQ-UHFFFAOYSA-N 400.456 4.653 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C(C)(C)C)nn2-c2ccccc2C)cc1[N+](=O)[O-] 609011395 UOWATEITXGQZSN-UHFFFAOYSA-N 422.485 4.576 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2F)c2ccccc2F)cc1[N+](=O)[O-] 609228854 SQSBFKWYEZSUCE-UHFFFAOYSA-N 412.392 4.658 5 20 HJBD CN(CCc1ccc(Br)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 609301853 RLUUMMXOZDHEPJ-UHFFFAOYSA-N 419.300 4.887 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548335 SQUQYASEVHCTSB-QFIPXVFZSA-N 417.396 4.507 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2Cl)C(C)C)c([N+](=O)[O-])cc1OC 609645461 YGXBWSCCXHWWCO-IBGZPJMESA-N 406.866 4.783 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc2c(nc1O)CCCC2 609755682 PBNPRRLZOVIEAO-UHFFFAOYSA-N 405.410 4.619 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)ccc1OCC(F)(F)F 609976213 AKZWWMUQOFBZSH-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)C(C)(C)c4ccc([N+](=O)[O-])cc4)CC3)c[nH]c2c1 610060728 FWPHNDJRAZVJRJ-UHFFFAOYSA-N 403.482 4.978 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H]3c3ccccc3Br)nc2c1 610676292 SGYDLUPEXHGNKL-MRXNPFEDSA-N 402.248 4.836 5 20 HJBD C[S@](=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611206134 AWQPPDXNTYHDOB-NDEPHWFRSA-N 418.540 4.760 5 20 HJBD O=C(Nc1nc2ccc(Cl)cn2n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207606 UWMKYRZMOQSQFP-UHFFFAOYSA-N 417.878 4.578 5 20 HJBD COc1ccc([C@@H](CC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 617339741 QGUKJLFAOQDYMZ-GOSISDBHSA-N 412.467 4.638 5 20 HJBD O=C(Nc1ccccc1SCc1ccccc1)c1cc([N+](=O)[O-])cc2c1OCCO2 727296637 GWKXKMDWRCTLAZ-UHFFFAOYSA-N 422.462 4.911 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2ccc3c(c2)OCO3)C2CCCCC2)cc1 728230673 DTIXHTCDUZYNOE-UHFFFAOYSA-N 413.499 4.855 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1C[C@H]1c1cccc2ccccc12 730877257 QTNNJBGLUNWKCT-VQTJNVASSA-N 415.405 4.645 5 20 HJBD O=Cc1ccc(OCC(=O)N2CCCCC[C@H]2c2ccc(Cl)cc2)c([N+](=O)[O-])c1 735277602 FZGJQYQLVXASNF-SFHVURJKSA-N 416.861 4.583 5 20 HJBD CC[C@@H](CC(=O)OC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1)c1ccccc1 739384405 IWHCSPCQVUSIOY-KRWDZBQOSA-N 412.486 4.699 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN(Cc3ccc(F)cc3)CC(F)(F)F)c2)cc1 742171431 HLDVBKRNSXOXPF-UHFFFAOYSA-N 408.355 4.619 5 20 HJBD C[C@H](CSCc1ccccc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760536620 IIPWWEWVWXECKN-OAHLLOKOSA-N 412.467 4.863 5 20 HJBD C[C@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@H](C)c1ccccc1C(F)(F)F 767123851 ULPUYEYTCOKOQA-UWVGGRQHSA-N 402.319 4.814 5 20 HJBD COc1cc([C@H](C)NC[C@@H](O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 775031330 IKBSNFDRFBPXCS-HTAPYJJXSA-N 422.481 4.567 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 783929373 ZYFMXPYGNACDRQ-UHFFFAOYSA-N 423.878 4.572 5 20 HJBD CC(C)c1ccc(C(=O)Oc2ccc(-c3noc([C@H]4CCCO4)n3)cc2)cc1[N+](=O)[O-] 784092733 BSWLROBACVXYPR-LJQANCHMSA-N 423.425 4.839 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790873889 HMIDRMOHAZQGHP-UHFFFAOYSA-N 415.646 4.664 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 792692713 VLBNUTKQSXYVEN-CQSZACIVSA-N 413.282 4.634 5 20 HJBD Cc1cc(C)cc([C@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 801534747 YQJYUEZYQAHDMT-HXUWFJFHSA-N 422.506 4.826 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1NCCCc1ccccc1 801962113 WSUXODIWAWBHOF-UHFFFAOYSA-N 408.433 4.613 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813135091 ZKTGUEXQCQASJC-JDNHERCYSA-N 401.221 4.547 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 814331647 MQMILCYJOYKBEU-MRXNPFEDSA-N 403.276 4.549 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 814775609 OZHCFVXCXPBVLJ-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 11025169 YXINQLVAKVQYAJ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1Cc1ccccc1 12041713 SALSSJAJERAQTB-UHFFFAOYSA-N 421.409 4.663 5 20 HJBD C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Br)cc1 42295658 UKKMDQSQUULCIE-SNVBAGLBSA-N 414.097 4.971 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 46686897 IBRVARQSRKGECH-UHFFFAOYSA-N 400.456 4.615 5 20 HJBD Cc1sc(CNC(=O)NCc2ccc([N+](=O)[O-])cc2)nc1-c1ccc(Cl)cc1 56791011 LYTXASYJHBCEQN-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD O=C(c1cc(N2CCC[C@H]2c2nc3ccccc3s2)ccc1[N+](=O)[O-])N1CCCC1 301191524 ZOOLHZGKANWCKF-IBGZPJMESA-N 422.510 4.782 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccccc3)Cc3ccccc3)o2)cc1 301492556 LMIJIFNBYKMQHE-UHFFFAOYSA-N 400.438 4.847 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1noc(Cc2ccccc2)n1 301848219 CHOUYFYSTJJLIJ-OAHLLOKOSA-N 401.426 4.804 5 20 HJBD CC(C)[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 302213865 ZJJVRZKPVHVNDC-AWEZNQCLSA-N 422.450 4.888 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Br)s3)no2)c(Cl)c1 303558300 MWQVCIJPFMADRP-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD Cc1cc([C@@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C(=O)O)ccc1Cl 426781923 DXDZPRWAACYKDR-QGZVFWFLSA-N 417.874 4.596 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H](C)c2cccc(O)c2)c2ccccc2)c([N+](=O)[O-])c1 429311975 AKMRCPMCELYVKC-OPAMFIHVSA-N 405.454 4.639 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@@H]3CCC(F)(F)C3)cc2[N+](=O)[O-])n1 431097111 ASYYBNIBUFMSBH-LLVKDONJSA-N 413.471 4.676 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOC[C@@H](C2CCC2)C1 433165414 BYTOUESXVVCIGX-KRWDZBQOSA-N 411.458 4.668 5 20 HJBD COc1cccc(-c2noc([C@H](C)NC(=O)c3cc4ccccc4c4cccnc34)n2)c1 437130543 IXKIFZTZPWMITL-HNNXBMFYSA-N 424.460 4.938 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437802743 SBHAOKXHOQMBJC-UHFFFAOYSA-N 424.482 4.827 5 20 HJBD O=C(NCCc1ccc2c(c1)CCO2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440475795 FWOLOVRIYGXOJP-UHFFFAOYSA-N 420.490 4.653 5 20 HJBD O=[N+]([O-])c1cc(F)c(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)c(F)c1 440599623 VAUXRJYLLRUWTI-UHFFFAOYSA-N 414.412 4.605 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2O1 443569801 VXNYCJUZPYMMJI-QGZVFWFLSA-N 407.220 4.507 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 443846634 ZYPFGNKQZNDHGJ-SFHVURJKSA-N 421.478 4.596 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n(C)n1 444106672 PVEWCGFLOFWGMG-UHFFFAOYSA-N 408.461 4.643 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(Cc2nc4ccc([N+](=O)[O-])cc4[nH]2)C3)cs1 444676869 RRSZJPFSNAXRIS-UHFFFAOYSA-N 411.512 4.523 5 20 HJBD O=C(Nc1nc(-c2cccs2)ns1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444769300 HWKOQVRUMMPJCR-UHFFFAOYSA-N 422.466 4.653 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 445482307 SGLDZZDARXMOBS-UHFFFAOYSA-N 402.432 4.868 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Oc1cncc(Br)c1 447680186 GKSYPYRPPQNKND-UHFFFAOYSA-N 414.084 4.594 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(OC)cc1)C(F)(F)F 462600381 FEGNQRBNNRZUJF-KSSFIOAISA-N 410.392 4.592 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 471581062 MGOIDYOAHCCNHI-SNVBAGLBSA-N 419.800 4.628 5 20 HJBD CSc1nnc(CCCNc2nc3ccccc3cc2[N+](=O)[O-])n1C1CCCC1 473519968 CAXGNUOMMPRZMM-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@@H](c2cccc(F)c2)C1 478250268 LLWZVMSHWYUMLP-QUCCMNQESA-N 414.480 4.746 5 20 HJBD COc1cc(CSCc2ncc(Cl)s2)c([N+](=O)[O-])cc1OCC(F)F 478919217 KJPHLRYCGRPNAV-UHFFFAOYSA-N 410.851 4.791 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccccc2-c2ccc(Cn3cccn3)cc2)c(F)c1 480024646 UQFZQNCROJMYPD-UHFFFAOYSA-N 416.456 4.936 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](C)[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480156898 CPBHRZOWUJJKNA-CHWSQXEVSA-N 404.850 4.920 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(COCc3ccccc3)c2)c1 480293772 SGUKZMWMKACSPC-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD CC(C)(NC(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 482439983 RQZIIPZCFZPVRQ-HNNXBMFYSA-N 417.334 4.711 5 20 HJBD Cc1ccc([C@H](C)N[C@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cc1[N+](=O)[O-] 483442575 KKZWVNBFPRVDBZ-GAJHUEQPSA-N 424.522 4.747 5 20 HJBD COc1ccc(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)cc1[N+](=O)[O-] 483889379 SGMXXRKJJJILFS-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCc4cc(Br)ccc4C3)co2)cc1 484001487 FEPRLGABOWRJKA-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)cn1 487384436 IAMDZRSZWDHEDY-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD CC[C@@H](NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1)c1cccc([N+](=O)[O-])c1 489257580 PZSXUVJOQGNTAZ-OAQYLSRUSA-N 424.432 4.872 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(-c2ccncc2)cc1 489805441 XXUKEOKSUWZJFM-ZDUSSCGKSA-N 413.380 4.749 5 20 HJBD COc1ccccc1C[C@H](C)N(Cc1ccccc1)C(=O)Cc1ccccc1[N+](=O)[O-] 491505483 DPVKSDSJAUGJTF-IBGZPJMESA-N 418.493 4.806 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1OCCc2sccc21 492109755 SNDRMJXOLLGWRG-KZULUSFZSA-N 401.513 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2OC(F)F)C(F)(F)F)c1 499352432 YJOAAEXPEWZIEU-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 501176202 OSPWMVBUVHSPKQ-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 501554112 LODNHVKOSLPVSV-UHFFFAOYSA-N 424.400 4.516 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@H]1CCCOC1 504861037 GZTALXDEYIHWHO-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 505750704 MMUTWFJDHUKGCJ-UHFFFAOYSA-N 404.426 4.526 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@@H](c2ccsc2)C1 507278314 IEYLBHRXPSGALS-SUMWQHHRSA-N 406.529 4.761 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC(F)(F)F)cc1 509547727 AJRNCYNLSCWEIY-UHFFFAOYSA-N 411.380 4.978 5 20 HJBD C[C@@H](CC(=O)Nc1cc(C(F)(F)F)ccc1Cl)NCc1ccccc1[N+](=O)[O-] 512129772 JLULPNLUBXOHEM-NSHDSACASA-N 415.799 4.774 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)Cc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 512147014 RWNTZRHOBYTNTG-UHFFFAOYSA-N 400.862 4.505 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CC[C@@H]4CCCC[C@H]4C3)cc2)c(C)c1[N+](=O)[O-] 514485511 SHEUNPJUJPSINA-SESVDKBCSA-N 410.518 4.545 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](CN3CCCC3)c3ccccc3)o2)c([N+](=O)[O-])c1 520191927 SKUHWYKYJBBXQX-QFIPXVFZSA-N 421.497 4.790 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnc[nH]4)cc3)cc2[N+](=O)[O-])C1 520614577 WEINITCPRFQQOR-HOTGVXAUSA-N 419.485 4.720 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1 521764145 GRQGHCMHDOXDNL-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2)ccc1OCCC(C)C 522238399 HSLQNTGSAASXCQ-INIZCTEOSA-N 415.490 4.589 5 20 HJBD CCCN(C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)[C@H]1CCCN(Cc2ccccc2)C1 522326519 MNMBHTWQEUHDOA-CVDCTZTESA-N 424.545 4.742 5 20 HJBD CC(C)(C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc([N+](=O)[O-])cc1 523498193 YUTTYUORQDNACS-UHFFFAOYSA-N 417.437 4.837 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc2c(c1)CCO2)C1CCCC1 524388233 QVEDDRXLEBSONI-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD COc1cc(CN(C)C(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 525162302 HWQULFYOWAKZCP-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c3c2CCC3)c(F)c1 530781313 NNXBRMBAFGTPNL-UHFFFAOYSA-N 408.433 4.586 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)Cc1ccccc1F)Nc1ccccc1F 533351708 XDCVCSVDRQEXQD-UHFFFAOYSA-N 411.408 4.514 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccc(Oc2ccc(C(F)(F)F)cn2)cc1 534804160 PLBHKNHOXOLJBS-UHFFFAOYSA-N 419.315 4.759 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(C(=O)N(C)c3ccccc3)cc2)cc1[N+](=O)[O-] 535295902 YIWLOEUSIUODAO-QGZVFWFLSA-N 417.465 4.671 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(Cn4cncn4)cc3)o2)c(Cl)c1 535840903 JWIZGBPKRFMAPG-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1cc(F)ccc1F 536302182 MJPXLJBDQVXUPR-LLVKDONJSA-N 419.409 4.788 5 20 HJBD Cc1ccc([C@@H](C)Nc2ccc(NC(=O)CN3CCCCCC3)cc2)cc1[N+](=O)[O-] 538538257 WDSPYXFFXZUQEO-GOSISDBHSA-N 410.518 4.891 5 20 HJBD CCOc1ccc([C@H](C)Nc2cccc(CN3CCSCC3)c2)cc1[N+](=O)[O-] 538586969 IJVSFXRIZJNOEF-INIZCTEOSA-N 401.532 4.715 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)oc12 541583635 VFUWYLREICXLER-CHWSQXEVSA-N 400.406 4.860 5 20 HJBD C[C@@H](C(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543459769 XAFQSDLZAAIWBC-ANRSDYALSA-N 406.282 4.535 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(Cc3c[nH]c4ccc(Cl)cc34)no2)cc1 546459931 ZTPFSKOOKAYDSB-UHFFFAOYSA-N 411.849 4.748 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCc2cnc(-c3ccc(Cl)cc3)s2)n1 551816935 FEPAHCIUVRFOEH-SJORKVTESA-N 417.922 4.842 5 20 HJBD O=[N+]([O-])c1cccc(F)c1Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1 553435609 JZLWBTKQBDZFIA-UHFFFAOYSA-N 400.431 4.593 5 20 HJBD CC(C)(C(=O)Nc1ccc(Sc2nncs2)cc1)c1ccccc1[N+](=O)[O-] 555836163 IRDVMYOPALTJDZ-UHFFFAOYSA-N 400.485 4.514 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H]2c3ccccc3CC23CCOCC3)c1Br 558598126 ABVOYDAJOYMTJU-QGZVFWFLSA-N 418.291 4.567 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1Br 565943193 NBSWLXYXVCCTKW-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCS(=O)(=O)c1ccc([C@H](C)Nc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc1 576132170 KLUUUTIQNKORQC-AWEZNQCLSA-N 406.504 4.739 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Cl)cc2-c2cccc(Cl)c2)c1[N+](=O)[O-] 582221093 LADJOMKDKMFZNJ-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CCC(F)(F)[C@@H](C)C2)c([N+](=O)[O-])c1 583387616 ZFCVBNCUMVWXFQ-KXBFYZLASA-N 403.429 4.560 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CCOCC2)[C@H]1C1CC1 584370334 LZYHJOLWLWPYFP-LJQANCHMSA-N 404.532 4.518 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]3C[C@H]32)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 586890107 KHHHQHMBPBFVKS-SWLSCSKDSA-N 402.834 4.674 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(F)cc1Br 589082282 AQXIXMRGMPGZFO-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD CCCCOc1ccc([C@@H](C)Nc2cc3ncnc(O)c3cc2[N+](=O)[O-])cc1OC 603867152 XSCCESMYAFIIGZ-CYBMUJFWSA-N 412.446 4.604 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1nc(C2CC2)c(Cc2ccccc2Cl)s1 608849563 BVZJDHXVOVOMDW-UHFFFAOYSA-N 416.890 4.764 5 20 HJBD CCC[C@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 609178831 GYMDLHPLMFJBIC-JXFKEZNVSA-N 419.485 4.829 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)N[C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O 609918561 AAFNLOYLYUCQKZ-NHCUHLMSSA-N 421.522 4.644 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC(c2ccc(F)c(F)c2)CC1 619805641 QIGPOYNAAJIODX-UHFFFAOYSA-N 413.428 4.535 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 727587221 ZKYXODMFDQXZLC-UHFFFAOYSA-N 416.909 4.624 5 20 HJBD CCN(c1ccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1)C(C)C 728455492 SHSKLGZZUPWVHI-UHFFFAOYSA-N 403.504 4.812 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)cc1[N+](=O)[O-] 728834979 HAEIJWLKOCRZSF-ZDUSSCGKSA-N 403.460 4.803 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1nc(-c2ccccc2)n2c1CCCCC2 728991987 KYPKXLSOUVYUPN-UHFFFAOYSA-N 409.417 4.681 5 20 HJBD O=C(C[C@@H]1CCc2ccccc21)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 734387296 PYRRFXLIHZGNSD-KRWDZBQOSA-N 416.433 4.873 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)no1 735803178 ZOBVABLOWHCZCD-UHFFFAOYSA-N 418.862 4.793 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NCc2ccc(OCC(F)(F)F)cc2)cc1Cl 741751986 VJRBJRWGCFILBG-UHFFFAOYSA-N 418.755 4.588 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])c1 744073432 CIQOCSGGWSJVTQ-UHFFFAOYSA-N 423.828 4.664 5 20 HJBD Cc1c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)oc2c1C(=O)CCC2 745344594 FYFYGAKSTJWBLL-UHFFFAOYSA-N 402.834 4.725 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])c2)cc1 751110064 GNMKZEIZPCEQOP-UHFFFAOYSA-N 410.401 4.712 5 20 HJBD Cc1ccncc1/C=C\C(=O)O[C@@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-] 753471035 CBHRMYJIQJEYOK-KKMIYCERSA-N 419.275 4.506 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCO2)cc1 757533944 VUZDGIMUUPLFOV-QAPCUYQASA-N 409.360 4.999 5 20 HJBD Cc1nc(C(F)(F)F)c(COC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)s1 759451657 NPVLYZZEKKNXQH-QMMMGPOBSA-N 406.407 4.603 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)[C@@H](C)c1cccc(C(=O)c2ccccc2)c1 761494509 BYYZQAUGUJPANR-HNNXBMFYSA-N 405.406 4.544 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@@H](OC1CCOCC1)c1ccccc1 761528564 WZCRXOQIGVALFQ-YCRPNKLZSA-N 404.894 4.663 5 20 HJBD CS[C@@H](C)CC(=O)O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 766915365 GTCXPRPAUSCKNP-SGTLLEGYSA-N 422.890 4.613 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(N(C)C3CCCCC3)c(F)c2)c1[N+](=O)[O-] 771297361 GAZCNUIZDJROGQ-UHFFFAOYSA-N 403.458 4.602 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ncc(Cl)n1C 773890752 YBFIMFIFXHJJCY-LBPRGKRZSA-N 401.806 4.692 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 777661866 YATDDZJCASXAJA-UHFFFAOYSA-N 412.559 4.537 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])c(Cl)c1 778213291 FCKUFYRPQAQVRH-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD O=C(Nc1c(O)c(Cl)cc(Cl)c1Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 778509337 RJTJXNUZUZRJPK-UHFFFAOYSA-N 411.575 4.991 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780404824 GDQGQXOLTINMAO-UHFFFAOYSA-N 419.431 4.812 5 20 HJBD CCC1(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)CCN(C(=O)c2ccccc2)CC1 781134502 JNYRYNINHOUCHI-KRWDZBQOSA-N 410.470 4.532 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 782425811 VJMSXBYWYFGANT-UHFFFAOYSA-N 415.349 4.886 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1Cl 790289141 BAOBFLMWHUHGFP-UHFFFAOYSA-N 421.856 4.866 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790558559 GURYRTAKSADIPA-BTYIYWSLSA-N 420.868 4.522 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1F 790565398 RDQLEPXVAOJFPO-UHFFFAOYSA-N 402.756 4.634 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnn(Cc3ccccc3)c2Cl)cc(C(F)(F)F)c1 790589720 DVTNIEBYIBNMED-UHFFFAOYSA-N 424.810 4.802 5 20 HJBD O=C(CSc1nc2c(Br)cccc2s1)Nc1ccccc1[N+](=O)[O-] 794455127 LQLSDHUZJXGSJM-UHFFFAOYSA-N 424.301 4.698 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H]2CCC(F)(F)C2)c2ccccc2)c([N+](=O)[O-])c1 796373052 IEIUKJDCJRKHTM-MAUKXSAKSA-N 418.396 4.562 5 20 HJBD Cc1cc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(F)cc1Br 809275428 JIGBIVKUOXZXGR-UHFFFAOYSA-N 419.609 4.779 5 20 HJBD CCOC(=O)[C@H]1CCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccccc21 813203060 XRDSKELXDAXWNY-ZDUSSCGKSA-N 423.252 4.599 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc3oc(CN4CCCC4)nc3c2)cc1[N+](=O)[O-] 820493763 WVVFDJAEEBVXRW-UHFFFAOYSA-N 408.458 4.708 5 20 HJBD CON(C)C(=O)c1c2c(nc3ccccc13)/C(=C\c1cccc([N+](=O)[O-])c1)CCC2 823072456 BMMHAWBTARHZDB-SSZFMOIBSA-N 403.438 4.653 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1cnc2ccccc2c1C(F)(F)F 875250692 HBTQHMKHASQHAC-UHFFFAOYSA-N 406.316 4.527 5 20 HJBD CC(C)C[C@@H](NC(=O)c1ccc(Cl)cc1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 904333355 MRFDQDBSRJLCCW-QGZVFWFLSA-N 414.849 4.815 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccccc2)c1 916422031 SDNRCJHUIGHIHG-HNNXBMFYSA-N 422.462 4.933 5 20 HJBD CC(C)COc1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1Cl 917811216 MRQSYPHBLIPNAT-UHFFFAOYSA-N 402.838 4.945 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Cl)c(F)c1 1253914175 WFAXCWPHDUPXJW-ZDUSSCGKSA-N 421.856 4.701 5 20 HJBD CC(C)OCc1nc([C@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)no1 1324208772 QUTMDNFUZXGSPA-LBPRGKRZSA-N 420.853 4.667 5 20 HJBD C[C@@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 9483470 ZLVIAQFSZQZBBR-MRVPVSSYSA-N 411.270 4.897 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](c2ccccc2)c2ccc(Cl)cc2)c([N+](=O)[O-])c1 20669794 WHUOHELASLITGO-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)c3cc(OC)c(OC)cc3[N+](=O)[O-])ccc21 45481324 WFOUSMHORCXIEL-UHFFFAOYSA-N 419.437 4.992 5 20 HJBD CCN(CC)C(=O)c1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1 49705024 NFYXAMZGWMMGIN-UHFFFAOYSA-N 411.505 4.800 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53509775 NZWWZUMUZNOABX-HRAATJIYSA-N 402.454 4.750 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCCc2cc(F)ccc21 58457311 LEOXTXQUAMIGGZ-UHFFFAOYSA-N 405.429 4.939 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc([N+](=O)[O-])cc1Cl 97543608 NGQWYXRQBGQGEU-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD O=C(Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1ccc(Cl)c([N+](=O)[O-])c1 108016098 PPYBDOGDQMRKPS-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD Cc1ccccc1C1(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCOCC1 237706618 BXLHVZCOUWFEFT-UHFFFAOYSA-N 423.538 4.865 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H]1CCc2ccccc21 248070311 SDPRASUETTTXHI-HNNXBMFYSA-N 410.417 4.852 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1 301327949 AALOAHONXSQHAV-QGZVFWFLSA-N 424.501 4.538 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 303434445 DAMKPMHQEKCJIE-JKSUJKDBSA-N 416.359 4.746 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@H]1CCOC1 410232859 XYIGEBVDTDZCCP-OLZOCXBDSA-N 406.891 4.554 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccc(Br)cc2)CC1 410417998 MFMJPLSLVYAECQ-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C[C@@]1(C(=O)O)CCC[C@@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426732311 SNNXCIUDCOGGDZ-AZUAARDMSA-N 404.850 4.774 5 20 HJBD COc1cccc(C(=O)NCc2ccc(Oc3ccccc3F)c(F)c2)c1[N+](=O)[O-] 435807024 HGKBUEFUBGOJMH-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD O=C(Nc1nc2c(s1)CCCC2)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435943848 QMWKPUSYXBQGJV-UHFFFAOYSA-N 411.433 4.547 5 20 HJBD Cc1cc(CN(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 436083558 OIOHYRJNCFZNDH-MRXNPFEDSA-N 422.460 4.883 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436145621 VWWFXUFNXAXCJE-UHFFFAOYSA-N 410.395 4.673 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCc2cc(OC(F)F)ccc21 437296707 YNOWJNSLTWKHDW-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD Cc1c(CC(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 438903416 QFFAHHTTWXUWBX-QGZVFWFLSA-N 417.465 4.575 5 20 HJBD O=C(c1ccc(C(F)(F)F)nc1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440597914 MICFLLSBOBOBIO-UHFFFAOYSA-N 401.344 4.856 5 20 HJBD COc1ccccc1-c1nnc(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)n1C 448108364 JYUHOBUOZCSMIT-UHFFFAOYSA-N 424.404 4.710 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCC(F)F 465385733 AJBSUYNYNYQNSC-UKTHLTGXSA-N 403.410 4.517 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCc2c([nH]c3c(F)cccc23)C1 467796766 HJPZVDSRYQJPQE-ZDUSSCGKSA-N 413.474 4.671 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@@H](C)CCc2ccccc2[N+](=O)[O-])CC1)c1ccccc1 468373292 PMASCPQOHHXSCU-CVDCTZTESA-N 423.557 4.690 5 20 HJBD CSc1cccc(C(=O)N2CCC(Oc3ccc(C)c(C)c3)CC2)c1[N+](=O)[O-] 485759926 ZJVDXMGYJCHAPC-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD CC(C)(C)c1ccc(CCCCC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)cc1 487005535 XYGOVKPBXVSVCD-UHFFFAOYSA-N 412.534 4.665 5 20 HJBD O=C(NCc1ccccc1CN1CCCCCC1)c1ccc(Cl)cc1[N+](=O)[O-] 493926974 RXKJMGDSMUOTFO-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1ccc([N+](=O)[O-])c2cccnc12 498178235 JHZLWZNWTNLYSS-UHFFFAOYSA-N 423.479 4.539 5 20 HJBD CC(C)N(Cc1ccc(-c2cccc(F)c2)s1)C(=O)c1ccc([N+](=O)[O-])n1C 501739173 KTKFAMQSHAVCNW-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD CC(C)(C(=O)Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccccc1[N+](=O)[O-] 501752090 PTLXSWIIIFFQEW-UHFFFAOYSA-N 416.890 4.607 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(-c3ccco3)n2Cc2ccco2)c(F)c1 506434794 WCNHPYWIRBNTBG-UHFFFAOYSA-N 418.381 4.658 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCCOc1ccc(Cl)cc1Br 506538437 GEFBQQXONLXGOP-UHFFFAOYSA-N 408.035 4.517 5 20 HJBD CCOc1cc(C(=O)N(C)C)ccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 508500531 NAEZICLLYSCORM-UHFFFAOYSA-N 409.442 4.567 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 511064491 KSKGJQIUFDBVPE-UHFFFAOYSA-N 421.375 4.823 5 20 HJBD CCO[C@@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)c1ccc(F)cc1C(F)(F)F 515092319 IYSINLURLCMMSC-CYBMUJFWSA-N 417.340 4.990 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(OCC(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 516328069 YXIKYLLFVBVSIL-OLZOCXBDSA-N 411.380 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(SCc2ccc(Cl)cc2)s1 520692676 XIDQQZIEEKZRPW-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD CCCOc1cc(NC(=O)C2(c3ccccc3F)CC2)c([N+](=O)[O-])cc1OCCC 522875090 YHAFANPMLIDXJA-UHFFFAOYSA-N 416.449 4.982 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc(Cl)cc([N+](=O)[O-])c4)CC3)c2c1 522937305 COLWQFROOYMZRC-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD CCCN(C(=O)c1ccccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)cc1F 525351342 YTQSVVAXZZQIEH-OAQYLSRUSA-N 411.408 4.910 5 20 HJBD O=C(Nc1ccccc1)c1ccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1 535501955 IVSANARXVCONSO-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc([S@@](C)=O)cc1 535951779 KOKZNEMQMIDNAW-INCQDFKNSA-N 424.478 4.616 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)CC(=O)N(C)Cc2cccc(Cl)c2)cc1[N+](=O)[O-] 536909335 JKWNSPFFVGGJHF-HZPDHXFCSA-N 403.910 4.644 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](CC(F)(F)F)c3ccc(F)cc3)o2)cc1 538176348 IRJSTDLETIPYIA-HNNXBMFYSA-N 410.327 4.567 5 20 HJBD CCc1ocnc1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252077 QVUNNBMDOAGOMJ-UHFFFAOYSA-N 400.460 4.572 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1c(F)cccc1Br 542232830 UMURRAFHGOZPBY-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2)n1 545886945 SNTLHJZZAQDBMP-UHFFFAOYSA-N 421.457 4.519 5 20 HJBD CC[C@H](NCc1cn2cc(Br)cc(C)c2n1)c1cccc([N+](=O)[O-])c1 546872194 LNBMAXUTLGMTIZ-KRWDZBQOSA-N 403.280 4.554 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3ccc4c(c3)CCC(=O)N4)o2)c([N+](=O)[O-])c1 549177783 IAUYUTFDVXLGBL-AWEZNQCLSA-N 421.453 4.599 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)Nc2nc(O)c3cc([N+](=O)[O-])ccc3n2)cc1 553410673 LZRMYVZZVWTSSC-AWEZNQCLSA-N 416.437 5.092 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2c(C)cccc2C)no1 570435667 OQJZSMJESZXYLM-KRWDZBQOSA-N 408.458 4.512 5 20 HJBD Cc1nc2c(cc(OC[C@H](O)COc3cccc([N+](=O)[O-])c3)c3ccsc32)s1 570646207 HSUAUWLANSRVRJ-CYBMUJFWSA-N 416.480 4.546 5 20 HJBD C[C@H]1CC[C@H](c2ccccc2)N(C(=O)COc2ccc([N+](=O)[O-])c3cccnc23)C1 572624449 KKBLBPHXIBYUDP-QFBILLFUSA-N 405.454 4.522 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2ccc(C)cc2C)cc1SC 590005685 GKXPAYNAZQNTIR-UHFFFAOYSA-N 402.516 4.650 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)cc1OC 604243517 ILOGTCWGMGKNFP-INIZCTEOSA-N 423.469 4.503 5 20 HJBD C[C@H](C[C@@H]1CCCO1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608837834 OCBUCTGWDLNRNU-OCCSQVGLSA-N 409.280 4.665 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21 609187612 QFUYAWXEQDKNMP-QGZVFWFLSA-N 411.458 4.973 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)sc2C)cc1 609547284 BXPXHMBFFPRMAR-UHFFFAOYSA-N 420.450 4.841 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCN(C)CC1 609583477 KQDWDFWFOQZHAO-CQSZACIVSA-N 417.893 4.501 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 609785941 SPDGQHICXXLEGK-IBGZPJMESA-N 412.877 4.524 5 20 HJBD Cc1[nH]nc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1-c1cccc(Cl)c1 612605475 KFYDNKSZAACHBE-SNVBAGLBSA-N 402.813 4.828 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 727642151 YHEQUBCPFCXTBG-UHFFFAOYSA-N 424.356 4.879 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2)nc1 733250804 LCVYVWACWOPSJX-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(Nc1ccccc1)c1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1Cl 734348390 QJPQJUOBSLUEOJ-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCCCC[C@@H]1c1ccc(Cl)cc1 735264306 NLQFKNWIXAOJMM-LJQANCHMSA-N 412.877 4.742 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C1CCCCCCC1 741518203 QNFPVOUCYPIIIQ-UHFFFAOYSA-N 400.479 4.742 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCc1cc(-c2ccc(Cl)cc2)no1 744615052 KPPCJQNSJYTKOY-UHFFFAOYSA-N 411.801 4.601 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H](C)c2ccco2)c2ccccc2)c([N+](=O)[O-])c1 745130749 ALJLPZTWKOXHDW-FOIQADDNSA-N 408.410 4.523 5 20 HJBD Cc1sc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(C(N)=O)c1-c1ccccc1 750178496 GFVCBVJZJUPNLB-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD C[C@@H]1CCC[C@@H](CC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 750237082 CBUMMBSTWGBWBF-JHJVBQTASA-N 416.396 4.700 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])ccc2s1 750564619 NDSSGKCJAOYBAF-UHFFFAOYSA-N 406.366 4.517 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)C(=O)Nc2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 752556742 GKCZAZDTNFDQAL-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Br 753835992 ISLZSILLNGPBGP-QGHHPUGFSA-N 407.239 4.550 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1cccc([N+](=O)[O-])c1Br 758972616 OWNWZHKJZWALSA-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD C[C@@H](c1nc(-c2ccnc(OCc3ccccc3)c2)no1)c1ccc([N+](=O)[O-])cc1F 761690747 DXCNPWWRIBVHDE-CQSZACIVSA-N 420.400 4.910 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1ccc(F)cc1Cl 765529073 NQVFCKVXGAXXHH-TZMCWYRMSA-N 422.840 4.526 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(SC(=O)N(C)C)c1 765529106 VSEBGAXFFUMJBW-QGZVFWFLSA-N 416.499 4.681 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H]1c1cc(F)cc(Br)c1 767153717 OKUGBYYOIAQEPG-OAHLLOKOSA-N 411.202 4.613 5 20 HJBD CCn1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc2ccc(Br)cc21 770904623 XJSZKPJHFSOGSO-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1coc(-c2ccccc2Cl)n1 776448505 SKDBGFZXCHAXDF-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD COc1ccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)c(OCc2ccccc2)c1 783962622 YBVNXDPHRCWXSZ-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 784444466 GXZJTEVYJJVLJB-WIYYLYMNSA-N 400.450 4.586 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 789428676 UQOKLLTZHFFFDK-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD Cc1ccc([C@@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)cc1 793251590 STLLOKXOPXHWBO-XMMPIXPASA-N 402.498 4.646 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](c2ccccc2)C2CCOCC2)ccc1Br 801530795 HHQUSESSKDYXQV-LJQANCHMSA-N 405.292 4.615 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(C(F)(F)F)cc1N1CCCC1)CC2 808696932 YHHUFJLJSJDPTC-UHFFFAOYSA-N 419.403 4.725 5 20 HJBD C[C@]1(C[C@H]2CCCN2Cc2c3ccccc3c(Cl)c3ccccc23)NC(=O)NC1=O 809705135 ONJKGNRGEQUUIH-OYLFLEFRSA-N 421.928 4.599 5 20 HJBD CC(=O)c1cccc(O[C@@H](C)C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811083135 GMNBNBAXXDPGAW-NSHDSACASA-N 412.225 4.615 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)ccc1-c1ccncc1 816835552 KSOKXJRFXKBVHR-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)c(F)c1 1319813425 XQTDFGMQJJBTNG-VHSXEESVSA-N 400.237 4.719 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCO[C@H](c2ccc(Cl)s2)C1 1324675170 YJKRWUZOKXBFQA-LBPRGKRZSA-N 420.796 4.542 5 20 HJBD CCCCCn1nc(-c2nnc(-c3cc(C)cc([N+](=O)[O-])c3)o2)c2ccccc2c1=O 1328167638 JYPUJWMGAQPJNM-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD C[C@H](OC(=O)CC12CC3CC(CC(C3)C1)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 8292024 BJYVAUHEAUJHGS-QRYOHXEKSA-N 411.458 4.856 5 20 HJBD COc1ccc(S[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 21116785 IWWGFTLZQJTFAM-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccc(F)c3)cs2)cc1OC 21669483 LQXFNIQMEULZJA-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD COc1cc(C(=O)NCC2(c3ccc(Cl)cc3)CCCC2)c([N+](=O)[O-])cc1OC 21691970 STWRGCONMJSTKK-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 27568174 SJHWKCIXWROYFQ-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCCCC1)c1cc2cc([N+](=O)[O-])ccc2s1 27920573 UJYHTKYGOCZLAE-UHFFFAOYSA-N 409.467 4.688 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1Br 64805902 OABCCGNBMUHTTJ-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(Cl)c1)c1cc2c(cc1[N+](=O)[O-])OCCO2 65695617 GAKXWUOEIOVUNK-UHFFFAOYSA-N 418.833 4.593 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 215710875 RODXMVOLQLTDHX-UHFFFAOYSA-N 416.462 4.956 5 20 HJBD COc1cc(CNCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)ccc1C 237222512 XPKFGODAJFTKQB-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](c3ccco3)N3CCCCC3)cs2)c1 238007701 WLJZAMZXZMJFAZ-IBGZPJMESA-N 412.515 4.628 5 20 HJBD Cc1cccc(NC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)c1 303877284 OASSYWFLRKDHMC-UHFFFAOYSA-N 406.486 4.677 5 20 HJBD Cc1cc(C(=O)N2CCC(c3ccc(Br)cc3)CC2)cc([N+](=O)[O-])c1 410406628 MBRMJQOBWAAHIN-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](c1ccccc1OC(F)F)C(F)(F)F 430216192 ICNMNSIMPPPEMP-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436314672 RIPQVJLJGSKSOU-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H](C)c2ccc(Br)s2)c([N+](=O)[O-])c1 436468443 GWFPREZKTSARIN-JTQLQIEISA-N 412.309 4.730 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2ccc(O)c([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 436975255 ISKWFSLGHNYXGQ-SNVBAGLBSA-N 417.874 4.877 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 438521517 DKSMOTPSAFAJRJ-KRWDZBQOSA-N 401.466 4.647 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 438947595 BNSBZPPWILTXTQ-GHTZIAJQSA-N 400.450 4.850 5 20 HJBD O=C(N[C@@H]1C[C@@H]2OCCC[C@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439027167 IKOAEPMYRXTRHB-JQHSSLGASA-N 418.902 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCc1ccc(Br)cc1 443949118 RPSZBFAYGNMQTN-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD Cc1ccc(NC(=O)Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c(C)c1 445363502 JMFLBQNQOHNCSD-AWEZNQCLSA-N 412.471 4.629 5 20 HJBD COc1ccc(CSCc2nc(Cc3nccc4ccccc34)no2)cc1[N+](=O)[O-] 445511052 CHWQCWGHXIQHCA-UHFFFAOYSA-N 422.466 4.559 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460523426 HGASPHDPGLFSNN-JOCHJYFZSA-N 423.557 4.989 5 20 HJBD NC(=O)c1ccc(NCc2ccccc2COc2ccccc2Cl)c([N+](=O)[O-])c1 462868128 ABLJHHMYRYQWIS-UHFFFAOYSA-N 411.845 4.538 5 20 HJBD COc1ccc2cc(CNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2c1 463356318 DRHMEYTZZLEAIK-UHFFFAOYSA-N 404.344 4.705 5 20 HJBD Cc1cccc([C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)c1 467228342 HKFVRIJVISBNEC-HXUWFJFHSA-N 410.861 4.540 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2nc3ccccc3cc2[N+](=O)[O-])CC1)c1ccccc1 473509163 CDXAKHWUQIWIRM-FQEVSTJZSA-N 418.497 4.740 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)CCC2 479545224 QHMTTWRSGOMVKR-CJNGLKHVSA-N 402.520 4.702 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCc1cccc([N+](=O)[O-])c1C 481469253 SZHLLUQBKOHWIT-UHFFFAOYSA-N 419.359 4.815 5 20 HJBD CSc1nnc(CCCNc2ccc3ncccc3c2[N+](=O)[O-])n1C1CCCC1 484970956 RFPKUFMIJJSHLH-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD CC1(C)[C@@H](C(F)(F)F)CCN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485683671 JMRRYTQEMHTWIO-SFHVURJKSA-N 422.403 4.977 5 20 HJBD COc1ccc(Br)c(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 487196931 SCZZPHIAIMDRAD-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD C/C(=C/c1ccccc1)CN(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 494896730 WOCCVBCATZOJIU-UVTDQMKNSA-N 408.376 4.711 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccs2)ns1 499352680 UCEGLGGVOMUFAW-UHFFFAOYSA-N 406.514 4.928 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C 502530061 OXUYYHCEVNELAC-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1)C(C)(C)CO 507289213 FCTFNDWWQXCTRO-IBGZPJMESA-N 416.543 4.629 5 20 HJBD Cn1ccnc1[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 513713963 WAGCGBBIAVLXCU-IBGZPJMESA-N 418.419 4.659 5 20 HJBD NC(=O)c1ccnc(NCc2ccccc2-c2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 516849485 ZXTWAKXOMSZCPX-UHFFFAOYSA-N 417.252 4.675 5 20 HJBD CS(=O)(=O)c1ccc(NCC2(Sc3ccccc3)CCCC2)c([N+](=O)[O-])c1 517602199 PZVKPFZEAWJLHJ-UHFFFAOYSA-N 406.529 4.515 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2nc3cc(Cl)ccc3s2)c([N+](=O)[O-])c1 518791638 OUWLPFPHQNDUTO-UHFFFAOYSA-N 404.879 4.608 5 20 HJBD CC(C)c1nc2cc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2o1 518825157 RXBDSTADEPTNKI-NSHDSACASA-N 403.822 4.919 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1)c1csc([N+](=O)[O-])c1 524513714 YSXSTJWHVBURJN-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1ccc(-c2nc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)cs2)o1 525106257 LEIPHDOTSPZHOJ-SFHVURJKSA-N 401.469 4.508 5 20 HJBD C[C@@H](Nc1ccccc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 525405034 SQKXUEPPSXNRTG-GFCCVEGCSA-N 423.391 4.842 5 20 HJBD COc1ccc(C[C@H](C)CN(C)CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1 535625745 HEGOMAUGJKOKOK-KRWDZBQOSA-N 409.486 4.612 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1nc(-c2ccc(F)cc2)cs1 539279451 IDEHAYMPQDHQCH-STQMWFEESA-N 414.462 4.535 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cc2c(cc1Br)OCCO2 540191403 MVTIOHPGTGKXOE-NEPJUHHUSA-N 407.264 4.540 5 20 HJBD C[C@H](C(=O)NCc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 541614747 VTAMXWAFESLDBD-VIFPVBQESA-N 415.646 4.570 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](C)[C@H](C)c1ccccc1C(F)(F)F 542562534 PYTMOIHKBNYDDX-UONOGXRCSA-N 409.408 4.642 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@@H](COc2ccccc2Cl)C1 543158848 WLJMHTXIUALIQR-OAHLLOKOSA-N 420.918 4.658 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)cs1 543442585 HJAODCSMZLUWHA-ZDUSSCGKSA-N 413.474 4.628 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)c1Br 543482037 QEZUHUDCPHAOGM-ZFWWWQNUSA-N 410.243 4.532 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 543483100 HSBHPCHDPQUDAE-KRWDZBQOSA-N 406.548 4.766 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(C(F)(F)c3ccc(F)cc3)no2)c1 546369705 UGBFBRZQBPEKAO-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CCOc3ccccc32)no1 546396550 ODDSHYJCCKRPSI-SMDDNHRTSA-N 401.806 4.686 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1C(C)C 553061533 HADXQADQUFVWGU-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 563325867 NXAYIEUWTHEIRI-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NCc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 567371664 CPTQSCKJHHCNSS-NSHDSACASA-N 422.363 4.523 5 20 HJBD COc1ccc2nc(C3CC3)cc(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)c2c1 568337390 IHZZJMWMTLNNKA-UHFFFAOYSA-N 402.410 4.670 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@@H](C)c3cccc([N+](=O)[O-])c3C)cc2F)ccn1 569397076 ZTMRWMVMIJOBIO-AWEZNQCLSA-N 424.432 4.762 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)cc2)n1 572381359 OALULOAAGQJCML-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 581948621 UHSVTZJZYWYWND-HXUWFJFHSA-N 424.419 4.880 5 20 HJBD COc1cccc(C2(c3noc([C@H](C)Oc4ccc(Cl)cc4[N+](=O)[O-])n3)CC2)c1 582267844 MKXHZUQGPPCOGR-LBPRGKRZSA-N 415.833 4.860 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1cn(-c2ccccc2)nc1-c1cccnc1 582692950 JTAYTLNIZRTYFU-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1ccc(Br)cc1[N+](=O)[O-] 588079322 OTTPFOYZOFYVIG-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD COc1cccc(C2(CNC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)CC2)c1 589532426 RNNWDJWQABMZNE-UHFFFAOYSA-N 400.500 4.566 5 20 HJBD COc1ccc(Br)c2[nH]c(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cc12 603507315 RSIBLQFTGYTVSY-UHFFFAOYSA-N 418.247 4.716 5 20 HJBD O=C(c1cc(NCCc2ccc(C(F)(F)F)cc2)ccc1[N+](=O)[O-])N1CCCC1 603942411 GMZNGGFDNZINKI-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD CCOc1cc(C(=O)NCC2CCC(c3ccccc3)CC2)c([N+](=O)[O-])cc1OC 609373791 GASGAUKEMAFGAN-UHFFFAOYSA-N 412.486 4.706 5 20 HJBD COc1cccc(C2(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CCCC2)c1 609410588 PPWNBXWZSIDBDL-UHFFFAOYSA-N 406.385 4.655 5 20 HJBD CC(C)c1noc(-c2ccccc2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)n1 610046393 FMOHWHINBOPKSZ-UHFFFAOYSA-N 408.458 4.521 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ncc(-c2ccccc2)o1 610093616 OQPDJVJFKURUOB-NSHDSACASA-N 418.356 4.734 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 610165614 XXEGENNZEDJUIT-HTAPYJJXSA-N 419.481 4.504 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2cnn(CC3CC3)c2)cc1 611183166 MQBLDFMNGUPEFU-UHFFFAOYSA-N 414.469 4.666 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2ccccc2Br)cc1[N+](=O)[O-] 611825098 GHVRBNJXNAECSD-OAHLLOKOSA-N 420.263 4.735 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 730200807 WJHYQOGPJDZCLK-UHFFFAOYSA-N 412.398 4.585 5 20 HJBD O=C(/C=C\C1CCOCC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 732628995 SOILHUHIWNXFNK-SREVYHEPSA-N 416.861 4.749 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)s2)cc1 733772432 NXALZNLYGYOAFL-XMRSSTEGSA-N 423.494 4.926 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccc(N3CCC(O)CC3)cc2)s1 734157201 SWZZCIDMJWXBTD-UHFFFAOYSA-N 409.511 4.897 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1cc(F)ccc1[N+](=O)[O-] 735019985 DPRYTINSSRJEEA-NDEPHWFRSA-N 404.463 4.568 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(CNC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 736987916 CWTWYNXSSIDQJL-CQSZACIVSA-N 414.462 4.502 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccc(Cl)cc2)no1 746057386 IDXMCURMOGANOA-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1cc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1Br 746395466 XPGKVHBJOYOOSD-ALCCZGGFSA-N 412.243 4.532 5 20 HJBD COc1ccc(CNC(=O)c2c3ccccc3cc3ccccc23)c(OC)c1OC 746512231 MTVQTWGBFGGLFC-UHFFFAOYSA-N 401.462 4.949 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 749052254 GCHAURHGRFUTDG-NRFANRHFSA-N 415.405 4.520 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(F)c(F)cc1Br 749705740 NJXQKCZUYRKMFW-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD CC[C@@H](C)Oc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750522482 JZXHOZRODXPNOO-KGLIPLIRSA-N 411.414 4.740 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536313 RWIDKBMIAKFPRL-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C1CC1 755006741 GGHVFHANFNKVOP-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)s1 755024970 UXQBZSPXHPVRIJ-SECBINFHSA-N 413.297 4.656 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3ccc(Br)cc3[N+](=O)[O-])CCO2)cc1 757517570 HSVQBZPQYKTERQ-MAUKXSAKSA-N 420.259 4.743 5 20 HJBD O=C(Nc1ccc(CC[C@@H](O)c2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 763006843 XGCBDOWIMMPKNY-HSZRJFAPSA-N 415.449 4.995 5 20 HJBD C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 763214318 LLCVQBLCJSZTTQ-BMLIUANNSA-N 407.239 4.550 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2cccs2)o1 765529165 VURDWYARLUIQOA-TZMCWYRMSA-N 401.444 4.579 5 20 HJBD CCc1nnc(COC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)o1 767743970 VOIFFPFHPZYNKH-UHFFFAOYSA-N 419.846 4.702 5 20 HJBD CCOC(=O)Nc1ccc2c(CSc3ccc([N+](=O)[O-])cc3F)cc(=O)oc2c1 768432739 QHEPJGHVNAGDHN-UHFFFAOYSA-N 418.402 4.701 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2C[C@H](C)O[C@H](C)C2)c(F)c1 769711529 QZDMTACSSCHDBT-GASCZTMLSA-N 415.465 4.525 5 20 HJBD Cc1c(Cl)cccc1OCC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139490 WGKIRRMTERBOLA-OAQYLSRUSA-N 412.829 4.663 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)CC3 779186061 UPGVGUQFWDANSX-SFHVURJKSA-N 404.514 4.500 5 20 HJBD C[C@@H](CCOC(=O)Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccccc1 789018962 OERWPRGQMLZPHG-IBGZPJMESA-N 415.489 4.693 5 20 HJBD C[C@H](NC(=S)NNc1cccc(F)c1[N+](=O)[O-])c1ccc(Cl)cc1Cl 790610026 VHFDXXGMNDHULH-QMMMGPOBSA-N 403.266 4.593 5 20 HJBD O=C(Cc1cccc2cccnc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218186 PSQNRMZZPYVGPL-UHFFFAOYSA-N 405.435 4.552 5 20 HJBD O=C(/C=C/c1ccc2[nH]ccc2c1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220741 OGCWSXMJMHHLJA-FNORWQNLSA-N 405.435 4.956 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNc1ccccc1OCCn1ccnc1 811469472 DRYOCLBZVSVECS-UHFFFAOYSA-N 407.257 4.789 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2onc(C3CCCCC3)c2C)cc1[N+](=O)[O-] 811831922 SVEGMWVZDGUSNU-UHFFFAOYSA-N 413.883 4.702 5 20 HJBD COc1cc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1OC(C)C 812902941 OJLGEULHFPGSBO-UHFFFAOYSA-N 413.257 4.627 5 20 HJBD CCCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cnn1-c1ccc(F)cc1 813244606 JFZBEMMIDCEKDE-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC1(Cc2ccc(F)cc2)CCOCC1 815131224 QVZNEUSZCDXRKT-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 854572463 YJOQGHVCNWZQMF-INIZCTEOSA-N 420.421 4.880 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 917755393 AMTQLAOXVVEELM-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=[N+]([O-])c1ccnn1CN(Cc1ccc(-c2ccccc2C(F)(F)F)o1)C1CC1 919950821 NXIQEKZMEMZRLV-UHFFFAOYSA-N 406.364 4.692 5 20 HJBD O=C(CCC(=O)N1CCc2nc[nH]c2C1)c1ccc2ccc3cccc4ccc1c2c34 929080513 IVZRDPDVSUFEGF-UHFFFAOYSA-N 407.473 4.855 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)s1 1116153024 QSLBBUHXSSXRQF-QGZVFWFLSA-N 409.471 4.536 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3ccn(-c4cccc([N+](=O)[O-])c4)n3)o2)cc1 1257731486 SBQAUTUXSJXCGJ-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)c1ccccc1)c1ccccc1 4219252 WZGLFVATHMHCFE-QFIPXVFZSA-N 407.447 4.854 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 4590963 SDDHLQVQJAMQTL-QGZVFWFLSA-N 412.833 4.666 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 11082311 QEOLPSLLXJLQSJ-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 11553042 OORAQSDCUXEVBV-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD CC[C@@H](C)NC(=O)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1CC)C(C)C 31747638 JHRSQCNLLVAWMR-FOIQADDNSA-N 411.571 4.601 5 20 HJBD Cc1cccc(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)c1[N+](=O)[O-] 31826498 QXHNVAXWPCEVFJ-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD COc1ccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)cc1[N+](=O)[O-] 55426844 MVMZVSBYCQAUPG-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1Br 71845703 HCPXILUQSOGEKY-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 112575058 OLOWBSMPWVAKST-JOCHJYFZSA-N 406.486 4.940 5 20 HJBD Cc1cccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1Br 132382703 BYTMWXRIPZBDFT-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c3cnccc23)cc(Br)c1OC 301130194 QQKOUDRVSHTCSI-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])c2cnccc12 301580157 SCQYLSMUVSLMGI-UHFFFAOYSA-N 419.268 4.915 5 20 HJBD Cc1ccnc(Oc2cc(Nc3sc(S(C)(=O)=O)cc3[N+](=O)[O-])ccc2C)c1 302204092 WEJIQEDMMXALIT-UHFFFAOYSA-N 419.484 4.608 5 20 HJBD O=C(Nc1c(F)cccc1N1CCCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303435691 XUIZDJASBYMRRF-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD CC(C)(C)C1CCC(CN[C@@H](Cc2c[nH]c3ccc([N+](=O)[O-])cc23)C(=O)O)CC1 426729304 BRNUUSAQEQSLOT-SGHGTVFPSA-N 401.507 4.514 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4n[nH]c(C(C)C)c4[N+](=O)[O-])CC3)c[nH]c12 433991054 BQWUNKFHFXXHIY-UHFFFAOYSA-N 409.490 4.505 5 20 HJBD O=C(c1ccc(-c2ccccc2OC(F)(F)F)o1)N1CCc2ccc([N+](=O)[O-])cc21 435942594 CBEWNBNHPTZGKV-UHFFFAOYSA-N 418.327 4.956 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1nc(-c2ccccc2)cs1 436093288 NMHOIWIRDBRXJN-AWEZNQCLSA-N 402.497 4.703 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436348048 ZQNGIYILFSEVNO-UHFFFAOYSA-N 415.474 4.860 5 20 HJBD Cc1ccn(C2CCN(C(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])CC2)n1 440884747 VZBBEXXWTYHALK-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(F)cc(F)c2Br)cc1[N+](=O)[O-] 443525727 FFVQTDDYDPSKKS-SECBINFHSA-N 414.206 4.827 5 20 HJBD Cn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2c(Cl)cccc21 444320733 RNNSXYKOBVMLFR-UHFFFAOYSA-N 420.831 4.613 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444327411 QKYYZSYTDGNYHR-SFHVURJKSA-N 412.489 4.540 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN3CCC[C@@H]3c3ncc(-c4ccc(Cl)cc4)[nH]3)[nH]c2c1 444666201 QQEWDLNXRXVBBS-LJQANCHMSA-N 422.876 4.852 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(CC(F)(F)F)cc1 446048530 UTZIPJIOASRBRX-UHFFFAOYSA-N 417.181 4.643 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2CC(F)(F)F)cc1[N+](=O)[O-] 460477133 RFCHXUXYDSYWSJ-UHFFFAOYSA-N 414.405 4.580 5 20 HJBD COc1ccccc1-c1nnc(Sc2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)n1C 461736186 SZCCJFWGZVCEMG-UHFFFAOYSA-N 424.404 4.877 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccccn2)c(F)c1 462607593 QKVUNXAOKKVMBA-QGZVFWFLSA-N 423.444 4.915 5 20 HJBD COc1cc(N2CCC(C(=O)Nc3ccc(Cl)c(Cl)c3)CC2)ccc1[N+](=O)[O-] 462876208 VLZCDUFYAMMESP-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD C[C@H](CC(F)(F)F)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 463707657 PILAGPKKJMCDDK-CQSZACIVSA-N 404.392 4.878 5 20 HJBD O=[N+]([O-])c1ccc(CCN(Cc2cccc(Br)c2)C2CCOCC2)cc1 467297688 JBYMFMNODPLOPJ-UHFFFAOYSA-N 419.319 4.581 5 20 HJBD CCc1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cnn1-c1ccccc1 468190419 AYAUVHQQTGQHHL-UHFFFAOYSA-N 422.488 4.542 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1 470876927 BTOIOHLFVQAHSQ-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD Cc1ccc([C@@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccccn2)cc1 472478041 MLMGLMQRNAEIKA-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(Cc3ccccc3)CC3(O)CCCC3)o2)c1 474219505 WJMCVQCKZGDXFE-UHFFFAOYSA-N 421.497 4.600 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccc(NC(=O)c2ccccc2)c1 475558207 DPJNTZDPOFEFJI-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OC(F)F 475767642 KUYAZCQXVFAABJ-SECBINFHSA-N 424.331 4.581 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)Cc3ccc([N+](=O)[O-])cc3F)C2)nc2ccccc21 477248407 KOSGAZPHQUVFTI-KRWDZBQOSA-N 424.476 4.613 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(C(F)(F)F)c2)cc1SC 482017757 SLFYXBSJJRXCAA-SNVBAGLBSA-N 414.405 4.835 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482504857 NOXOZJWFFQBIQZ-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3ccc(F)cc3)CC2)cc1SC 484441040 QZIXKBOOULMEHS-AWEZNQCLSA-N 418.490 4.874 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(-c2cc3ccccc3o2)o1 484705937 MCNVMVQCZKQVAG-UHFFFAOYSA-N 424.438 4.820 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc(Cl)c1F 485278157 SGPKNSCNJRUMIS-UHFFFAOYSA-N 423.828 4.616 5 20 HJBD CC[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cc(F)ccc1F 485528180 DXOCHIRSNRTZLQ-JTQLQIEISA-N 405.220 4.578 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@@H](c2cccc(F)c2)C1 486489482 QFVMBNDJZWHPDD-LLVKDONJSA-N 405.298 4.777 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1OCC 487530077 AQDXXSXPVQXWBM-HNNXBMFYSA-N 415.490 4.688 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1 487649418 OVYWREPAHQJBIG-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD Cn1cc(C(=O)Nc2ccc(-c3ccco3)cc2F)c(-c2ccc([N+](=O)[O-])cc2)n1 489330745 CPKHDDNBRSTFGA-UHFFFAOYSA-N 406.373 4.647 5 20 HJBD O=C(Nc1cccc(CN2CCSCC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 489452722 PDGRJAQYTBGBKG-UHFFFAOYSA-N 413.524 4.611 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489937824 UWSDJVMIHQTAES-HUUCEWRRSA-N 412.877 4.698 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1-c1ncc(-c2ccccc2F)o1 490095747 ZETKQJJUPCGQIZ-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 495535694 WCCPQBPCXTWCFU-NSHDSACASA-N 403.410 4.830 5 20 HJBD CN(C(=O)Cc1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1)c1ccccc1 506280194 NBDJQAVXXPBBDL-UHFFFAOYSA-N 411.408 4.691 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2cccc(Cl)c2Oc2cccnc2)c([N+](=O)[O-])c1 509572736 VOWVRFAXDRSXFM-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cn1ccnc1[C@@H](NCC(c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 513667353 QVCSFTGLWGHWPC-DEOSSOPVSA-N 412.493 4.839 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521157607 YOSATLXHYWSUEF-JTQLQIEISA-N 422.812 4.649 5 20 HJBD C[C@@H](NC(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1cccc([N+](=O)[O-])c1 524640211 KAHWAIKCZBSRFC-CQSZACIVSA-N 410.449 4.865 5 20 HJBD COc1cc(C(=O)N[C@H](CC(C)C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 525023527 RHMVRFLYWACVSD-MRXNPFEDSA-N 406.866 4.783 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CCCCOc3ccccc3C(F)(F)F)n2)c1 525520150 PLNCRHJRMKFONF-UHFFFAOYSA-N 406.364 4.731 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 534625074 HPOLOJGGQNXNOA-MRXNPFEDSA-N 406.486 4.632 5 20 HJBD C[C@@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])Oc1ccc(Cl)cc1 537211203 POYKRNBFIBTORF-AWEZNQCLSA-N 411.845 4.508 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cccc(CSc2ccccn2)c1 543300868 BSENCABULAGMSH-UHFFFAOYSA-N 424.482 4.612 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3C[C@H]2c2ccccc2)cc1[N+](=O)[O-] 543893880 KPVCAJZWJNBREP-FQEVSTJZSA-N 403.438 4.935 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3oc(N(C)C)nc3c2)no1 545118236 NCCZKZKIPCLJHU-NSHDSACASA-N 411.443 4.705 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2c[nH]c3cc(Br)ccc23)no1 545835730 PWIAMXPUJMLVLF-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4cc(F)ccc4C(F)(F)F)no3)c2c1 546276602 KNGOSCUHGJQBNF-UHFFFAOYSA-N 420.278 4.724 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)cc1 546324677 LULKZVIOQLXTTH-GHXNOFRVSA-N 414.421 4.713 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)c1 546493997 JBAMQQOGNWAWTC-CQSZACIVSA-N 422.224 4.786 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cs2)cc1 546964807 DQXBMCIQYDBLMG-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD COc1cc(Cc2noc(-c3cc(Cl)ccc3OC(C)C)n2)ccc1[N+](=O)[O-] 554816150 HOCOJCVJVLFMFX-UHFFFAOYSA-N 403.822 4.685 5 20 HJBD O=c1c2c(C3CC3)csc2nc(Sc2ccc([N+](=O)[O-])nc2)n1-c1ccccc1 556458270 GQHRSNYTYNNMKT-UHFFFAOYSA-N 422.491 4.779 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNc2ccc([N+](=O)[O-])c(C(=O)O)c2)cc1 559121169 JSBQQKRPUKGBRV-UHFFFAOYSA-N 423.425 4.881 5 20 HJBD CC(C)OC(=O)C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1 559149558 IXIXLLDDRXJPJG-SFHVURJKSA-N 410.392 4.786 5 20 HJBD CC(=O)c1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1F 566362722 WOZVIQXKWAPIOP-UHFFFAOYSA-N 408.385 4.768 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 570447968 YCRXIRLOJGSTRK-AWEZNQCLSA-N 405.292 4.898 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2n1Cc1ccccc1)c1ccccc1[N+](=O)[O-] 581034126 ZJTIULPVOWNTOG-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2ccc(Br)cc2C1 583234629 UGQRHLWIJLEJEP-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD CCCOc1c(Cl)cc(-c2noc(-c3ccc([N+](=O)[O-])cc3OC)n2)cc1OC 589080811 YOMAABANBXNTRY-UHFFFAOYSA-N 419.821 4.771 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 603738269 SPQWDXAOLMKWGN-NXEZZACHSA-N 424.297 4.980 5 20 HJBD CCC(=O)Nc1cccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1 603998729 GXMLWPFTDINKMN-UHFFFAOYSA-N 410.455 4.628 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1 609683983 WUSYMBSROSNSSI-PMERELPUSA-N 423.494 4.567 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H]3CCCO[C@H]3C(C)(C)C)o2)c([N+](=O)[O-])c1 609825916 BHAVINJCPVYVPW-YCRPNKLZSA-N 402.491 4.794 5 20 HJBD O=C(Nc1cnn(-c2ccccn2)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 610055284 KZTOXXNZEVQBTD-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CN(Cc1ccccc1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C1CCCCC1 610061541 ACHBGUGSEVKINM-UHFFFAOYSA-N 423.557 4.953 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(C(F)(F)C(F)(F)F)cc1 610324073 MOQBRTDZEBQEPZ-UHFFFAOYSA-N 403.307 4.613 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(OCc2ccccn2)c1 611200934 NFKGNAPUDHBVBA-UHFFFAOYSA-N 423.494 4.611 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@@H]1CCCCO1 611471779 LKZFNLGKTTYFCL-PXAZEXFGSA-N 409.280 4.665 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 729941447 YYJSPDFIVODUEY-JTQLQIEISA-N 420.903 4.886 5 20 HJBD C[C@H](CC(=O)c1cccc(Br)c1)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 745225847 KALAUGVDVRKWDP-CHWSQXEVSA-N 420.259 4.871 5 20 HJBD Cc1cc2cc(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)oc2cc1C 745580515 FBOLCPGKMJMVPW-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 746060757 YNIVZEQDGVXETG-ZIAGYGMSSA-N 413.455 4.738 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746080092 UKVRGNPKYVSNQJ-OAHLLOKOSA-N 404.633 4.722 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])s2)cc1F 750182089 UKIDYGYLXCVBBF-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1cc(NS(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1Oc1cccnc1 751269553 CLJKINPSGXNKOT-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCSc1ccc(CC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 751915504 DOCLEPKUJZLJTO-ZDUSSCGKSA-N 413.455 4.604 5 20 HJBD C[C@@H]1CN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC[C@H]1c1ccccc1 753826402 XAFMVTVCCCMHPU-UKRRQHHQSA-N 403.276 4.623 5 20 HJBD CCCc1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cnn1-c1ccccc1C 759462547 JHCNXNPCNHTTBT-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)c12 760484790 VHCOEMKPEAXNNJ-UHFFFAOYSA-N 422.397 4.575 5 20 HJBD CC(C)CN(C[C@@H](O)c1ccc(F)cc1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 762657784 NARVVNFRYZXZMR-GOSISDBHSA-N 416.474 4.780 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)C[C@@H](O)c3cccs3)o2)c([N+](=O)[O-])c1 763530898 LNJNRQLAPYHCNP-SCLBCKFNSA-N 402.472 4.527 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)c1F 764476174 PZXCPOQIKRCPFJ-UHFFFAOYSA-N 411.458 4.919 5 20 HJBD O=C(OCc1coc(-c2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])c2cccnc12 766219116 HHAATVVEBQCVLF-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(OCc4ccc(F)cc4)CC3)c2c1 768481210 NOIDTIHHODYTCZ-UHFFFAOYSA-N 417.462 4.650 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cnn1-c1ccc(OC)cc1 769946357 ILAGPBMDFBCYKG-UHFFFAOYSA-N 414.849 4.566 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 776831982 RKZJKLRDSIDONF-AWEZNQCLSA-N 405.882 4.669 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 785380629 HUINKZRALDOLNF-UHFFFAOYSA-N 411.361 4.569 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1C 785950332 XOEPKNQYJWPEKQ-ZDUSSCGKSA-N 415.833 4.762 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNc1ccccc1CN1CCC(CO)CC1 811469477 IVVKBUBOMWINGN-UHFFFAOYSA-N 424.328 4.718 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cc(Cl)ccc1[N+](=O)[O-] 916062672 UAHALCJMQQDUFL-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cs2)c1 917332037 XFUZZNDZWDYZEP-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(COc2cccc(C=O)c2)c1 1318430964 FOFPAQFWCPGXCS-UHFFFAOYSA-N 404.422 4.855 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 1320757722 OGQAXOGJBLCXCS-UHFFFAOYSA-N 421.291 4.507 5 20 HJBD CCOC(=O)N1[C@@H](c2ccc(C)o2)CC[C@@H]1c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326068634 YUFLMQQWGMLOIJ-HZPDHXFCSA-N 412.402 4.581 5 20 HJBD Cc1cccc(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1[N+](=O)[O-] 1339294927 YHCUXBJFAZUREG-MRXNPFEDSA-N 410.430 4.567 5 20 HJBD C[S@](=O)c1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1343333884 HVBIHDHLSPVDFG-MHZLTWQESA-N 404.875 4.535 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)c1[N+](=O)[O-] 46532407 HODZXQOKSBHJEB-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2C(=O)Nc2cccc(C)c2)cc1[N+](=O)[O-] 60500232 GGDLWPWUDLUMJA-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD Cc1c(NC(=O)NCc2ccc(-n3cnc4ccccc43)cc2)cccc1[N+](=O)[O-] 61746326 IEBMICKAQRBZAG-UHFFFAOYSA-N 401.426 4.564 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 64896276 BTICUFSVLIFDMB-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(C)c1 66034886 FPWMPJGMGYWMMJ-CYBMUJFWSA-N 411.483 4.899 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3cccs3)n2C[C@H]2CCCO2)c1 301550971 GXTUZUPNJUGXGP-CYBMUJFWSA-N 402.501 4.553 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@@H](OC1CCOCC1)c1ccccc1 301768860 IWXGWWFGRNDIBS-QMHKHESXSA-N 407.470 4.880 5 20 HJBD COc1cc(N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)c(F)cc1[N+](=O)[O-] 301942041 XESJUBKEBJSBAV-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC=C(c2ccc(O)cc2)CC1 302888065 IXILIVGDRUXIAF-UHFFFAOYSA-N 415.449 4.974 5 20 HJBD Cc1nn(C)c(C)c1CCC(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435449996 YAEXSETVVDGNOM-UHFFFAOYSA-N 416.528 4.729 5 20 HJBD COc1ccc(CNC(=O)N(Cc2cc(F)ccc2F)C2CCCC2)cc1[N+](=O)[O-] 435619865 XEQKKTSWEDIRGL-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD O=C(N[C@@H]1CCCOc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436295630 RYMWLUCWOHNETQ-LJQANCHMSA-N 420.415 4.603 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@H]1CCC[C@H](C(F)(F)F)C1 438509382 OVMVHMHHJXVTPE-SRVKXCTJSA-N 408.804 4.500 5 20 HJBD Cc1cc(C)c(Br)c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 460707440 OCRNXAUUZJDIAX-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cc1[N+](=O)[O-] 461300605 XUNDRJVBLFMNCG-AZUAARDMSA-N 418.559 4.907 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 464520747 NZDCGRSYIBLBSD-QQMNAOGKSA-N 416.481 4.996 5 20 HJBD O=C(c1cc2cc(F)ccc2s1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464627829 MQHBGUSJYOYFTE-UHFFFAOYSA-N 400.431 4.632 5 20 HJBD O=[N+]([O-])c1ccc(CSc2n[nH]c(-c3ccccc3Br)n2)c(F)c1 476505971 GBMJNCJXACXBKI-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD C[C@H](Nc1cc(F)ccc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 478218721 RXHKFKRXPOUUHS-NSHDSACASA-N 407.829 4.615 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 478408474 XQZCMUGRSNNMTJ-GFCCVEGCSA-N 423.282 4.521 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(Cl)cc1 482733649 MDFUVQUAZSJGLZ-UHFFFAOYSA-N 419.268 4.831 5 20 HJBD Cc1c(CC(=O)Nc2cc(C(F)(F)F)ccc2Br)cccc1[N+](=O)[O-] 484790711 BELRGRSNDGNNNE-UHFFFAOYSA-N 417.181 4.866 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 485550513 LRMOQFLRZSLHKV-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(C3CC3)nc3ccc(F)cc23)c(C)c([N+](=O)[O-])c1 485968531 UFGOFHGIMMSAPD-UHFFFAOYSA-N 423.400 4.507 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 486135370 HCWBCXWAUSGGGQ-INIZCTEOSA-N 407.495 4.562 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])c1 490149189 QWTUYMZSDGWFSY-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 491095751 WWNWBRIMQPPGFF-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD COC[C@@H](C)n1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)n1 495158370 QTGBISVPCOVYGS-CQSZACIVSA-N 400.410 4.542 5 20 HJBD CN(CC(F)F)C(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 504899414 GIRDEUHWUKYSCN-GXDHUFHOSA-N 417.437 4.859 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 509996313 SOLDLWFZRVETBO-BEFAXECRSA-N 423.494 4.616 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC(F)F)c(F)c1 511143458 NWUIIIKSNAIRMU-UHFFFAOYSA-N 414.405 4.766 5 20 HJBD Cc1cccc([C@@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C(F)(F)F)c1 511826494 NZAYJWLMDRVADB-LJQANCHMSA-N 421.419 4.539 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1cc(C(F)(F)F)ccc1Cl 512312779 AGROHESITGQILX-JXMROGBWSA-N 421.762 4.921 5 20 HJBD O=C(c1ccc(OC(F)F)c([N+](=O)[O-])c1)N1CC[C@](F)(c2cccc(Cl)c2)C1 512372054 PYHRBYPJXWWOHP-GOSISDBHSA-N 414.767 4.561 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 516166516 SZCYLNQUHFRKDH-IBGZPJMESA-N 415.902 4.941 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)s1 517365277 ZUAVDTFBKROSER-UHFFFAOYSA-N 407.455 4.506 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc([N+](=O)[O-])c(C)c3)c2)cc1 519093073 WWVLWZPJLQIUCN-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCCc1cccs1 522717024 FBPKHKXIUUOKCP-HNNXBMFYSA-N 411.483 4.608 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](Cc2ccc(C)cc2)c2ccccn2)c1 524532169 DUHUIELAEFSRTO-HXUWFJFHSA-N 407.495 4.734 5 20 HJBD COc1cc(CNc2ccc3c(c2)CN(C)C3)c([N+](=O)[O-])cc1OCc1ccccc1 533209929 CYTSUUUTUWRQQJ-UHFFFAOYSA-N 419.481 4.740 5 20 HJBD CN(CCC(F)(F)F)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 533490130 HQLHAJUIOGWUJX-INIZCTEOSA-N 415.799 4.812 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@H]3c3ccc(Cl)cc3)cs2)c1 538124068 GDYUEQAXIIVMCZ-OALUTQOASA-N 415.902 4.992 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccnc3OC3CCCC3)no2)cc1 544441836 UMTNZRQVQCAGJT-UHFFFAOYSA-N 402.357 4.501 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2c[nH]c3cc(F)ccc23)no1 545678970 IZKMHDBAUNANQR-SNVBAGLBSA-N 416.796 4.982 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)s2)cc1 546258269 BDSUUTDDVBQQEZ-UHFFFAOYSA-N 422.422 4.634 5 20 HJBD C[C@@H](Sc1ccccn1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546448452 SFRZSJHFHNXZKP-SNVBAGLBSA-N 421.276 4.579 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@@H]2c2cccc(Br)c2)n1 546947472 IPNLWWUAMXSRRQ-HUUCEWRRSA-N 400.232 4.602 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3ncc(C(F)(F)F)cc3Cl)no2)c1 547014162 XKVKCENCHLWJOE-SNAWJCMRSA-N 410.739 4.806 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3ccc(COCC(F)(F)F)cc3)no2)c1 547036627 HFQTZAHGRLIDJG-RMKNXTFCSA-N 405.332 4.894 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CCc2nnc(-c3cc4ccccc4o3)o2)n1 547105663 OPJGMSODQKRXAI-UHFFFAOYSA-N 417.381 4.535 5 20 HJBD Cc1c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cccc1-c1ncco1 552711444 YJOYVZLFPBVIBF-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD Cc1cc(N[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc(C)c1Br 560545477 VYJJBOWTVRKXHE-GOSISDBHSA-N 415.291 4.909 5 20 HJBD NC(=O)c1ccnc(N[C@@H](c2ccc(Cl)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 569752497 CVCUJWWVZQCKED-INIZCTEOSA-N 417.252 4.597 5 20 HJBD CC(C)c1ccc(-c2noc(-c3ccc(Sc4nncn4C)c([N+](=O)[O-])c3)n2)cc1 571489306 XICUKZZZNUGNMJ-UHFFFAOYSA-N 422.470 4.715 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C(F)(F)F 572918824 LVHMMGSQLPIOCJ-LLVKDONJSA-N 409.364 4.751 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@H](C(F)(F)F)[C@H](CO)C3)o2)c(Cl)c1 578558481 PYNXXNNBCATAND-XHDPSFHLSA-N 418.799 4.501 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](CC)NC(=O)c2ccccc2)no1 581850743 DUTZTBLUBFGOCJ-VQIMIIECSA-N 408.458 4.595 5 20 HJBD CC1(C)CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)[C@H]1[C@@H]1CCCO1 589540354 HJQJJGLWPGZVRC-PMACEKPBSA-N 412.511 4.776 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1cccs1)c1ccc(F)cc1F 603993856 AZNVDYOQVWJJQV-UHFFFAOYSA-N 417.437 4.970 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2Oc2ccccc2Cl)c(C)c1[N+](=O)[O-] 608803194 WYSOSEWJBOUMQC-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@@H](c1cccnc1)c1csc2ccccc12 609561044 BNRWFUSAZLIWTF-NRFANRHFSA-N 420.494 4.795 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 609726972 NIBPXRCHYXQVQT-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccc(N3CCC(CO)CC3)cn2)s1 610890317 XLILBAHSOJFULM-UHFFFAOYSA-N 424.526 4.539 5 20 HJBD CC1(C)[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)[C@@H]2CCCO[C@H]21 611206317 GLRKRHKYQVZNEZ-KFKAGJAMSA-N 404.532 4.563 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 619638141 PKSOYJWODSAVGY-SFHVURJKSA-N 409.446 4.547 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc([N+](=O)[O-])ccc2F)cc1 729659748 FRGPHKJSKCWKHR-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])OCc1ccc2ncccc2c1 733694799 JSVKDHMTQZAHBV-UHFFFAOYSA-N 419.359 4.707 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2c(Cl)cccc2C(F)(F)F)cc1Cl 739949688 RHRAQPBFOIPJFJ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1N1CCOC1=O 742946827 NJUDZGXTSCHUTD-UHFFFAOYSA-N 413.433 4.606 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CN(Cc3ccc(F)cc3F)C3CCCC3)n2)cc1 746061902 NOTOXSLGRIPOBN-UHFFFAOYSA-N 413.428 4.534 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(N3CCCCC3)c(Cl)c2)cc1[N+](=O)[O-] 748987138 VSZZLMJCAHQTSS-UHFFFAOYSA-N 418.881 4.569 5 20 HJBD CC[C@H](C)NC(=S)/C(=C(/O)c1ccc([N+](=O)[O-])cc1)[n+]1ccc(C(C)(C)C)cc1 749885750 FMAJYBKQXJBZDM-HNNXBMFYSA-O 414.551 4.779 5 20 HJBD Cc1ccc(C(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])c(Br)cc2F)cc1C 751693204 VETNPBUJPBCHRC-NSHDSACASA-N 424.222 4.541 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1cccs1 755748284 TVXKSPRWEYLTGH-IBGZPJMESA-N 411.483 4.732 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](CC(=O)O)Cc2ccccc2)cc1[N+](=O)[O-] 756382047 YIJGTNPXXPAUCM-FQEVSTJZSA-N 419.481 4.538 5 20 HJBD O=S1(=O)CCCN1c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1 763471723 XHSKVFOGTDHSJQ-UHFFFAOYSA-N 416.546 4.823 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 763507199 OZNIVSGHPJWTDJ-UHFFFAOYSA-N 409.851 4.541 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)c1F 764520662 AVNSGPFVFSFOCM-UHFFFAOYSA-N 406.719 4.889 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(OCc2ccc(F)cc2)CC1 768843299 XDQNNEDNNPECDF-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@H]4CCCO4)CC3)o2)c(Cl)c1 769189831 RZADNKJBBLALKR-GOSISDBHSA-N 420.893 4.668 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 775433081 UBOSEKMEWMDLCT-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COc2ccc(C=O)cc2[N+](=O)[O-])on1 777894260 ICWLYQCSTQTFLS-UHFFFAOYSA-N 404.378 4.803 5 20 HJBD O=C(OC[C@H]1CCC2(CCCCC2)O1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 786285887 OKUYJFXCRMVGQB-MRXNPFEDSA-N 402.472 4.757 5 20 HJBD Cc1ccc(Br)nc1C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 786622386 HEVGKRADGGFLLC-UHFFFAOYSA-N 424.682 4.771 5 20 HJBD COC(C)(C)CCOC(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 787565610 CVIHJHPPINERQE-UHFFFAOYSA-N 417.483 4.520 5 20 HJBD CCOC1CC(CC(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)C1 789610067 DKQNABACXNLVOQ-UHFFFAOYSA-N 418.877 4.971 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 789872376 SEUITIHZKKMBHC-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD O=C(OCc1ncccn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 791310361 SOOARKTYGQMXGI-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 794127298 SNOYPZNQIVBOCO-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1nc(O)c2cnn(-c3ccccc3)c2n1 795249212 QEZRAQYQPMUTLQ-UHFFFAOYSA-N 418.196 4.528 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccnc(Oc2ccc(F)cc2F)c1 800144240 ORGCGWXXUJQJAR-UHFFFAOYSA-N 403.316 4.760 5 20 HJBD CSc1ccc(OCCOC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 805535275 MDLDPAGMLLXWOE-UHFFFAOYSA-N 405.475 4.639 5 20 HJBD Cc1c(Cc2noc([C@@H]3C[C@@H](C)CCN3C(=O)OC(C)(C)C)n2)cccc1[N+](=O)[O-] 809435816 PUVOIXYYZUAAQE-GUYCJALGSA-N 416.478 4.585 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1c[nH]nc1-c1ccsc1 811218457 ATTFBQZGMCQBGC-UHFFFAOYSA-N 412.452 4.527 5 20 HJBD Cc1cccc(Br)c1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 811271380 GIVVVEMHUAKHKV-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(OCc1csc(-c2ccccn2)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373869 REFPJUDMBRRSJS-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD CC(C)(CCCNC(=O)OC(C)(C)C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 817210838 TYRZRRUJXJZYJC-UHFFFAOYSA-N 421.519 4.623 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N[C@H](c1ccccc1)C1CCCCC1 915784922 ZZWZDEKAVMWEDA-LJQANCHMSA-N 415.877 4.625 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 917755471 BKSPDYTXTXGZEG-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](C(=O)N1CCC(Nc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 1116582088 ZPBBDQUHUIACFF-ZDUSSCGKSA-N 405.857 4.594 5 20 HJBD O=C(/C=C\c1cc(Cl)cc(Cl)c1)OCc1cc([N+](=O)[O-])cc2c1OCOC2 1317745330 AFWRHRQYHHHEAS-UPHRSURJSA-N 410.209 4.525 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1cc([N+](=O)[O-])ccc1Br 1318364418 OTEQQRVFJIBXPS-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD O=c1c2ccccc2n(Cc2nnc(-c3cccc4ncccc34)o2)c2ccccc12 1325783256 MOPOCJWISUYUOZ-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)c1F 1327692888 CAYVPNXYPZWOFM-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 1329852133 CLXDXHXEISCMDJ-UHFFFAOYSA-N 424.432 4.600 5 20 HJBD O=C(CN1Cc2ccc([N+](=O)[O-])cc2C1)Nc1cc(Cl)c(Cl)cc1Cl 1340803762 XQFLCFXJGMFCQX-UHFFFAOYSA-N 400.649 4.509 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 5672474 AGGNWRUYQAMERM-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD COc1ccc(NC(=O)COC(=O)c2c3ccccc3cc3ccccc23)c(OC)c1 5743527 APDCUTYDQDDMRF-UHFFFAOYSA-N 415.445 4.806 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)cc1[N+](=O)[O-] 24033177 ZFYARNAYBSOBFA-UHFFFAOYSA-N 410.455 4.593 5 20 HJBD O=C(NC[C@H]1CCCOC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 55920065 AIGDAIPBAKCILE-CYBMUJFWSA-N 406.891 4.556 5 20 HJBD O=C(Nc1ccc(CN2C(=O)Cc3ccc(Cl)cc32)cc1)c1cccc([N+](=O)[O-])c1 62916414 GQPZMHJNUCYJKJ-UHFFFAOYSA-N 421.840 4.590 5 20 HJBD COc1cccc(N2C(=O)c3ccccc3N[C@@H]2/C=C/c2ccccc2[N+](=O)[O-])c1 69270222 PVAVNVFLMMUKOD-TWLJRWAQSA-N 401.422 4.715 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3ccc([N+](=O)[O-])c(C)c3)c2)c1 71940406 FFYIWQHUCUYWCA-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD COc1ccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c(OC)c1 237481541 LYUKOBNFASNKSK-UHFFFAOYSA-N 412.417 4.833 5 20 HJBD CC(F)(F)c1ccc(CNc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)cc1 432180913 YBJNSNQOJJKMAB-UHFFFAOYSA-N 420.803 4.518 5 20 HJBD O=C(N[C@@H]1CCCOc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436144590 QDXORETYARTUGJ-LJQANCHMSA-N 420.415 4.603 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)n1 436298887 IGTIJUAAPDFCOP-DKIMLUQUSA-N 408.424 4.830 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436315288 SPSXICNPWACHDR-UHFFFAOYSA-N 422.868 4.612 5 20 HJBD Cc1cccc(C(=O)N2CCC(c3ccccc3Br)CC2)c1[N+](=O)[O-] 439028506 MMZZVPFEKHFJCB-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 443943573 CEPFZJQMNSHDRJ-UHFFFAOYSA-N 415.877 4.992 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC2(CC2)c2ccccc21 444085068 UILYZKFUGOCWDY-UHFFFAOYSA-N 402.400 4.652 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444248478 UKPFZVAVNFQNJJ-MZNJEOGPSA-N 412.489 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2C(F)(F)F)c1 444414810 RJZAVZOBUAZQDO-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2cc(OC)c(F)cc2[N+](=O)[O-])o1 463428838 GGHAKFTVXSVONF-AWEZNQCLSA-N 403.435 4.960 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCCc1c[nH]c2ccc(Cl)cc12 463937141 LDGJURXMQHTJPG-UHFFFAOYSA-N 422.268 4.511 5 20 HJBD Cc1nnc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])s1 464550048 OJEBUSHPBQBUCA-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD COc1ccc2c(c1)[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C)CCO2 466722669 MFBVKAWNQWRCIC-SFHVURJKSA-N 416.499 4.605 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2)C[C@H]1C 466871637 UHWDZAXDBUPQJN-SJLPKXTDSA-N 414.527 4.742 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@H](C)N(C)Cc3c([N+](=O)[O-])ncn3C)c2)cc1 470158010 AXDQDYDMDICLNT-KRWDZBQOSA-N 408.502 4.976 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2o1 475219250 KHMNVQJGVKFVPF-KDOFPFPSSA-N 411.483 4.970 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccc(OC(C)C)cc3)cs2)cccc1[N+](=O)[O-] 475780390 WLMCWGBNEGTKHH-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 475862728 BUVXNRBHNUVMJI-MGPUTAFESA-N 420.868 4.556 5 20 HJBD CCOC(=O)c1cccc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 477049069 MIPBEFLJFLWCIQ-LLVKDONJSA-N 408.863 4.544 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(F)cc1Br 480735755 FWQMQXCVTJJQQG-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCC[C@@H]1C(F)(F)F 482231614 ZJNXNPZRESEVMA-AAEUAGOBSA-N 408.804 4.502 5 20 HJBD CCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 482701788 BNQRGHPEFQMQMX-GFCCVEGCSA-N 416.840 4.878 5 20 HJBD CC(C)c1c(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482721541 TZAKCIAVWYOXKK-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 487677728 PKCNGYLTUSXWJR-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1C(=O)N(C)C(C)C 489116928 YFSSQJKQVJYRTM-INIZCTEOSA-N 412.490 4.575 5 20 HJBD Cc1cc(=N[C@H](C)c2nc3ccccc3n2C(F)F)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489204449 IIYDGMSMIHDJPZ-CYBMUJFWSA-N 424.411 4.700 5 20 HJBD CCc1nc(C)c(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 494314251 PUCZZMPFBSPTPR-UHFFFAOYSA-N 417.469 4.596 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(c2ccccc2C(F)(F)F)CC1 495159654 VMJPVVDVPJHBSB-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD O=C(N[C@@H](CC1CCCC1)c1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 505286197 WNPYXVSCIVNPIB-NRFANRHFSA-N 405.454 4.900 5 20 HJBD COc1ccc(-c2ccc(CN3CCC(n4cc(Cl)cn4)CC3)o2)c([N+](=O)[O-])c1 507705548 WCPVPMBBWZBDJA-UHFFFAOYSA-N 416.865 4.550 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(OC(F)F)cc3F)cc2[N+](=O)[O-])CC1 512472932 AMLDPTSGYVRFKH-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD CC[C@H](NC(=O)Cc1noc(-c2ccc(C(C)C)c([N+](=O)[O-])c2)n1)c1ccccc1 519670573 RJJUCOHXMZTQOH-SFHVURJKSA-N 408.458 4.578 5 20 HJBD CCCN(C(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1)[C@H](C)c1cc2ccccc2o1 520972486 WUIGJVJPJGPAFJ-OAHLLOKOSA-N 419.441 4.535 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 521066156 LQXLNYDKQIYWJO-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1C 524830416 UOIBMHPQUABTNW-UHFFFAOYSA-N 412.490 4.786 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1ccc(Br)cc1[N+](=O)[O-] 530949122 LSDZFSRRFCGWPW-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](OCC(F)(F)F)C1 535715091 FMUZYADVKZMJMB-CQSZACIVSA-N 420.453 4.526 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](c1oc2ccccc2c1C)C(C)C 538160040 OUPAIAYZEVJNJC-OAQYLSRUSA-N 411.458 4.583 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccc(COCC(F)(F)F)c1 539871043 BWAZGMDGPBKPIP-UHFFFAOYSA-N 410.392 4.529 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccc(OC(F)F)c1 540319733 ATTPALDAUWVCTG-WDEREUQCSA-N 413.808 4.527 5 20 HJBD Cc1ccc([C@H](CC(=O)OC(C)C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 543061442 ICOOOISOJIXLPR-NRFANRHFSA-N 423.469 4.731 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2cccnc2)cc1 543465124 XGNUSABQZKEWHO-HZPDHXFCSA-N 423.444 4.689 5 20 HJBD Cc1cc(N2CCO[C@@H](c3ccc(OC(F)F)cc3)C2)c2cc([N+](=O)[O-])ccc2n1 543976533 VCNLLCRXGVAAGP-HXUWFJFHSA-N 415.396 4.631 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 546636559 LTGIFQCOFQHODH-OAHLLOKOSA-N 404.850 4.728 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 547242419 OHJOGCJZPMBNOW-UHFFFAOYSA-N 414.355 4.569 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(NC(=O)C2CC2)cc1 549536255 RLDHHLFEAKCJAM-GFCCVEGCSA-N 407.392 4.813 5 20 HJBD CN(C)C(=O)C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 549550217 ICTHFNIMFKMUGF-LJQANCHMSA-N 409.511 4.633 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 552442482 YTAUDHWUXDCIAJ-VFNWGFHPSA-N 406.404 4.539 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3ccc(F)cc3C)CC2)cc1SC 552973762 SCOXEIAANZPJPX-UHFFFAOYSA-N 418.490 4.793 5 20 HJBD C[C@@H](C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 560709440 YVQSIANBRQQCCO-ZUOKHONESA-N 420.868 4.775 5 20 HJBD CCc1nn(C)c(NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)c1[N+](=O)[O-] 562889009 ZPDGDFRXYBPQNY-UHFFFAOYSA-N 411.409 4.645 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1)[C@H]1C[C@@H]1C 565562699 XZOMOXFPZMTCIB-GTNSWQLSSA-N 421.375 4.627 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C2CC2)nn1-c1ccccc1 585723130 GUNUWSSNYAAKEG-AWEZNQCLSA-N 408.483 4.777 5 20 HJBD Cc1ccc(C(=O)c2ccccc2C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1C 603568992 LUWKKZWTTROIAD-UHFFFAOYSA-N 402.450 4.715 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@H](CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 608819280 SVJCDVRGOPFFBI-CQSZACIVSA-N 413.861 4.559 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 608966450 LJWAZRUGEJVUBY-INIZCTEOSA-N 415.660 4.769 5 20 HJBD Cc1nc2c(cc1C(=O)Nc1cccc(Oc3ccc([N+](=O)[O-])cc3)c1)c(C)nn2C 609755090 CKPJNFMSGKLYLY-UHFFFAOYSA-N 417.425 4.538 5 20 HJBD C[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 610068164 AROPHPVPCYKPPI-CYBMUJFWSA-N 415.833 4.550 5 20 HJBD COc1cccc([C@@H](C)c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c1 612918195 WQIORFFNNBZIGQ-GFCCVEGCSA-N 408.439 4.929 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccc(C)nn2)cc1 613185105 JRRGBAWJYCFSSI-QGZVFWFLSA-N 406.442 4.693 5 20 HJBD COc1ccc(NC(=S)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1Cl 726896630 QIEQFJRFLIAVSI-UHFFFAOYSA-N 400.287 4.739 5 20 HJBD COc1ccccc1C/C(C)=C\C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 730161348 YNXFKQOQRGCAKQ-KAMYIIQDSA-N 409.467 4.854 5 20 HJBD O=C(COc1ccccc1OCc1ccccc1)Oc1cc(Cl)ccc1[N+](=O)[O-] 730923664 WXGRNHYZNGPISM-UHFFFAOYSA-N 413.813 4.812 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc([N+](=O)[O-])ccc1Cl 732574927 HVCHUTQLKARCDH-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1OC(F)F 734115920 HYAABFYUEVMWDI-UHFFFAOYSA-N 405.401 4.747 5 20 HJBD Cc1cccc(C(=O)O[C@@H](C)c2ccc(N(C)Cc3ccccn3)c(F)c2)c1[N+](=O)[O-] 744203696 NUFMIVMQFDDPDQ-INIZCTEOSA-N 423.444 4.992 5 20 HJBD CN(C)C(=O)Sc1cccc(COc2ccc(Br)cc2[N+](=O)[O-])c1 753061870 QLFKHAQQNCRTTI-UHFFFAOYSA-N 411.277 4.710 5 20 HJBD CC(C)OCc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754987211 KBZIDENKPCPXRJ-UHFFFAOYSA-N 411.227 4.674 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cc1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760457844 KEUSOTBMFJHZJH-UHFFFAOYSA-N 414.801 4.610 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2ccc(F)cc2)o1)c1ccc([N+](=O)[O-])cc1F 762447177 HMCUGUGCQXBQEK-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD COc1ccccc1[C@H](CN[C@@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)OC(C)(C)C 765143035 ZADKHCINEMPECM-KXBFYZLASA-N 415.490 4.520 5 20 HJBD COc1cccc(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)c1[N+](=O)[O-] 774066190 SXUVHFZOIYUTHY-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=C(CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 775190826 FSKYEEUJZVEDOQ-AWEZNQCLSA-N 419.762 4.609 5 20 HJBD O=C(Nc1cccc(COCc2ccco2)c1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777946545 FWSDSECBFZIQTC-UHFFFAOYSA-N 402.353 4.635 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)OC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 779311338 RLOBDNQGSKUIAV-ZDUSSCGKSA-N 400.460 4.587 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCc2cn(C(C)C)nc21 781051456 BVJKTHNEUBFOCB-KRWDZBQOSA-N 402.520 4.680 5 20 HJBD C[C@@H](NC(=O)c1ccccc1NC(=O)OCc1ccccc1)c1cccc([N+](=O)[O-])c1 781952412 UTTKBPQQOQTLJO-MRXNPFEDSA-N 419.437 4.835 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cc3c(cc2Br)OCCO3)cc1[N+](=O)[O-] 784019763 FSZAOKORJLEGSG-UHFFFAOYSA-N 421.247 4.504 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 787975557 PAPWCMYXSWMBLN-UHFFFAOYSA-N 402.319 4.599 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccccc3F)n2C2CC2)c([N+](=O)[O-])c1 789291387 CLSPARWYVUGJFX-UHFFFAOYSA-N 415.406 4.528 5 20 HJBD Cc1sc(NC(=O)COc2cc(F)c(Br)cc2[N+](=O)[O-])c(C)c1C 790295713 JXFOCCAHWLSDLU-UHFFFAOYSA-N 417.256 4.501 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 793131735 ZQHYLKRPVGIBRW-LLVKDONJSA-N 421.247 4.542 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2NC(=O)OC(C)(C)C)c1 798323942 DKYGJGWEECHKEL-UHFFFAOYSA-N 415.446 4.658 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(N2CCCCC2=O)cc1 810204698 FNZFOQAHMHUKAR-INIZCTEOSA-N 410.474 4.611 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812947429 PCDZJAQAFRIBAG-SECBINFHSA-N 410.282 4.872 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C(=O)Nc2ccccc2F)C(C)C)cc1[N+](=O)[O-] 815406450 IPZQDDFOFONZBS-KRWDZBQOSA-N 408.813 4.516 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccccc1OCCOc1ccccc1 825482647 GTOOCKPUIGAZRT-UHFFFAOYSA-N 414.364 4.583 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 834561792 SZUUOQQKMQPAQX-UHFFFAOYSA-N 421.434 4.857 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(C)c1OCc1cccnc1 917699654 AFPDXJGFNTWQEQ-KRWDZBQOSA-N 405.454 4.677 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2SC(F)(F)F)cc1[N+](=O)[O-] 918041477 JLPAQUJQZPZKIC-UHFFFAOYSA-N 401.366 4.537 5 20 HJBD CCC(CC)[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccs1 918472936 YWTFKBUJOYBINM-MRXNPFEDSA-N 409.895 4.542 5 20 HJBD CN(Cc1cc(F)cc(F)c1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 1324638350 KYMDVOXOZDMCBV-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD Cc1c(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)cccc1[N+](=O)[O-] 1328241129 HDEPCRVBGLHTSJ-NSHDSACASA-N 404.220 4.856 5 20 HJBD CN(C(=O)c1cccc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1)c1ccccc1 1341252701 GHZFYMZSWHNAAK-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD COc1ccc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 7294673 YSIWSPDXNFLHGD-JTQLQIEISA-N 400.378 4.742 5 20 HJBD Cc1ccc(Nc2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3C)s2)cc1 10317258 BCBHRAPPXGYPSK-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD CCOc1cc(C(=O)N[C@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 26905906 HJUWRMYJFNXZKW-HXUWFJFHSA-N 420.465 4.716 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 47255555 FFDMMBCQUDAXPB-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1ccccn1)c1ccc(Br)cc1 59782274 BXDXCQHNELNMPC-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)cc1 62203970 RWMBBYLOKJRKFM-QGZVFWFLSA-N 421.856 4.620 5 20 HJBD COc1cccc(C[C@@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 65112002 SFUTUFFOXSERKM-SFHVURJKSA-N 423.494 4.574 5 20 HJBD Cc1c(C(=O)Nc2ccc3c(c2)oc2ccccc23)nnn1-c1cccc([N+](=O)[O-])c1 72008864 XRJCNAFAQYQECB-UHFFFAOYSA-N 413.393 4.636 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 97542889 WVVKFWYUFPAXLH-GOSISDBHSA-N 422.485 4.885 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)cc1)c1ccco1 301351797 KIBPUDOGFPQAES-UHFFFAOYSA-N 403.341 4.654 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1 427268544 BNJSKNVNWLIYAP-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435865600 QJWOBOPNBPXDJM-UHFFFAOYSA-N 408.457 4.773 5 20 HJBD Cn1c([C@@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 436310131 HAYYLXZGNDNULI-QHCPKHFHSA-N 422.488 4.545 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 436364742 CWVWMHNFMVGQGR-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD O=C(Nc1cc(F)ccc1Br)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437779292 UPQWFAQEZCUGJF-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(CCC(F)(F)F)cc2)c([N+](=O)[O-])cc1OC 439646411 LFVXCWSBMATUPO-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cc(Cl)cc(F)c3F)cc2)c(C)c1[N+](=O)[O-] 441603440 XYAQNKNGTCXZCI-UHFFFAOYSA-N 420.803 4.640 5 20 HJBD CSc1ccc(-c2nc(CC(=O)Nc3cccc4ccccc34)no2)cc1[N+](=O)[O-] 445331095 HBIRQYFVJYBXOH-UHFFFAOYSA-N 420.450 4.701 5 20 HJBD CCCN(CCC)C(=O)c1cccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c1 445471743 NAILPZNNJZXZJA-UHFFFAOYSA-N 400.460 4.636 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCC3(CCOCC3)C2)cc1[N+](=O)[O-] 461018807 WXHDFEUOFDIKMP-UHFFFAOYSA-N 406.548 4.766 5 20 HJBD COc1cc(C(=O)Nc2cccc(CC(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 464423331 CCAUCPNEJGSFLA-UHFFFAOYSA-N 420.290 4.562 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(Br)cc3F)CC2)cc1 464786193 WEFUIHXXNJDLIY-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cncc(-c2ccc(N(C)C)cc2)c1 471805285 QNDFDZBRHXWKPR-UHFFFAOYSA-N 418.497 4.774 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 473510365 PPDWWZNTAFJQBK-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 473517273 HWJVKXBGUCEVTM-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(Br)o2)no1 477164419 KBSHXSQAFCQLAZ-SSDOTTSWSA-N 414.599 4.794 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1ccc(F)cc1Br 485018475 DGFLRTSTZARXHC-UHFFFAOYSA-N 420.238 4.783 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486167924 QBLJKDCVHYFHMJ-CLAHSXSESA-N 413.268 4.611 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1-c1cnn(C)c1 489031054 MXOZPQNDGTVVLO-UHFFFAOYSA-N 424.526 4.673 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cc(Cl)ccc2n1C 491469765 DOKAPZOPPQRJEA-UHFFFAOYSA-N 418.906 4.567 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 493961389 PSGPQCUMPDHJAG-INIZCTEOSA-N 419.437 4.511 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 494087785 HYKWPZPNAPCSRQ-UHFFFAOYSA-N 411.487 4.559 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1OC(F)F 497997794 DGHVTSSCWWTATB-LLVKDONJSA-N 419.409 4.811 5 20 HJBD C[C@@H](N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1cccnc1 499063705 CNWIGZOUUPKZPM-VLIAUNLRSA-N 410.861 4.674 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ncc(-c2ccccc2)[nH]1 503647793 GOVPHRUOODMWOY-UHFFFAOYSA-N 410.499 4.759 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 503721077 IXXGCDDENQNWJC-UHFFFAOYSA-N 423.803 4.653 5 20 HJBD COc1ccc([C@@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccccc2OC)cc1 506247603 TYFCUQOWNITADP-JOCHJYFZSA-N 414.408 4.769 5 20 HJBD COC1(c2noc(COc3ccccc3[N+](=O)[O-])n2)CCC(c2ccccc2)CC1 508617842 HQEMLZWKAOBJPK-UHFFFAOYSA-N 409.442 4.756 5 20 HJBD Cc1csc(Sc2c(Cl)cccc2NC(=O)c2ccc([N+](=O)[O-])n2C)n1 509200806 SQFSSBVMLZZUEW-UHFFFAOYSA-N 408.892 4.755 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc2sc3ccccc3n12 511106623 LYHMIPIHYJXPDO-UHFFFAOYSA-N 400.485 4.932 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(NC(=O)c3ccc(Cl)cc3)cc2)cc1[N+](=O)[O-] 516204471 LMYFKFHSIIZHDW-UHFFFAOYSA-N 424.844 4.795 5 20 HJBD O=C(Nc1ccccc1Sc1ccccc1)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 518793378 IXGLXQQDCHQMDO-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 518955127 PAWYLVLMOWZSOL-DOTOQJQBSA-N 408.376 4.602 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1oc2ccccc2c1COc1ccccc1 522232274 MSWNOCGWBXDVBJ-UHFFFAOYSA-N 402.406 4.850 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc([N+](=O)[O-])cc1Br 523484019 IPEDMNBJVMKICH-UHFFFAOYSA-N 419.231 4.651 5 20 HJBD COc1cccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1OC1CCCC1 523491597 ZIHVFVSUKFHIBB-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD COc1cc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 523496199 IUDUQVNPFLLJIE-HNNXBMFYSA-N 400.475 4.546 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)c2cccs2)cc1 523497774 BGDDTHRBZRVWME-UHFFFAOYSA-N 423.494 4.661 5 20 HJBD Cc1ccc(NC(=O)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)cc1[N+](=O)[O-] 524308491 PRGPMRFJVNRDAR-KRWDZBQOSA-N 410.257 4.815 5 20 HJBD CC[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCOCC1 531043818 CMKZAHJIYREIKD-SFHVURJKSA-N 423.307 4.912 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(Cc3cccs3)C[C@@H]3CCCO3)o2)c1 532911948 JLHVQWFVDOYPFO-SFHVURJKSA-N 413.499 4.535 5 20 HJBD Cc1sc(NC(=O)c2cc(C)n([C@H](C)C3CC3)n2)nc1-c1cccc([N+](=O)[O-])c1 537428750 JDXJLXONFYQYJL-GFCCVEGCSA-N 411.487 4.755 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 541089021 HOVDGAMSQCEHSQ-HNNXBMFYSA-N 424.297 4.895 5 20 HJBD C[C@H](C(=O)N1CCSC[C@H]1c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 541623380 OIGOGVXUZZQGEI-SGTLLEGYSA-N 408.882 4.808 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC(OC(C)(C)C)CC2)cc1OC 541987374 YOQZICDEGNXKQU-MRXNPFEDSA-N 408.539 4.808 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 545095356 GJMPTQSQZHVMAC-QFYYESIMSA-N 407.785 4.646 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])cc2OC(F)F)ccc1OCC(F)(F)F 546189103 VDONOCMTCZWGRV-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD COc1cc(OCc2nc(-c3cccc(-c4csc(C)n4)c3)no2)ccc1[N+](=O)[O-] 546403753 VEHONRRTSZSWDA-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(CC(F)(F)F)[C@H](C)C(C)C 551131521 RFLRLVLCPWKYKE-SNVBAGLBSA-N 411.218 4.715 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)cc1 553149146 XMELEZRESUBZDM-UHFFFAOYSA-N 423.429 4.603 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 553210205 QEJZLYKSRUKWSL-KGLIPLIRSA-N 410.396 4.526 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nc2ccccc2[nH]1 556614439 CMCCBZQDPBFZAK-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD CCc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c2c(CC(C)(C)C)noc2n1 557081391 YYTUWDDAFRPIDZ-UHFFFAOYSA-N 424.501 4.597 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccc(O)nn1 560542657 DPTOMUPIRWPCSJ-LLVKDONJSA-N 418.812 4.526 5 20 HJBD Cc1ccc(C[C@H]2CCCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 561493788 NIJDCEPIKRIMSV-OAQYLSRUSA-N 421.541 4.601 5 20 HJBD C[C@H](C(=O)N(C[C@@H]1CCCO1)c1nc2ccccc2s1)c1cccc([N+](=O)[O-])c1 570579607 PPFRVIKKOIBDFW-YOEHRIQHSA-N 411.483 4.520 5 20 HJBD COCCC[C@H](CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 570833429 XGRMNSSSVALOHM-MAUKXSAKSA-N 404.894 4.678 5 20 HJBD COCc1cccc(-c2noc(-c3cc([N+](=O)[O-])cc(Br)c3C)n2)c1 576112639 PBUWIRZRZJFAIL-UHFFFAOYSA-N 404.220 4.529 5 20 HJBD CCO[C@@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1C(F)(F)F 577560630 DVTYEBBTZULIPP-OAHLLOKOSA-N 411.311 4.929 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1ccc(F)cc1[N+](=O)[O-] 588781427 QMJJGVVCVQXGNL-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)c12 603733928 PHSAPWZUVRBQEN-UHFFFAOYSA-N 409.490 4.803 5 20 HJBD CCOC(=O)c1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1Cl 604030157 HZEMIFLOWAGZGX-NSHDSACASA-N 408.863 4.544 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3ccc(-n4cncn4)c([N+](=O)[O-])c3)cc2)c1 604417064 OZIUABOLDZSMEI-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 608865872 YLSOTDNTLMSEGX-MRXNPFEDSA-N 423.391 4.518 5 20 HJBD CC(C)(C)CN(CC(F)(F)F)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609504843 MOLRXGIKOIEEBW-UHFFFAOYSA-N 401.410 4.769 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1ccc(F)cc1)c1cccs1 609783527 NGALJOPVMKOEJO-IBGZPJMESA-N 404.850 4.897 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cc2)cs1 609853595 BEJCZTPNPHFJMS-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD Cc1noc(C)c1CN(Cc1ccc(Cl)cc1[N+](=O)[O-])Cc1c(C)noc1C 609915525 CTULNQDSJMCMRF-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD Cc1cc(-c2cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c3c(C)noc3n2)c(C)o1 609973757 FYTXVOGANGOTLV-UHFFFAOYSA-N 418.409 4.519 5 20 HJBD CC(C)(C(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1 610042839 YPBAJIIHKYYODM-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD COc1ccc(OCCSc2nnc(CCC3CCCCC3)o2)c([N+](=O)[O-])c1 610218277 RUUSKZDXOVXSDA-UHFFFAOYSA-N 407.492 4.670 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 610222550 XBVUUCGLGCEYJP-UHFFFAOYSA-N 415.902 4.807 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610960191 BNGZEXSWWSYENY-BEFAXECRSA-N 422.403 4.854 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccncc1 612557045 NDPUBYYGWWMMPU-UHFFFAOYSA-N 413.543 4.980 5 20 HJBD C[C@@H]1COCCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 613401263 FJJQDXUSTXERPJ-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC2(CCO2)CC1 619732085 XGNJOCWKWBLZFE-UHFFFAOYSA-N 418.902 4.795 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725826181 NNKBEHFRWJLKHH-NSHDSACASA-N 415.298 4.816 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccc(OC(F)F)cc2)cc1SC 728649263 IGWNIIHRZURSSZ-JTQLQIEISA-N 413.398 4.845 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2nc(-c3ccccc3)cs2)cc1SC 728771450 HGQHOMCPGWFHOV-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 730839243 APBVGTSNHOSKRF-GFCCVEGCSA-N 424.284 4.908 5 20 HJBD O=C(Nc1ccc(OC(=O)C2(c3cccc(F)c3)CC2)cc1)c1cccc([N+](=O)[O-])c1 734313852 KLPXDGMAKLYGGW-UHFFFAOYSA-N 420.396 4.623 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NCc3cc(F)ccc3[N+](=O)[O-])cc2)cc1 742256370 MGSCJNDVTMHPKR-UHFFFAOYSA-N 409.417 4.997 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCSCC2)cc1 743183834 IZURZJARYDCRSA-UHFFFAOYSA-N 414.531 4.591 5 20 HJBD CC[C@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OC)cc1 760541548 FHIXLBLCGGMIML-OAHLLOKOSA-N 410.426 4.886 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1cc(Cl)ccc1Cl 763716026 KCRHTPGJDWTJBT-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767134895 FMLVZVKAAKNNLD-CQSZACIVSA-N 415.371 4.792 5 20 HJBD C[C@@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 768356120 QANLZZQBOYNVBD-GFCCVEGCSA-N 422.482 4.749 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 778599724 YSCAMSQVXOIQMN-LSDHHAIUSA-N 407.511 4.512 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 805884331 ICWVFKWTJOXTAY-HNNXBMFYSA-N 417.421 4.720 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2c3ccccc3CC23CCOCC3)ccc1Br 810080320 YXXYNOZDJOSUOX-LJQANCHMSA-N 417.303 4.541 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cncc(-c3ccsc3)c2)cc1SC 816254953 BKHJPERWNIAUNA-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@H](C)C(=O)N[C@@H](C)c1ccc(Cl)cc1 861872667 QHCWGOSLHZCMRZ-QWHCGFSZSA-N 408.907 4.756 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNc3ccc([N+](=O)[O-])c4nonc34)c2)c1 917088667 FJJZBFPYVOKRIS-UHFFFAOYSA-N 418.413 4.696 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc3nc(C(C)C)sc3c2)cc1[N+](=O)[O-] 921157189 LJGLRYILJOIGNB-UHFFFAOYSA-N 400.416 4.797 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCCc2nnc(-c3ccccc3)o2)o1 1319592225 SDUGGEKYFUXTPT-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD COc1cc(-c2nnc(COc3ccc([N+](=O)[O-])cc3)o2)ccc1OCCC(C)C 1324041097 VLAWYLDCDPPPOW-UHFFFAOYSA-N 413.430 4.657 5 20 HJBD Cc1c(Br)cc(-c2nnc([C@H](C)CN3CCC(C)CC3)o2)cc1[N+](=O)[O-] 1349948424 YJHMZMFZOSRPGO-GFCCVEGCSA-N 423.311 4.551 5 20 HJBD Cc1ccccc1NC(=O)C(=Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1C 6868403 MEGGCLQNZLEPOQ-UHFFFAOYSA-N 415.449 4.872 5 20 HJBD CCn1c(S[C@H](C)C(=O)N[C@H]2CCCC[C@@H]2C)nc2cc3ccccc3cc2c1=O 7577893 ZAWPLTZMBLSDFU-YRNRMSPPSA-N 423.582 4.745 5 20 HJBD CCCCc1ccc(CC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 7720001 TTXIBHWXNVHGDU-OAHLLOKOSA-N 409.442 4.834 5 20 HJBD O=C1/C(=C/c2ccc(Cl)c([N+](=O)[O-])c2)N=C(S)N1Cc1ccccc1Cl 15282166 WGASTBCUQMKSDC-AUWJEWJLSA-N 408.266 4.571 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2ncc(-c3ccc(F)cc3)[nH]2)cccc1[N+](=O)[O-] 26889534 PHGFJCUCRFZLED-GFCCVEGCSA-N 400.435 4.552 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](c2cccc(Cl)c2)N2CCCC2)c1 41400129 XBBMTFAGXHFRKV-LJQANCHMSA-N 419.934 4.537 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3cccc(Br)c3)o2)cc1 42153231 SCTGMMVLULAREE-UHFFFAOYSA-N 406.261 4.742 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)Nc3ccccc3)cc2)c1 63950791 HUEBFQKAVVVEMN-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 195719436 ZYNGWBWPVWXQNS-OAQYLSRUSA-N 414.465 4.707 5 20 HJBD C[C@@H](Cn1cccn1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 237395511 QLJMQPSXHYVFPI-ZDUSSCGKSA-N 404.829 4.554 5 20 HJBD COCCCn1c(Sc2ccc([N+](=O)[O-])cc2)nnc1-c1ccccc1Cl 301241430 PKTIPDRMCOKLDE-UHFFFAOYSA-N 404.879 4.694 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3ccccc3Br)o2)c(Cl)c1 301293294 VXPDBRQFWVKAEM-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Sc1nnc(-c2ccc(Cl)s2)o1 301629178 ATZJENDDLHVLPK-UHFFFAOYSA-N 419.669 4.668 5 20 HJBD O=C(c1ccc(Br)c(C(F)(F)F)c1)N1CCc2ccc([N+](=O)[O-])cc21 426320629 XYPRFDOHWYVMML-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 433955769 QPAGHUBDTJRPMZ-KBXCAEBGSA-N 410.499 4.677 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)o1 440595695 LOJBEEUNLJNYHZ-UHFFFAOYSA-N 421.438 4.583 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 444721664 WUTFIEFCHPUWSV-JLTOFOAXSA-N 413.861 4.865 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(C3CCSCC3)no2)cc1[N+](=O)[O-])c1ccccn1 445564543 HIOMXWGKBJSZOO-CYBMUJFWSA-N 411.487 4.824 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(CCC(F)(F)F)cc3)n2)c1 445584713 TYJSQPFIBMRRKW-UHFFFAOYSA-N 407.348 4.739 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ncccc1C(F)(F)F 446430552 DLIBMGYRXNKTKB-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(c1csc(-c2ccccc2F)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460360669 RILZEZMCAJVNRW-LJQANCHMSA-N 415.471 4.745 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3ccccc3Cl)nn2)cc1[N+](=O)[O-] 462043919 CRNAMCNTRCXKJS-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 462593982 QFSPGRWDGNEMRN-UHFFFAOYSA-N 420.263 4.501 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1CC(=O)c1ccc(F)cc1 462772862 JOVMPVMQMGQZLY-UTKZUKDTSA-N 412.461 4.567 5 20 HJBD CCOc1ccc(-c2nc(COc3c(F)cccc3[N+](=O)[O-])cs2)cc1OC 463575695 MPWWSWMHOLERQW-UHFFFAOYSA-N 404.419 4.844 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 464056670 LQVPFUZVKSTKFO-RTWAWAEBSA-N 407.514 4.912 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OCCC(C)C)cc2)c([N+](=O)[O-])cc1OC 465345019 QTHGYCYMHAPTKA-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2F)C(C)(C)C)cc1OC 468623385 WEXMGFPWAGSCCY-IBGZPJMESA-N 422.428 4.798 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](c1ccccc1)C2 468922873 KDLVIIPGLRTQKG-JOCHJYFZSA-N 403.438 4.935 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2nc3ccccc3s2)CC1 470150323 HFQMITHLDPAAQL-UHFFFAOYSA-N 400.435 4.755 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(c1ccccc1)C1Cc2ccccc2C1 470779015 JUHBHRQEWLFZLO-UHFFFAOYSA-N 424.460 4.595 5 20 HJBD Cc1cccnc1N(C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 471300109 KNMSPFCPLNRGGG-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C1)OCc1ccccc12 471619807 NZCDREMPRUEWSY-JOCHJYFZSA-N 412.511 4.759 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479678807 TZVQFWSMBIXXJO-OAHLLOKOSA-N 420.309 4.907 5 20 HJBD Cc1nc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1Br 481365124 SOEBXTBVOIJYIS-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD Cn1cc([C@H]2CSCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cn1 483419878 LKZWXYHCISRPJY-GOSISDBHSA-N 418.906 4.532 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(SCc3ccccc3)s2)c1[N+](=O)[O-] 485746797 JTWINAMMBZZKEU-UHFFFAOYSA-N 418.525 4.713 5 20 HJBD CC(C)(C)C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])C(F)(F)F 486117496 FWMVIJAQCDETGD-ZETCQYMHSA-N 403.220 4.516 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F 486201557 VMBYWWSAYNWFFS-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD CCc1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cnn1-c1ccccc1C 486406314 RCPKPTXKYJACPQ-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(OC(C)(C)C)nc3)o2)c([N+](=O)[O-])c1 486426619 BDGYUWLRBJBSSC-UHFFFAOYSA-N 411.458 4.726 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cn3cccnc3n2)cc1 488252545 PYZYEDHJTUASFU-UHFFFAOYSA-N 419.466 4.669 5 20 HJBD O=C(Nc1ccc(C2CCC2)cc1)c1ccc([N+](=O)[O-])cc1I 488614056 XMBKZVIKMONCJJ-UHFFFAOYSA-N 422.222 4.719 5 20 HJBD COc1cc(CNc2ccc(C)c(OC(F)F)c2)c([N+](=O)[O-])cc1OCC(F)F 490570692 SXSIYZMTSZWHOQ-UHFFFAOYSA-N 418.343 4.769 5 20 HJBD O=C(Nc1cc(F)c(OC(F)F)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 491370592 BNHCCSIPGSYXBH-UHFFFAOYSA-N 413.327 4.671 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 491397552 UEVATMLRKIOOIW-UHFFFAOYSA-N 421.438 4.571 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@H]1c1ccc(F)cc1F 497548463 YLKYUGQESZPQCM-LSDHHAIUSA-N 401.394 4.739 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 502668947 NPXUKTQOPBOJQI-GFCCVEGCSA-N 407.854 4.928 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1 504702890 KGYWWXPNFBWAPT-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD CN(C(=O)c1ccccc1NCc1c(F)cc([N+](=O)[O-])cc1F)C1CCCCC1 506280673 KEPYTMACFIDPHG-UHFFFAOYSA-N 403.429 4.890 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C1CCN(Cc2ccccc2[N+](=O)[O-])CC1 507942599 SLLQXGOEJKXJJJ-UHFFFAOYSA-N 407.436 4.710 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(c3ccccc3)CCC2)cc1[N+](=O)[O-])c1ccccn1 509956922 PBQVXWYENMYSTB-QGZVFWFLSA-N 416.481 4.972 5 20 HJBD COc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc(Cl)c1OCC(C)C 509975325 WOSBBHRWKQYTRC-UHFFFAOYSA-N 418.877 4.885 5 20 HJBD CC(C)N(Cc1ccc(-c2ccccc2F)s1)C(=O)c1cc([N+](=O)[O-])cn1C 516659133 XEAQMCQTNDJUEG-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD Cc1sc(NC(=O)[C@H](C)Oc2ccc(F)c(F)c2)nc1-c1cccc([N+](=O)[O-])c1 520971559 ZXCFGEOXCBBMDZ-JTQLQIEISA-N 419.409 4.711 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 522360439 YHQGIFFUFXHCCE-JKSUJKDBSA-N 416.359 4.746 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 523015344 LCPQESCQJNMKDT-LLVKDONJSA-N 413.808 4.945 5 20 HJBD O=C(CS[C@@H]1CCCc2ccccc21)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523948513 BDQYOCIUFPACCD-HXUWFJFHSA-N 414.483 4.505 5 20 HJBD Cc1ccc2c(C3=CCN(Cc4nc(-c5cccc([N+](=O)[O-])c5)no4)CC3)c[nH]c2c1 532655141 HGTANYRQPHSJEM-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD Cn1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)c1C(F)F 533256850 YGWDYQYLMNLQMI-UHFFFAOYSA-N 410.427 4.731 5 20 HJBD COc1ccc(C[C@H](C)CN(C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 535611702 OMHIVVDCLCNOSO-ZDUSSCGKSA-N 423.416 4.547 5 20 HJBD CC(C)[C@@H]1C[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536757391 UEFCCPZFGKGONV-DJJJIMSYSA-N 423.307 4.911 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(OCc2cccc(F)c2)c1 537212961 CDWNMJWMOJLOIR-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD Cc1c(Cl)cccc1OCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539351991 BVBLZSCRRFLRAG-UHFFFAOYSA-N 417.874 4.722 5 20 HJBD CC(C)[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc2c(c1)OCCO2 540342191 PZONJIBZGCSSER-OAQYLSRUSA-N 409.442 4.508 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 540435918 ZVGYEVRURVOWKC-UHFFFAOYSA-N 402.344 4.508 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](n2cccn2)Cc2ccccc21 540455944 DSUBQHTYLVWWPF-SFHVURJKSA-N 422.510 4.736 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 542581644 JZWMASFKVIROEL-JKSUJKDBSA-N 406.548 4.812 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2c(C)n[nH]c2C)cc1OC 543844447 OLCFNYBMQVJCIN-KDOFPFPSSA-N 416.522 4.705 5 20 HJBD CC(C)[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)cc1F 543846420 IFMALNNWGHNSFM-SFHVURJKSA-N 404.829 4.924 5 20 HJBD CC(C)c1nc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546386090 ORHKJWCTFDJYKY-JTQLQIEISA-N 408.867 4.942 5 20 HJBD Cc1oc(-c2ccsc2)nc1Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 547266777 RMLOHKKIXWNENH-UHFFFAOYSA-N 421.438 4.666 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 549688806 UVMLSEDLIUGRFC-IBGZPJMESA-N 410.392 4.577 5 20 HJBD CCSCc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 551459578 KNZURBISENAKDK-UHFFFAOYSA-N 415.515 4.723 5 20 HJBD C[C@@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cn1cccn1 554686731 GGUDEQIWNNUTPQ-HNNXBMFYSA-N 400.866 4.663 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)Cc2occc2C)cc1[N+](=O)[O-] 574302444 ZVDQAAHMIKMHKG-UHFFFAOYSA-N 424.478 4.721 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc([N+](=O)[O-])c1 580147692 KSGPAHRPUPVMFA-LLVKDONJSA-N 403.410 4.663 5 20 HJBD Cc1cnn([C@@H]2CCN(Cc3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)C2)c1 580659689 QYCCWKQTZKRSBY-QGZVFWFLSA-N 412.877 4.992 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(COc2cccc(F)c2)c1 603658290 LMVPAMKMUOZHGZ-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD CC1CCC(N(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C2CC2)CC1 603736941 BFOQOSOIXYUGOB-UHFFFAOYSA-N 400.397 4.719 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1cccs1)c1ccc(F)cc1 603990966 KJCKAWOPBYOGCW-UHFFFAOYSA-N 400.431 4.798 5 20 HJBD CCCOc1cc(NC(=O)[C@@H](C)c2c(C)noc2C)c([N+](=O)[O-])cc1OCCC 604547882 CXJKASAHCRBMFI-LBPRGKRZSA-N 405.451 4.519 5 20 HJBD CCOc1cc(C(=O)NCC2(c3cccc(C)c3)CCCC2)c([N+](=O)[O-])cc1OC 608875839 VWLMSWIGXKEDMA-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)NC(=O)c1cc([N+](=O)[O-])cn1C 609305330 QOYCJHVEFOMNGE-JTQLQIEISA-N 404.879 4.510 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ncc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 610247100 VJNSLRKFJVZKHV-SNVBAGLBSA-N 403.851 4.525 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccccc1Br 610669728 NVDOQIHVSSDEKA-GOSISDBHSA-N 403.276 4.654 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610962837 PNSDPDHQNJHIJS-UHFFFAOYSA-N 412.465 4.921 5 20 HJBD CC(C)(C)c1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)o1 611211220 VGYFNKWHVDQWNA-UHFFFAOYSA-N 403.504 4.845 5 20 HJBD COCC[C@@H](Cc1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 612074294 CUZBKFTULHDXOI-HNNXBMFYSA-N 418.515 4.600 5 20 HJBD Cc1ccccc1-c1nc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cs1 727368168 OLBKWKOZJHJOIN-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 730507570 BKMPGYWQLCXCIS-UHFFFAOYSA-N 406.280 4.846 5 20 HJBD C[C@@H](OC(=O)C[C@@H](C)c1ccc(C(F)(F)F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 733519952 FMTBYIIURFJYOL-CHWSQXEVSA-N 424.375 4.678 5 20 HJBD Cc1sc2ncnc(SCC(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])c2c1C 734882434 TYBZEZGTYMVVOM-UHFFFAOYSA-N 423.903 4.705 5 20 HJBD Cc1cc(COC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2n1 735890824 LEYMTENCGOYSCU-CYBMUJFWSA-N 400.818 4.616 5 20 HJBD Cc1c(C(=O)Nc2nc3ccccc3n2C2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 740808875 RLVWLYAGUONIGZ-UHFFFAOYSA-N 423.429 4.837 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc(C)ccc3[N+](=O)[O-])cc2)cc1 741889800 QZYUWQJHNSASJP-UHFFFAOYSA-N 404.422 4.707 5 20 HJBD COc1cc(NC(=O)OC(C)(C)C)c(Cl)cc1C(=O)Oc1cccc([N+](=O)[O-])c1 742497527 JQXGNRRAQLVWEE-UHFFFAOYSA-N 422.821 4.823 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1cccc2c1CCCC2=O 744271319 QIKCAJJKBBRWBK-UHFFFAOYSA-N 422.359 4.540 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1 745388847 JBLIDBJDZBDBDC-INIZCTEOSA-N 404.422 4.754 5 20 HJBD CC(=O)[C@@H](C)OC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 748579252 LQEHUDUYCYDXBA-DSQDWWTGSA-N 410.451 4.656 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cc([N+](=O)[O-])ccc1Cl 749145937 LAHLDLXOIKIJGM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@@H](OC(=O)CC[C@@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750105079 JGIDRPQUKFJKQB-ZIAGYGMSSA-N 404.850 4.702 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@H]1C[C@@H]1C1CC1 751742322 LPZUGHXXEOCRIN-JTDSTZFVSA-N 414.845 4.517 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1scnc1Br 754289460 WBZGZXIUSMTTMV-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 754958900 VTGOFSJHLNAAJQ-JOCHJYFZSA-N 422.506 4.992 5 20 HJBD Cc1c(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnc(Cl)c1Br 760060434 OSTQPAQSZMZRMN-SECBINFHSA-N 413.659 4.597 5 20 HJBD COc1cccc(NC(=O)c2ccccc2NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 761679118 LQMIFJFXJADSGX-HNNXBMFYSA-N 419.437 4.598 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 764581669 WQBGURZJSZBTAQ-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@@H](c4ccc(C(F)(F)F)cc4)C3)n2)cc1 767881736 FUBZZUNDUOEZBT-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD Cc1ccc(-c2cnc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)s2)c(C)c1 769520948 LQICNNVUYAPVPN-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)Nc2cc([N+](=O)[O-])ccc2O)n1 770855826 GFFNSJKNWMBSDK-UHFFFAOYSA-N 401.469 4.610 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1sccc1Br 776137391 ZYAHWYUPYWNAIZ-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1CCSc2ccccc21 776219197 XWNPDNMPUORBIK-UTKZUKDTSA-N 406.463 4.902 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cccc1Cl 780283242 QKQARJAVJDZWCG-UHFFFAOYSA-N 422.890 4.854 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1C 783270837 JOGPOXSJJOFIFM-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1nc2cc(C(F)(F)F)ccc2o1 785949197 TZIISNJRVKRJLT-UHFFFAOYSA-N 414.723 4.694 5 20 HJBD CC(C)(C)c1cc(CS(=O)(=O)Oc2ccc([N+](=O)[O-])cc2-c2cccs2)no1 787918143 FINWFIKKIVGUGH-UHFFFAOYSA-N 422.484 4.518 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 789906838 DSKGACZMVWTMNQ-VZOQVYJPSA-N 420.444 4.891 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc2oc(C(F)(F)F)nc2c1 790551878 QDLUWWBAORSJES-UHFFFAOYSA-N 417.702 4.729 5 20 HJBD COCC[C@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 792927655 QLCGZLFISWYCMJ-INIZCTEOSA-N 414.245 4.677 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 804412632 WWZYGJJXNKTQJJ-GAJHUEQPSA-N 422.456 4.600 5 20 HJBD CC1CCN([C@H](CNC(=O)c2cccc([N+](=O)[O-])c2Cl)c2cccs2)CC1 816812959 FPZITALMCZNKOZ-MRXNPFEDSA-N 407.923 4.513 5 20 HJBD COc1cc(COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 892077432 RTZWZTMCZRSUJH-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD CCOC(=O)c1cc2cc(NC(=S)Nc3ccc([N+](=O)[O-])cc3)ccc2s1 914774265 SUBNVSPVYHZYBC-UHFFFAOYSA-N 401.469 4.795 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N[C@@H](c1ccccc1)C1CCCCC1 915782661 BTRJLWUCRANUAU-IBGZPJMESA-N 415.877 4.625 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1ccc(Br)c([N+](=O)[O-])c1 918665679 OCKSGOWEVKLRST-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@H](COc1ccccc1)OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 920124358 LVDFMJQNZYKMSZ-IAGOWNOFSA-N 421.453 4.787 5 20 HJBD COc1cc(COc2ccc(C)c3c2C(=O)C[C@H]3C)c([N+](=O)[O-])cc1OC(F)F 1317890187 ARHWBWBCITXKNH-LLVKDONJSA-N 407.369 4.782 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nnc(-c3cccc(Cl)c3)o2)ccc1[N+](=O)[O-] 1328210983 SDUWHXNLMWUEPT-KRWDZBQOSA-N 412.833 4.584 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)o2)c1 1341296834 WGTYVODGNUAEAX-UHFFFAOYSA-N 408.458 4.831 5 20 HJBD Cc1nc(SCC(=O)Nc2cccc([N+](=O)[O-])c2C)c2c(C)c(C)sc2n1 8266679 HWIPVQMMHFHIHE-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 10758303 SBXDKKJDDWHITB-URWPNFCTSA-N 420.396 4.670 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)s2)cs1)c1ccccc1[N+](=O)[O-] 14637625 JFGVETFKVUIOOI-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD C[C@@H](OC(=O)c1occc1-c1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 16537623 VKJRPRGLVBDLDH-GFCCVEGCSA-N 414.801 4.692 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccccc2[N+](=O)[O-])c2ccccc2)c1 20750890 FHOWTCNQJUFSBA-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nc4ccccc4n3C(F)F)n2)c1 31849104 MQXMXLJIOLBUHJ-UHFFFAOYSA-N 403.370 4.682 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 45537173 LDIGUJSYAKUBCM-MGPUTAFESA-N 400.500 4.838 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50291034 IJBBQFZKPRTZCU-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1ccsc1 57084087 WWEXKBKVJRMBOH-IBGZPJMESA-N 402.859 4.594 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 65498372 XNNBNJIHOXLDIL-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCC(=O)Nc1cc(Cl)c(Cl)cc1Cl 98065777 GDGMPLWLGCPVIN-UHFFFAOYSA-N 404.637 4.614 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)c1ccsc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 108945024 YQBUWFXYBXLNIH-KRWDZBQOSA-N 412.858 4.705 5 20 HJBD COc1ccc(C(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)cc1[N+](=O)[O-] 302888881 LDZHIAHPRULPEL-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD Cc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc2n[nH]cc12 426943068 PLHIJNQCWJJONW-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD COc1cccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)c1[N+](=O)[O-] 435980545 GWUVQVMHLFRSRX-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 436020909 MLHUHEHCATYDOI-BBRMVZONSA-N 408.376 4.688 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 436029704 SNCDKORKEOWOTA-ZBFHGGJFSA-N 408.376 4.688 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1F 436077698 OJHCREBVHDAYHM-UHFFFAOYSA-N 412.367 4.750 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)O[C@H](C)C2 437194780 OTSWNTWVLNIJCV-SNVBAGLBSA-N 410.348 4.588 5 20 HJBD C[C@H](Cc1ccc(O)cc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442639942 VPEATKWKNRLBMY-OAHLLOKOSA-N 408.479 4.813 5 20 HJBD Cc1cc2c(cc1C)O[C@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)C2 443880082 PZNINKADCVJKBP-SFHVURJKSA-N 409.467 4.586 5 20 HJBD Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1csc(CNC(=O)c2ccccc2)n1 444830943 ZOCCVXRDKHYUQH-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1ccc(OC)cc1OC 462433488 ZQOUEBLTHVBVAN-UWJYYQICSA-N 412.486 4.544 5 20 HJBD C[C@@H](Sc1nnc(CN2CCCC2)n1Cc1ccccc1)c1ccccc1[N+](=O)[O-] 463044077 QBXWOPTWTSGRPM-QGZVFWFLSA-N 423.542 4.684 5 20 HJBD CCN(Cc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H](Cc1ccc(F)cc1)C(C)C 464781534 VVTHKWOMWGPCBI-OAQYLSRUSA-N 402.466 4.690 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cnn(C(F)F)c3)cc2[N+](=O)[O-])cc1 467431074 VLTGGWODESLCBL-UHFFFAOYSA-N 404.398 4.898 5 20 HJBD C[C@@H](O)C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccccc1 469141499 XPKLSQCCYRCEIJ-IEBWSBKVSA-N 420.465 4.672 5 20 HJBD COc1cc(CN2CCC[C@@](C)(OC)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 475559024 NEIKFIJINSNMNT-HSZRJFAPSA-N 414.502 4.573 5 20 HJBD CCC[C@@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(N2CCCC2)c1 482035552 ANOZGLKUFXUEKS-VGOFRKELSA-N 410.518 4.653 5 20 HJBD CN(CCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)CC(F)(F)F 482201702 SXRBOIPQDKWRDG-UHFFFAOYSA-N 417.815 4.624 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1ccc(Cl)cc1 482743251 HDUBYJDCWAUCTE-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD Cc1c(C[S@@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 489901969 TXROQPBHKPIQIF-MUUNZHRXSA-N 420.556 4.782 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](Cc1ccccc1)c1ccccc1 499030253 PNXNAOOHQWHRIT-DYESRHJHSA-N 419.481 4.504 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCc3ccncc3)cc2[N+](=O)[O-])cc1 499950888 MJNNBOIEVGKRBV-UHFFFAOYSA-N 407.495 4.764 5 20 HJBD CC(C)(C(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1)c1ccccc1[N+](=O)[O-] 500585784 SXOZTJKXDFTXHD-UHFFFAOYSA-N 400.425 4.857 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN(Cc1cccc(-c2cccnc2)c1)C1CC1 503061432 GMBIPBHRMQCHMK-UHFFFAOYSA-N 416.481 4.568 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1)c1ccc([N+](=O)[O-])cc1F 507285801 SEPMBZRTIXFLLY-VFNWGFHPSA-N 422.460 4.981 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cccc(Br)c1Cl 509487852 HPDLGMFBAUVVRW-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512281839 KISJWKDBTMCEGY-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 522850994 CBBXMVDJTSQPGA-GOSISDBHSA-N 407.430 4.712 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 524169973 NFNFBGGPONJVFP-INIZCTEOSA-N 414.220 4.646 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccccc1Cl 525551331 JVRDAXSCQUUZGG-SFHVURJKSA-N 402.859 4.838 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1)C[C@H]1CCCCO1 538218832 KBZKNIFQVBUHAY-HXUWFJFHSA-N 411.502 4.627 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@@H](C)c1cc([N+](=O)[O-])ccc1C 539079113 HZHKGYPWYLHLBG-AWEZNQCLSA-N 420.307 4.654 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 539361646 ASPUMZPFIZYVNA-INIZCTEOSA-N 405.454 4.560 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N(c1ccccc1)c1ncccc1Br 540273343 IFYJJJZHILHNHO-UHFFFAOYSA-N 412.243 4.660 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnn(-c2ccccc2F)c1 540457692 HSTWZJPHJYXPDZ-UHFFFAOYSA-N 400.435 4.672 5 20 HJBD COc1ccc(NC(=O)c2nc(-c3cccc(C(F)(F)F)c3)oc2C)c([N+](=O)[O-])c1 541081966 QFDYDSGIUKCFGY-UHFFFAOYSA-N 421.331 4.838 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3F)c2)cc1 541786488 TWNLDFQAYGYDFI-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD COc1ccc(NC(=O)c2nc(-c3cccc(C(F)(F)F)c3)oc2C)cc1[N+](=O)[O-] 543857694 IDESOVUDCMIGBJ-UHFFFAOYSA-N 421.331 4.838 5 20 HJBD COc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1OC(C)C 544888391 KHLYLCMSYXLMES-UHFFFAOYSA-N 401.463 4.535 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCC3=O)cc2)no1 544904326 QWQJCCBZWWEGLD-CYBMUJFWSA-N 410.455 4.625 5 20 HJBD COc1cc(-c2nc(CSc3ccc(Cl)cc3)no2)cc([N+](=O)[O-])c1OC 545529015 YKYICNUICJRZMB-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD COC(=O)c1cc(NC(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)c(C)c([N+](=O)[O-])c1 548967513 JDMCBQCTBWYPED-GGPHIMKMSA-N 423.469 4.632 5 20 HJBD CC(C)N1C[C@H](c2noc(-c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)n2)CC1=O 551184806 OBFFVAASSOVVOE-OAHLLOKOSA-N 424.482 4.520 5 20 HJBD CCOC(=O)c1ccnc(NCc2cnc(-c3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 553592187 QQRUYVFDOPYYMA-UHFFFAOYSA-N 418.862 4.556 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(F)c(C(F)(F)F)cc1F 553725187 LQOJFRIWMLWCJR-SECBINFHSA-N 420.359 4.689 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@H]1c1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 602761781 URDNVBGVTOGNBB-JOCHJYFZSA-N 419.437 4.600 5 20 HJBD Cc1c(NC(=O)NC[C@H](c2cccs2)N2CCC(C)CC2)cccc1[N+](=O)[O-] 603645766 YDUIFHCJYDZSQM-GOSISDBHSA-N 402.520 4.559 5 20 HJBD CCCCCN(Cc1ccc(Cl)cc1)C(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 604160144 ARUXFLHAVRDBMC-UHFFFAOYSA-N 417.893 4.714 5 20 HJBD CSCCc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 609014239 CFMWYPLOHULGSE-UHFFFAOYSA-N 402.519 4.699 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609073496 KWFXATIVALRYHE-UHFFFAOYSA-N 405.454 4.596 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CC[C@H](c3ccco3)C2)cc1 609528770 AJXNFUKGAYOOSW-HNNXBMFYSA-N 408.410 4.618 5 20 HJBD CC(C)(C(=O)Nc1ccc(N2CCc3sccc3C2)nc1)c1ccc([N+](=O)[O-])cc1 610037985 WPEQWLRPJFEGRZ-UHFFFAOYSA-N 422.510 4.530 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)c2ccccc2S1 610038433 BNKJGOVEVOBHSS-LLVKDONJSA-N 407.289 4.888 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)c2)n1 610093810 WSNDLBRSRSKXAZ-UHFFFAOYSA-N 407.474 4.542 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 610123557 OITSSQGNMBFARD-UHFFFAOYSA-N 413.430 4.899 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1C(F)(F)F 610224253 KTJLHHZUSVGTSS-VIFPVBQESA-N 404.291 4.957 5 20 HJBD COCCOCc1cccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c1 618582693 JFASRSMHPGWEQI-UHFFFAOYSA-N 421.322 4.551 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])n2)cc1 727708792 IZLNTUNVAKJIIN-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD CC[C@H](CC(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccccc1 728801735 CTTPXGULZMAUHR-QGZVFWFLSA-N 422.485 4.966 5 20 HJBD Cc1cc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)ccc1OCC(F)(F)F 738296807 KYGVRPIMOJGMDZ-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD CCCCn1nc(C)c(/C=C2/N=C(c3ccc(Cl)c([N+](=O)[O-])c3)OC2=O)c1Cl 746348828 MESRKOYHNIRDEZ-NTEUORMPSA-N 423.256 4.551 5 20 HJBD O=C(OCCn1ccc([N+](=O)[O-])n1)c1sc2cc(C(F)(F)F)ccc2c1Cl 748116299 AKVQGJMIPIDZSV-UHFFFAOYSA-N 419.768 4.535 5 20 HJBD O=C(NC12CC3CC(CC(C3)C1)C2)c1cc(Br)cc([N+](=O)[O-])c1Cl 749411398 UFTWVGXNCVYPEC-UHFFFAOYSA-N 413.699 4.709 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1C 751271817 LJINOLJSZXYDLJ-UHFFFAOYSA-N 420.874 4.854 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1 754982388 VEMKINWHFSOLKJ-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD C[C@H](C(=O)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755520715 ODNQUHZLEPSGQU-AQOAWAETSA-N 414.477 4.732 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 756273039 ZNJHAFCOVNTQJK-OAHLLOKOSA-N 413.865 4.603 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757080607 OABWTGSEZJGBQR-IBGZPJMESA-N 422.462 4.779 5 20 HJBD COc1cc(Cc2noc(-c3ccc(C4SCCS4)cc3)n2)ccc1[N+](=O)[O-] 762307608 GBHQKLLZIWKUNU-UHFFFAOYSA-N 415.496 4.723 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)sc2C)cc1 770056147 VTZDJJMQPWYXJN-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(C[C@@H](O)c4cccc(F)c4)n3)cc2)cc1 777718565 CPLSCUQWHITEIF-HXUWFJFHSA-N 421.384 4.852 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777943921 WOTZUOCGJQRLGA-UHFFFAOYSA-N 412.396 4.525 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NC1CCN(Cc2cccnc2)CC1 787885427 AZXFRQRFZUMHMV-UHFFFAOYSA-N 414.815 4.739 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790927614 LUFDVKZQESINHV-SGTLLEGYSA-N 406.841 4.548 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nnc(-c3ccc(Cl)cc3F)s2)c1[N+](=O)[O-] 791868522 BAZRFMXWKIBZKF-UHFFFAOYSA-N 410.789 4.606 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1ccccc1C(F)(F)F)CC1CC1 804177511 CHLUWBBKVDNTLZ-UHFFFAOYSA-N 423.347 4.574 5 20 HJBD CCCn1ncc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1C1CC1 811218417 ZPCNLXPBTJEDBN-UHFFFAOYSA-N 412.471 4.559 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cncnc1-c1ccccc1 811218532 FICCUIKHZWENGW-UHFFFAOYSA-N 418.434 4.532 5 20 HJBD COc1ccc(OC(F)F)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 864026236 ADZZGNGSNZDAMD-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD Cc1ccnc(-c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)c1 904940499 NMPVBPADBPCHIW-UHFFFAOYSA-N 411.468 4.931 5 20 HJBD Cc1cccc([C@H](CC(=O)OCc2nc3ccccc3c3ccccc23)NC(N)=O)c1 914644471 QDEONHZTMKHVGZ-QFIPXVFZSA-N 413.477 4.539 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(C2CC2)nc2c1c(C)nn2C(C)(C)C 915825810 FZEPTXDTVQACJC-UHFFFAOYSA-N 424.457 4.508 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1116133356 ZWSNPBOOWHRYHY-LBPRGKRZSA-N 418.375 4.510 5 20 HJBD CCN(CC)C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 1116415746 JIZOIIDLEJMUSC-UHFFFAOYSA-N 405.564 4.576 5 20 HJBD CCc1ccc(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc1[N+](=O)[O-] 1252518447 IVPXAKDBDUKMKV-SFHVURJKSA-N 424.457 4.821 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H]3CCCC[C@H]3C2)cc1[N+](=O)[O-])c1ccccn1 1317950129 FXCSFYMCTYEKJJ-SCTDSRPQSA-N 408.502 4.815 5 20 HJBD Cn1c2ccccc2c2cc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)sc21 1323964197 ONWOPMKOLJPTNC-UHFFFAOYSA-N 406.423 4.930 5 20 HJBD Cc1ccc(SCc2nnc(Cc3ccc([N+](=O)[O-])cc3Br)o2)cc1 1345494481 NKNNHEHXMQOLKF-UHFFFAOYSA-N 420.288 4.932 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccc1OC(F)F 25300383 NSCLOMRJEOPXEM-OAHLLOKOSA-N 422.431 4.634 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCc1cccc(F)c1)c1ccccc1 46834145 JVMXBIVORCRXPX-FQEVSTJZSA-N 413.836 4.857 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCc1cccc(F)c1)c1ccccc1 46834146 JVMXBIVORCRXPX-HXUWFJFHSA-N 413.836 4.857 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCCC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 54591476 RYNLKKRTOBYFOF-UHFFFAOYSA-N 409.467 4.688 5 20 HJBD O=C(CCCCc1ccc(Br)cc1)N1CCc2ccc([N+](=O)[O-])cc21 59251277 FGQOHOBBTURNIS-UHFFFAOYSA-N 403.276 4.659 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)[nH]c2c1 61232545 DDYQQLLGXDSPSF-KUHUBIRLSA-N 410.499 4.624 5 20 HJBD CN(Cc1c(F)cccc1Cl)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64894186 IOGARIGTGQAVGF-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD O=C(Nc1c(-c2ccccc2)nc2ccccn12)c1ccc(NC2CC2)c([N+](=O)[O-])c1 104911821 AHWXCPGRAUFQRT-UHFFFAOYSA-N 413.437 4.736 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(Cl)c(Cl)n3C)n2)cc1[N+](=O)[O-] 106203617 MJINOPFOONXUEO-UHFFFAOYSA-N 411.270 4.924 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107797873 MHQNGYUDRALBML-MDZDMXLPSA-N 421.400 4.809 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1 133285448 CVAWECBFIHMTKH-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nc(-c2cccc(C(F)(F)F)c2)no1 138236485 ZIYLECGSEXMNAX-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD CC(C)[C@@H](Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccncc2)no1 301656457 AYLQSFRSMHLCDB-OAHLLOKOSA-N 407.352 4.868 5 20 HJBD Cc1cc(N2CCC[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C2)c2cccc([N+](=O)[O-])c2n1 302044556 XKQGBLOFSYDONI-JKSUJKDBSA-N 414.506 4.581 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 410197666 XPEMLHCWQVFHNY-UHFFFAOYSA-N 422.488 4.502 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 429800241 CGMTVHLVOIBGBI-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD CC(=O)c1ccc(OCc2c(C)nn(Cc3ccc(Cl)cc3)c2C)c([N+](=O)[O-])c1 435662736 KPHSROMTDZISLU-UHFFFAOYSA-N 413.861 4.891 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](C)Oc3ccc(Br)cc32)c1 436328403 VQYCETDFTOAZDP-SNVBAGLBSA-N 423.288 4.507 5 20 HJBD O=C(NC[C@@H]1CCCC[C@@H]1C(F)(F)F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444093589 BEVAVKHOPHHQFA-LRDDRELGSA-N 420.406 4.919 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cc(-c2ccccc2)ccc1Cl 444635125 JTICNCHOZDMYKX-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 444861385 TYXVEIVFMFJPTG-SSDOTTSWSA-N 421.219 4.511 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Nc2ccc(C(F)(F)F)cn2)cc1 461650359 GXOMUAZMELLRAQ-UHFFFAOYSA-N 416.359 4.933 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)n2)cc1 462619374 DJPLGMSJRIEXIK-MAUKXSAKSA-N 422.485 4.653 5 20 HJBD Cc1cccc([C@@H]2CCCN2c2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])c1C 462867226 RTXZOGBMDLEAKK-IBGZPJMESA-N 404.470 4.518 5 20 HJBD CCc1cc(N2CC[C@H](COCc3ccccc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 463080659 CVESVTDQCGDXCG-IBGZPJMESA-N 418.497 4.657 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c([N+](=O)[O-])cc1F 464499805 UUICZFNRYJBMNZ-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@@H]2C)cc1SC 470580511 GHEDUDKYUWLQIU-GOEBONIOSA-N 400.500 4.652 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 471444283 ZLYBMQQMHMJZTA-UHFFFAOYSA-N 417.668 4.917 5 20 HJBD CCOc1cc(C(=O)N[C@H](CC(C)C)c2ccccc2OC)c([N+](=O)[O-])cc1OC 471445471 WQLQQNNJLDFMBR-QGZVFWFLSA-N 416.474 4.528 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@H](c2ccc(F)cc2)C1 471528251 LUUMOQLZGNMYHU-AZUAARDMSA-N 414.480 4.746 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)cc1F 473819263 RMWUHIDXDJSMMM-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1sc(Br)cc1[N+](=O)[O-] 478296475 XDDHZGBWSLGMKV-ZYHUDNBSSA-N 413.268 4.624 5 20 HJBD Cc1c([C@@H](C)N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)cccc1[N+](=O)[O-] 479526523 NETYJGLIOIXPKH-BDJLRTHQSA-N 402.800 4.960 5 20 HJBD Cc1onc(-c2ccncc2)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 482205560 DBBUVHDAGRGDOV-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD Cc1cc(=N[C@@H](C)c2ccccc2OC(F)F)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489231525 KCSJTRSKCVWLPY-ZDUSSCGKSA-N 400.385 4.557 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2cccnc2Oc2cccc(F)c2)cc1[N+](=O)[O-] 489877662 UYXNMYZHRNMMGD-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCc3ccc(-c4ccccc4)cc32)c([N+](=O)[O-])cc1OC 494514129 VVOQPVVGQRGBMR-HXUWFJFHSA-N 418.449 4.696 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nc1-c1ccccc1 497766158 FXWZFNHZPVCYCJ-UHFFFAOYSA-N 418.434 4.721 5 20 HJBD Cc1ccc([C@H](C)N(C)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(C)c1 499465440 XNEYXZZSIZGUGZ-SFHVURJKSA-N 420.513 4.906 5 20 HJBD CC1(C)CC(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC(C)(C)N1 499967054 ZYWHBXNHNDQYOR-UHFFFAOYSA-N 401.482 4.925 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)cc2)C(C)C)c([N+](=O)[O-])cc1OCC(F)F 502562509 HBGKIZYTPIKTTI-FQEVSTJZSA-N 412.408 4.873 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N2CCCC2)c1 502769090 WYJWYSXICMBGTG-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD COc1ccc(CNC(=O)NCc2ccccc2Sc2ccccc2)cc1[N+](=O)[O-] 504253953 JXHFICMINATJEF-UHFFFAOYSA-N 423.494 4.754 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 504271861 PYYHPMNFFYSPIM-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD CC(=O)c1ccc(NCC2(c3cccc(C(F)(F)F)c3)CCOCC2)c([N+](=O)[O-])c1 505581741 LNDHPVWKJDXHGP-UHFFFAOYSA-N 422.403 4.977 5 20 HJBD COc1cc(CNc2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 508474724 QNZZEECKXLCHJX-UHFFFAOYSA-N 410.270 4.574 5 20 HJBD COc1ccc(F)cc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 509261005 ZLTSVUGXRBMQQW-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD CCN(CC)[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 509814567 ZQACNBRVQSIONW-GOSISDBHSA-N 409.408 4.944 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(NC(C)=O)ccc2C)cc1OC 513604009 SLTRITZYZSVHGC-CQSZACIVSA-N 415.490 4.907 5 20 HJBD CC(C)c1ccc(CN[C@@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 514245685 BHQBBUDTQNJRQF-SFHVURJKSA-N 407.308 4.694 5 20 HJBD CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@H]1CCCc2ccccc21 518164687 BTVKPJDAEJLCDH-KRWDZBQOSA-N 408.376 4.686 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1n[nH]c(-c2ccccc2)c1Br 519944255 BHQIWROPSBXUJS-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1cc(Cl)ccc1Sc1ccccc1 520963222 UDIVNWBSVIIECU-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)c(C)c2c1 530743851 FZQHZKXMIRVXPJ-UHFFFAOYSA-N 408.454 4.836 5 20 HJBD COc1cccc(C[C@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 533251876 YUIXDDIFFPNRJT-LJQANCHMSA-N 407.470 4.508 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)cc2)n1 533943762 XIOPISPDZXGTJO-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539354399 RNRYWHGNSUZFDG-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD Cc1c(-c2ccccc2)sc2nc(CC(=O)c3cccc([N+](=O)[O-])c3)nc(O)c12 539409668 SQVIRPDPIMSERB-UHFFFAOYSA-N 405.435 4.706 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540567421 SJYKSUCZOFBDKM-SFHVURJKSA-N 417.465 4.515 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2nnc([C@@H]3Cc4ccccc4O3)o2)o1 540792565 RRBGMRCURIXCLP-IBGZPJMESA-N 404.382 4.526 5 20 HJBD COc1ccc(Cl)cc1-c1nc(SCc2ccc([N+](=O)[O-])cc2Cl)n[nH]1 541077803 ZPUVIXRERKQTCT-UHFFFAOYSA-N 411.270 4.988 5 20 HJBD C[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1nccs1 541782323 SHXMFPOSXMWPJI-LLVKDONJSA-N 422.304 4.967 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 542179202 KCMMHYCKUHMVJM-MRXNPFEDSA-N 420.309 4.918 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 542197723 ZKLFTUOFLJVJPG-UHFFFAOYSA-N 405.907 4.888 5 20 HJBD O=C(Nc1ccc(-n2cccn2)nc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542515443 YMQAPPJAFDONKE-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(N[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 552063201 SDUYWUZMONCMTJ-FDDCHVKYSA-N 419.529 4.904 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(N[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 552063203 SDUYWUZMONCMTJ-MBSDFSHPSA-N 419.529 4.904 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCC[C@@H]1c1cc[nH]n1 553188075 BAXJCAXJBCRUPL-HXUWFJFHSA-N 408.483 4.837 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 553486086 OFHFUKKWQBUZQI-SFHVURJKSA-N 418.375 4.684 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 558191652 DOZOXESDUCQTRY-INIZCTEOSA-N 409.389 4.820 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc3ccccc3c2OC)no1 558327454 UUEVINDQXICLBG-HNNXBMFYSA-N 404.426 4.938 5 20 HJBD Cc1c(Cc2noc([C@@H]3CCO[C@H]3c3ccc(Cl)c(F)c3)n2)cccc1[N+](=O)[O-] 558356246 GNGWDXTWMGOTCP-KUHUBIRLSA-N 417.824 4.915 5 20 HJBD CC(C)(C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccccc1[N+](=O)[O-] 560514250 IVBMTAORVNWIBH-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1cccc([N+](=O)[O-])c1 581228397 BNDGPQDFDJMHBJ-LLVKDONJSA-N 403.341 4.593 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@H]1CCOc2c(-c3ccccc3)cccc21 588905809 PHOGMNYIZYRCHO-NRFANRHFSA-N 424.403 4.723 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC=C(c2cccnc2)C1 590370360 GXJJJQMDFZSNLN-UHFFFAOYSA-N 409.511 4.954 5 20 HJBD CCOC(=O)c1sc(N(C(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2)nc1C 603739069 WMBKITAFQVJQIQ-UHFFFAOYSA-N 417.468 4.576 5 20 HJBD COc1ccc(NC(=O)[C@@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604044610 XVJDUOVGPDKZCA-ZHRRBRCNSA-N 405.454 4.634 5 20 HJBD CCCCN(Cc1ccccc1Cl)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 608865651 SAXJWJNYWUICAU-UHFFFAOYSA-N 424.284 4.669 5 20 HJBD Cc1nc(-c2cccc(NC(=O)Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)c2)cs1 609151051 SDZMDOCHQTYKQN-UHFFFAOYSA-N 419.466 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCCOc1ccc(Br)cc1 609407621 UKPYQSXFRDUJEH-UHFFFAOYSA-N 407.264 4.854 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 609753680 IDMGTTUAUFCCHM-CYBMUJFWSA-N 407.264 4.573 5 20 HJBD Cc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2c(C)noc2n1 609755837 SPRKQYRKSPUPCL-UHFFFAOYSA-N 404.382 4.792 5 20 HJBD CC(=O)c1cccc(OCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609761361 DMIDTXDDNOVUAS-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1Br 610037744 PNBKSDIXORZALG-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(C)(C(=O)NCc1cccc(COCc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 610040328 QFCIKIVKVKIVAB-UHFFFAOYSA-N 418.493 4.906 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 618362196 PXKFIGJALCACRL-UHFFFAOYSA-N 413.293 4.526 5 20 HJBD CC[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 729760527 IQRMNGAVSZMHRE-NEPJUHHUSA-N 404.385 4.556 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)C1 733276361 CCPXWGWCOQDFMC-XMMPIXPASA-N 407.514 4.779 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)OC(=O)CCn1cc([N+](=O)[O-])cn1 735799066 KXVLZFFEGMHZNI-GFCCVEGCSA-N 420.878 4.571 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 741300251 CQYFOJGIDRYARM-NSHDSACASA-N 417.215 4.930 5 20 HJBD COc1cccc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)c2ccccc2Cl)c1 741604689 UNQFSMOLUJGEPO-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD C[C@@H](OC(=O)C1(c2cccs2)CCCCC1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 745067134 LPFPLNORQAFUJM-CYBMUJFWSA-N 420.462 4.568 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@H](C)c1ccccc1C(F)(F)F 745222335 LAVJSLDOXPJNFJ-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 746663138 OARMZRBPQJZQCT-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD C=CC(=O)Nc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1F 751449778 DOGXEJWNIOCYQU-UHFFFAOYSA-N 420.400 4.854 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)c1 758924658 YOBWYQZXVKAUTG-ZDUSSCGKSA-N 413.455 4.902 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)C(F)(F)F 759099672 RMGJYIIWHBYSCJ-CQSZACIVSA-N 417.727 4.532 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cnn1-c1ccccc1C 759463482 OQKBQCUKOXBLNQ-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(Cc3ccc(Cl)c(Cl)c3)no2)c([N+](=O)[O-])c1 761426037 WSAMQPIXJXWAKH-UHFFFAOYSA-N 423.212 4.569 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2ccc(NC(=O)OC(C)(C)C)cc2)n1 762070566 DRPKAIUHGNMMRS-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 763015634 RXUHBFUYOFYONQ-OAQYLSRUSA-N 408.429 4.961 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2ccc(Cl)cc2)o1 765034726 JMMGWBHZRDFPFD-CQSZACIVSA-N 415.833 4.610 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(SC(=O)N(C)C)cc1 765036233 WDKIRLXSGZDUJC-HNNXBMFYSA-N 401.488 4.576 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])C1 773185459 METWKUUSQMDKPR-OAHLLOKOSA-N 424.526 4.706 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc1-c1ccccc1 776266022 ZXCZMINQBPESAM-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CCOCCOCc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1C 777107308 CTRWOYOIYGYRIN-UHFFFAOYSA-N 413.518 4.537 5 20 HJBD O=C(NC[C@@H]1CCC=CO1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 781498084 WCCYHGGKKYIXIN-HNNXBMFYSA-N 404.875 4.822 5 20 HJBD CCOc1cccc2cc([C@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3F)oc12 782529682 BZJHYASUHUEBRG-ZDUSSCGKSA-N 415.421 4.558 5 20 HJBD C[C@@]12CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C[C@@H]1C2(Cl)Cl 804705403 LWBVKZNBEKNHED-CMPLNLGQSA-N 414.167 4.542 5 20 HJBD COc1ccc([C@@H](O)C2CCN(Cc3ccc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 812774537 QPOFJLDTZIZSJX-XMMPIXPASA-N 422.481 4.809 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccccc1 815553913 NDRRKCNVNOOASW-MRXNPFEDSA-N 407.470 4.615 5 20 HJBD CC(C)(C(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1ccccc1[N+](=O)[O-] 816644553 PQEDDALAYSLTMU-QHCPKHFHSA-N 418.493 4.635 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 881467820 DIGULLUWEXMVAC-UHFFFAOYSA-N 423.900 4.907 5 20 HJBD Cc1c(C(=O)N(Cc2ccc(Cl)c(Cl)c2)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 917793520 WDUOKBOGAIWLQQ-UHFFFAOYSA-N 424.240 4.923 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COC(=O)c3csc([N+](=O)[O-])c3)ccc21 919370165 GYSZESRIMUNTSY-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD O=C(CCc1nc2ccccc2s1)OCc1ccc([N+](=O)[O-])cc1Br 1122499591 ZLZSEATZHDUMLP-UHFFFAOYSA-N 421.272 4.643 5 20 HJBD CCOc1ccc(-c2nc(Cc3nnc(-c4cccc([N+](=O)[O-])c4)o3)cs2)cc1 1322042117 UTTVHJMCWSQYGO-UHFFFAOYSA-N 408.439 4.758 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C(=O)c1ccc([N+](=O)[O-])cc1 12122096 ROKHVHOUQKROJH-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD C[C@@H](Sc1ncc(-c2ccc(F)cc2)[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 17749652 YPTSTFRBHUDMNW-SNVBAGLBSA-N 420.853 4.897 5 20 HJBD COc1ccccc1N1C(=O)/C(=C\c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2C1=O 22748295 QKAVFDULRMQHMY-UYRXBGFRSA-N 414.417 4.639 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2OC)nnc1-c1cccc(Cl)c1 24227147 DBNXCYNTUHOYIW-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 28301521 FDQJUSACIRHFGG-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cc1OC 41895422 UUPWYPBZZNEPAK-CJNGLKHVSA-N 414.458 4.523 5 20 HJBD CCOc1cccc2cc([C@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 42637560 GREBBRVPXPVMDA-LSDHHAIUSA-N 411.458 4.726 5 20 HJBD O=C(Cc1csc(COc2ccc(Cl)cc2)n1)Nc1cc([N+](=O)[O-])ccc1F 57649361 OECXIDWSIJHSRB-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD Cc1nc(-c2ccc(NS(=O)(=O)c3cc(Cl)c(C)c([N+](=O)[O-])c3)cc2)oc1C 60554338 QTWJXQYQTDFDPC-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 72023378 MDHCIWXFJXMYSN-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)Cc1ccc([N+](=O)[O-])cc1 109141165 CADVLLNJTIZXHR-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)c2cccc([N+](=O)[O-])c2C)cc1OC 110237279 KRZTYDJWILICNK-GOSISDBHSA-N 412.486 4.548 5 20 HJBD COc1ccccc1N1CC[C@H](CNC(=O)c2cc3ccccc3c3cccnc23)C1 116467358 BPIZHYGJLWWELQ-GOSISDBHSA-N 411.505 4.653 5 20 HJBD C[C@H](COc1ccc(F)cc1F)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 237415423 NGKJSKNNUQIWFP-GFCCVEGCSA-N 405.426 4.554 5 20 HJBD COc1ccc(-c2ccc(CNCc3coc(-c4cccs4)n3)o2)c([N+](=O)[O-])c1 237422562 VQBLDPMPFYPVPH-UHFFFAOYSA-N 411.439 4.870 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCO[C@@H](c3ccccc3)C2)nc1OCc1ccccc1 302178709 PRMWNLKVYDCZQB-TZIWHRDSSA-N 405.454 4.901 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)cn1 409679373 QPTQMYMZWDHULR-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccco1 426969671 XJPSDXKNNMTIHY-XMMPIXPASA-N 424.456 4.802 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(c3ncccc3Cl)CC2)cc1[N+](=O)[O-] 428827310 LZRUYQBVKVYEHA-CQSZACIVSA-N 402.882 4.602 5 20 HJBD C[C@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cc1 430479584 WPFOQRDWDIYVPR-KRWDZBQOSA-N 415.449 4.567 5 20 HJBD Cn1cc(C(=O)N2CC3(CCCC3)c3cccc(F)c32)c(-c2ccc([N+](=O)[O-])cc2)n1 432631522 HJEBOIZIMGQUDO-UHFFFAOYSA-N 420.444 4.607 5 20 HJBD CC(C)OCc1ccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 438815739 UIXDHFTWVRANDW-UHFFFAOYSA-N 419.286 4.627 5 20 HJBD COc1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 439676405 VDWMQEUCCPCNMK-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c(Cl)c2)c1 448200341 FCCVSFAZVQXVQJ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CC(C)c1ccc(SCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464662889 MWOVDHYORNYPCL-UHFFFAOYSA-N 414.527 4.880 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)NCCc1ccccc1[N+](=O)[O-] 466149687 USFSHTWLJWQGKH-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)C(=O)CCn1cc([N+](=O)[O-])nc1C 471312287 PCGPWEAAGHDUPJ-FQEVSTJZSA-N 406.914 4.779 5 20 HJBD CC[C@@H](NC(=O)N1CC=C(c2c(F)cccc2F)CC1)c1cccc([N+](=O)[O-])c1 474026497 FYNRVKJTIRYDMS-LJQANCHMSA-N 401.413 4.823 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)c1 474867235 FKZOKXLFGPHLIN-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD COc1cc(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)ccc1[N+](=O)[O-] 478392078 MQLWEMZDMCEMAA-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(-n2ncc3ccccc32)cc1 480642408 DBRVJCZQDLNJCY-UHFFFAOYSA-N 415.453 4.640 5 20 HJBD CCC[C@H](NCc1ccc(NC(=O)c2cccnc2)cc1)c1cccc([N+](=O)[O-])c1 482117262 ZZXOGLWUDQIXOS-QFIPXVFZSA-N 404.470 4.873 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Br)cc2Cl)c1[N+](=O)[O-] 485750820 DCHDDSGZBCITKB-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CC[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 489285604 HIVMCXUTRRNOOL-LLVKDONJSA-N 416.425 4.756 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 494026473 IOHFXSHAWGPKBZ-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1OC(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498130820 BSYILBXKKSURDS-MDZRGWNJSA-N 420.437 4.574 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)s2)c(C)c1 498178797 DUYCRSKHNHZULF-UHFFFAOYSA-N 405.439 4.531 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2cc(Cl)cc(Cl)c2)cc1OC 502889096 WCDHNLCZEZEWMW-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD COc1cc(CNCc2ccc(C)c(F)c2)c([N+](=O)[O-])cc1OCc1ccccc1 509619020 OPFIRLYDVYRYAB-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD O=C(CCSc1ccc(F)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 514447706 XIZBXGTXOUKMAU-UHFFFAOYSA-N 416.396 4.807 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(-c2cccs2)n(-c2ccc(F)cc2)n1 514923757 IAMMXVFEGKQFIK-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCCn2c(cc3ccccc32)C1 518004538 WZSWYPCFEAEWOO-UHFFFAOYSA-N 415.902 4.728 5 20 HJBD COc1cc(CNCc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1OC1CCCC1 518267976 ROJSJZXBPSWTJS-UHFFFAOYSA-N 422.428 4.816 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1cc(F)cc([N+](=O)[O-])c1 518793654 USDHNBDJEKBVDS-UHFFFAOYSA-N 419.840 4.702 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1C(F)(F)F 523496643 HEVHWUCHFDBWTL-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(CC(C)(C)C)nn2-c2ccccc2)c1 523676146 ZPYZHAWIGWIDEW-UHFFFAOYSA-N 408.458 4.630 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(Oc3ccncc3)c2)c([N+](=O)[O-])c1 525346859 GSUOLMOEARSFGW-UHFFFAOYSA-N 421.457 4.714 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)Cc1ccccc1F)Nc1ccccc1F 533030195 DTEWMCXDKBJFKB-UHFFFAOYSA-N 411.408 4.514 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)c2ccccc2Br)c1 535318180 PSAOBMFIVHBEBE-UHFFFAOYSA-N 409.305 4.744 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](Oc2cccc(Cl)c2)C1 536170714 SYVFZMGXLLTGHY-ACJLOTCBSA-N 414.849 4.513 5 20 HJBD O=C(C[C@H]1CCCN1Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 536678056 VGKHVIZHWNGQKJ-LJQANCHMSA-N 407.495 4.956 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 537456772 XPXODHPOHYSJDU-UHFFFAOYSA-N 409.417 4.631 5 20 HJBD COc1cc(CNC2(c3ccc(F)cc3F)CCC2)c([N+](=O)[O-])cc1OC(F)F 538257554 KMLUAHMXKWHXKB-UHFFFAOYSA-N 414.355 4.652 5 20 HJBD COc1ccc(CNC(=O)c2cc(C)ccc2SC2CCCC2)cc1[N+](=O)[O-] 540644806 QEPHWRKMIHDKAD-UHFFFAOYSA-N 400.500 4.877 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 541691652 ACTHDYNOKOYVSB-KBPBESRZSA-N 404.438 4.512 5 20 HJBD COc1cc([C@H](C)NC(=O)CSc2ccccc2[N+](=O)[O-])ccc1OCC(C)C 544083437 CCNXLUYXSLLCMY-HNNXBMFYSA-N 418.515 4.608 5 20 HJBD C[C@@H](C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1F 544427241 HKEJEKDUYGGXLG-ZMZPIMSZSA-N 408.376 4.633 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4nc(C)cs4)no3)cc2[N+](=O)[O-])n1 545795488 JGXNBUQYUDJJBH-UHFFFAOYSA-N 417.497 4.993 5 20 HJBD COc1cc(Cc2noc(C3(c4cccc(C(F)(F)F)c4)CC3)n2)ccc1[N+](=O)[O-] 546491639 JTIBYYOBBVESAQ-UHFFFAOYSA-N 419.359 4.676 5 20 HJBD COc1ccc(CSCc2nc(Cc3csc(C(C)C)n3)no2)cc1[N+](=O)[O-] 547095804 FBSKBOMXWCHJDE-UHFFFAOYSA-N 420.516 4.591 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(c3ncc4n3CCCC4)CC2)c1 549998530 FTYXJHMLVHDKPW-UHFFFAOYSA-N 408.424 4.526 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1cccc(Cl)c1F)c1ccc([N+](=O)[O-])cc1 552066006 VXZGZGOOPXCCPR-LJQANCHMSA-N 416.884 4.778 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@]2(CCN(Cc3ccccc3)C2)C1 560768625 MQFVTSGARXNOJD-QHCPKHFHSA-N 421.522 4.548 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)Cc1ccccc1[N+](=O)[O-] 567514978 VLGFDLCMMSLICB-UHFFFAOYSA-N 410.451 4.600 5 20 HJBD COc1cc(/C=C/c2ccc3cccc([N+](=O)[O-])c3n2)cc(OC)c1OCC(F)F 575091397 CGMXHVHZNWNFAX-SOFGYWHQSA-N 416.380 4.975 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 577145003 YKCBJYYWOMRQDY-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(Cl)c2Cl)cc1[N+](=O)[O-] 603562846 QDNCNZVAQKGTGY-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD COc1cccc2cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)oc12 603741939 GBSDGTXYVYPIAU-UHFFFAOYSA-N 408.332 4.554 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2cccc(O)c2)c([N+](=O)[O-])cc1OCCC 603827215 SJPUZOIHQMKFFI-UHFFFAOYSA-N 417.462 4.542 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 608962763 JWWHCBFHGYXXDT-MRXNPFEDSA-N 420.849 4.816 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)c3cn(C)nc3C)cc2[N+](=O)[O-])cc1 609025940 VJIDKGSWYUWVQP-CQSZACIVSA-N 410.499 4.587 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CC[C@@H](c3ccco3)C2)c([N+](=O)[O-])c1 609540181 SCLLRDBVRBOUNL-GCJKJVERSA-N 405.454 4.666 5 20 HJBD CCOc1cc(CN(C)C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC(F)F 610039058 IMXOZEDRHLYCHO-UHFFFAOYSA-N 422.428 4.531 5 20 HJBD CC[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)s1 610045666 VLMZIGPKZYHDGJ-LLVKDONJSA-N 403.685 4.953 5 20 HJBD CC(C)OC1CCC(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)CC1 611051951 SZKGWAKOMZMCPD-NXMBOHNASA-N 417.550 4.543 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)cc1 619647583 OHIUSHBUGHGKMQ-LLVKDONJSA-N 418.862 4.726 5 20 HJBD Cc1c(C(=O)NC2(c3cccc(C(F)(F)F)c3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 727340347 XACINCOEBJVPDY-UHFFFAOYSA-N 423.347 4.558 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730642243 AFYHTHMXWWQFCO-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD O=C(Nc1cc(-c2ccccc2F)no1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732829753 SSKMFTOEJYOREA-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCc1ncc(-c2ccc(Br)cc2)o1 744528798 CDRXAPCHUXYMLM-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc2oc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c(C)c2c1 745970532 QTKWSHZBGBVJDC-LBPRGKRZSA-N 423.381 4.626 5 20 HJBD CCc1ccc(C(=O)[C@@H](C)OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 747957302 NHRVLFGEWZFIKS-CYBMUJFWSA-N 410.451 4.709 5 20 HJBD Cc1c(C(=O)N[C@H]2CCSc3ccc(Cl)cc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748612118 JWZWYJRXFOGELM-AWEZNQCLSA-N 421.862 4.740 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 748791493 IPZRSPGIORQEDO-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1c(F)cccc1Cl 752379114 FQOWVTZCVWMJFX-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](c2ccccc2)C2CCOCC2)c1Br 754031720 WYLYWJRKRWAYHR-KRWDZBQOSA-N 406.280 4.641 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 754219588 PRSTXLKHGOTDNE-UHFFFAOYSA-N 410.430 4.984 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755066063 FROJAYADHPXNFW-ZIAGYGMSSA-N 402.422 4.531 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(Cc2ccc(F)cc2)CC1 760811506 QGSIKRDAIRYEHW-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)cc1[N+](=O)[O-] 762993055 OFNOJLASCIQUAW-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD O=C(OCc1ccc(OCCC(F)(F)F)cc1)c1c(Cl)cccc1[N+](=O)[O-] 776382421 QYIYCMULGHRPTE-UHFFFAOYSA-N 403.740 4.936 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 781202440 KOSMEWJMXSDXMZ-FWRXFDSUSA-N 416.302 4.629 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260708 UFROMUVPQARNNL-AWEZNQCLSA-N 402.406 4.816 5 20 HJBD O=C(Nc1ccc(OC(=O)C23CCC(CC2)C3)cc1)c1cc([N+](=O)[O-])ccc1Cl 783712969 LKPGXJLAPJTCID-UHFFFAOYSA-N 414.845 4.986 5 20 HJBD Cc1cc(-n2cccn2)ccc1COC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 784308767 OTLUOVBQHXUDHI-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD O=C(CC1CCC2(CC1)OCCO2)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 788094783 CUFSCQDHLUQEJE-UHFFFAOYSA-N 412.442 4.649 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@H]1CC=C(c2ccc(F)cc2)CC1 790922485 MMRWFKLXFIJUIQ-INIZCTEOSA-N 406.816 4.821 5 20 HJBD COc1ccc(OC(=O)c2nc(Cl)c(Cl)c(Cl)c2Cl)cc1[N+](=O)[O-] 792589526 QERPPZWUFBMBNI-UHFFFAOYSA-N 412.012 4.831 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COC(=O)[C@@H]2CCC(F)(F)C2)cc1OC 796370467 IFNCHFMENQPLAE-QWHCGFSZSA-N 401.406 4.507 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800148416 DEHKIBJEJRNTOD-UHFFFAOYSA-N 420.238 4.776 5 20 HJBD C[C@H](Nc1ccc(C(=O)OC[C@@H]2CCCCS2)cc1[N+](=O)[O-])c1ccccn1 802920595 OFMPLGUXAGSDFJ-HOCLYGCPSA-N 401.488 4.605 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916783 SPKKPTOBZJUETN-KXBFYZLASA-N 403.866 4.880 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)NC1CCN(Cc2ccc(Cl)cc2)CC1 809919634 MPPNQTSHTPZVJB-UHFFFAOYSA-N 423.300 4.688 5 20 HJBD O=C1c2ccccc2N[C@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])N1C[C@H]1CCCO1 811637778 KUVFGENZTIWXSA-XIKOKIGWSA-N 422.268 4.647 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 921132642 CIWROCNNJZETMU-LJQANCHMSA-N 423.808 4.922 5 20 HJBD Cc1ccccc1COc1cccc(CC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1116155067 QYJSQBIFYHRXKL-UHFFFAOYSA-N 402.450 4.567 5 20 HJBD CCOCCOCc1cccc(NCc2ccc([N+](=O)[O-])cc2Br)c1C 1324136817 TWUHLTYMVJCMNZ-UHFFFAOYSA-N 423.307 4.831 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1329820887 VXCUPALVVURQHM-UHFFFAOYSA-N 409.364 4.950 5 20 HJBD CCS(=O)(=O)c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 1344050409 WJEWKGSFQOPSQK-UHFFFAOYSA-N 402.497 4.729 5 20 HJBD CCCCOc1ccc(-c2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1OC 1349946301 BJXDUCGASLLZJV-UHFFFAOYSA-N 419.384 4.972 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3csc(C)n3)cc2[N+](=O)[O-])n1 7255503 XATYDLKGEJSEKU-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CCn1c(S[C@@H](C)C(=O)N2C[C@@H](C)C[C@@H](C)C2)nc2cc3ccccc3cc2c1=O 24891397 JQHYCMILFMOOBZ-BBWFWOEESA-N 423.582 4.555 5 20 HJBD COc1ccc2cc(CN(C)C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)ccc2c1 25680674 KIOSHJQCXCVJHU-HNNXBMFYSA-N 410.495 4.896 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 50490740 LVWDEMUDQGBIAP-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD Cc1cc(N[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858795 USOKLJLTTPJZEY-HNNXBMFYSA-N 417.469 4.882 5 20 HJBD Cc1nc(-c2ccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)oc1C 64737621 ABYQXQXRAXIJMO-CYBMUJFWSA-N 415.833 4.926 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C(=O)c2ccccc2)c1 110114716 XIXFMOMANRDUNY-HNNXBMFYSA-N 406.463 4.945 5 20 HJBD CCc1cccc2c(C3CCN(c4cc5ncnc(O)c5cc4[N+](=O)[O-])CC3)c[nH]c12 301080138 FPJWMURXFTZALB-UHFFFAOYSA-N 417.469 4.671 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 302631422 GQPYNCVRJMHDOF-UHFFFAOYSA-N 422.450 4.512 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n(-c2cccc(C(F)(F)F)c2)n1 302971191 RDJJGQWHUUVEHB-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2cccc(Cl)c2Cl)cc1SC 303232563 ZATSCTBDEQCDNQ-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD COc1cc(Br)ccc1-c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426411194 LMMOFNSRZIXKMB-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc(C(C)(C)c4ccccc4[N+](=O)[O-])n3)cc2)n1 426452675 YEINUOOXPNFGDZ-UHFFFAOYSA-N 417.469 4.697 5 20 HJBD CCc1cccc2c1OCC[C@@H]2NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436360203 BDSUDLYHYUJCEX-FQEVSTJZSA-N 416.452 4.636 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCC3(CCCC3)C2)cc1[N+](=O)[O-])c1ccccn1 438685426 IAXXTPTXYKFJMF-KRWDZBQOSA-N 408.502 4.959 5 20 HJBD O=C(Nc1ccc(OC2CCCCC2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 440888848 GUUDSEZBXOOWQX-UHFFFAOYSA-N 417.849 4.537 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c3c2CCC3)c(C)c1 444734684 NXDGXRHKDZVMJM-UHFFFAOYSA-N 404.470 4.755 5 20 HJBD CCc1noc2ncc(C(=O)Nc3ccc(OCc4ccccc4)cc3[N+](=O)[O-])cc12 446427551 GSWJHCMNWCIZQD-UHFFFAOYSA-N 418.409 4.525 5 20 HJBD CCSc1nnc(Sc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])s1 447681341 YMWDKFNHJLCXET-UHFFFAOYSA-N 418.394 4.759 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2C(F)(F)F)nc1)c1csc([N+](=O)[O-])c1 460466326 AQNMHMVPSJFXPP-UHFFFAOYSA-N 423.372 4.792 5 20 HJBD CCCC[C@@H](C)N(C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 461625024 TUMSCNMBHBVMIL-CQSZACIVSA-N 415.456 4.867 5 20 HJBD CO[C@@](C)(C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CC1 462120219 JOAKFNQUXNXCOY-HXUWFJFHSA-N 422.840 4.611 5 20 HJBD O=C(Nc1cccc(C2CCC2)c1)c1ccc([N+](=O)[O-])cc1I 462717158 HKXZXFUQMLXGTK-UHFFFAOYSA-N 422.222 4.719 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 463968787 IKQIZFQIWCGAIK-YLJYHZDGSA-N 401.438 4.735 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@@H](c1ccccc1)C2 469034209 ROTLKAMHFDEGTJ-JOCHJYFZSA-N 403.438 4.935 5 20 HJBD O=C(NCCCCn1cc(Br)cn1)c1cc2ccccc2c2cccnc12 471281772 HECSOLIEHYKPSQ-UHFFFAOYSA-N 423.314 4.557 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cc1OC 474753045 RLGJZXUHVZZXRV-UONOGXRCSA-N 420.893 4.920 5 20 HJBD COc1cc(C(=O)N2CCc3sccc3[C@@H]2c2cccs2)cc([N+](=O)[O-])c1C 477872520 CKOILPQNISOJHZ-LJQANCHMSA-N 414.508 4.823 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccc(Br)cc1[N+](=O)[O-] 478536645 KPHDAKAXYYVWEO-YEORSEQZSA-N 409.667 4.687 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nc1-c1ccccc1 479367101 QYGYXSBSTGGBAH-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1-c1ncco1 483392883 WWURPJPHNGXPHL-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(C[S@](=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 483970925 DNNUIANSGHVNOK-PMERELPUSA-N 423.494 4.533 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4cc5cccc([N+](=O)[O-])c5[nH]4)CC3)c[nH]c2c1 484227858 GFZAGRUAHNLLTO-UHFFFAOYSA-N 400.438 4.795 5 20 HJBD CC(C)CO[C@@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 488133949 YYLABVRKMHKQAX-GOSISDBHSA-N 400.500 4.633 5 20 HJBD CC[C@@H](NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1)c1cccc([N+](=O)[O-])c1 489951585 HBPMFJJRWAKTOZ-GFCCVEGCSA-N 416.425 4.756 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@H]1c1cccc(C(F)(F)F)c1 491998247 JNILMSGWQGTANO-GUYCJALGSA-N 400.784 4.575 5 20 HJBD CC(=O)Nc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 493033073 LUAORLCUDYEOMM-LLVKDONJSA-N 424.379 4.763 5 20 HJBD COc1cc(CN(C)C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1SC 493280883 NARCFAZPTHMXHS-AWEZNQCLSA-N 403.504 4.536 5 20 HJBD C[C@H](c1cc(Cl)ccc1Cl)n1nccc1NC(=O)c1ccccc1[N+](=O)[O-] 494173153 OVZTYJATEKLOQB-LLVKDONJSA-N 405.241 4.960 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])s2)cc1 497749476 WVROGLCDNQARDW-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498133374 AZGDVTQANIWHJY-QFIPXVFZSA-N 407.495 4.797 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cc1[N+](=O)[O-] 498565252 YEWRTYVIDCUYRO-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD CCCCOc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 499676161 NFDJVZBPHRRWMY-UHFFFAOYSA-N 407.854 4.757 5 20 HJBD COCCN(C)CCCC1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 501643580 BXZRKAVYSRNQFA-UHFFFAOYSA-N 419.569 4.599 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2cccs2)cc1 502341950 VZJKKVPBWFRPTL-UHFFFAOYSA-N 424.482 4.739 5 20 HJBD CC[C@H](N[C@H](CC)CSc1ccccc1[N+](=O)[O-])c1nnc2n1CCCCC2 504894182 KAYYHVYGQVJSIW-CVEARBPZSA-N 403.552 4.524 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 504912369 VEXORYIRYAQAOX-XOBRGWDASA-N 414.893 4.821 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)Nc3nc4sccn4c3[N+](=O)[O-])s2)cc1 505769714 WHRIVRFVTNKDDV-JTQLQIEISA-N 415.500 4.918 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3nn(-c4ccccc4)c4c3CCC4)c1)OCOC2 507892092 GOBCHHAKBBXMGS-UHFFFAOYSA-N 423.494 4.569 5 20 HJBD Cc1sc(Br)c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1C 507895789 MQUDQMFETSBCLQ-UHFFFAOYSA-N 417.712 4.662 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1Cl 509372500 MPEOEEOGDGDRPA-UHFFFAOYSA-N 417.893 4.938 5 20 HJBD COC(=O)CCC(C)(C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 509928902 YUFZMMYQDNWMPP-UHFFFAOYSA-N 420.849 4.502 5 20 HJBD Cc1nnc(SCc2cc([N+](=O)[O-])ccc2OC(C)C)n1CCc1cccs1 511095543 WDZANLQXRIHXPQ-UHFFFAOYSA-N 418.544 4.878 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc3ccccc23)C(F)(F)F)c1 511690346 HROOWVLBDJZIQV-SFHVURJKSA-N 404.344 4.790 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512281841 KISJWKDBTMCEGY-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1 512344727 ZLYGPLVYRORKQN-UHFFFAOYSA-N 419.359 4.759 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 515989026 YPDMQTIYFHKSHB-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 520437838 ONPQCLFUIYZCQB-LJQANCHMSA-N 406.385 4.799 5 20 HJBD Cc1ccc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 521133926 FAIZONCRRMWAEH-UHFFFAOYSA-N 411.845 4.716 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 530727700 JLIIAVAOJRTSOR-KGLIPLIRSA-N 420.307 4.652 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(F)cc1C(F)(F)F 530792968 BSIYJPZDEOBTOP-UHFFFAOYSA-N 421.144 4.696 5 20 HJBD Cc1cccc2c1N(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 530906312 PFWYBHJZLKRTKA-INIZCTEOSA-N 402.454 4.674 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 531708779 ZIPWMVDPVVDWKI-MSOLQXFVSA-N 410.518 4.571 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)NCCc3cccc([N+](=O)[O-])c3)cc2)cs1 536173393 ORVUYTDUELAITA-CQSZACIVSA-N 410.499 4.630 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1nccn1C 537058177 OLQUGKPFZRJBFW-LBPRGKRZSA-N 404.829 4.764 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3nnc([C@@H]4Cc5ccccc5O4)o3)o2)cc1 537491628 PPIKQNXXEHACEG-IBGZPJMESA-N 404.382 4.526 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 538863914 VZHVTKPHMRZODO-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD CC(=O)c1oc2ccccc2c1NC(=O)[C@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 539377690 PGLQOONQJYDHEZ-KBPBESRZSA-N 409.442 4.530 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 539476331 LTKKOVYAQQZRJI-LJQANCHMSA-N 415.877 4.836 5 20 HJBD C[C@@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])Oc1ccccc1Cl 540529929 CFUQQGMZWMREST-AWEZNQCLSA-N 411.845 4.508 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(-c2nc(C3CC3)no2)c1 543441490 GFULNIUBDPXWNV-GFCCVEGCSA-N 410.405 4.712 5 20 HJBD Cc1cc(F)ccc1-c1noc(-c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)n1 545671812 KOMHHRPILPVYIA-UHFFFAOYSA-N 407.405 4.621 5 20 HJBD C[C@@H](c1nc(-c2cnc(Oc3ccccc3)cn2)no1)c1ccc([N+](=O)[O-])cc1F 545809098 AZTIVJFGKCHBHH-GFCCVEGCSA-N 407.361 4.518 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 547212564 KGURIJFENVZMIL-MRXNPFEDSA-N 412.402 4.643 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 552780118 XLQOZXMNEJWMSQ-UHFFFAOYSA-N 412.858 4.783 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCO[C@@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 553634598 AHVBKXDKMMQSKM-GJZGRUSLSA-N 403.504 4.522 5 20 HJBD CC(C)c1ccc(O[C@H](C)CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 554372163 JJUPLVQQEFWQQN-VGSWGCGISA-N 408.502 4.598 5 20 HJBD C[C@@H]1CCN(C(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c2cc(Cl)ccc2S1 556936897 SGHSWMRARBKWMP-SNVBAGLBSA-N 402.863 4.656 5 20 HJBD COc1ccc([C@H](Cc2ccncc2)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 560552082 KYDHCOCVBJBOTH-NRFANRHFSA-N 411.889 4.768 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1Cl 573478663 DHAKWNRHGJNIEY-UHFFFAOYSA-N 418.074 4.870 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 573662173 MWENJZVQZJIQEJ-DLBZAZTESA-N 409.486 4.660 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2nc3ccccc3cc2Br)n1 575738298 YXBGXFFAFZBPLZ-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 576782171 JAJVPMIHWJHLQA-UHFFFAOYSA-N 411.409 4.874 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3ccc(C(=O)N(C)C)cc3)c3ccccc3n12 590452071 XCLUWKZFMBQHOF-UHFFFAOYSA-N 422.488 4.903 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 603940065 SFJHIGCWXVLDKX-OAHLLOKOSA-N 422.510 4.914 5 20 HJBD O=C(Nc1cc(Br)ccc1Cl)c1ccc(NC2CC2)c([N+](=O)[O-])c1 608945687 WIINSUHXKWBLSK-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 609193883 VNXSCRQUBZGHAL-CHWSQXEVSA-N 414.346 4.945 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609722927 ONKSTACXDSWKIP-UHFFFAOYSA-N 419.865 4.640 5 20 HJBD Cc1cccn2cc(CC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)nc12 609755369 ITBVQHHUMSBBGK-UHFFFAOYSA-N 402.410 4.524 5 20 HJBD O=C1CCc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc2N1 609762835 XFCQPDSIMIYVPC-UHFFFAOYSA-N 403.394 4.524 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 610037332 BKMJLZCRCHJUBO-SNVBAGLBSA-N 420.288 4.800 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCCCn3ccnc3C)cc2[N+](=O)[O-])cc1 610200064 MRGKZGVRORIGEF-UHFFFAOYSA-N 424.526 4.770 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 610665422 ZELNZIMRKVQWGY-SCLBCKFNSA-N 418.291 4.965 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccccc1Br 610672341 BEJCGTOSTVAHCL-KRWDZBQOSA-N 421.316 4.813 5 20 HJBD Cc1cc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)CC2)n[nH]1 612775552 FVKRXEJAPUOSJQ-UHFFFAOYSA-N 414.531 4.681 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 617753186 AYVJEAXTORAZNM-JKSUJKDBSA-N 402.878 4.669 5 20 HJBD O=C1OCCN1c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 727469642 ZKHLBKWKQRCPBO-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD O=C(C[C@H]1OCCc2ccccc21)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 734320619 KGJOZGBCFWOWTR-HXUWFJFHSA-N 400.862 4.695 5 20 HJBD COc1ccc([C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@@H](C)n2cccn2)cc1 737330012 JYLUCTZEDJAFOC-YJYMSZOUSA-N 415.833 4.613 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1 741529376 RGBJHNZOPALKGP-UHFFFAOYSA-N 424.284 4.768 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nc(-c2ccc(Cl)cc2)no1 746068279 FGXAWJJSUDUBNW-JTQLQIEISA-N 412.789 4.698 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCc1ccno1 748579361 PTCQHOZJTMXMQM-RVDMUPIBSA-N 421.434 4.867 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)ccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] 751119509 ZNJYXLGTLHOMTH-UHFFFAOYSA-N 408.385 4.830 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccc(-c2cnc(C3CC3)o2)cc1 751269618 PMHOYMAAJPYJDX-UHFFFAOYSA-N 419.846 4.581 5 20 HJBD COc1ccc(C(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)cc1[N+](=O)[O-] 756150373 QWOOGYVHWRFAKT-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 756764739 BPDDWIJXSDZXQD-KGLIPLIRSA-N 409.442 4.774 5 20 HJBD O=C(COc1cccc2ccccc12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760463282 USWWFTJTXVBXCQ-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD CN1CC[C@@H](CNCc2ccc([N+](=O)[O-])c(Cl)c2)[C@H]1c1ccc(Cl)c(F)c1 761527309 OGRRELFVZOQZDQ-IFXJQAMLSA-N 412.292 4.823 5 20 HJBD COc1cc(COC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 762972464 JIMVZUXCXBTKPA-JTQLQIEISA-N 415.776 4.705 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)cc1[N+](=O)[O-] 765227061 MACPODJGISZANI-UHFFFAOYSA-N 420.592 4.730 5 20 HJBD Cc1c(NC(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cnn1C1CCCC1 770626853 UJXDFTXBPDFVQU-UHFFFAOYSA-N 408.256 4.621 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 772091914 PUSCTFYKUXUJME-SNVBAGLBSA-N 403.266 4.655 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](Nc1ccc(OCc3noc(C4CC4)n3)cc1)CCC2 774341648 BPBPYMWGVJHZAF-HXUWFJFHSA-N 406.442 4.924 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)c2nc3ccccc3n2C(F)F)c1[N+](=O)[O-] 776745212 CXAJALMBQCGWEO-SNVBAGLBSA-N 407.398 4.980 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@@H](C)c1c(C)nn(CC(F)(F)F)c1C 778006820 YHVPFPCOBZPLOH-VIFPVBQESA-N 419.787 4.641 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CCO2)cc1 779229370 BCDHOEOUGMWUEV-MAUKXSAKSA-N 407.369 4.582 5 20 HJBD Cc1c(O)ccc2c(CSc3nc4ccc([N+](=O)[O-])cc4s3)cc(=O)oc12 787995122 MNDHXVFESXYXLE-UHFFFAOYSA-N 400.437 4.617 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)OC(C)(C)C)C(C)C)no1 789766592 ZQEDRQMSKOJEET-RDJZCZTQSA-N 418.494 4.936 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790516845 YRGBQXAPBGHUGF-UHFFFAOYSA-N 404.747 4.607 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cc(F)c(Br)c(Cl)c2)cc1 795162769 YAYJDHJMOUJRIP-UHFFFAOYSA-N 404.648 4.959 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1cc([N+](=O)[O-])ccc1Cl 811486575 OACQGYZKWZJPPX-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)nc21 813287499 SLMIZOYCSWMLSV-UHFFFAOYSA-N 410.293 4.680 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 813886647 DVFXNOCHOLPATD-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD CCOC(=O)/C=C/c1ccc(NCc2c(Br)cccc2[N+](=O)[O-])cc1 863995059 OJMPZTJLAUEDAU-DHZHZOJOSA-N 405.248 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)c1 904507484 XVPAJZCMAJXNJY-UHFFFAOYSA-N 408.345 4.647 5 20 HJBD Cc1c(C(=O)OCc2nc(-c3cccc([N+](=O)[O-])c3)no2)oc2ccc(Cl)cc12 914798241 BNTXNUFXRFCSBL-UHFFFAOYSA-N 413.773 4.710 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccccc2COc2ccccc2)ccc1Cl 917760487 CPVPRCWLWNDNCW-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD Cc1ccc2oc(C(=O)N(Cc3nnc(-c4cccc([N+](=O)[O-])c4)o3)C(C)C)cc2c1 918553499 BYRGLQYJLRXDMZ-UHFFFAOYSA-N 420.425 4.750 5 20 HJBD CCC(=O)[C@H](C)OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 918951539 AEPFMTKVZVXORK-NSHDSACASA-N 407.806 4.574 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cccc(Cl)c3[N+](=O)[O-])o2)c1 1345949678 PDCLVASYVFAKPX-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CC(C)(C)C(=O)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)CCC1 1790624048 WZHHVQOFYBDIMJ-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 8531628 SKOZNSMORYWELN-WDEREUQCSA-N 412.273 4.588 5 20 HJBD CCCCSc1nnc(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)s1 26588338 MACYMTGIZASDQK-NSHDSACASA-N 412.562 4.766 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1SCc1ccccc1 28662296 NOKJWHHHMDUVIN-UHFFFAOYSA-N 423.494 4.946 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc(C2CC2)no1 110691863 DODKEJWNTLBRCP-LJQANCHMSA-N 421.457 4.726 5 20 HJBD CSc1ccc(C(=O)NCCOc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 195703099 FHCJBLUQELVGKN-UHFFFAOYSA-N 408.479 4.793 5 20 HJBD C[C@@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2)n1-c1ccc(Cl)cc1)N(C)C 301117499 ZUXBLSIESHGCKS-LBPRGKRZSA-N 403.895 4.603 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 426281471 COBKFFHIQMYBSC-UHFFFAOYSA-N 414.830 4.689 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(Cl)c2SCC(F)F)c1[N+](=O)[O-] 433771655 SMXYBEADRZZFAT-UHFFFAOYSA-N 404.826 4.704 5 20 HJBD CC(=O)[C@H](Cc1ccccc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436122047 AAQKQBYZHDUKKD-NRFANRHFSA-N 406.482 4.518 5 20 HJBD Cn1ccc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)cc21 436155634 SGTWVNYLPBMYBN-UHFFFAOYSA-N 403.388 4.704 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@@H](c2cccc(C(F)(F)F)c2)C1 436398583 GKPPHYCIBGQUOI-CQSZACIVSA-N 421.297 4.605 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437983828 YMGAAHVFJYLPOK-UHFFFAOYSA-N 422.510 4.635 5 20 HJBD CSc1nsc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 443171323 VXTUBBDKJGCDCD-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1nc(-c2ccc(Cl)cc2)c(C)s1 443445911 NLUFLNIFPSABNW-UHFFFAOYSA-N 416.890 4.652 5 20 HJBD CC(C)c1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1ccccc1 447887134 ZIMYNMZMHBEOCE-UHFFFAOYSA-N 404.430 4.566 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)CC[C@H]2CCCCN2C)cc1[N+](=O)[O-] 461269395 WYWNDWWARSKPQN-GOSISDBHSA-N 407.580 4.679 5 20 HJBD CC[C@H](NC(=O)[C@H](CC)Cc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 462923274 ADTJCPYDVZMFRH-XLIONFOSSA-N 406.486 4.821 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 471429750 WVGGQKAJXZRMPO-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472160857 UYSHGPNJPIBAKD-INIZCTEOSA-N 411.405 4.936 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472935128 NAADFGFFTYQEDY-UHFFFAOYSA-N 415.298 4.785 5 20 HJBD COCCOc1cccc(CCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 475498099 STMQPEXSIWGSEZ-UHFFFAOYSA-N 412.486 4.528 5 20 HJBD Cc1cc(Cl)ccc1CN1CCN(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1 477192687 VEUDRTMCVXECAA-UHFFFAOYSA-N 416.909 4.523 5 20 HJBD Cc1c(CNC(=O)c2ccc(NC(=O)Nc3ccccc3)s2)cccc1[N+](=O)[O-] 481473497 NUOHSSFBLZCIKS-UHFFFAOYSA-N 410.455 4.539 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1CCN(Cc2ccccc2)C1 486522446 YDWSWWIENBMBIR-XLIONFOSSA-N 422.554 4.719 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 488154828 VLFUZNUZBMWZKR-LBPRGKRZSA-N 417.416 4.635 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 489895618 LBYHKTOFRUOMNQ-GFCCVEGCSA-N 418.906 4.769 5 20 HJBD O=C(Nc1cccc2oc(C(F)(F)F)nc12)c1cc([N+](=O)[O-])ccc1OC(F)F 497369849 WWXOOMCFDIKFJR-UHFFFAOYSA-N 417.246 4.609 5 20 HJBD Cc1nc(-c2cccc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2)cs1 498158839 BMVWTKCUTKCFNY-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCc2cccc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 505999289 BFKFYMQECIWBOJ-HNNXBMFYSA-N 424.888 4.789 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)C(=O)N1CCCCC1 509573050 YUPKKWRICAKKFS-OAHLLOKOSA-N 417.893 4.531 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509586724 SOUPPJIMGMKQEU-UHFFFAOYSA-N 412.490 4.940 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccnc(-c3ccccc3)c2)cc1[N+](=O)[O-] 518435821 MNAKKKDPMQODTF-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCc1ccc(Br)cc1 520727385 ZDXVNHRIPYIMGL-CYBMUJFWSA-N 423.332 4.539 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](CC2CCCCC2)c2ccccc2)cc1[N+](=O)[O-] 523249421 NKZARQVJOBHCOD-FQEVSTJZSA-N 409.486 4.670 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCSC[C@@H]1c1ccc(Cl)cc1 523856419 QFJPODZPDLMYAJ-QGZVFWFLSA-N 401.875 4.660 5 20 HJBD Cc1c(NC(=O)N(C)CC2(c3ccc(Br)cc3)CC2)cccc1[N+](=O)[O-] 525508958 LHNMPMPKLMSMFC-UHFFFAOYSA-N 418.291 4.861 5 20 HJBD C[C@@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531733212 DEKJOXNGQSTLPD-GFCCVEGCSA-N 410.421 4.933 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(-c2ccc[nH]2)cc1 537120121 YTHIWVLCULSIMA-UHFFFAOYSA-N 400.232 4.534 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)CSc1ccccc1[N+](=O)[O-] 541261578 IYWMTSJSRWWEHZ-UHFFFAOYSA-N 407.495 4.798 5 20 HJBD CCc1ccc([C@H](NCc2ccc(OC)c(NC(C)=O)c2)c2ccc([N+](=O)[O-])cc2)o1 541546104 ORAFUAYKOAPVHG-HSZRJFAPSA-N 423.469 4.596 5 20 HJBD O=C(c1ncoc1-c1cccc(C(F)(F)F)c1)N1CCCc2c1cccc2[N+](=O)[O-] 542226603 DPUFOAVVPVXADN-UHFFFAOYSA-N 417.343 4.862 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2ncnc3cccc([N+](=O)[O-])c23)cc1 544397068 YGAPTAVAIBCEEB-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)c2)cn1 554828772 BSRCTPNMKPZREC-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 557512216 SKEOYOUSUQLFKN-YPAWHYETSA-N 419.525 4.606 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1F 560087176 PVWFNNJYMROVCD-UHFFFAOYSA-N 415.190 4.595 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3sc(C)nc3-c3ccccc3)n2)c1 568799592 VYCYWQBVGHRVDJ-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(OC2CCCC2)nc1 588806403 BKJLMXIBTVIICS-UHFFFAOYSA-N 413.477 4.510 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H](c4ccccc4)C[C@H]3C)cc2[N+](=O)[O-])CC1 609647723 YICZJUSSUSATKZ-NQIIRXRSSA-N 407.514 4.849 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1SCC(F)F 609909062 WLVSNFRDWADTMD-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])s2)c1)c1ccccc1 609978427 AZOGHVNYOCBUDF-UHFFFAOYSA-N 415.858 4.839 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccc2c(c1)OCO2 611207734 PUWAREDHTZPBFA-UHFFFAOYSA-N 400.456 4.635 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1F 611215387 PUZQEGRDZPSVJA-NDEPHWFRSA-N 422.503 4.758 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2ccc3ccccc3n2)no1 612894114 PERDRXNJXVGLIV-GFCCVEGCSA-N 410.817 4.910 5 20 HJBD COc1cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1C(F)(F)F 619199911 OTHIINXHNBYBOR-UHFFFAOYSA-N 406.364 4.635 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)ccc21 726508766 JZIJIASEUKJYAL-UHFFFAOYSA-N 423.425 4.891 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CC[C@H](NC(=O)OC(C)(C)C)c1ccccc1 729295711 CQXPCWDLDBJNRE-SFHVURJKSA-N 413.474 4.888 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)OCc3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1 735800851 OAXPXEILPQMIIS-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 746044167 JEZANGOIZGOJBA-UHFFFAOYSA-N 419.407 4.740 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccccc1Cl 754640595 MIPQKDNDODZMQF-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD C[C@@H](C(=O)OCc1coc(-c2c(F)cccc2F)n1)c1ccc([N+](=O)[O-])cc1F 755057232 VAOVMZSHQIUGFI-SNVBAGLBSA-N 406.316 4.514 5 20 HJBD C[C@](O)(c1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1)C(F)(F)F 760369270 NABHMIBWSCRIOV-SFHVURJKSA-N 408.332 4.599 5 20 HJBD CC(C)(C)c1ncc(/C=C\C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)s1 760531794 XTUDSDBOOFKAQY-HJWRWDBZSA-N 413.455 4.760 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762996854 FLSQAMCHSVOFAJ-LBPRGKRZSA-N 422.840 4.544 5 20 HJBD C[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 763214329 LLCVQBLCJSZTTQ-DYZYQPBXSA-N 407.239 4.550 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1 764221671 RABFERPTLJJMFK-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1OCC1CCOCC1 764261757 PVAPZHDBFPLBJE-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 764304657 GAWITWSDFKFWJN-UHFFFAOYSA-N 400.385 4.789 5 20 HJBD CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccc(Br)cc1Cl 770077013 GFQAHUFWQXSJTI-UHFFFAOYSA-N 405.582 4.566 5 20 HJBD CCOc1ccccc1[C@@H](CC)N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775088307 UJEWYMFUBYWVQB-SUMWQHHRSA-N 405.882 4.715 5 20 HJBD CC(C)CN(C(=O)[C@H]1CC1(Cl)Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495201 CPPROTVJWNBSQS-GFCCVEGCSA-N 414.314 4.901 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 779013879 NFYQZSWZMKDIIP-AEFFLSMTSA-N 419.525 4.763 5 20 HJBD COc1cccc([C@@H](OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)c1 782456411 NOBKSZOYWZAOSN-BTYIYWSLSA-N 424.478 4.812 5 20 HJBD COc1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])[C@H](C)C2CC2)cc1 790724130 OSZGEVUIGSKBKZ-CYBMUJFWSA-N 420.868 4.766 5 20 HJBD COc1cc(COc2ccc3ccccc3c2C=O)c([N+](=O)[O-])cc1OC(F)F 791199496 NETNYULDUQCFBK-UHFFFAOYSA-N 403.337 4.750 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1C(C)C 792525075 LTJRXIKNFICEIA-UHFFFAOYSA-N 400.385 4.743 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(c2cccc(Cl)c2)CC1 794278472 MHJZNNPIUJFZSM-UHFFFAOYSA-N 413.905 4.868 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c2ncccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 801444066 PVBVECSLXOYNGM-NSHDSACASA-N 424.800 4.764 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812928506 XEXUPBVYYSBGGQ-CYBMUJFWSA-N 401.633 4.809 5 20 HJBD CCc1c(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 914466332 ROADDOKHHRZOST-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CCNc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2[C@H](C)CC)cc1[N+](=O)[O-] 916597225 PNXCOOUBUKTACK-CABCVRRESA-N 413.474 4.724 5 20 HJBD CCCc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 920685811 VDUBWPWYVCKCLO-LBPRGKRZSA-N 424.375 4.750 5 20 HJBD CC1CCN(C[C@H](C)c2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1118358522 TXYPYQZUYZUDSB-KBPBESRZSA-N 408.886 4.607 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nnc(-c4ccc(Cl)cc4Cl)o3)n2)cc1 1327071828 CPBVTKTVWUXPAM-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD CN(C(=O)c1cccs1)c1ccccc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1345672704 ANIWWOAHNBQGTL-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD C[C@H](Sc1nc2ccccc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5628407 UNXGAARJGHSVNH-VIFPVBQESA-N 410.377 4.609 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1ccccc1Cl 7625939 CPCBRNVLWXTVSN-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD COc1ccc([C@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)c2c[nH]c3ccccc23)cc1 18074509 WRXJTHRQOKRZSO-NRFANRHFSA-N 415.449 4.647 5 20 HJBD Cc1nc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cs1 30990507 VXOLSHUUQHRVBP-UHFFFAOYSA-N 417.874 4.778 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 42220180 KKOGNCLDXDREJL-UHFFFAOYSA-N 418.397 4.543 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4ccc(F)cc43)cc2[N+](=O)[O-])n1 58741458 AISXTDXKGGXUKR-UHFFFAOYSA-N 415.471 4.853 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64908432 ONPJENZKMDKEOW-UHFFFAOYSA-N 406.423 4.989 5 20 HJBD COC(=O)c1cc(C(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1 108455726 HGAZFEBUVFYNDB-QGZVFWFLSA-N 423.252 4.666 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2[C@@H]2CCCc3ccccc32)c1 115955058 KXEUYJAMHGPYFO-GOSISDBHSA-N 408.483 4.691 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CNCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 236911705 NOXBWTOBFSZQBN-QGZVFWFLSA-N 408.502 4.771 5 20 HJBD Cc1cnc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 237899828 OWJTWKPFLHOZKW-UHFFFAOYSA-N 408.277 4.672 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccc(F)cc2)cc1 237920092 PBGQBDRCGXSQOS-UHFFFAOYSA-N 402.429 4.876 5 20 HJBD CC(=O)c1ccc(NC[C@@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)c([N+](=O)[O-])c1 301887048 PHVVFQZACJOJLU-YWZLYKJASA-N 405.857 4.695 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@H]2c2ccc(C)o2)cc1[N+](=O)[O-] 303781268 SNJAEUOYAQOLGX-KRWDZBQOSA-N 418.515 4.882 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1cc2cc([N+](=O)[O-])ccc2o1 409605848 DVOIOWFGXHKJOR-UHFFFAOYSA-N 412.452 4.737 5 20 HJBD O=C1CCc2cc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)c(F)cc2N1 426280037 XPVNHWYUBLVHTC-UHFFFAOYSA-N 420.400 4.615 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)C12CC3CC(CC(C3)C1)C2 426763033 PHXIURBHBQVAIW-PACMTNNTSA-N 410.470 4.614 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 434301855 RWFOSHJRTFLIPN-HOTGVXAUSA-N 416.547 4.767 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)O[C@H](C)C2 437406639 QXMMBSLFJZHOAC-CQSZACIVSA-N 411.458 4.513 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 445252425 TWWVFNQQYWBNQF-UHFFFAOYSA-N 417.284 4.938 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)CCc3cccc([N+](=O)[O-])c3)CC2)n1 446050632 DCADOENRXXXNSB-UHFFFAOYSA-N 401.532 4.688 5 20 HJBD CC(=O)Nc1ccc(Sc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447693040 KJZRMOITWZVSSP-UHFFFAOYSA-N 407.320 4.754 5 20 HJBD C[C@@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1cccc(Br)c1 462279889 OVUVDOWUNAYQHA-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 462761008 LGUMCNDDYBYXMY-PZJWPPBQSA-N 420.513 4.513 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C1 464562866 AQCDSBSCWNCFIC-KRWDZBQOSA-N 407.580 4.537 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1ccc(C(F)(F)F)cc1)C1CC1 466145733 NYZLOUDXTQMJPQ-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)C[C@H]2C)cc1SC 466869906 GBLRZAJTTWUUST-ZBFHGGJFSA-N 400.500 4.734 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncc(-c2ccc(F)cc2)[nH]1 470127486 CGNDUVGQMOFCKF-GOSISDBHSA-N 413.384 4.632 5 20 HJBD COc1ccc(-c2ccc(CN3CCSC[C@@H]3c3nccs3)o2)c([N+](=O)[O-])c1 473061896 AZJJYQHUQMXEGQ-QGZVFWFLSA-N 417.512 4.610 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1 473691478 RQGRYTSCHSYMRF-LJQANCHMSA-N 412.833 4.563 5 20 HJBD COc1ccccc1[C@H](CNC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)OC(C)C 473774112 FZLPIJJZXQTEMS-FQEVSTJZSA-N 401.463 4.508 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@H]1CCCC[C@H]1C(F)(F)F 482223954 YFXWQOKLSUDQQL-DMDPSCGWSA-N 408.804 4.500 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NC1CCN(C2CCCC2)CC1 483661638 NRYXSTQWFGDDMC-UHFFFAOYSA-N 424.501 4.916 5 20 HJBD Cc1cc(C)c(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1Br 486317083 MOMBGDWXXOCJRK-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD CCOc1cc(C)ccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 488323256 LHJNCMNGLRJUDL-UHFFFAOYSA-N 411.380 4.761 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccccc2F)c1 488774267 XWXAMIPKIWGDDN-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C[C@H]1n1ccnc1 490588459 RFTOWQFKZLCOSJ-OXJNMPFZSA-N 422.510 4.666 5 20 HJBD C[C@H](NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cccc([N+](=O)[O-])c1 490797955 PBFGASZEXGZFHV-APPDUMDISA-N 406.364 4.740 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1c(Cl)cccc1[N+](=O)[O-] 497863386 DTKMEFJLWRZHTJ-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 506617514 XMWLDJNPAFGUMJ-UHFFFAOYSA-N 411.311 4.799 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](n2ncc3ccccc32)C1 511721073 FLYOOJRIRKSEGG-KRWDZBQOSA-N 410.499 4.534 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(-c2ccccc2)s1 514650577 VDWGBJKWZPUNLR-QINSGFPZSA-N 401.447 4.978 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)N[C@@H](C)c1ccc(Cl)cc1Cl 516716428 SLQVYDDRXULCJS-UWVGGRQHSA-N 400.287 4.655 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccccc3)Cc3ccccc3F)c1)OCOC2 520172796 ZTRUHQODSYZNCZ-UHFFFAOYSA-N 408.429 4.803 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)cc1 522669288 POSVTZNEJVZLIS-LJQANCHMSA-N 411.433 4.895 5 20 HJBD O=C(Cc1cccc(OCc2cccc(Cl)c2)c1)NCc1cccc([N+](=O)[O-])c1 524338982 DHWMYAVNFFSWCP-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525026279 BEFNLGWKTCSTLN-UHFFFAOYSA-N 422.281 4.893 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c(N2CCOCC2)c1 530771645 AQGILDCWAXLBAK-UHFFFAOYSA-N 423.538 4.758 5 20 HJBD CCc1nn(C)c(CC)c1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 533170990 FBUBIDIVIZXHBH-UHFFFAOYSA-N 423.473 4.567 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 536410341 YDASIYXKLNJXQQ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1cccc([N+](=O)[O-])c1C 536982051 OHHWOUBQUCQBNU-SJKOYZFVSA-N 422.279 4.563 5 20 HJBD C[C@@H](NCc1ncc(-c2cccc(Br)c2)o1)c1cccc([N+](=O)[O-])c1 539279821 LWRBSYOUTBJYPU-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Cl)cc2OC(F)F)c1 539522630 QRTMQQMCTKYHTB-UHFFFAOYSA-N 400.765 4.566 5 20 HJBD COc1cc([C@@H](C)NCc2ccc([N+](=O)[O-])cc2F)ccc1OCc1ccncc1 540351082 GDWAHEAKXWVWEF-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 543519971 ZDTXIWNWQRQUBX-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)c12 544934638 CCPORRNQFMIGRP-UHFFFAOYSA-N 418.457 4.997 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC1Cc2ccccc2C1)c1ccccc1 545828917 FEAQQYHPTADFQQ-QFIPXVFZSA-N 421.884 4.685 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCC[C@@H]1Cc1ccc(F)cc1 552173505 XAOQIDUUEFHUMH-HXUWFJFHSA-N 411.477 4.572 5 20 HJBD COc1cccc(C(Nc2ncnc3sc([N+](=O)[O-])cc23)c2cccc(OC)c2)c1 553487199 NTROKVLBYCGXKJ-UHFFFAOYSA-N 422.466 4.818 5 20 HJBD Cc1noc([C@H]2CCCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n1 554878263 HKXVFEQPBFQKDB-QGZVFWFLSA-N 402.838 4.927 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)cc1[N+](=O)[O-] 568915791 DJDWLMWAZDBFAS-TXEJJXNPSA-N 423.229 4.859 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NCc2cc(O)ccc2[N+](=O)[O-])cc1OC 574214100 IRGBNSNOZJOMDX-OAQYLSRUSA-N 422.481 4.700 5 20 HJBD C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 582566770 FBIHWJVTYQQWHD-OAHLLOKOSA-N 409.467 4.752 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(Br)c1Cl 582910772 UIEMLTPGDDENGM-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1csc(-c2ccccc2)n1 588079959 WHSNJWHIVCHZML-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD Cc1ccc(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 603906857 PWYQCISGQCWXJR-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)cc1[N+](=O)[O-] 603987120 JWGMCOIELDPASS-CQSZACIVSA-N 415.877 4.824 5 20 HJBD C[C@@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1c(Cl)cccc1Cl 604566415 VITGTPHMCRHNBW-SNVBAGLBSA-N 411.241 4.805 5 20 HJBD CC(C)(C(=O)Nc1ccc(Sc2ccc(Cl)cc2)cc1)n1cc([N+](=O)[O-])cn1 608806927 YQJRHPQBOGFVNW-UHFFFAOYSA-N 416.890 4.970 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cc(F)ccc3Cl)cc2)c(C)c1[N+](=O)[O-] 609065624 MSTKKOVCCUETDZ-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCC[C@H]1C(F)(F)F 612047573 CXXDDMRXXIXQDO-CMPLNLGQSA-N 410.845 4.825 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 727579841 KOIGKBIYIDSBRH-MMRAYRKESA-N 423.252 4.875 5 20 HJBD CSCC[C@@H](C)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 736060981 NZGPEKPQVWSSKY-CYBMUJFWSA-N 407.923 4.732 5 20 HJBD CC(=O)N(C)c1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 742379029 JCGICDARBKHIAI-UHFFFAOYSA-N 404.470 4.958 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744152090 PGHJQBGNPHSMCI-UHFFFAOYSA-N 404.684 4.532 5 20 HJBD O=C(Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccco1 744284123 OPSAYNJYFVCPFU-UHFFFAOYSA-N 421.192 4.966 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)OCc1ncc(-c2ccc(Br)cc2)o1 744872834 NBMKBEGUIHIZPT-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1Cc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 755004636 CQHCSIOLJXSNBK-CZUORRHYSA-N 421.266 4.638 5 20 HJBD C=CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1F 758283160 YTYACTAAIHQXLJ-UHFFFAOYSA-N 421.384 4.903 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=S)Nc2ccc([N+](=O)[O-])cc2)c1 767697100 IDDVVDUJFKNWOL-JOCHJYFZSA-N 421.522 4.836 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1ccc(Cl)cc1 777300758 FVIYRSIFTRDUKO-QGZVFWFLSA-N 408.907 4.604 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CCCc1nc(-c2cccs2)no1 778774328 ZKWVJKLQLOEQTI-ZDUSSCGKSA-N 401.444 4.642 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 784017337 KONOEFFZOHHGSB-SECBINFHSA-N 408.197 4.870 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 786964491 JWCLHHWHLAVKNT-QHCPKHFHSA-N 418.497 4.947 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 793308288 GAPSVQGRLQUFPC-UHFFFAOYSA-N 403.504 4.614 5 20 HJBD Cn1ccnc1CCCOC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 802782878 ALABRUZBKQYBOX-UHFFFAOYSA-N 415.833 4.564 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1F 803644393 VIHKDJSSSWKIRU-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC(c2c(Cl)cccc2Cl)CC1 809331313 HVJUSWMVDIQIGP-UHFFFAOYSA-N 424.240 4.830 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N[C@@H](c1c(F)cccc1F)C(F)(F)F 809922411 OVZVHEZWSKHXEO-ZDUSSCGKSA-N 409.698 4.952 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cnc(-c2ccccc2)nc1 811216315 JCVWVQCASPENBF-UHFFFAOYSA-N 418.434 4.532 5 20 HJBD CC(C)(C)OC(=O)NCCC[C@H](Nc1ccc([N+](=O)[O-])c(N)c1F)c1ccccc1 811869667 VAGOKUMXAUYRQY-HNNXBMFYSA-N 418.469 4.774 5 20 HJBD C[S@@](=O)Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 813000657 PRJYUCQXUDJAHA-RUZDIDTESA-N 421.689 4.686 5 20 HJBD CC(C)(C)c1ccc([C@@H](O)CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813483476 XSLLJLQNUXYWFS-INIZCTEOSA-N 411.285 4.663 5 20 HJBD NC(=O)c1ccc(F)c(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)c1 863997576 NUNIYJHZRQXENY-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)n1 904314903 YLRPGOYNIXFBHT-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD Cc1ccc(-c2cc(COC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])on2)cc1 920777399 FRAAJCGKABMMOG-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD COC(=O)c1cc(-c2nnc(-c3sc(-c4ccccc4)nc3C)o2)cc([N+](=O)[O-])c1 1257827135 IBARICQTGHSGSL-UHFFFAOYSA-N 422.422 4.530 5 20 HJBD CCN1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c2ccccc21 1321704735 SFYSOOUBOCVVJU-UHFFFAOYSA-N 416.481 4.694 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 5456597 UBQZIGIJFUAGSS-AWEZNQCLSA-N 413.455 4.658 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5656455 BKRGPBADLOTWDV-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD CC[C@@H](C)[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5680578 NYMVRBOPQMRPMP-YJYMSZOUSA-N 404.850 4.560 5 20 HJBD Cc1cccc(C(=O)OCC(=O)Nc2ccccc2Sc2ccccc2)c1[N+](=O)[O-] 9022476 DUGXWUYYNPLHDY-UHFFFAOYSA-N 422.462 4.850 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32161698 BXNAECWHPJSNEJ-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD CNC(=O)c1ccc(NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c([N+](=O)[O-])c1 54981483 PRBJJZZTAAXWPE-UHFFFAOYSA-N 416.890 4.652 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c1C 55828031 YSXRMWUDCXRLOJ-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])cc1 57648132 YGWAFQKVVCYYDO-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)c([N+](=O)[O-])cc1OC 61191070 AYWIGKMKYRSRTG-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD CC[C@@H]1CCCCN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 61429514 JBDFTVSNIDVRDQ-HXUWFJFHSA-N 418.497 4.781 5 20 HJBD COc1cccc(CS[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 64376248 RQAQFUVURNSRQN-LLVKDONJSA-N 414.405 4.883 5 20 HJBD CCOc1cc(NC(=O)/C=C\c2nc3ccccc3s2)c([N+](=O)[O-])cc1OCC 212018794 OPYIOFAAWPSGQO-KTKRTIGZSA-N 413.455 4.654 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccncc3)n2-c2ccc(Cl)cc2)c([N+](=O)[O-])c1 301426581 HCFZOLXSVJNTPJ-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)Nc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])cc2)n1 301756857 YMRUZAODOMHHRW-KRWDZBQOSA-N 421.501 4.709 5 20 HJBD O=C(NCCCCSc1ccccc1Br)c1ccccc1[N+](=O)[O-] 303596372 JCGZNORLPZBUET-UHFFFAOYSA-N 409.305 4.660 5 20 HJBD COc1cccc([C@H](CC(=O)O)NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 426786048 SFWZXOHCXARAIC-IBGZPJMESA-N 412.467 4.638 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)C12CC3CC(CC(C3)C1)C2 426786339 UWQQXSNZYIQQJB-PACMTNNTSA-N 410.470 4.614 5 20 HJBD O=C1[C@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1cccc([N+](=O)[O-])c1 430907691 FHYPXNOCZQSPKP-INIZCTEOSA-N 406.225 4.574 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1cccc2ccccc12 431252021 YAFFZMSEUVOYOD-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436072138 FMNIBERFEAQMRB-UHFFFAOYSA-N 422.406 4.560 5 20 HJBD C[C@H](Nc1ccc(-c2nc(-c3ccc(O)cc3)no2)cc1[N+](=O)[O-])c1ccccn1 436770041 PSWAQAHGBSCNAI-ZDUSSCGKSA-N 403.398 4.586 5 20 HJBD Cc1sc(NC(=O)c2cnc(O)c([N+](=O)[O-])c2)nc1-c1cccc2ccccc12 445214865 PUOCQSSSVCTEOQ-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1c(F)cccc1Cl 445953628 SPADTCGBZOKTAL-VIFPVBQESA-N 415.646 4.570 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1 445992741 QJXQOPGCCDCLGV-SFHVURJKSA-N 403.276 4.572 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccnn1C1CCCC1 446436748 WMNRXAINJSBART-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD COc1ccc(-c2csc(CNC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)c2)cc1 446779602 ADJRCJWTJOMOSM-UHFFFAOYSA-N 423.494 4.600 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1c(F)cccc1F 447350600 KLVILFOCYDAHGH-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD CCC1(C)CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])c(OC)c2)C1 462734424 AXMPYFZLXGYIIN-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 464037770 GYTNGQMJPLBFTN-OXJNMPFZSA-N 406.429 4.762 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)cc1 464772713 GCQYSZZBZYALIR-MRXNPFEDSA-N 408.458 4.558 5 20 HJBD Cn1cc(C(=O)Nc2ccccc2CCC(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 465496368 YZTABQMEVASEGF-UHFFFAOYSA-N 418.375 4.743 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)c1ccc(F)cc1[N+](=O)[O-] 466314447 OCHKNUUNKGJTES-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD COc1cc(-c2nc([C@@H]3CCOC3)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 466390701 VHAVUVFCQYHQCV-GFCCVEGCSA-N 417.805 4.603 5 20 HJBD CC(C)(C)[S@](=O)Cc1cccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467350273 OSDYVSDLZKYWHS-MUUNZHRXSA-N 423.922 4.617 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 470152506 RQPJVPYKKFORAB-UHFFFAOYSA-N 416.499 4.600 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N(CC)CC)c(Cl)c2)cc1[N+](=O)[O-] 471350039 JWNJHCOCEQHVIL-UHFFFAOYSA-N 403.866 4.545 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 475653825 WVOPMDPSIACZAZ-QZTJIDSGSA-N 405.564 4.577 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 482560362 NPIKKFAQHMFHPV-QZTJIDSGSA-N 414.525 4.596 5 20 HJBD CC(C)c1c(NC(=O)COc2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482727013 GFSXAUWEQWIFQD-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD CCc1c(NC(=O)Cc2cccc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482752959 DYORRTMDQNBHJT-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD COCCCn1c(S[C@@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484541581 IMUOURZSMXEEGQ-HNNXBMFYSA-N 413.499 4.503 5 20 HJBD CSc1cccc(C(=O)Nc2ccc3nc(-c4ccccn4)[nH]c3c2)c1[N+](=O)[O-] 485485293 BKLRQFSQRGGZGR-UHFFFAOYSA-N 405.439 4.507 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3c(F)cccc3F)CC2)cc1SC 485555293 CXVJRUXPMQVDEG-UHFFFAOYSA-N 422.453 4.623 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Oc2ccccn2)cc1 505157237 ASGFZCYDMUUXHH-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD O=C(N[C@H](CC1CCCC1)c1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 505286198 WNPYXVSCIVNPIB-OAQYLSRUSA-N 405.454 4.900 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H]1CCC[C@H]1OC(F)F 505364670 TYBNRMPMONSFBZ-IAGOWNOFSA-N 407.373 4.669 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c([N+](=O)[O-])c1 507021836 MMCUHXRHVDTVBU-LJQANCHMSA-N 424.478 4.601 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2Oc2ccncc2)c([N+](=O)[O-])cc1OCC 509126660 VXDZEEUPHSJUEM-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 516944624 VNVJBBODBKLSOT-UGSOOPFHSA-N 403.276 4.825 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 518523666 NVYBDYJHGFHSBX-SECBINFHSA-N 409.780 4.876 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 521187371 LHIGVGSLITVYNX-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD C[C@@H](Cc1cccc(C(F)(F)F)c1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523084288 WHLMPSMCHVLBLS-LBPRGKRZSA-N 424.375 4.592 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccccc1)C[C@H]1CCCO1 523302077 ZIWGHPGRLPTTHQ-LJQANCHMSA-N 423.494 4.540 5 20 HJBD CN(Cc1ccccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C1CCCCC1 531514786 BZKFEHSLRAHRRL-UHFFFAOYSA-N 422.529 4.950 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(NC(=O)C4CC4)cc3)o2)c([N+](=O)[O-])c1 532730053 CCELKRXBLGHPBS-UHFFFAOYSA-N 407.426 4.824 5 20 HJBD Cc1cc([C@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)ccc1F 534036765 UDCHJBUCKDXEEK-JOCHJYFZSA-N 411.477 4.526 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(c4nc5ccccc5[nH]4)CC3)co2)cc1 534107634 WHGHNPFFPDWXEA-UHFFFAOYSA-N 403.442 4.506 5 20 HJBD CN(C(=O)Cc1c[nH]c2cc(F)ccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353602 AZJZCMDZGVYSHT-UHFFFAOYSA-N 410.430 4.544 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 539531408 SOIQNWVWXKRBCD-PYGIEMITSA-N 415.559 4.532 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)c2cccs2)c1 541263950 OEGPRDAFWNSSGI-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD C[C@@H](C(=O)N1CCSC[C@H]1c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 541623381 OIGOGVXUZZQGEI-XIKOKIGWSA-N 408.882 4.808 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)c1 542763677 ZXRLVMOVJPLOOS-UHFFFAOYSA-N 423.404 4.700 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 544428696 PUUNXPXUZHETPT-JTQLQIEISA-N 419.409 4.747 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 554827270 ATMDMTCGFTVIHG-OALUTQOASA-N 421.522 4.955 5 20 HJBD CCNC(=O)c1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c(C)c1 556338118 GXYDTRSQXNCCME-UHFFFAOYSA-N 409.442 4.534 5 20 HJBD C[C@H](C(=O)N(CCc1ccccn1)Cc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 570505492 QCJPSRSZEDIGER-KRWDZBQOSA-N 407.445 4.504 5 20 HJBD COc1cc(-c2nc(Cc3cc(Cl)ccc3Cl)no2)cc([N+](=O)[O-])c1OC 571608288 YTMDTQLJDWSHEP-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD COc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)c(C)c1OC 573520022 NPBCPXVYTRXMBG-LLVKDONJSA-N 410.268 4.559 5 20 HJBD COc1ccc2c(c1)C1(CC1)CN(C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)C2 576673029 JUBRRKIGDPGENN-UHFFFAOYSA-N 421.375 4.702 5 20 HJBD CCOc1cc(NC(=O)N(CC)Cc2cccc(F)c2)c([N+](=O)[O-])cc1OCC 603651629 WKFHTYCPLHPYKD-UHFFFAOYSA-N 405.426 4.585 5 20 HJBD CCCCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 609443662 VHNZGVFPTLRQTL-UHFFFAOYSA-N 423.466 4.513 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)c1 609521880 VEKUHLPNTQAQED-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)Cc2ccccc2[N+](=O)[O-])c1C 609796309 NHNIEAFMGUGOEK-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1C(F)(F)F 610224233 KTJLHHZUSVGTSS-SECBINFHSA-N 404.291 4.957 5 20 HJBD CC(C)c1nc2cc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)ccc2o1 610325117 NTDZPACOJIJXIY-UHFFFAOYSA-N 424.457 4.935 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCCc2ccc(-c3ccccc3)cc2)cc1OC 610809528 KMKQSICWISDHDL-UHFFFAOYSA-N 420.465 4.642 5 20 HJBD CCn1c(S[C@@H]2CCCc3ccccc3C2=O)nnc1-c1ccc([N+](=O)[O-])cc1 610863356 HQGJLZLBKGDOLH-GOSISDBHSA-N 408.483 4.553 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CC2)OCc2ccccc23)nc1OCc1ccccc1 613183757 JZNYJXREMIADMC-UHFFFAOYSA-N 417.465 4.595 5 20 HJBD C[C@H](OC(=O)CCCC(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 729025701 CCDFTIYITQIQFC-NSHDSACASA-N 404.385 4.700 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 730109773 WCSLAHOEECJJHD-LBPRGKRZSA-N 417.428 4.743 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2cccc(Sc3ccccc3)c2)c([N+](=O)[O-])c1 731639622 VBFNDDINHWDXJP-UHFFFAOYSA-N 408.435 4.576 5 20 HJBD O=C(c1cc(Br)cnc1Cl)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731839009 AWJDMXGOLZQVOD-UHFFFAOYSA-N 424.682 4.995 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 732961349 CDFLKEMVDGLVRJ-JOCHJYFZSA-N 407.445 4.943 5 20 HJBD CC(C)(CCCc1ccccc1)C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 733027643 JZIKMXHIQLYCMH-UHFFFAOYSA-N 409.442 4.737 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 736525800 DUEASLVWXOIYJL-WMZOPIPTSA-N 411.477 4.742 5 20 HJBD O=C(COC(=O)C1(c2cccs2)CCCCC1)Nc1cc(Cl)ccc1[N+](=O)[O-] 738701774 KKWQATINQUQVNJ-UHFFFAOYSA-N 422.890 4.694 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccccc1Cl 741604162 RAGZNUXJISVMEW-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD COc1ccc(-n2c(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)nc3ccccc32)cc1 741990219 ZQHUJPNHQSNMEQ-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 742146940 UIGFIJPLAGHVMR-UHFFFAOYSA-N 403.845 4.516 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3F)CC2)cc1 747312283 BVUCTAMBRQRJND-UHFFFAOYSA-N 412.461 4.668 5 20 HJBD Cc1cccc2c1[C@H](C)C[C@H]2CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753557212 MUEUNNBVEKCTTG-ZBFHGGJFSA-N 407.426 4.678 5 20 HJBD COc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)cc1[N+](=O)[O-] 754219462 GBEDXSGMNGXQJC-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc[nH]1)c1ccc(N(C)C)cc1 757982511 CBWZRCADLWOMFG-MRXNPFEDSA-N 424.526 4.703 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])s1 758486497 OBURUUVEBQNKQU-CQSZACIVSA-N 400.435 4.688 5 20 HJBD CC(C)(NC(=O)c1cccc([N+](=O)[O-])c1Br)c1cccc(Cl)c1F 759196922 CWISCKCUIJCSPR-UHFFFAOYSA-N 415.646 4.815 5 20 HJBD O=C(Nc1nc(-c2cc3ccccc3o2)cs1)c1cc(F)c([N+](=O)[O-])cc1F 763382662 JNHCNIIERHKYMW-UHFFFAOYSA-N 401.350 4.995 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764203589 ZDLHOEFZLKGKIU-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])ccc2o1 781676293 OHDBQTVZWIIPLS-UHFFFAOYSA-N 412.402 4.513 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 782119024 LQCFMPQRXPVRKY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(O)(c2cccc(OC(F)(F)F)c2)CC1 790080098 PPWFRGDEUOBKTO-HNNXBMFYSA-N 424.419 4.846 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cn1 790243237 RGGHZEBYNADJMR-UHFFFAOYSA-N 411.389 4.799 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=S)Nc1ccc(OC(F)F)c(Cl)c1 795095146 DWHYMGBTCUKZKY-UHFFFAOYSA-N 415.849 4.721 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1OCc1cscn1 812210590 ITDLGSCVALFIIY-UHFFFAOYSA-N 417.874 4.556 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)cc1 815509945 CRAFHWJVRFPLDX-NSHDSACASA-N 421.331 4.890 5 20 HJBD CC(C)(c1csc(-c2ccccc2F)n1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 815697924 RYVODLSILREVKO-UHFFFAOYSA-N 404.467 4.646 5 20 HJBD COc1ccc(OC(=O)c2ccc(SCc3csc(C)n3)cc2)c([N+](=O)[O-])c1 818029360 UCEGMDSYSDKMTP-UHFFFAOYSA-N 416.480 4.880 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 914630646 KTCBZZSDQICWBZ-CQSZACIVSA-N 412.467 4.964 5 20 HJBD COc1ccc(CNC(=O)c2cc(C)n([C@@H](C)c3ccccc3)c2C)cc1[N+](=O)[O-] 918676848 RINYMLRWBIBOSY-INIZCTEOSA-N 407.470 4.561 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(COCc4ccncc4)n3)cc2)cc1 1117548776 QXOODTHRZRTLIZ-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD COc1ccc(Cc2nnc(CCCOc3ccc(Cl)cc3C)o2)cc1[N+](=O)[O-] 1322102229 WDSCPTGQTRPJLU-UHFFFAOYSA-N 417.849 4.551 5 20 HJBD Cc1ccc(-c2ncc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)s2)c(C)c1 1341396507 CPZLAWYRSROVTA-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CCO)c2ccc(Cl)cc2)nc1-c1ccc(F)cc1 1515237044 BGMWQQALNSKOJT-KRWDZBQOSA-N 401.825 4.985 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 12451705 CXKBVUOZHOAXNK-IBGZPJMESA-N 410.813 4.785 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)sc2cccc(F)c12 17150456 XFSVUAKSFUJIET-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD Cc1ccccc1NC(=O)C(=Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1C 20794219 YEAKLBHGKNKNTK-UHFFFAOYSA-N 415.449 4.872 5 20 HJBD Cc1ccccc1-n1c(SCc2ccccc2[N+](=O)[O-])nnc1-c1ccncc1 25113928 ZHYYFVNLBFWIIJ-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nc2ccccc2n1C(F)F 25560392 YWQMOFLLWZWFQQ-LLVKDONJSA-N 407.398 4.564 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(NC(=O)c3ccccc3)ccc2C)cc1[N+](=O)[O-] 44758723 BYEWWZNSXHCJHB-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CC(C)COc1ccccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 55767003 DDYPNTITUGLTEW-UHFFFAOYSA-N 400.478 4.828 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2COc2ccccc2)c1 60417040 PSJDTYFWLGEBSH-UHFFFAOYSA-N 406.438 4.890 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(Cc2cccs2)Cc2cccs2)cc1C 60497728 FLERWULVLLHVAH-UHFFFAOYSA-N 422.553 4.726 5 20 HJBD CC(C)[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2[nH]1 64935879 RPJGHWMKXKDDOW-GOSISDBHSA-N 421.482 4.722 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 104798143 UVDJPUKNYJFSES-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1nnc([C@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 109022835 LNZNMCYZBMTONU-JTQLQIEISA-N 403.778 4.650 5 20 HJBD COc1ccc(-n2ccc(CNCc3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)cc1 237451285 SIGCGNNJORBFGZ-UHFFFAOYSA-N 420.494 4.807 5 20 HJBD O=C1NCCN1c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 237884936 GDNFQQQBCWDWSM-UHFFFAOYSA-N 422.416 4.668 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCNc3ncc(Cl)cc3Cl)cs2)c1 237900066 UXSNTYOVNJTLSB-UHFFFAOYSA-N 424.313 4.622 5 20 HJBD CC(C)Cn1ccc2cc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)ccc21 302989397 GOZZFDCIGTVSFK-UHFFFAOYSA-N 403.442 4.644 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)c1C 428754719 STEQZQADTYOUBI-UHFFFAOYSA-N 415.490 4.939 5 20 HJBD CCCc1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 429347502 SZYJEEKTJLMDHH-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD CN(Cc1cn2c(n1)CCCC2)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 429489156 HHWPHGYWKACMPK-UHFFFAOYSA-N 422.510 4.551 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccc(OCc2ccccn2)cc1 436312617 NPGKOYNONFEDMT-UHFFFAOYSA-N 404.348 4.595 5 20 HJBD C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 438521518 DKSMOTPSAFAJRJ-QGZVFWFLSA-N 401.466 4.647 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1nnnn1-c1ccccc1 439599291 VJJNMHXERKBUQR-HNNXBMFYSA-N 402.414 4.536 5 20 HJBD C[S@](=O)C1(CNCc2ccc(Oc3ccc(C(C)(C)C)cc3)c([N+](=O)[O-])c2)CC1 441374128 GPFHOROBQNTGGX-LJAQVGFWSA-N 416.543 4.685 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444090902 SZPHEVWRJFVLON-UHFFFAOYSA-N 423.447 4.812 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1[N+](=O)[O-] 444164064 CEPWHCTWJCDKEE-ZDUSSCGKSA-N 419.412 4.528 5 20 HJBD Cc1ccccc1[C@@H]1CN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)[C@@H](C)CO1 444197793 SBDYQKRRGWPNTR-JTSKRJEESA-N 407.470 4.563 5 20 HJBD O=C(Cc1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1)Nc1cc(Cl)cc(Cl)c1 445383452 HYVHYNQNKFKQJW-SNAWJCMRSA-N 419.224 4.636 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccc(Cl)c2ccccc12 462224099 LRCLIWKCRLOWQQ-LBPRGKRZSA-N 412.829 4.664 5 20 HJBD COc1cc(CN(C)c2ccc([N+](=O)[O-])c(OC(F)F)c2)c(SC)cc1OC 462856766 ZOZJJIZDTFHSHP-UHFFFAOYSA-N 414.430 4.572 5 20 HJBD CCSc1cccc(Br)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1F 464713242 RIQXZEMGBCJKLG-UHFFFAOYSA-N 413.268 4.790 5 20 HJBD CCN(Cc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H](Cc1ccc(F)cc1)C(C)C 464781532 VVTHKWOMWGPCBI-NRFANRHFSA-N 402.466 4.690 5 20 HJBD Cc1coc(-c2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2)n1 467976711 GBTVQZPXEZSYMM-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD CCOc1ccc(C2(CNC/C(C)=C/c3cccc([N+](=O)[O-])c3)CCOCC2)cc1 468632139 OEKUHVWBUNFSGS-XDJHFCHBSA-N 410.514 4.735 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 471849054 RLFLEPMHOPDIPV-MRXNPFEDSA-N 407.474 4.766 5 20 HJBD C[C@@H](NC(=O)N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1 471985057 QUEYNXGHQHFARU-VLIAUNLRSA-N 410.861 4.793 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2sccc2C)[nH]s1 472261317 JWWFUWAUPJYLSI-UHFFFAOYSA-N 420.541 4.660 5 20 HJBD CN1Cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc2NC1=O 478972886 PDVXULSQNDWUHM-UHFFFAOYSA-N 418.409 4.617 5 20 HJBD Cc1cc(OCC(=O)c2ccc(NC(=O)c3ccccc3)cc2)c(F)cc1[N+](=O)[O-] 479163601 NRAODTMDJNBRIJ-UHFFFAOYSA-N 408.385 4.556 5 20 HJBD COc1ccccc1N(C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)C(C)C 484898381 CTGHTTVMGLAQOE-UHFFFAOYSA-N 407.264 4.730 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 485167847 SIYYEMYCTQUVGU-UHFFFAOYSA-N 423.194 4.894 5 20 HJBD O=C(c1cc2ccccc2n1CC(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 486317174 JJNFLXUPBQIJJD-UHFFFAOYSA-N 417.387 4.917 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496446086 FTQHWCRAILEQCY-NRFANRHFSA-N 411.408 4.828 5 20 HJBD CC(C)c1ccc(CN[C@@H](c2ccc(Cl)cc2)[C@H]2CN(C)CCO2)cc1[N+](=O)[O-] 498359369 NYEULZWSBYXBFQ-YADHBBJMSA-N 417.937 4.533 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Cl)c(OCC(F)(F)F)c(Cl)c1 500432800 QJZKDRZOLUPALY-UHFFFAOYSA-N 424.162 4.677 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(-n2cccn2)cc1 503406025 WFBQRLUOGIVAIN-HNNXBMFYSA-N 410.499 4.774 5 20 HJBD CCOc1ccc([C@H](C)NC[C@@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 504893066 BFIXALKHCMHNQR-IRXDYDNUSA-N 403.548 4.618 5 20 HJBD O=C(c1cc(O)cc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 505741981 MURMEZHCXKFJMH-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD C[C@@H](c1nc(Cc2cc(F)cc(Br)c2)no1)c1ccc([N+](=O)[O-])cc1F 508609027 NTNKEFIMTNQQQZ-SECBINFHSA-N 424.201 4.761 5 20 HJBD Cc1cc2cc(NC(=O)N[C@@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])ccc2[nH]1 510003589 VNNDRKJWQAQJKC-IBGZPJMESA-N 424.457 4.589 5 20 HJBD C[C@H](C(=O)Nc1ccccc1Cc1ccccc1)N(C)CCc1ccccc1[N+](=O)[O-] 511127519 VXKLLUUVZLPPAM-LJQANCHMSA-N 417.509 4.687 5 20 HJBD Cc1nc(-c2ccc(CCNc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])cc2)cs1 514322782 VXLHYBCKENEERW-UHFFFAOYSA-N 422.510 4.574 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)CSc1ccccc1[N+](=O)[O-])C(F)(F)F 516511312 QIBMSRXPLPLUBC-LLVKDONJSA-N 400.378 4.655 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 520173719 YAAPXOHVXOTUFX-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD CCCN(C(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1)[C@@H](C)c1cc2ccccc2o1 520972485 WUIGJVJPJGPAFJ-HNNXBMFYSA-N 419.441 4.535 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 523097881 SVMBBLFEIFURSK-HUUCEWRRSA-N 422.403 4.863 5 20 HJBD Cc1noc(C)c1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 524680685 QECWICPYTYXKDD-UHFFFAOYSA-N 406.236 4.512 5 20 HJBD COc1ccc(CNC(=O)C[C@@H](Cc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 525650182 LEKWCOKOAJAEAP-OAQYLSRUSA-N 404.466 4.636 5 20 HJBD COc1ccc(CC(=O)N2CC[C@@H](C)[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 533018372 VLFIFNBOLANQJY-ZUOKHONESA-N 422.403 4.774 5 20 HJBD CC[C@@H](NCc1cc(Br)c(OC)c(OC)c1)c1cccc([N+](=O)[O-])c1 536865011 PAGZLWYIUOQATC-MRXNPFEDSA-N 409.280 4.615 5 20 HJBD C[C@H](NC(C)(C)CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 537243056 UZLVMGBSPXRCEC-KRWDZBQOSA-N 418.497 4.516 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 537720416 PCGRBYLYNDPPJR-GOSISDBHSA-N 419.529 4.982 5 20 HJBD Cc1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n([C@@H]2C[C@H]3CC[C@H]2C3)n1 540402897 BUIUBOXGPVLEEI-RIFZZMRRSA-N 409.490 4.657 5 20 HJBD C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 541263093 VOKRYSWZSOSKKU-GFCCVEGCSA-N 414.405 4.505 5 20 HJBD Cn1c([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccccc2)nc2ccccc21 542763127 KKUDKOZJFHCSTE-HXUWFJFHSA-N 419.416 4.532 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 543465043 VPZYXJJEQSAPHE-KBPBESRZSA-N 404.438 4.511 5 20 HJBD CSc1c(F)cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1F 544061267 HGSWFHHYBILXDB-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(Cc3cccc4cccnc34)no2)c1 544102565 OBYRXZSLOZVPTR-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD COC(=O)c1ccc(Cl)c(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C 545937043 MDXPXHJCXKVHKH-UHFFFAOYSA-N 401.806 4.610 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 556419934 PCUDKOOQTONHBM-HNAYVOBHSA-N 422.403 4.820 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 558245004 ZWAMPLFOEXFWAX-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD O=C(Nc1ccc(-c2ccc(Cl)s2)nc1)N1CCc2ccc([N+](=O)[O-])cc2C1 569006489 VQAGDOYFPFUOMS-UHFFFAOYSA-N 414.874 4.962 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(Br)c2ccccc2c1 590098412 SCECTKJASYHAQX-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1Cl)C1CCCCC1 603983365 ZLGDBVMHGRERJF-UHFFFAOYSA-N 421.906 4.967 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2OCCc2ccccc2)cc1[N+](=O)[O-] 604086967 IUHCUWDQKBIIJV-UHFFFAOYSA-N 421.453 4.547 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(-c2cccc(Cl)c2)s1 609021794 MPIOTTWQLYFKSK-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCSC[C@H]1c1ccc(Cl)cc1 609700122 DBGRAJJQWJRNCZ-INIZCTEOSA-N 402.859 4.925 5 20 HJBD COc1ccccc1-c1nc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cs1 610653841 RCFLQWCNRZWJCB-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccn([C@H](C)c2ccccc2)n1 610745565 SNTBIGPKZRVYLZ-QGZVFWFLSA-N 407.474 4.843 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c(OC)n1 612463340 OTMMVIJMUCNEIQ-UHFFFAOYSA-N 417.487 4.542 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2s1 734207822 KTVKMJUAOXZOBB-SECBINFHSA-N 408.386 4.689 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735307886 NTQLCUZGANQWFU-UHFFFAOYSA-N 422.397 4.654 5 20 HJBD COc1ccc2oc(C)c(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 735444536 XUDOKIASFSAVCT-UHFFFAOYSA-N 408.366 4.670 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)C2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 739564907 AKIHROLGILHMDJ-UHFFFAOYSA-N 410.470 4.997 5 20 HJBD C[C@@H](c1ccccc1)N(Cc1ccccc1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746178324 LGZIQMXTOWFACH-INIZCTEOSA-N 414.458 4.686 5 20 HJBD COc1cc(OCC(=O)O[C@H](c2cccs2)c2ccccc2C)ccc1[N+](=O)[O-] 748504910 GTRUFJSUEINIFX-NRFANRHFSA-N 413.451 4.685 5 20 HJBD Cc1c(C(=O)N(CCc2ccc(F)cc2)CC(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748621154 ZMLWYSPPROFODO-UHFFFAOYSA-N 417.437 4.600 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749936018 ZQPKSBRTHRRZGL-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD Cc1c(C(=O)N2c3ccccc3S[C@H](C)[C@@H]2C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 750877750 KUHHXLXGIQBAMM-QWHCGFSZSA-N 401.444 4.649 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1OC 758414047 PEGXJBRVMNEHFZ-AWEZNQCLSA-N 404.438 4.721 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2ccccc2NC(=O)OC(C)(C)C)n1 762070234 OQLOTYILAPRCKA-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)OCc1ccc(Cl)c([N+](=O)[O-])c1 762085869 IHJZAVTXOAJUHF-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(SC(=O)N(C)C)cc1 764138304 WUYLDFBNCQWLBG-UHFFFAOYSA-N 405.501 4.733 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1F 764496125 XXLXNRWFOWXSAU-UHFFFAOYSA-N 424.866 4.951 5 20 HJBD O=C(Nc1ccc(SCc2cccnc2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 769470598 PKHXCOCUBDVMDG-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD O=C(NCc1cccc(COCC(F)(F)F)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770010363 SKWGGMQGWQPIEC-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD Cc1noc(COc2ccc(NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)cc2)n1 770571523 PHSPLVPXWXHIJW-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 772816957 ZPPZGYFEYIYAIB-GOSISDBHSA-N 409.269 4.516 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)CC2)cc1 775433260 WQAIBUODHVNCKD-UHFFFAOYSA-N 402.882 4.726 5 20 HJBD Cc1nc(-c2cccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c2)oc1C 790550971 IFDJEHWFAWYJMJ-UHFFFAOYSA-N 403.797 4.840 5 20 HJBD O=C(COC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 798279183 KDWPKLYNNNFTQP-UHFFFAOYSA-N 423.252 4.542 5 20 HJBD C[C@@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798675328 SRXOIOPDCRGGJK-GFCCVEGCSA-N 409.364 4.527 5 20 HJBD O=C(NCc1csc(Br)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812909829 ZDQLXACZNAQKCS-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD CC(C)(c1nc(-c2ccc(Br)cc2)no1)c1ccc([N+](=O)[O-])cc1F 904714213 SIBYBCHXSCDECB-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD Cc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2C)cc1NC(=O)c1ccccc1F 917049720 MDYKPYRONKAPGS-UHFFFAOYSA-N 408.385 4.822 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)c2)n1 917116560 UJCCIYCPOOQVBK-UHFFFAOYSA-N 419.485 4.640 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccccc1C(F)(F)F 917263815 RORODNXWWCGRHI-SNVBAGLBSA-N 422.315 4.544 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3cn4c(n3)CCCC4)c2)cc1[N+](=O)[O-] 919719776 PVGBRYBBBPOOFN-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD COc1ccc(-c2ccc(CN[C@H]3CCCc4cnn(C(C)C)c43)o2)c([N+](=O)[O-])c1 1116602594 SWNBMHLMXXHNNR-IBGZPJMESA-N 410.474 4.808 5 20 HJBD Cc1cc(CSc2ccccc2-c2nnc(COc3ccccc3[N+](=O)[O-])o2)on1 1117215270 XOJUJTQRFNVXQM-UHFFFAOYSA-N 424.438 4.813 5 20 HJBD O=C(Nc1cc(Cl)ccc1OCC1CCC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1324395290 MURMFPOZCOSLLD-UHFFFAOYSA-N 401.850 4.975 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COc2ccc([N+](=O)[O-])cc2C=O)cc1 11868241 MPHGYASWAYUTAU-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD Cc1ccc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c(Cl)c1 22252753 HTIKTYSETQZBNN-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD C[C@@H](OC(=O)c1cc2c(F)cccc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 24899183 BTAOTSLNVBECSA-SECBINFHSA-N 422.821 4.786 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 28293510 UPPLZNMJEUJLTH-NRFANRHFSA-N 420.421 4.530 5 20 HJBD Cn1cc(C(=O)Nc2cccc(OC3CCCC3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 53427047 SUOSLZSLOVXURC-UHFFFAOYSA-N 406.442 4.569 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 56208130 FSXCNHBRULLOCT-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=[N+]([O-])c1cccc(OCCSc2nnc(-c3ccco3)n2Cc2ccccc2)c1 59121311 CQLWWVRMMSHDNP-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD CCc1nc(CN(C)[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 109101728 CQQVBHRHGCFPEO-OAQYLSRUSA-N 424.526 4.734 5 20 HJBD CN(C)Cc1nc(NCc2ccc([N+](=O)[O-])cc2)c2c(-c3ccccc3)csc2n1 216478914 UMDGQVGEWUKQLB-UHFFFAOYSA-N 419.510 4.940 5 20 HJBD C[C@H](NC/C=C/c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 237516655 ZKUPAEDLRRLSKZ-YXMMZVCTSA-N 402.454 4.606 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1cc(C(F)(F)F)nc(-c2ccncc2)n1 301367691 SZLXVKDKBNCAFK-UHFFFAOYSA-N 423.782 4.755 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2cc3ccccc3s2)c([N+](=O)[O-])cc1OC 410284062 VBNGEXYRKLNQAC-GFCCVEGCSA-N 400.456 4.708 5 20 HJBD Cc1c(NC(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 432733457 FLZSDIPUQNCZSX-SGTLLEGYSA-N 423.391 4.916 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 436066336 NJGNEGUMACCURN-UHFFFAOYSA-N 414.505 4.833 5 20 HJBD CCn1ncc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)cc21 436249051 VCBQVYIEEYXQKM-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccc(F)cc1F)C1CC1 437229448 UAGSOSXXUAPSLC-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD C[C@@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)CC[C@@H]1c1ccccc1 437811628 RXTYRYTVCWUVHC-CJNGLKHVSA-N 405.401 4.854 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)cc1 445272991 GBHIKJDPIPEBAX-IIEJUSTOSA-N 406.442 4.843 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@@H]3c3cccc(C(F)(F)F)c3)n2)c1 445580228 PTNVAUIYSRUCQN-HZPDHXFCSA-N 419.359 4.867 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)CCc3cccc([N+](=O)[O-])c3)CC2)cc1 445847002 PIOIITXCTBZXJJ-UHFFFAOYSA-N 422.525 4.847 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c1 447074899 ARHXZNNPVXLDMK-UHFFFAOYSA-N 403.438 4.861 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccccc2C(F)(F)F)on1 461906268 XZGOVHOPSCMBIB-UHFFFAOYSA-N 405.332 4.541 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)CN(C)c3ccccc3[N+](=O)[O-])cc2)n1 463285221 JETFAPWAJUMHOX-UHFFFAOYSA-N 414.512 4.586 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2OCC2CCC2)c([N+](=O)[O-])cc1OC 468197203 QPDSWQAZRMXWPU-UHFFFAOYSA-N 420.849 4.697 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCc2ccncc21 468354011 UFXOXRIUUDXWPV-UHFFFAOYSA-N 411.870 4.997 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCSc2ccc(F)cc21 471233009 AITNQKPJNFWVIU-UHFFFAOYSA-N 400.353 4.895 5 20 HJBD CCc1[nH]nc(C(=O)Nc2cccnc2Oc2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 473428977 VZZXLZYPEZQKSU-UHFFFAOYSA-N 422.228 4.627 5 20 HJBD CSc1ccccc1CN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 473467486 GADPXBTVQKHJLW-UHFFFAOYSA-N 406.482 4.815 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3c(C)cccc3[N+](=O)[O-])s2)cc1 485556256 VHKDOFLOYLXEDL-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CNC(=O)c1ccc(N[C@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c([N+](=O)[O-])c1 487993395 NXKNWPGLEVFLIC-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD C[C@@H]1CSCCN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C1 488161187 LABPBWOOPGNIGE-LIRRHRJNSA-N 419.934 4.613 5 20 HJBD CC(C)SCCN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 488252546 IRZIUXXSUVRNBX-SFHVURJKSA-N 407.923 4.659 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])c1C 488790838 XRBHNVUGNFLXLZ-UHFFFAOYSA-N 416.474 4.878 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489169574 HZOBLCGWGRPPAK-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCC[C@H](c2ccccc2)C1 489650137 ODTSAEKKRCAQAN-LEWJYISDSA-N 410.517 4.997 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(NC(=O)c3ccccc3)ccc2C)cc1[N+](=O)[O-] 495365950 QTVHDQRGPVTOMW-UHFFFAOYSA-N 418.453 4.840 5 20 HJBD Cc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cccc1C(=O)Nc1ccccc1 498604973 GSYITMOMTVVBDM-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)CCCCc3ccccc3)cc2)c(C)c1[N+](=O)[O-] 502396522 YSSZQFNRZPWRMU-UHFFFAOYSA-N 420.513 4.551 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnc(N3CCCC[C@@H]3C)c(C)c2)c1 503170530 PYYPYISHIJOHLM-AWEZNQCLSA-N 400.504 4.651 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 505627265 BVOGZWNNNBSMNJ-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD COc1ccc(F)cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 509261006 ZLTSVUGXRBMQQW-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD CC(C)N(C)C(=O)C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 509577627 BWJLTMFNTVVVNZ-UHFFFAOYSA-N 419.909 4.587 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC2c3ccccc3Oc3ccccc32)cc1OC 522316947 SVIDBNCEQIGLRA-UHFFFAOYSA-N 420.421 4.627 5 20 HJBD CCc1csc([C@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)n1 532938308 JDLYIGMESCABNE-INIZCTEOSA-N 412.515 4.691 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)c(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 537076172 SUNPWVVKSZXZFE-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD CO[C@@H](c1ccccc1F)[C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 538487062 LVFVBMDBQLDNEH-ORAYPTAESA-N 401.463 4.723 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccn(-c2ccc(Cl)cc2Cl)n1 538983990 JQQDCQYLSHWWBF-UHFFFAOYSA-N 420.256 4.751 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2O1 539469077 HOJNFJZDNVHMJI-QFIPXVFZSA-N 405.410 4.807 5 20 HJBD O=C(Nc1ccccc1Nc1ccc(F)cc1F)c1cc2c(cc1[N+](=O)[O-])OCO2 540924336 MVTLJBFPJBTYOW-UHFFFAOYSA-N 413.336 4.598 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 544185289 ZKGOYSPXKITASC-UHFFFAOYSA-N 419.441 4.657 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(COc3cc(Cl)c(Cl)cc3Cl)n2)nc1 545787332 YKDGNZXLCWRFIY-UHFFFAOYSA-N 415.620 4.503 5 20 HJBD CC(C)(C(=O)NCCc1nc(C2CCCCC2)cs1)c1ccc([N+](=O)[O-])cc1F 545853611 IAEMLFJGXLEBCJ-UHFFFAOYSA-N 419.522 4.875 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 547173885 KJYQPHCWIQPKQR-LLVKDONJSA-N 421.812 4.614 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)o1 548033175 WAPDJMIQJFTGCS-ABAIWWIYSA-N 408.404 4.606 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(n2c(C)nc3ccccc32)CC1 555013258 NUNMUZGPRSXBJZ-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@H](C)c3cc([N+](=O)[O-])ccc3C)c2)n1 577799997 FLFHISRPLJBCNA-CQSZACIVSA-N 411.483 4.664 5 20 HJBD O=C(Nc1cnccn1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 589249447 YQIWFISBJQZKAZ-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1c(F)cccc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590268484 IHOMJZVOYKQUFN-HZMBPMFUSA-N 402.731 4.692 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(OC(F)F)c1 603943923 OVUSPHCNZMXLBW-ZDUSSCGKSA-N 405.401 4.605 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2N2CCc3ccccc32)cc1[N+](=O)[O-] 604084876 HCXDCIALHKTBIU-UHFFFAOYSA-N 418.453 4.619 5 20 HJBD CCN(CCC(F)(F)F)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 604524003 HXTRZSLMSXVKGJ-UHFFFAOYSA-N 419.450 4.925 5 20 HJBD CC(C)(C)N1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 609773249 SCMDLOVKQSDUFF-UHFFFAOYSA-N 412.490 4.725 5 20 HJBD O=C(Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1)c1cc(Cl)cc([N+](=O)[O-])c1 609873977 DOLWLUQQBDLAIU-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](C)Cc2ccc(Cl)cc2)cc1[N+](=O)[O-] 609913130 XOLVKVQDKNMHND-AWEZNQCLSA-N 422.934 4.580 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)c2)n[nH]1 611204779 SPMUXSJYBXXWKA-UHFFFAOYSA-N 423.498 4.975 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 617747736 ROTYFYAZUAJTOB-ACJLOTCBSA-N 403.276 4.825 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2C)c([N+](=O)[O-])cc1OCC 619212704 ALJOQPRSDRYTSC-ZDUSSCGKSA-N 422.865 4.760 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2csc(-c3ccccc3F)n2)c1 728326505 YRERXIODBQNFEL-UHFFFAOYSA-N 416.430 4.678 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)c(N)c([N+](=O)[O-])c1 729539862 TWDDSVKSYSOTBX-NSHDSACASA-N 414.462 4.547 5 20 HJBD CC(C)[C@@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745548922 OJSZAODSENKTIC-SCLBCKFNSA-N 404.850 4.558 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 747318566 LQVIIBYUGZZFNY-DGCLKSJQSA-N 405.838 4.729 5 20 HJBD O=C(NCc1ccc(NC(=O)C(F)(F)F)cc1)c1cc2ccccc2c2cccnc12 748683731 KOLULHWIRVUUOW-UHFFFAOYSA-N 423.394 4.819 5 20 HJBD CC(C)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)c(Cl)c1 749800593 OGAMEHJNEFFCNW-UHFFFAOYSA-N 407.257 4.693 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CCS[C@@H]2CCCC[C@H]21 750892325 FANRVMMWXZPMRF-DGCLKSJQSA-N 419.728 4.511 5 20 HJBD CCOc1cccc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)n2)c1 754513995 JFZFXPYVUBSICN-UHFFFAOYSA-N 422.397 4.524 5 20 HJBD C[C@H](N[C@H](C)c1nc2ccccc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754711322 MAEXDUCIDWXWGY-WDEREUQCSA-N 404.879 4.536 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1cc(F)cc([N+](=O)[O-])c1Br 754976807 LNLCQCUVLXEORK-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)Nc3ccc([N+](=O)[O-])cc3F)cccc21 758361559 LCSVTORXDLYXKU-UHFFFAOYSA-N 416.409 4.676 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1csc(-c2ccccc2)n1 760480807 FXTWETDLRQWUSL-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD O=C(OCc1nnc(-c2ccccc2)s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 766701894 OGLSHXVWMKLZKT-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCc2c(Br)cccc2C1 770009699 MDYRETZFUNBUNF-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(c2ccc(Cl)cc2)CC1 772277353 ROHMRCZDNLZTGL-UHFFFAOYSA-N 401.850 4.589 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 773189370 UCPIQFUFFIZVLH-HNNXBMFYSA-N 412.877 4.638 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCc1ncc(-c3ccccc3Cl)o1)CC2 773991535 WSUJWAOXONZSFP-UHFFFAOYSA-N 411.845 4.734 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(-c3cccnc3)nc3ccccc13)CC2 774217071 FNDMXIQTBWNNIU-UHFFFAOYSA-N 410.433 4.716 5 20 HJBD CS[C@@H](C)CCC(=O)O[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 779108886 PSKVEHKDPAFCFZ-YWZLYKJASA-N 416.499 4.658 5 20 HJBD Cc1ccncc1[C@H](C)N[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 783000479 VZCSYYHLOORHDB-YCRPNKLZSA-N 424.888 4.982 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784221728 GDBOXZYFIXEDQW-AWEZNQCLSA-N 421.375 4.564 5 20 HJBD CCOC(=O)/C(=C\C1=Cc2cc(Cl)cc(Cl)c2OC1)c1ccc([N+](=O)[O-])cn1 794950406 UZNLCLBRXQQNCH-UUASQNMZSA-N 421.236 4.719 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809972627 AMAMGPHQKGZODD-RYUDHWBXSA-N 418.321 4.637 5 20 HJBD CC(C)(CCc1noc(-c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1)[N+](=O)[O-] 812815959 GODQIBJWJVQERH-UHFFFAOYSA-N 414.443 4.784 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812831469 UPOAZMAVAMSUSD-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD Cc1ccc(CNc2ccc(NC(=O)[C@@H]3CCCO3)cc2C(F)(F)F)cc1[N+](=O)[O-] 863997025 PGSQDYDCKBJTQJ-SFHVURJKSA-N 423.391 4.652 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])ccc1Br 864024198 VJMBYRQTAVHYMR-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914480113 LXGXVSCDIOTSTG-LBPRGKRZSA-N 403.822 4.831 5 20 HJBD C[C@H](OC(=O)c1cnc(-c2ccccc2)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 915550664 XHXKQXTVFCLECT-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 916072348 UWMLKBNWNNREHS-UVTDQMKNSA-N 401.422 4.807 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(CCc1ccccc1)Cc1cccnc1 918541241 CQOOTFLWSURKIE-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc(-c2ccc(Br)cc2Cl)o1 1322548045 NMPCIRJVSFZNIY-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CC(=O)CCc1ccc(O[C@@H](C)c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1323915307 RFTLQTGJOXRKGO-INIZCTEOSA-N 409.442 4.923 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 7035704 YLEJUNYAQUUSNZ-NRFANRHFSA-N 404.422 4.748 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3nnc(C(C)C)s3)cc2[N+](=O)[O-])n1 25323637 OUHRFFXKKDOKQW-UHFFFAOYSA-N 421.529 4.738 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)N1c2ccccc2Sc2ccccc21 26114140 YVFRRDZZHXBHRG-UHFFFAOYSA-N 420.446 4.890 5 20 HJBD CON(C)C(=O)c1c2c(nc3ccccc13)/C(=C/c1cccc([N+](=O)[O-])c1)CCC2 27070041 BMMHAWBTARHZDB-DTQAZKPQSA-N 403.438 4.653 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1ncn(-c2cccc(Cl)c2)c1=S 27533334 HVACRKAJSBBGCO-UHFFFAOYSA-N 424.313 4.708 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 47599794 RTHZIYWRDXETPX-INIZCTEOSA-N 412.490 4.740 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3cccnc23)cc1[N+](=O)[O-])c1ccccn1 52959570 MQYAHVIZXYEMIQ-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2[nH]c(-c3cc(F)ccc3F)nc2c1 59332454 YAAVGEQEMVDPLK-UHFFFAOYSA-N 408.364 4.598 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(CCN(C)C)Cc1cccc(C(F)(F)F)c1 62368814 SBBWTISBSPZYIU-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD CCCCCc1nnc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 64869715 NIXGMWYXNAREBZ-UHFFFAOYSA-N 403.489 4.555 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(Cl)ccc2N2CCCCC2)cc1[N+](=O)[O-] 104798581 WKCIYLNAOBZPKE-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3ccc([N+](=O)[O-])c4cccnc34)cc2F)CC1 301967954 XIOJYWRSOVJHGG-UHFFFAOYSA-N 409.465 4.556 5 20 HJBD Cc1nc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])sc1Cc1ccccc1F 303516834 UOLMZGGPWZEDDO-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)[C@H](C)c1ccc(Br)cc1 427174158 HBGCVLNMWLSXOP-CHWSQXEVSA-N 412.305 4.903 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C[C@H](c2ccc(Br)cc2)C1 428478390 GNHOWBBGWNLWCR-CTYIDZIISA-N 423.694 4.616 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1cc(Br)cc([N+](=O)[O-])c1 431489664 IFCYKMKXDIHXFY-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccnc4c([N+](=O)[O-])cccc34)c2)n1 432136222 PGWJVQHKULLMPU-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1ccc(O)c([N+](=O)[O-])c1 436627073 MHNGSTDFHBZUAO-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD CCN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)[C@@H](Cc1ccc(F)cc1)C(C)C 437120089 WQBTWQKKCNTSGH-NRFANRHFSA-N 424.476 4.649 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120820 VCWMBZGSOKKDJN-ZWKOTPCHSA-N 415.287 4.652 5 20 HJBD Cc1c(CC(=O)Nc2ccc(NC(=O)c3ccc(Cl)cc3)cc2)cccc1[N+](=O)[O-] 439118873 PJCXDVWKHUHYBF-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD COc1cc(CN[C@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC(F)F 442892421 NOEHHUHQDOCKGO-GFCCVEGCSA-N 406.385 4.844 5 20 HJBD C[C@@H](SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ncc(C(C)(C)C)o1 443201630 YPWAFFFMEAGLJN-GFCCVEGCSA-N 405.476 4.673 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 444261821 STEMONSXNCTIJC-INIZCTEOSA-N 419.268 4.707 5 20 HJBD CCOc1cc(NC(=O)c2ncsc2-c2ccccc2)c([N+](=O)[O-])cc1OCC 445566571 YSYXTMILSUEDQU-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)c([N+](=O)[O-])cc1OC 460548978 IDQIQCSDHAHHQO-PGICJIBASA-N 418.877 4.783 5 20 HJBD CCCN(CCc1cccs1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 464190792 ONFUEDOUOHATOW-UHFFFAOYSA-N 404.535 4.698 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2ccc(Cl)cc12 466168600 HMKZEYSCZPDQER-UHFFFAOYSA-N 414.893 4.892 5 20 HJBD CC(C)(CCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467933363 KVVWEUNYPNZSRM-UHFFFAOYSA-N 408.907 4.538 5 20 HJBD Cc1ccc(N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])cc1NC(=O)CCN(C)C 468036655 FCQLMLVSBDCFHL-WIYYLYMNSA-N 424.545 4.617 5 20 HJBD C[C@H](O)C[C@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 468557463 YNPYUQGDSFTKBP-WMLDXEAASA-N 418.877 4.806 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 470956323 CEPSDRDVHSBAFU-OAQYLSRUSA-N 419.485 4.541 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 471159018 GMTDJVLCZQCTKE-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc(Br)cc1[N+](=O)[O-] 478233542 ULARXJIRLZWTOX-SWLSCSKDSA-N 407.239 4.563 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)(F)F)cc1 484893938 XEPFRGXBWKAVGT-NWDGAFQWSA-N 422.363 4.955 5 20 HJBD COC1CCN(C2(CNc3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CCCC2)CC1 485175993 UXBOKTBGQWLIQH-UHFFFAOYSA-N 405.539 4.608 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1C 488541247 PMRIHYGXZXLCHW-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD CCS[C@H](C)c1noc(CSc2ccc(Br)cc2[N+](=O)[O-])n1 489625921 QMPYBVDRURVOJR-MRVPVSSYSA-N 404.311 4.847 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCC[C@H](c2ccccc2)C1 489650140 ODTSAEKKRCAQAN-SFTDATJTSA-N 410.517 4.997 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1C 489985202 ZDPMCHWLXTVUQR-UHFFFAOYSA-N 414.405 4.913 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 491132411 KCARXPAXLHUKHU-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 499237679 NTORZWSAGNEDRV-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD Cc1ccc(-c2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)n[nH]2)s1 500859060 LLKKIBCRLAHFQM-UHFFFAOYSA-N 411.468 4.731 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3c3ccncc3)c([N+](=O)[O-])c2)cc1 502383236 QGLFTGITNJDDNL-HXUWFJFHSA-N 402.454 4.892 5 20 HJBD CN1CCC(Nc2ccccc2NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)CC1 502625598 LTIPGUPRLFVTIA-UHFFFAOYSA-N 410.518 4.651 5 20 HJBD CCN(CC)C(=O)c1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 510051095 VLYSEJVCYFVVCM-UHFFFAOYSA-N 412.490 4.519 5 20 HJBD CC[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)s1 510758268 JDEYHFFHRZTOCS-AWEZNQCLSA-N 407.923 4.794 5 20 HJBD CCOC(=O)C1CCC(N(CC)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 511946272 HDKNDKCJVBHMPY-UHFFFAOYSA-N 422.547 4.679 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc(-n4ccnc4)cc3)cc2[N+](=O)[O-])C1 514091067 UBRISMUAZSKYRP-IRXDYDNUSA-N 419.485 4.515 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cc(F)cc([N+](=O)[O-])c3)c2)c1 517558790 NFJLUYGOHAKGFF-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(COc3ccccc3)no2)ccc1NCc1ccccc1 521019225 HESKKOOOFMMLAB-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD CC(=O)c1cccc(OCCCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 522873116 IZXCJXGOKCZHPE-UHFFFAOYSA-N 410.470 4.932 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 523507319 QDIISXGZYGFOPV-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(-c2ccccc2OC)cc1 525079320 KPSFMXBNWDFYCY-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)(C)C)cc1 537095719 MGHWQHNIZWZTQT-UHFFFAOYSA-N 415.515 4.876 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537971584 XJWZIJZPJOHOPL-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CCC[C@@H](NCc1cccc(C(=O)NCc2ccco2)c1)c1cccc([N+](=O)[O-])c1 537990927 MBXZNAQHJHUMHL-JOCHJYFZSA-N 407.470 4.749 5 20 HJBD CSc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1C 539347555 UVERDNFDTFUVAD-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(CC(=O)NC(C)C)cc1 539601252 VIPUUJROPTWYLE-QGZVFWFLSA-N 401.532 4.645 5 20 HJBD CCc1cccnc1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540455683 HACUCWSUJLOXNR-UHFFFAOYSA-N 410.499 4.979 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2ccccc2F)s1)c1ccc([N+](=O)[O-])cc1F 541614515 RXQWNWJNCBJMGV-LLVKDONJSA-N 403.410 4.663 5 20 HJBD COc1ccc(-c2csc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 543442703 BZDUPMAPASTMEV-JTQLQIEISA-N 419.409 4.747 5 20 HJBD CCC(=O)N1CCC[C@@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)C1 545051211 VNKQQBVTJLZCSL-MRXNPFEDSA-N 422.441 4.553 5 20 HJBD CCc1cc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])on1 545931995 HPNJDRVZFGPANT-UHFFFAOYSA-N 417.874 4.880 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)s1 546192555 LMERKJSOOGHANO-AWEZNQCLSA-N 407.455 4.722 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(COc4ccc(C(F)(F)F)cc4)n3)c2c1 546488698 OUBBXMCSCDCCNH-UHFFFAOYSA-N 418.331 4.648 5 20 HJBD C[C@H](Oc1ccccc1Cc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 546489394 YQWNNFKKSFHPHF-INIZCTEOSA-N 416.437 4.694 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)Cc2ccc(C(F)(F)F)cc2)c1 549007468 RTXNVFGSMIIVPT-UHFFFAOYSA-N 410.392 4.763 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cccnc2)ccc1OCc1ccc([N+](=O)[O-])cc1 554875557 HRQRTEJCCUUAER-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 563717216 SAMFMGZNVAUXIQ-PIGZYNQJSA-N 423.416 4.977 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(OCc3cccnc3)c2)no1 570626751 ZJZYKETXYGWJOT-OAHLLOKOSA-N 402.410 4.771 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 572643762 MKBPMIVLXQBOLI-UHFFFAOYSA-N 422.718 4.746 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C3(c4cccnc4)CCCC3)n2)cc1[N+](=O)[O-] 573239176 ALHJTXXFUOJTAB-UHFFFAOYSA-N 408.483 4.872 5 20 HJBD CCC(=O)N1CCCC[C@H]1c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 578632523 AVIIUOSNRCXZNM-IBGZPJMESA-N 422.441 4.901 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC2(CCOCC2)[C@H]1C1CC1 580113099 WEVQPUINYDNUDT-OAQYLSRUSA-N 424.522 4.777 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC(c3noc4cc(F)ccc34)CC2)c1 580885427 JQLYYVYGQJJGSS-UHFFFAOYSA-N 421.375 4.856 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC4(CCC4)CC3)cc2[N+](=O)[O-])n1 588022947 CAICXDORHHVLOD-UHFFFAOYSA-N 403.529 4.917 5 20 HJBD C[C@H](N[C@@H]1CC[C@@](O)(C(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 589949726 KZSDCHVLMHALCX-JKDFXYPNSA-N 400.422 4.820 5 20 HJBD CSc1ccccc1NC(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603646417 MOUGLIRXRYHKQR-UHFFFAOYSA-N 414.409 4.569 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)Nc2ccccc2)c1 603654383 KKMSAEWZWHCOGH-UHFFFAOYSA-N 419.441 4.903 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2ccc(Br)cc2)nc1 603780074 OFWSUPSHAVWGLW-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)(C)c1ccc(CCNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 603882403 KVWJTXOCMIRNSI-UHFFFAOYSA-N 424.419 4.806 5 20 HJBD O=c1c2ccccc2ncn1CCSc1nc2ccccc2c2nc3ccccc3n12 604930484 MJSTUMHFRLKRDG-UHFFFAOYSA-N 423.501 4.538 5 20 HJBD COCc1ccccc1CNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 608972356 BMFKULQOBWAGDT-UHFFFAOYSA-N 405.454 4.914 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cnc(C4CC4)nc3)cc2[N+](=O)[O-])cc1 609127365 ABRIRRWHWNKGAO-UHFFFAOYSA-N 406.467 4.974 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609516331 YIFKWHJHBCGJQZ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 609704835 QXHCQFLQSVCNQL-CQSZACIVSA-N 416.934 4.737 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc(Cl)cc([N+](=O)[O-])c1 609855575 GMNUVAVJKPWRLV-RKDXNWHRSA-N 418.721 4.888 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 609865692 AQBTVWHJQHOMAV-LXIYXOSZSA-N 408.907 4.621 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cc(F)ccc1SCC(F)F 609978960 WSBMQUREPFGLTJ-UHFFFAOYSA-N 409.389 4.685 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)Cc2cccc([N+](=O)[O-])c2)cc1 610228657 NBCPOKKELSTZTC-XMMPIXPASA-N 403.482 4.733 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccc([N+](=O)[O-])s2)c2ccc(F)c(F)c2)c1 610283037 IVBKHTKCWYQTLK-SFHVURJKSA-N 424.450 4.540 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@@H]2[C@H]1[C@H]2C(F)(F)F 611211273 HZURIFOZNCWJFD-JQFCIGGWSA-N 408.401 4.769 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)c1)c1ccc(F)cc1 611701821 QWJHWRPCVAKCCA-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(c2nc(-c3ccccc3)no2)CC1 613294777 LMBQEDSNJHZLFR-UHFFFAOYSA-N 421.457 4.673 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1ccc2c(c1)OC1(CCCC1)O2 618964401 CHWBEPBEMWFJSW-UHFFFAOYSA-N 404.419 4.506 5 20 HJBD CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 726036074 POHBAQWTRPDLGD-QZTJIDSGSA-N 404.510 4.716 5 20 HJBD Cc1csc(-c2cccc(OC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)n1 728474740 ZMHCSRWIXXQFDN-UHFFFAOYSA-N 420.450 4.646 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cc2c1C 735163122 RWYYGXNTPXJHOS-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD CCc1nc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])nn1-c1ccccc1 735595382 AWFRRFMZVYQMPQ-UHFFFAOYSA-N 414.421 4.624 5 20 HJBD COc1ccccc1-c1nc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cs1 735821446 GXTNLECYRBEIPX-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)s1 741577236 JTXLPDZYBCHMBQ-JTQLQIEISA-N 412.309 4.518 5 20 HJBD COc1cc(CN[C@H]2CC[C@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 742383800 UNQOXKUXKYNMOC-HKUYNNGSSA-N 402.516 4.556 5 20 HJBD CCCOc1cc(NC(=O)N[C@H]2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCCC 743082641 UJHFPIXRDPIZRY-UONOGXRCSA-N 411.524 4.578 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)ccc1[N+](=O)[O-] 745421067 INQGJODWRXEHSQ-IBGZPJMESA-N 403.413 4.777 5 20 HJBD Cc1c(C(=O)OCc2c(Cl)ccc3cccnc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748943291 GCQVKCNCDKTAPO-UHFFFAOYSA-N 415.789 4.678 5 20 HJBD CC(C)c1nc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])nn1-c1ccccc1Cl 749058517 YWMOTYSWCTWWNF-CYBMUJFWSA-N 414.849 4.870 5 20 HJBD O=Cc1cc(-c2ccccc2)ccc1OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 749456573 JWRHHURILYCRNO-UHFFFAOYSA-N 401.378 4.703 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@H](c1cccc(Br)c1)C(F)(F)F 750227861 SYZRIIRNIIFJCL-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD C[C@@H](CC(C)(C)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 751109779 DBMHMGHRKJMKHB-ZDUSSCGKSA-N 405.292 4.844 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@@H](C)OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 751643814 LACJSPFHPXTXCP-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD CC(C)[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(F)cc1 754976725 LNHNNKRGTWNCAH-INIZCTEOSA-N 413.218 4.763 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2cc(Cl)ccc2OC[C@H]2CCCO2)c1 756900674 WVBKZMBYFKSWFE-QGZVFWFLSA-N 411.285 4.749 5 20 HJBD Cc1cc(F)cc(C(=O)NCCc2csc(-c3ccc(Cl)cc3)n2)c1[N+](=O)[O-] 759997435 GTMJUKMIVBCHMC-UHFFFAOYSA-N 419.865 4.792 5 20 HJBD O=C(CSCc1cccc(Cl)c1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760457591 YQBCODNLJZSHPD-UHFFFAOYSA-N 418.858 4.880 5 20 HJBD CC(C)(C)C(=O)Nc1ccccc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760523011 XEEJGBFEDKPZKM-UHFFFAOYSA-N 423.425 4.591 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 765472123 NAENBBXHNVPCBV-OCAPTIKFSA-N 421.135 4.924 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2ccc(C)cc2)o1 765527004 IKOMJAJQBCWMDA-RDJZCZTQSA-N 409.442 4.826 5 20 HJBD Cc1c(C(=O)N(C)c2nc3cccc(Cl)c3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 767315038 SBJPKGQNGMSOTO-UHFFFAOYSA-N 420.834 4.660 5 20 HJBD O=C(OCc1nc(-c2c(F)cccc2F)cs1)c1cc(F)c([N+](=O)[O-])cc1F 770013723 PKOHRAUXJNILDU-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD O=C(C[C@H]1CCCN1Cc1ccc(Br)c([N+](=O)[O-])c1)c1cccs1 770279792 ATUDYGCDNGIOJY-CYBMUJFWSA-N 409.305 4.656 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccn(-c3ccc(Cl)cc3Cl)n2)c1[N+](=O)[O-] 772557498 ARCROJNGCJSONY-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD COc1cc(C)c(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1F 773960515 MPZPTJBPFCFICJ-SNVBAGLBSA-N 415.343 4.509 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 774768129 WWJGLVRFVHOWPQ-ROUUACIJSA-N 422.859 4.627 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 775525497 FAIQSWQRVNOVIG-CQSZACIVSA-N 410.861 4.600 5 20 HJBD C[C@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775935241 XSOSFPYCZLRVMB-HZMBPMFUSA-N 423.252 4.502 5 20 HJBD CC(=O)OCCCS[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 788508073 AVWPTEGZQVJDRX-SFHVURJKSA-N 422.890 4.614 5 20 HJBD C[C@@H](Nc1cccc(COCC(F)(F)C(F)F)c1)c1ccc(N)c([N+](=O)[O-])c1 788701308 DHWTURFWQISTRM-LLVKDONJSA-N 401.360 4.767 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1F 791028850 LNFWNQMSGVKAKU-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD COc1c(C(=O)O[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 796312058 MPVQJLNWRFEBHM-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1SCCc2ccccc21 811218063 MGNLKDYTXRYTGA-GOSISDBHSA-N 412.492 4.792 5 20 HJBD Cc1oc(-c2ccc(Cl)c(Cl)c2)nc1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812815815 ACLFLTHHFKAIBT-UHFFFAOYSA-N 411.245 4.995 5 20 HJBD Cc1cc(C(=O)OCC(=O)N2c3ccccc3CCc3ccccc32)ccc1[N+](=O)[O-] 864109134 NAXDVDQOQLZADK-UHFFFAOYSA-N 416.433 4.524 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3ccc(F)cc3)n2C[C@H]2CCCO2)o1 913023943 OGPAXTVMQPOMRR-PXUDHTSWSA-N 416.434 4.527 5 20 HJBD O=c1nc(/C(Cl)=C\c2csc([N+](=O)[O-])c2)[nH]c2cc(C(F)(F)F)ccc12 917142547 HSTMHITXLGKMCK-XCVCLJGOSA-N 401.753 4.649 5 20 HJBD C[C@H](NC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc2ccccc2c1 917263938 VPRMOSITNYEUOX-AWEZNQCLSA-N 418.405 4.528 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ccc1F 1317866004 JAANEOXOUFIWRH-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD C[S@](=O)C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccccc1 1325078652 ZZJNMJHPJLGXPY-JMQGSBJISA-N 418.902 4.718 5 20 HJBD COc1ccc(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)cc1[N+](=O)[O-] 10972649 YPMNEBCDQSMSCZ-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD C[C@H](Sc1nnc2sc3ccccc3n12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17661228 RFENLUWBZLQYLW-JTQLQIEISA-N 424.467 4.756 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccc1OC(F)F 25300386 NSCLOMRJEOPXEM-HNNXBMFYSA-N 422.431 4.634 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c(F)c1 26580914 ZEXBCVTVQNZOMZ-CQSZACIVSA-N 421.497 4.654 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Br)cc1 42295654 UKKMDQSQUULCIE-JTQLQIEISA-N 414.097 4.971 5 20 HJBD C[C@H](Cc1ccccc1F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 108818590 RCTSWCYQFGUFIV-HZPDHXFCSA-N 422.460 4.663 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc12 195697007 QQVUBWAPKOPNRK-AWEZNQCLSA-N 420.494 4.854 5 20 HJBD CNC(=O)c1ccc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cc1 237223646 LCVWRIZPVGMGIE-UHFFFAOYSA-N 421.522 4.704 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCOC[C@@H]1c1nc2ccccc2s1 409661200 VODBJMBKWMYYFY-OAHLLOKOSA-N 420.288 4.545 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435816227 IPYOXEDHYOGTNE-UHFFFAOYSA-N 408.910 4.857 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC[C@@H]2CCCO2)c1 437233843 PURTYHRQIDLNTQ-INIZCTEOSA-N 424.375 4.732 5 20 HJBD O=C(Nc1ccccc1-c1cn[nH]c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 439755899 LBFHFQMMLHPQBS-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccccc2-c2nncn2C(C)C)cc1[N+](=O)[O-] 440279488 SVLVGXUBBQQALC-HNNXBMFYSA-N 408.462 4.625 5 20 HJBD COCc1nc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c(-c2ccccc2)s1 440639646 SWEZTPWFYNDYCT-UHFFFAOYSA-N 424.404 4.891 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1cn2c(n1)CCCC2 441042324 QJIKSQFIGWEEHA-UHFFFAOYSA-N 400.485 4.593 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccncc2OCC(F)F)s1 441382005 IQZCJALGQLGJMW-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 443954136 AMVCVMVFRYQLRB-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CCS[C@@H]1CCC[C@H](NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444100902 HYOBUBIPSBOCEK-UONOGXRCSA-N 416.493 4.754 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](Oc2ccccc2Cl)C1 444248471 UKFONSMUAPUMHF-MRXNPFEDSA-N 418.880 4.628 5 20 HJBD C[C@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccc(NC(=O)c2ccccc2)c1 444682226 ZFKHXEUMONMEQS-HNNXBMFYSA-N 415.453 4.574 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 460646152 CDTBVKQRQYYVLT-PBHICJAKSA-N 422.403 4.802 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1ccn(C)n1 463958381 ONRJLUAUIBGIHS-IBGZPJMESA-N 413.865 4.510 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 470374342 OMXUAZGQQMSTFA-NWDGAFQWSA-N 410.829 4.543 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(c1ccccc1)C1Cc2ccccc2C1 470771827 HNEAAHLDJNSKEL-UHFFFAOYSA-N 424.460 4.595 5 20 HJBD COC[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccco1 471511504 JLXWOHOHIYCBAP-INIZCTEOSA-N 416.817 4.751 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(c2ccccc2C(F)(F)F)CC1 474885788 WLIADBSXYZOPRB-UHFFFAOYSA-N 406.404 4.952 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])cc21 477505238 YFDSBRIZIYOSLT-UHFFFAOYSA-N 401.854 4.543 5 20 HJBD CCCC[C@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(OC)cc1 483782401 FLNWNGGMEZUQGJ-KRWDZBQOSA-N 418.515 4.995 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1coc(-c2ccc(F)c(F)c2)n1 485333171 UAHHUCQJDWFTGO-GFCCVEGCSA-N 422.409 4.512 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 485542939 KVLNWOKPRJTAQP-CYBMUJFWSA-N 409.305 4.575 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(OCc3ccccc3)cc2)c1[N+](=O)[O-] 485745973 BRKAKTADAVGWMU-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD CCCc1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cnn1-c1cccc(F)c1 487086834 ODIITVCDFLYYPV-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccccc2n1C 489197541 SAOOBOGLVHMPJF-AWEZNQCLSA-N 412.515 4.721 5 20 HJBD COCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)ccc2o1 490129970 VHSNIPRGFHOZLF-UHFFFAOYSA-N 415.471 4.883 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)N3CCCCC3)c(Cl)c2)ccc1[N+](=O)[O-] 492359517 XMEJVPXCWCQMLK-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD Cc1ccc(NC(=O)C[C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 494501450 CPAOIGLRDOEIPC-AWEZNQCLSA-N 409.408 4.737 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1ccc([N+](=O)[O-])cc1 494667379 JFCZWERYJBHKKM-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 502630528 APIGPAMXIHKZIM-HNNXBMFYSA-N 405.401 4.744 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2nc(C)cc2C)nc1 502773797 LTNGPROKHILDPZ-UHFFFAOYSA-N 411.487 4.547 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(COc2ccc([N+](=O)[O-])cc2)n1 508665091 LBIZGGXCCQBPRD-SFHVURJKSA-N 417.849 4.769 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 509502591 WMPLPKHDPWHBAZ-UHFFFAOYSA-N 405.389 4.766 5 20 HJBD Cc1ccc(-n2c(Sc3c([N+](=O)[O-])c(C)nn3C)nnc2-c2ccccc2)cc1C 513651702 DFDYLERAOXGUAR-UHFFFAOYSA-N 420.498 4.652 5 20 HJBD Cc1cc(NC(=O)c2ccnc(Oc3ccccc3)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 517652220 HKIIYPHRRXHHTO-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccccc1)c1ccccc1OC 518677492 QUSBZVFKICAESI-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)Oc2ccc(F)c(F)c2)nc1-c1cccc([N+](=O)[O-])c1 520971561 ZXCFGEOXCBBMDZ-SNVBAGLBSA-N 419.409 4.711 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)N1CCCc2ccc([N+](=O)[O-])cc21 522876181 YURCDFDNGBXDHE-UHFFFAOYSA-N 413.886 4.894 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](c2ccccc2)c2ccc(F)cc2)cccc1[N+](=O)[O-] 533377580 OPTGKDPLXRBXKE-QHCPKHFHSA-N 407.445 4.750 5 20 HJBD Cc1ccc(-c2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2C)cc1 536305506 RIQKETAXPOGKMA-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(Cc3ccccc3)oc2c1 537286772 LJRSJPOENPGVKM-UHFFFAOYSA-N 417.421 4.978 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(Cc3csc(COc4ccc(F)cc4)n3)c2)c1 540856747 HDRSYFNCBQPOMU-UHFFFAOYSA-N 410.430 4.681 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1F 542210603 GDZITWAGVGLVTH-UHFFFAOYSA-N 419.428 4.515 5 20 HJBD CC(C)[C@@H]1C[C@@H](CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 542866375 GMUBVNVQUGHAEG-KSSFIOAISA-N 403.504 4.522 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 543462347 NWAXZINKLHWAGH-JTQLQIEISA-N 411.227 4.637 5 20 HJBD COc1ccc(CSCC(=O)N2CCCC[C@H]2c2ccccc2)cc1[N+](=O)[O-] 545970159 MPBGSXTXHSIWHW-SFHVURJKSA-N 400.500 4.590 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(Cc1ccccn1)C(C)(C)C 551313530 CXQRUPAGRXEMEX-UHFFFAOYSA-N 406.280 4.502 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(c2ccsc2)C2CCCCC2)c2ncccc12 555945999 SUGDPYAOOZLVQL-UHFFFAOYSA-N 417.512 4.733 5 20 HJBD CC[C@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 557468611 NNPBSYYBEXOFCR-SFHVURJKSA-N 421.453 4.555 5 20 HJBD C[C@H](C(=O)NC1(c2cccc(C(F)(F)F)c2)CCOCC1)c1cccc([N+](=O)[O-])c1 558966381 ADQOSQYTQWWVEK-AWEZNQCLSA-N 422.403 4.539 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1ccc(Br)cc1[N+](=O)[O-] 561352804 FRVZJVSKQXOOIT-UHFFFAOYSA-N 419.609 4.533 5 20 HJBD C[C@H](C(=O)NCc1ccccc1-c1ccc(CN(C)C)cc1)c1cccc([N+](=O)[O-])c1 562388818 MTHDUJOSWNQWNB-SFHVURJKSA-N 417.509 4.743 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3c(c2)[C@H](SC)C(=O)N3)no1 570884338 ANFSICXVBJEBGJ-ACJLOTCBSA-N 424.482 4.737 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 571311472 MDUYGEDMSORPJS-MRXNPFEDSA-N 409.486 4.708 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H](c2ccccc2)CC1 589575237 MBGSKOFYUCZNQW-NRFANRHFSA-N 410.517 4.951 5 20 HJBD C[C@@H](Sc1nccn1Cc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 593892896 KXNDRTBAGLTDPD-CYBMUJFWSA-N 416.890 4.612 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)CCNc3ccccc3[N+](=O)[O-])cn2)c1 603667816 QJEQUMMIPGBCHY-UHFFFAOYSA-N 420.469 4.517 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 603674461 DCEWIZDCSSVBIC-UHFFFAOYSA-N 420.444 4.719 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1cc2cc([N+](=O)[O-])ccc2o1 603737209 IDHAASVHORMTCS-UHFFFAOYSA-N 420.450 4.613 5 20 HJBD C[C@H]1CCN(C(=O)CNc2cc([N+](=O)[O-])ccc2OC(F)F)c2ccccc2S1 604006130 HEWNWVXDHDJOPZ-LBPRGKRZSA-N 423.441 4.526 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 609090557 FBEAERJHTMPLFM-GOSISDBHSA-N 410.861 4.931 5 20 HJBD O=C(CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NCC1(c2ccccc2)CC1 609765438 VKTDMZLVXKHQAF-UHFFFAOYSA-N 417.465 4.647 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1C 609795372 PXPCTQSXYCALJW-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cn1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2c(F)cccc21 611207137 FVVISWUDJSFTPV-UHFFFAOYSA-N 414.462 4.908 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCc2ccc(F)cc2)CC1 611255119 XNMZSFPJHZDNFC-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H]2[C@H]1[C@H]2C(F)(F)F 612610192 FGXJNWPBFUMKGH-JQFCIGGWSA-N 414.449 4.900 5 20 HJBD CC(C)[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 730646082 ZFSSYOAKTTWTNV-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD Cc1c(C(=O)N2CCCc3c(Cl)ccc(F)c32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 731465457 OAOOSAMMFRLGGM-UHFFFAOYSA-N 407.785 4.505 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCCc2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 732517883 GVBNXTSKRGVONS-HNNXBMFYSA-N 409.417 4.702 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 734806298 YXPUGQOJWKVDEA-QGZVFWFLSA-N 421.316 4.596 5 20 HJBD CSCCCCC(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 739481078 XMHLCQAAHJVIFZ-UHFFFAOYSA-N 413.451 4.807 5 20 HJBD Cc1ccc(-c2nc(CC(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)cs2)o1 755372094 USSJZXXGKDDOIT-UHFFFAOYSA-N 422.260 4.563 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)o2)cc1C 758949798 GFJXRABUGBFYDE-HNNXBMFYSA-N 409.442 4.844 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(Cc3ccc(Cl)cc3Cl)no2)C1 761323779 QFAWLWVEDQZARZ-MRXNPFEDSA-N 419.224 4.741 5 20 HJBD CSc1cccc(N(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C2CCN(C)CC2)c1 764242058 BDKFNZKMGGGWLX-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD CCOc1cc(NC(=O)c2cnc(Cl)c3ccccc23)c([N+](=O)[O-])cc1OCC 766372056 BFLTUYVUZLXIHB-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD Cc1cc(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)oc1C 768167884 PEUYENFUMOQLSU-UHFFFAOYSA-N 414.801 4.930 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@@H]4CCCO4)CC3)o2)c(Cl)c1 769189835 RZADNKJBBLALKR-SFHVURJKSA-N 420.893 4.668 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@@H]1C[C@H](O)c1cccs1 770289498 DNMNTPIRTYFGKN-WBMJQRKESA-N 411.321 4.507 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)Nc1cccc(OC(F)(F)F)c1)C1CC1 783746405 AQSFENMMIHEKKP-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD O=C(Nc1ccc(NC[C@@H]2CCCO2)c(F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809917737 POCWCHRQCKWECF-LBPRGKRZSA-N 408.817 4.622 5 20 HJBD Cc1ccc(-c2cc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)c3c(C)noc3n2)cc1 812813508 MBWGHUFOVUCSOQ-UHFFFAOYSA-N 407.430 4.545 5 20 HJBD CC(C)(CCc1noc(-c2cccnc2Nc2cccc(C(F)(F)F)c2)n1)[N+](=O)[O-] 812815066 WZWIMJOGNBHIIQ-UHFFFAOYSA-N 421.379 4.882 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(Cl)nc(Cl)c1 813305255 XFYDPFABEPGGMY-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(-c2ccc(F)cc2)no1 915370489 CWLDQVIQLUYQQT-LBPRGKRZSA-N 402.403 4.613 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])ccc1N1CCCC1 920764148 ZJQGTTQMCGOYQI-CQSZACIVSA-N 403.866 4.563 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(NCc3ccc(N4CCCCCC4)nc3)c2c1 1261156789 WBKQEDVOXVZFDD-UHFFFAOYSA-N 412.881 4.579 5 20 HJBD COc1ccccc1SCc1nnc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 1261571326 VRLINMDEOPAIEB-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 1330045671 HGZRHUIKYWXJSA-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD C/C=C/C=C\C(=O)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3F)CCC2)cc1 1791245756 CNUNVQLTGHGHMU-ZPYFUIHZSA-N 423.444 4.614 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)COc1ccc(Cl)cc1[N+](=O)[O-] 7024264 APSRKKNFTMEFJR-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c12 14266716 XGBLUMNELWDAMX-UHFFFAOYSA-N 410.336 4.637 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2CN2CCCC[C@@H]2C)c1 58952655 JMIVLHOUUMOWSD-INIZCTEOSA-N 413.543 4.621 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccccc2F)cs1 64737908 OHXYHTPENUXVDM-JTQLQIEISA-N 421.837 4.917 5 20 HJBD Cc1ccc(CCNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 65578251 IXJPMHZMZDMUJV-GOSISDBHSA-N 418.497 4.752 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@@H]1C[C@@H](O)c1ccco1 301629603 IHRMGRYNXBXZBQ-MEBBXXQBSA-N 404.772 4.953 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc(-c4ccccc4)no3)CC2)cc1OC(F)F 301952625 ITJXZEHUXCMZEV-UHFFFAOYSA-N 416.384 4.630 5 20 HJBD C[C@H](NC(=O)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1)c1cccc([N+](=O)[O-])c1 409821634 NCUGEPAXOKENGE-QKKBWIMNSA-N 412.392 4.871 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)c1 410142764 PXVWJCJXWQULEI-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)C2CCCCC2)c1 427461912 FYWKSIYVKOILCV-UHFFFAOYSA-N 410.474 4.554 5 20 HJBD CC1(C)Cc2ccc(Br)cc2[C@H]1NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 429284271 LMDALMJLEKQMPF-GOSISDBHSA-N 415.291 4.647 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1ccc(Br)cc1[N+](=O)[O-] 430042340 CAFQWUPMKNLOIX-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCSc2ccc(Cl)cc21 430427573 FEFDBEJHDCDOSK-UHFFFAOYSA-N 417.918 4.991 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 431658892 PRVXHQGPMDVEQF-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CNc1cc(C(F)(F)F)ncc1[N+](=O)[O-] 436397845 QPFOMLMKVVTHDB-UHFFFAOYSA-N 420.391 4.846 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1C 440753249 JKIMJXXEEHYRGO-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@]2(CC=CCC2)C1 444022989 SBAMCTQIOMDLQQ-XMMPIXPASA-N 408.448 4.922 5 20 HJBD Cc1oc2ccccc2c1CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444325435 NTIIUPAPNOMRGE-UHFFFAOYSA-N 418.399 4.944 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445673718 QSXYFBNQDMNHDF-UHFFFAOYSA-N 417.712 4.663 5 20 HJBD CSCc1cccnc1C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 463247891 YMSRYFMITAXTFE-UHFFFAOYSA-N 400.485 4.537 5 20 HJBD CSc1ccc(C(=O)NCc2cc(Cl)ccc2OC(F)F)cc1[N+](=O)[O-] 465296794 RDYOMHYVMSXQAD-UHFFFAOYSA-N 402.806 4.502 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cccc(Cl)c2n1C 466283739 TWDHXADWBNQVIB-UHFFFAOYSA-N 404.879 4.888 5 20 HJBD O=C1NCCN1c1cc(N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])ccc1Cl 467405488 GLXQCHBJOACOGY-KDOFPFPSSA-N 414.893 4.601 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC=Cc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 468160155 NWMIBFJOCWLCMT-UHFFFAOYSA-N 402.454 4.520 5 20 HJBD COc1cc(C(=O)N[C@H](CC2CCC2)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 479375492 MOMPQSBITJACEC-MRXNPFEDSA-N 420.412 4.866 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)NCc4cccc([N+](=O)[O-])c4C)CC3)c[nH]c2c1 480059403 MYGXZVJPCOUCEW-UHFFFAOYSA-N 404.470 4.692 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(-c2nccs2)c1 481677004 YCEBLXDPRVAHFS-UHFFFAOYSA-N 402.863 4.736 5 20 HJBD CN(C)C(=O)C[C@H]1CN(c2ccc3ncccc3c2[N+](=O)[O-])c2ccc(Cl)cc21 484564052 LTBARGRNRAVJKG-ZDUSSCGKSA-N 410.861 4.510 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(F)cc2N2CCCCC2)c1 484875202 BLKMHQWBAXXTSE-UHFFFAOYSA-N 407.442 4.838 5 20 HJBD Cc1cccc([C@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C(F)(F)F)c1 486138016 OVDZOGWWJUMOML-LBPRGKRZSA-N 423.210 4.761 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3coc(-c4ccc(F)c(F)c4)n3)c1)OCOC2 488612168 UPLAJMAPSFZZSV-UHFFFAOYSA-N 420.393 4.828 5 20 HJBD C[C@H](N[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 489935002 OBPMCKNVQLARNN-SJORKVTESA-N 420.469 4.850 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cnn([C@H](C)C3CC3)c2)cc1[N+](=O)[O-])c1ccccn1 490925983 KLBBTIVHDXFXCQ-HUUCEWRRSA-N 420.473 4.583 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccccc2)on1 498961105 GQANNDIOQBEWHB-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 499073080 GWUAQMJMNNYZCF-UHFFFAOYSA-N 423.429 4.808 5 20 HJBD CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)Cc1ccc([N+](=O)[O-])cc1F 502754476 BPQNQSYOZUUGAJ-UHFFFAOYSA-N 404.829 4.848 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](COc2ccccc2)C1 505636466 XRDLPTOXSMDENU-INIZCTEOSA-N 400.500 4.636 5 20 HJBD COCC[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1ccco1 505678963 BMKCQKOHHLVDGN-IBGZPJMESA-N 412.467 4.847 5 20 HJBD COc1cc(CN(C)[C@H](C)c2cccs2)c([N+](=O)[O-])cc1OCC(F)(F)F 507435085 VUISJTRCVIKZDO-LLVKDONJSA-N 404.410 4.799 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 508804837 APYIMQFDJNTLTO-UHFFFAOYSA-N 404.879 4.627 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCN(Cc2ccccc2)CC1(C)C 511801734 LGGULHJMSAVCBB-GMAHTHKFSA-N 423.557 4.580 5 20 HJBD COc1ccc(-c2ccc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c(F)c2)c(F)c1 517401517 OAPZXTFTDLYLIS-UHFFFAOYSA-N 410.376 4.752 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCc2ccc([C@@H]3C[C@H]3C)o2)cc1[N+](=O)[O-])c1ccccn1 518433636 NDZJAZLZQSUQFQ-RVKKMQEKSA-N 420.469 4.809 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)CCOc2ccccc2[N+](=O)[O-])CC1 518613627 SVERXMVREBZLBT-UHFFFAOYSA-N 407.470 4.560 5 20 HJBD Cc1c(C(=O)NC[C@H](C)Sc2ccc(Br)cc2)cccc1[N+](=O)[O-] 518818600 IZMRHDNNFVPKHS-NSHDSACASA-N 409.305 4.576 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 520101010 NZXLBCPNDWYLKJ-UHFFFAOYSA-N 421.478 4.853 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)NCCc1nc(C2CCCCC2)cs1 521913174 IMVZIBWKRRESBH-UHFFFAOYSA-N 416.547 4.650 5 20 HJBD C[C@H](NC(=O)NCc1ccc(-c2ccc3c(c2)CCO3)cc1)c1cccc([N+](=O)[O-])c1 521977646 JSDMIFOTOVSJHS-INIZCTEOSA-N 417.465 4.757 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(F)ccc1SCC(F)F 523145280 YCQHXXIWPHJKLC-UHFFFAOYSA-N 402.419 4.822 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CC=C(C(F)(F)F)CC1 534493338 BGROLUBGHPCKJI-UHFFFAOYSA-N 402.170 4.604 5 20 HJBD CC[C@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 536877577 PIYICIQNGWLHMF-PXAZEXFGSA-N 421.291 4.930 5 20 HJBD COc1ccc(CSCC(=O)N2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)cc1[N+](=O)[O-] 537697737 UQAUZBTVRRGSSY-KBPBESRZSA-N 418.490 4.510 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 538426596 OABCVDCCIRCRBE-JHZPHERASA-N 410.923 4.678 5 20 HJBD Cc1ccc(NC(=O)CCOc2cc(C)ccc2[N+](=O)[O-])c(OCC(F)(F)F)c1 539519922 DYWSHHCUVYXZGV-UHFFFAOYSA-N 412.364 4.560 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 542590689 NHCJTMKVBJFLTN-WMZOPIPTSA-N 414.458 4.941 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](C[C@@H]1CCOC1)c1ccccc1 543153791 NQQYIWYHLCGJJM-YOEHRIQHSA-N 420.918 4.624 5 20 HJBD CCC(=O)Nc1cccc(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2ccc(CC)o2)c1 544360948 XEVQWSGTOUWTGD-QHCPKHFHSA-N 407.470 4.978 5 20 HJBD C[C@H](C(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1F 544403595 GAHCJGDZWCCXOC-LBPRGKRZSA-N 413.430 4.790 5 20 HJBD C[C@@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)c1ccc(C(F)(F)F)cc1 552284380 YADUUOZJTDIBTR-LIRRHRJNSA-N 418.419 4.830 5 20 HJBD Cc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1NC(=O)c1cccs1 554054423 AKGHQPBSFNBLTE-UHFFFAOYSA-N 423.494 4.882 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c1 568912994 XNVKJPPUIWYLGY-UHFFFAOYSA-N 420.454 4.856 5 20 HJBD CCCCOc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 573823637 RTIWWAPHQSFRNG-UHFFFAOYSA-N 413.474 4.648 5 20 HJBD O=[N+]([O-])c1cc2c(Oc3cc(F)c(Br)cc3Cl)ncnc2s1 577715811 AQHUVABLGCKENM-UHFFFAOYSA-N 404.604 4.947 5 20 HJBD COc1ccc(-c2csc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1F 578418454 FCIRXZUNDRNJNJ-LLVKDONJSA-N 401.419 4.608 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 580612806 AXJPJDNGEXTCTR-HNNXBMFYSA-N 419.437 4.598 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 604078215 WNQUFEMWBGLGEN-DLBZAZTESA-N 420.469 4.690 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1)c1ccccc1 604445469 HNRKKHZUVWKZSZ-CQSZACIVSA-N 401.426 4.897 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC(OCCc2ccccc2)CC1 608855996 HJYKGKZNJPTGLP-UHFFFAOYSA-N 405.292 4.585 5 20 HJBD CCOc1ccc(Br)cc1N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 609076117 LXFCZTNDUCNAHJ-LBPRGKRZSA-N 422.279 4.504 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(CCc2ccc(F)cc2)CC(C)C)cc1SC 609126058 XCNCMGYWABZUAQ-UHFFFAOYSA-N 420.506 4.805 5 20 HJBD Cc1ncsc1CNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 609182634 OKQRMQXBVPPBJO-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)Cc1ccc(C(C)C)cc1C(C)C 609224424 BQSAOUILNRFDGE-UHFFFAOYSA-N 405.516 4.965 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)Oc1cccc(Br)c1 610226299 WBBGXBWBNQMXBT-CQSZACIVSA-N 421.291 4.562 5 20 HJBD Cc1cn2c(n1)[C@@H](CNCc1ccc(N(C)c3ccccc3)c([N+](=O)[O-])c1)CCC2 610271577 ILDMJNUAVYLQHH-LJQANCHMSA-N 405.502 4.535 5 20 HJBD Cc1ccc(Cn2cccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)c2=O)cc1 611087168 OPDGWENGKBYBDT-UHFFFAOYSA-N 419.462 4.580 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N1CCC[C@H]1c1ccccc1C(F)(F)F 728067197 SPAOGOWDBXKNFO-KRWDZBQOSA-N 423.391 4.669 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@@H]1c1ccc2ccccc2c1 731268579 NFNOSNPQRFTMPW-UXHICEINSA-N 415.405 4.645 5 20 HJBD CCc1nnc([C@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 732314797 MYLYLAUTPWKFKV-NSHDSACASA-N 417.805 4.904 5 20 HJBD O=C(Nc1cccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1)c1ccco1 734330929 UZXCBBYYVCNSAR-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD COc1ccc(COC(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1SC(F)(F)F 744493334 KRXHJLJDKUYEJS-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 746067456 RWYSTSOJLDCWQS-UHFFFAOYSA-N 420.830 4.820 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750549897 AXJIJOALJUVRFE-IUCAKERBSA-N 417.270 4.637 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c2cccnc12 754977397 NNIPGHMMCMMKQS-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1cccc2ccccc12 755069345 ZNFCKHQHPHJOCY-KGLIPLIRSA-N 410.401 4.561 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1Br 758183241 VVIQXHKAXBWZMG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(-c2ncco2)cc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 759032809 ARJZQEWBULWZGM-GFCCVEGCSA-N 400.822 4.651 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)cc2s1 764215900 FHCPAKXCWVDXFM-UHFFFAOYSA-N 420.834 4.679 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 764294018 HGBJATNBYZMLHY-CYBMUJFWSA-N 405.907 4.603 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)cc1 768337749 VLFHGZPSSRYWQW-UHFFFAOYSA-N 410.455 4.791 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)Cc1csc(-c3ccccc3Cl)n1)CC2 773984997 IRYRNSSSVGGXCO-UHFFFAOYSA-N 413.886 4.812 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](Nc1ccc(OCc3noc(C4CC4)n3)cc1)CCC2 774341646 BPBPYMWGVJHZAF-FQEVSTJZSA-N 406.442 4.924 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC(c2ccsc2)CC1 776948484 NLZHILZJMUIAIL-UHFFFAOYSA-N 410.293 4.830 5 20 HJBD CSc1cccc(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 777073239 CODHOUOXBIWJHB-UHFFFAOYSA-N 422.462 4.779 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@H](c2ccccc2OC(F)F)C(F)(F)F)cc1 778532100 LCIFUPKGKVBVSS-CYBMUJFWSA-N 421.347 4.786 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)cc1 785762238 UUMYXYIDFVEMFU-UHFFFAOYSA-N 403.508 4.551 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1c(-c2ccccc2)ncn1C1CC1 790894241 KDGHFMKKKMQUOJ-UHFFFAOYSA-N 414.824 4.767 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=S)Nc1cccc(OC(F)(F)F)c1 798374058 BABQSTLOQMCDKP-UHFFFAOYSA-N 401.366 4.701 5 20 HJBD COc1cc([C@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)ccc1OCc1ccncc1 801526549 BMYIJGKUOWQPFI-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD O=C(Nc1ccc2c(c1)C(=O)OC2)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 808458326 BVKNFLNNGVNCFC-UHFFFAOYSA-N 424.796 4.963 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Br)cn1 809999188 CQVRLDUFKUTMCT-MRVPVSSYSA-N 405.079 4.910 5 20 HJBD O=C(Cn1c(Cc2ccccc2)nc2ccccc21)Nc1cccc([N+](=O)[O-])c1Cl 813242131 LEWXCRSCTIMZJF-UHFFFAOYSA-N 420.856 4.827 5 20 HJBD CC(=O)N(c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)[C@H]1C[C@H]1C 815164239 QKJJBOAWGNUJBM-XIKOKIGWSA-N 405.401 4.570 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C(C)C)c1C 877197522 SYLLRARBPHORCN-GOSISDBHSA-N 404.850 4.685 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 917383046 HOTJEXAJKQTJAI-UHFFFAOYSA-N 420.425 4.638 5 20 HJBD CN1CCC(N(C)c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)CC1 917794693 ZDQIVJJLYBNBLW-UHFFFAOYSA-N 424.526 4.592 5 20 HJBD CCC(CC)[C@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccs1 918472935 YWTFKBUJOYBINM-INIZCTEOSA-N 409.895 4.542 5 20 HJBD COC(=O)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1ccccc1Cl 1115821599 NRQOAQWHTNIRMN-IBGZPJMESA-N 424.909 4.747 5 20 HJBD COc1ccc(-c2cc(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccc2OC)cc1 1317887343 JWZXWLDAOFDHRQ-UHFFFAOYSA-N 421.453 4.943 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(-c2ccccc2OC)cc1 1319067968 WAACEJKVQMCBCS-OAHLLOKOSA-N 405.454 4.803 5 20 HJBD CS(=O)(=O)Cc1ccccc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1320525619 BQNDBEWAPJKJKO-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cs2)cc1 1323221452 MOFLJUUTGRFIDW-UHFFFAOYSA-N 409.467 4.662 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)c1ccco1 11214977 WRQMQBUGOSCGAS-UHFFFAOYSA-N 407.407 4.907 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COc3ccc(C=O)cc3[N+](=O)[O-])cc2)c1 22711135 PODSQGCRMPKINB-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4ccc(SC)c([N+](=O)[O-])c4)CC3)c2c1 32319280 IDBNTAXNDXMSTP-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2ccccc2OC(C)C)cc1[N+](=O)[O-] 44694175 KVIJYIXOJUFTGX-OAHLLOKOSA-N 418.515 4.501 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 46651935 KXHLLCGPAYIQGG-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD C[C@@H](c1nnc(SCc2ccccc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 58165624 RMVWKVBZBOTVLJ-ZDUSSCGKSA-N 417.922 4.744 5 20 HJBD Cc1ccc(CCC(=O)NCCOC(=O)c2cc3ccccc3c3cccnc23)cc1 72087917 DLTXMWMXJGCVIM-UHFFFAOYSA-N 412.489 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](c1ccccc1)c1cccc(Cl)c1 108363524 HNTCILFUITVXLM-JOCHJYFZSA-N 409.873 4.874 5 20 HJBD COc1cccc(Oc2ncccc2CNc2ccc([N+](=O)[O-])c3cnccc23)c1 301344339 JGZPKVBDORUVEH-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CC(C)Sc1ccc([C@H](C)Nc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 301939428 SYTVBJTZUTUVIW-NSHDSACASA-N 400.547 4.733 5 20 HJBD O=C(Nc1cccc(CNc2c([N+](=O)[O-])ccc3c2CCN3)c1)c1ccc(F)cc1 302854908 RJSNDACMKQVDGD-UHFFFAOYSA-N 406.417 4.566 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1ccc([N+](=O)[O-])cc1F 410395957 FKFHHPKCJDOYTG-UHFFFAOYSA-N 418.318 4.730 5 20 HJBD COc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1C(F)(F)F 426924901 INOZHZKACIZNDY-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCCOc1cc(NC(=O)N[C@@H](C)c2ncc(C)s2)c([N+](=O)[O-])cc1OCCC 429240703 UISKBASJWMXPFB-ZDUSSCGKSA-N 422.507 4.820 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccc(F)c(NC(=O)Cc2ccccc2)c1 429800674 SHUZALZPQPRLRI-UHFFFAOYSA-N 409.417 4.536 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccnc2c([N+](=O)[O-])cccc12 432316420 WMKYFZYNSCRYKZ-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435940616 YBSZTMFZXVDWIV-GOSISDBHSA-N 422.456 4.926 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437395404 UZYFTQNLAMJZQA-UHFFFAOYSA-N 410.474 4.694 5 20 HJBD O=C(Nc1nnc(CC2CCCCC2)s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 439186885 COCXQEZRTGWSGV-UHFFFAOYSA-N 414.409 4.840 5 20 HJBD Cc1cc(=NC(=O)c2c(Br)sc(C)c2C)c2cc([N+](=O)[O-])ccc2[nH]1 441301496 KGIZZDRDJCRAJN-UHFFFAOYSA-N 420.288 4.566 5 20 HJBD C[C@@H]1C[C@@H](CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441303721 QEVVUDFABRKCMO-CVEARBPZSA-N 400.500 4.681 5 20 HJBD Cc1sc(Br)c(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)c1C 442883547 DKPXIMRAKFFQLF-UHFFFAOYSA-N 405.657 4.647 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 445838976 DPJSHADRGFITFQ-UHFFFAOYSA-N 413.861 4.728 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCCc2nc(C3CCCCC3)cs2)n1 447587083 OJLLNCQJGDPSFP-WMZOPIPTSA-N 403.552 4.612 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 465752851 JTECAWCIXAYXFG-QGZVFWFLSA-N 419.481 4.873 5 20 HJBD COC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cccc(Cl)c1Cl 467010095 BCNHFHPGAOCSQH-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD C[C@@H](NC[C@H]1CCN(c2cccc(Br)c2)C1)c1cccc([N+](=O)[O-])c1 467837337 AQLKJHVKYIXWPM-HUUCEWRRSA-N 404.308 4.534 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OC(F)(F)F)c(Br)c1 470700869 XYENBLOOBHMFHY-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1ccc(C)c([N+](=O)[O-])c1 474093189 CZJIFZJWFUQZHZ-UHFFFAOYSA-N 401.806 4.781 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)Cc2ccc([N+](=O)[O-])cc2F)cc1 477212189 BOZDFKIHBUSUFO-DEOSSOPVSA-N 421.472 4.872 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 477546143 QHMYMIUFJADMGF-UHFFFAOYSA-N 416.481 4.820 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cs1 477700127 ULKJNKUORSIUOU-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(CN3CCCC[C@@H]3C)cc2)c1 479283579 OGPFNBDWMWARHO-INIZCTEOSA-N 413.543 4.621 5 20 HJBD C[C@H](NC(=O)Nc1ccc(C(=O)OC(C)(C)C)cc1F)c1cccc([N+](=O)[O-])c1 480719351 GJAAGHHMJAROAQ-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD CCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482697454 UGNLTRLZMNCTTC-UHFFFAOYSA-N 414.849 4.566 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486020907 YRLNPTNFZKRXOZ-OALUTQOASA-N 415.287 4.652 5 20 HJBD O=C(Nc1cccnc1-c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 486452711 RMGAKDDPQAZIPO-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(-c2cnco2)cc1 487526800 BHUZKOCYZBUWLO-UHFFFAOYSA-N 420.347 4.709 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488284136 AVGSHVPMSYLYHA-UHFFFAOYSA-N 415.799 4.651 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncc(Cl)cc1[N+](=O)[O-] 488485981 ZFNWOYLTSOANGP-ZUZCIYMTSA-N 401.772 4.628 5 20 HJBD CN(Cc1nccs1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 491217271 GQSJBXSKLKDEIR-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccccc3Cl)n2C)ccc1[N+](=O)[O-] 492290103 GFPNRHRQDPNRPI-UHFFFAOYSA-N 404.879 4.735 5 20 HJBD COc1ccc(CSCC(=O)N2CC3(CCC3)[C@H]2c2ccccc2)cc1[N+](=O)[O-] 495455857 SWUUUTCXGKTRBH-OAQYLSRUSA-N 412.511 4.590 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)o2)cc1 499061724 CIKLGAPNNLODOW-UHFFFAOYSA-N 417.425 4.520 5 20 HJBD O=C(Nc1cc2c(cc1Sc1ccccc1)OCCO2)c1ccc([N+](=O)[O-])s1 506153102 LDZYZMAHQOWSJM-UHFFFAOYSA-N 414.464 4.831 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 507694581 YWAWUDQFCYODRW-LLVKDONJSA-N 415.343 4.504 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 510215925 ZQUXVLYNKNUPQI-MRXNPFEDSA-N 406.280 4.674 5 20 HJBD C[C@H]1CC[C@@H](C)N1[C@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 510461171 OXROIMBVXSDUKP-YRNRMSPPSA-N 400.548 4.556 5 20 HJBD COc1cc(CN(C)Cc2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 520042192 PERLDWCJXKXQFY-UHFFFAOYSA-N 420.334 4.856 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)nc1 520408753 OUSXYVYZRIKTAI-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD O=C([C@@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1CCc2ccc([N+](=O)[O-])cc21 521676194 DGSGFDBANHHJQI-NRFANRHFSA-N 410.376 4.582 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4c[nH]c5cc([N+](=O)[O-])ccc45)n3)c2)n1 521910318 VBCRXKUQVJPFSO-UHFFFAOYSA-N 414.425 4.655 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@](O)(C(F)(F)F)CC2)s1 522034926 HJDTZOIKHNQFSE-QGZVFWFLSA-N 400.422 4.603 5 20 HJBD CC(C)[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 522616636 VYDIRJJGWZACAX-KRWDZBQOSA-N 416.421 4.826 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 522671246 ATPMLRDKXWJHNJ-HNNXBMFYSA-N 405.292 4.585 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NCc1ccc(Oc2ccccc2)nc1 524801278 CECRUQWVHWVAKB-UHFFFAOYSA-N 420.469 4.604 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Br 525644818 QCVXRVKALCYLPO-XNJGSVPQSA-N 403.276 4.764 5 20 HJBD O=C(c1ccc(Oc2ccccc2Cl)nc1)N1CCc2ccc([N+](=O)[O-])cc2C1 531273048 SYIOJRUMERWKQK-UHFFFAOYSA-N 409.829 4.634 5 20 HJBD COc1cc(CN[C@@H](C)c2ncc(C)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 531410473 ZNBNKBPFXNFBFD-HNNXBMFYSA-N 413.499 4.798 5 20 HJBD Cc1ccc2c(C3=CCN([C@@H](C)C(=O)Nc4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 532655573 YXTKDGQWZUZJKT-INIZCTEOSA-N 404.470 4.501 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 534255253 MWZNHSUFGYKTRZ-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)n1[C@@H]1CCC[C@@H](C)C1 534607487 CXLCKQQWEMIOFF-IUODEOHRSA-N 414.491 4.588 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](C3CCOCC3)C2)cc1[N+](=O)[O-] 535133698 IYSKIQJMFIBTHS-SFHVURJKSA-N 406.548 4.622 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@@H]1c1nc2ccccc2o1 536220335 KFXLBSUARJLIHF-LJQANCHMSA-N 404.426 4.514 5 20 HJBD C[C@H](Nc1cc(NC(=O)CN2CCCC[C@@H]2C)ccc1F)c1cccc([N+](=O)[O-])c1 538545278 MZRHMEDFPUSMLL-HOTGVXAUSA-N 414.481 4.720 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](C[C@@H]3CCOC3)c3ccccc3)o2)c1 539325158 FPBRMHYBVNJVDM-UWJYYQICSA-N 407.470 4.550 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(c1cccc(F)c1)C1CCOCC1 540721944 XRXSZLATMPVMKJ-UHFFFAOYSA-N 400.431 4.774 5 20 HJBD CC(=O)N1CCC[C@@H](c2noc(-c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)n2)C1 545016855 DXPOSDIHJHZFRL-MRXNPFEDSA-N 418.519 4.509 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@@H]3c3c(F)cccc3Cl)n2)ccc1[N+](=O)[O-] 547200010 ISGIKUSPKAGDQY-NWDGAFQWSA-N 403.797 4.641 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N(Cc1ccccc1C(F)(F)F)CC1CC1 557819712 FTAPUBRYUPSLKW-UHFFFAOYSA-N 407.392 4.735 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1cn(-c2ccccc2)nc1C 560326358 CJWMBRHTPPVACS-UHFFFAOYSA-N 424.526 4.564 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 561139225 YHWOUZGTFMIIJG-ZTCOLXNVSA-N 422.528 4.949 5 20 HJBD Cn1ncc2ccc(CNCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc21 577794544 IRJPDOHOZJZAND-UHFFFAOYSA-N 406.417 4.703 5 20 HJBD CCOCc1nc([C@H](C)N[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 579770379 KXMRVVWGJSOBJR-OLZOCXBDSA-N 402.476 4.655 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC[C@H](CO)CC1 583956843 XXOAZRFRMHLEQI-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD Cc1cc(NC2CCN([C@@H](C)c3ccncc3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 590139433 XFZXLSVJDWPHSH-AWEZNQCLSA-N 408.424 4.955 5 20 HJBD C[C@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 603743443 UMDJFRKJLRVURI-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD O=C(Nc1cccc(-c2cn3cccnc3n2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 603980953 ZOUCBJLAVBXGOX-UHFFFAOYSA-N 415.434 4.772 5 20 HJBD C[C@H](NC(=O)NCc1ccc(CN2CCCC[C@@H]2C)cc1)c1cccc([N+](=O)[O-])c1 604129868 DCZVQEDVORGPDS-ROUUACIJSA-N 410.518 4.530 5 20 HJBD C[C@H](C[C@H]1CCCO1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 608820224 TYZVRZIRLHFBFG-RHSMWYFYSA-N 417.893 4.548 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(CCc1ccccn1)Cc1ccc(F)cc1 608880869 MKVCHVXRLWGSHI-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609857386 PAYSXTCBEGGPAU-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD COc1cc([C@H](C)Nc2ncc([N+](=O)[O-])cc2Cl)ccc1OCc1ccncc1 609894715 GOMYWUQZSLAJLE-ZDUSSCGKSA-N 414.849 4.799 5 20 HJBD COCCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 610226882 OELCJIGKZSOMLY-CQSZACIVSA-N 410.392 4.772 5 20 HJBD Cc1cc(OC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)ccc1C(C)C 726495307 MNNUBVHVGGWYOG-NRFANRHFSA-N 410.470 4.545 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cc2[N+](=O)[O-])CC1 727711547 KCJUTWLQRYJPHQ-UHFFFAOYSA-N 418.837 4.645 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 731487264 IERXRCMLRVJFGY-GOSISDBHSA-N 424.284 4.879 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1[N+](=O)[O-] 735996570 TYWNPQIVSJTRCX-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD C[C@H](NC1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCCC1)c1ccccc1 749995480 AQBCTRKHLQXMMP-HNNXBMFYSA-N 401.894 4.642 5 20 HJBD C[C@H](C(=O)Nc1nc(COc2ccccc2)cs1)c1ccc([N+](=O)[O-])cc1F 754023468 CXFZWFGKWLDOCF-LBPRGKRZSA-N 401.419 4.512 5 20 HJBD C[C@@H](N[C@H](C)c1nc2ccccc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754711314 MAEXDUCIDWXWGY-GHMZBOCLSA-N 404.879 4.536 5 20 HJBD Cc1sc(NC(=O)c2c(C)c3ccccc3oc2=O)nc1-c1cccc([N+](=O)[O-])c1 755233199 PDXLIEUPVMNAFR-UHFFFAOYSA-N 421.434 4.694 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@H]2c2ccsc2)c1=S 764890608 YIBVUCFLMQLOBN-KRWDZBQOSA-N 415.544 4.865 5 20 HJBD C[C@H](C[C@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765129523 AKUCCXOVZKDFLY-DYVFJYSZSA-N 420.556 4.933 5 20 HJBD O=C(Nc1ccc(NC[C@H]2CCCO2)c(F)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770009128 JDBSGRHGNOTYBR-CYBMUJFWSA-N 408.817 4.622 5 20 HJBD Cc1cc2occ(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2cc1C 770492026 YZOUBHFGEHJFHG-ZDUSSCGKSA-N 407.382 4.926 5 20 HJBD CC(C)C[C@@H](NC(=O)OCc1ccccc1)c1nc(-c2ccc([N+](=O)[O-])s2)no1 771223978 IXWPGQYTILBKIV-CQSZACIVSA-N 416.459 4.720 5 20 HJBD Cc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c(OC[C@@H]2CCCO2)c1 776870668 YQTDRBIABSDOEQ-NRFANRHFSA-N 411.502 4.542 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(OCc2ccccc2F)CC1 779603947 NBAVBEJZKNIKMX-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD COCCSCCCOc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 783008511 XWXIAJZLBZARGO-UHFFFAOYSA-N 415.467 4.517 5 20 HJBD COCc1ccccc1COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 784303979 QFXSVKVVXOXRPQ-INIZCTEOSA-N 421.453 4.666 5 20 HJBD CC(C)([C@@H](OC(=O)[C@H]1CCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 796834262 SVJREVJMCQOWRR-AAEUAGOBSA-N 406.223 4.524 5 20 HJBD C[C@H](Cn1ccc2ccccc21)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 797225224 DMGLHHRDNRGPPO-HXOBKFHXSA-N 415.449 4.913 5 20 HJBD Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2Br)ccc1-c1ccccc1 811607420 OUMOPQFFEAJABD-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])c(-c4ccccc4)n3)CC2)cc1 812480869 SHLYLPWJHKTHTA-XMMPIXPASA-N 419.481 4.615 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)Nc1ccc(Cl)c([N+](=O)[O-])c1 915139088 JOCDVENTPGUHDQ-UHFFFAOYSA-N 421.240 4.913 5 20 HJBD O=C(OCc1cscn1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 922679090 HWFJNXUKENXHQV-UHFFFAOYSA-N 403.847 4.674 5 20 HJBD CN(C)C(=O)Cc1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1318848324 TUTITZRBYOHJAE-UHFFFAOYSA-N 413.861 4.751 5 20 HJBD C[C@H](C(=O)Nc1ccccc1Oc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319535994 YISAMXVEMMDUGZ-MRXNPFEDSA-N 403.438 4.730 5 20 HJBD O=C(Nc1ccccc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)cc1 1323222034 UDBFDEKFKGHALX-UHFFFAOYSA-N 421.840 4.657 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1326084080 KFMZRUFAEIIPFS-OAHLLOKOSA-N 402.248 4.873 5 20 HJBD O=C(COC(=O)c1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(-c2ccccc2)cc1 6011872 CBMLQXJEDDRGJY-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CSc1ccc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)cc1 11060841 KUVKEKPRVZAHFQ-UHFFFAOYSA-N 421.547 4.539 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 14555071 QIINFPPYLJLYNF-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1C(=O)N1CCCC1 44537850 QHYPNMPHTTXEKJ-UHFFFAOYSA-N 409.467 4.606 5 20 HJBD CCOc1ccccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52936412 BRSCFSGPYGHJFY-OAHLLOKOSA-N 406.442 4.814 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 63788958 JVSCPVYUGRHOCA-GFCCVEGCSA-N 424.270 4.789 5 20 HJBD O=C(c1ccccc1)c1ccc(Oc2ccc(C(=O)N3CCCC3)cc2)c([N+](=O)[O-])c1 112535095 KWUOAIHUDYQEAA-UHFFFAOYSA-N 416.433 4.854 5 20 HJBD Cc1cc(C(=O)N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc([N+](=O)[O-])c1 195692277 VEPYULXHLDJYJX-NRFANRHFSA-N 418.428 4.563 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Sc1nc2cc(Cl)ccc2o1 255932689 JZERLIZYZCQXDH-SECBINFHSA-N 407.835 4.517 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)s1 302988105 GMCNCXNXYHFWHH-UHFFFAOYSA-N 422.466 4.586 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCc1cccc(C(=O)NCc2ccco2)c1 303243591 CTTFLCHPKISACN-UHFFFAOYSA-N 412.467 4.560 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 426479814 NEZOXSOBDUZFFM-UHFFFAOYSA-N 419.481 4.530 5 20 HJBD Cc1noc(C[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccccc2)n1 428657090 SOODSPSWZSYSKK-LJQANCHMSA-N 404.426 4.620 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1Br)N1CCc2ccc([N+](=O)[O-])cc21 432202892 LFXBGEBMSYUBJD-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 433761793 GLXKENHXCBVBRT-NRFANRHFSA-N 421.501 4.650 5 20 HJBD O=C(Nc1ccc2ccccc2n1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436077482 UTSHQMCFTOLCKE-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD Cc1cc(C)c(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 436097732 IKBMEBOEMOFBCF-UHFFFAOYSA-N 406.432 4.815 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3ccc(O)c([N+](=O)[O-])c3)s2)cc1 436972873 VLXPMSDLKVOEHK-CQSZACIVSA-N 411.483 4.874 5 20 HJBD CC(=O)c1ccc(O)c(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 442892035 MOPGHLIZDHVISU-UHFFFAOYSA-N 408.435 4.907 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444244689 XHSQYCYJRNTWMU-NVXWUHKLSA-N 400.453 4.839 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444248480 UKPFZVAVNFQNJJ-OSPHWJPCSA-N 412.489 4.579 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H](C)c2cccc(O)c2)c2ccccc2)c([N+](=O)[O-])c1 444750989 AKMRCPMCELYVKC-AOMKIAJQSA-N 405.454 4.639 5 20 HJBD O=C(Nc1ccc(F)c(F)c1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447100321 KLLCIZBJXXDZLP-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1ccc(F)cc1 448104934 LWIQKJAISHCRCT-UHFFFAOYSA-N 412.368 4.841 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2cc(Cl)ccc2O1 464671175 SGUMMXIVUAKHCM-NSHDSACASA-N 415.858 4.799 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(COc2ccc([N+](=O)[O-])c3ccccc23)s1 464800372 WCZVNQUVUPFVTB-UHFFFAOYSA-N 424.413 4.570 5 20 HJBD COc1ccc(C2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)cc1 465380857 LEGKNPQLECGQER-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)NCCc3ccccc3[N+](=O)[O-])cc2)cs1 465634289 WWPVTVRJPBWTEN-AWEZNQCLSA-N 410.499 4.630 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1cccc(COC(C)(C)C)c1C 474944190 HXCWJCCCFKZVOO-OAHLLOKOSA-N 415.490 4.666 5 20 HJBD Cc1nc(NC(=O)c2cc(NC(=O)CC(C)(C)C)ccc2Cl)ccc1[N+](=O)[O-] 475321001 YDQRZAQYBCWEJG-UHFFFAOYSA-N 404.854 4.579 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1ccc([N+](=O)[O-])cc1OC 484875755 PZJOHZPJUBZCNP-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1coc(-c2ccc(Cl)cc2)n1 485290182 RLOGHKJKWYBVLP-CYBMUJFWSA-N 420.874 4.888 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21 486146951 TXFGNABOTGYXFY-OAHLLOKOSA-N 411.458 4.973 5 20 HJBD CC[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 487057857 SAZHPSMMFIQMTB-INIZCTEOSA-N 424.270 4.789 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N=c1nc(-c2ccsc2)[nH]s1 487505215 XJBMQYDENYQDKD-UHFFFAOYSA-N 420.541 4.597 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2C)o1 488836203 ZTLHPLUPTRMUBG-INIZCTEOSA-N 412.471 4.559 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 491726150 ZTCYBDFIPOYJTH-UHFFFAOYSA-N 422.506 4.925 5 20 HJBD CCOc1cc(CNc2cccc(C(=O)N3CCc4ccccc43)c2)ccc1[N+](=O)[O-] 492719823 FZXKDOCQUONZPE-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD CN1C(=O)c2ccc(Cl)cc2N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500287155 JHFIBIWRZZNSPI-MRXNPFEDSA-N 400.847 4.568 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccco1)Cc1ccc(C)s1 502336100 XANRJBFBQJDOGS-UHFFFAOYSA-N 401.444 4.801 5 20 HJBD C[C@H](c1ccccc1)N(C(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 502765096 HTVXOFJCACXFDW-GOSISDBHSA-N 417.465 4.509 5 20 HJBD COCC[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccco1 506661154 KSHUYNARMJOKGC-IBGZPJMESA-N 411.414 4.879 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN2CCCC[C@@H]2c2nc(-c3ccccc3)no2)c(F)c1 509685595 OPWOBPXKMGSPDK-GOSISDBHSA-N 400.385 4.650 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnco4)cc3)cc2[N+](=O)[O-])C1 511216854 NFKBEHWICCCCPZ-HOTGVXAUSA-N 420.469 4.984 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](C)c2c(F)cccc2F)c([N+](=O)[O-])cc1OCC 511284254 ILCPHCAUHOIKKE-NSHDSACASA-N 409.389 4.553 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 513605424 BHRGZBOTDUQZSR-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccccc1F 513790444 YEJFEJPKPSMYQR-BSLALVQMSA-N 408.477 4.558 5 20 HJBD CC(=O)N1CCCC[C@@H]1c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 515708528 OSDGKLSXHWESQE-LJQANCHMSA-N 408.414 4.511 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2NCCCc2ccccc2)cc1[N+](=O)[O-] 516524575 WSXWXUHWYZFYFV-UHFFFAOYSA-N 419.481 4.829 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 518086582 ZGLDXPCILRHIEZ-QGZVFWFLSA-N 422.268 4.512 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)[C@@H](Sc1ccccc1)c1ccccc1 520255731 BEUMUWDLTFJZTJ-NRFANRHFSA-N 408.479 4.623 5 20 HJBD Cc1ccc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC(F)F 522299055 RBVDTVBMLNWQBG-UHFFFAOYSA-N 403.288 4.750 5 20 HJBD Cc1cc(Br)ccc1NC(=O)CCNc1ccc([N+](=O)[O-])cc1Cl 523549850 UUADQGMYWOSZHU-UHFFFAOYSA-N 412.671 4.760 5 20 HJBD O=C(CCc1ccnc2ccccc12)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 524238451 JKONFSRNWJBJNY-UHFFFAOYSA-N 404.451 4.838 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Br)cc1Cl 524554111 JGPWTEIEPJOGMB-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD Cn1cc(C(=O)Nc2cccc(N3CCCCCC3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 525380737 CLHVUJBAULSPCC-UHFFFAOYSA-N 419.485 4.628 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 532714560 RLSAHOUBFINFDY-HXUWFJFHSA-N 420.469 4.601 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC(c4c[nH]c5ccccc45)CC3)n2)cc1 532753049 JGVWVBKYRMQQED-UHFFFAOYSA-N 403.442 4.506 5 20 HJBD COc1cc(C(=O)N2CC=C(C)CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 534459436 XREDEPAVCOKUBB-UHFFFAOYSA-N 402.834 4.841 5 20 HJBD O=C(Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1)c1c[nH]c2cccc([N+](=O)[O-])c12 534963484 BYEOPKJBJOJDDN-UHFFFAOYSA-N 424.420 4.781 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n([C@H]2C[C@H]3CC[C@H]2C3)n1 537111472 XWRYPPJRLYGGQD-ZWOKBUDYSA-N 409.490 4.657 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Nc2ccc(F)cc2)cc([N+](=O)[O-])c1OC 537494262 DTHYZXSZBUKXIP-UHFFFAOYSA-N 411.389 4.747 5 20 HJBD Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1NC(=O)C(C)(C)C 538832153 VHGLKMRWOXDAGN-UHFFFAOYSA-N 421.501 4.900 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539449421 XCXLVYLYASDPRP-UHFFFAOYSA-N 410.817 4.521 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)c1 539504269 OKOIRULBHXUDDC-UHFFFAOYSA-N 422.485 4.679 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-] 541099854 ZTEGENCONFESQT-HXUWFJFHSA-N 403.438 4.783 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)cc2F)C1 548314999 LJWYGYMMYFOKTP-SFHVURJKSA-N 422.453 4.589 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c1 550963861 YOZHDFNMCDMZGT-UHFFFAOYSA-N 420.347 4.925 5 20 HJBD O=C(Nc1nc2cccc(Cl)c2[nH]1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 553433512 FMDOGYONGYOTRW-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(F)c(NC(=O)NC)c2)c2ccc([N+](=O)[O-])cc2)o1 560570892 HRQUBIUOJSQEHY-FQEVSTJZSA-N 412.421 4.842 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2N(C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560681702 ASTSZQRSBKNIQF-OAQYLSRUSA-N 407.470 4.810 5 20 HJBD C[C@H](C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 560709438 YVQSIANBRQQCCO-RNODOKPDSA-N 420.868 4.775 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C2CC2)no1 567147464 NKWLCGLUOKRWIH-JXFKEZNVSA-N 420.469 4.595 5 20 HJBD Cc1c(CNC(=O)c2sc3ccccc3c2Br)cccc1[N+](=O)[O-] 577804650 QAXCASXHAIWHKN-UHFFFAOYSA-N 405.273 4.810 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1ccc(F)c2ccccc12 584743141 ACEOHYVQKLIUMQ-CQSZACIVSA-N 411.433 4.575 5 20 HJBD O=C(NCc1nccs1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 603907132 VHZSREGEWGNBAU-UHFFFAOYSA-N 405.888 4.786 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCc2c(Br)cccc21 609022582 HPHCVHGLAKKBGS-PIGZYNQJSA-N 421.316 4.642 5 20 HJBD CC[C@H](NC(=O)c1ccc(C(=O)N[C@@H](CC)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 609241116 SILILEJKFQZCSR-ROUUACIJSA-N 405.539 4.704 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1OC 609681715 SMZSMCQZDGMQJY-UHFFFAOYSA-N 415.490 4.653 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609765295 PUVLBOZJJZAYIW-MRXNPFEDSA-N 421.453 4.685 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)Cc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 609784092 KUCLODMEGNIWQD-UHFFFAOYSA-N 416.905 4.984 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 610154851 QIIRFORCIGRFHY-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 611198108 XPFHWVQYDAIIMA-FQEVSTJZSA-N 413.543 4.662 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@@H]1c1ccccc1C(F)(F)F 728034462 WXUMKUXXXPSZAJ-LJQANCHMSA-N 417.387 4.932 5 20 HJBD CN(C(=O)c1cccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1)c1ccccc1 729651713 ODPUXICQECHURE-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=C(O)C(F)(F)Oc1ccc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 730730226 VGHORTDQRPTTSX-CPNJWEJPSA-N 412.348 4.635 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Oc1c(Cl)cc(Br)cc1Cl 731176578 AHSFDIYWCJEXMV-UHFFFAOYSA-N 421.030 4.649 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(COc2ccc(-c3noc([C@H]4CCCO4)n3)cc2)c1 735416303 XYLMSXNKPXOUKR-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 742909591 KUGLVCQSQYPMFY-SJLPKXTDSA-N 405.564 4.577 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C[C@@H](O)c2cccc([N+](=O)[O-])c2)cc1 750079589 HCXKQBBKUOTWCB-GAJHUEQPSA-N 406.482 4.997 5 20 HJBD C[C@H](OC(=O)CC[C@@H](C)c1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750095931 MMIROPTWRWMEQV-KGLIPLIRSA-N 404.850 4.702 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCC[C@H]1C[C@@H](O)c1ccco1 752106003 ZBSFILCEQLATCC-DOTOQJQBSA-N 414.483 4.911 5 20 HJBD CC1(C)CN(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)[C@@H]1c1ccccc1 755022485 NZTRFOUCIBWVAD-MRXNPFEDSA-N 404.264 4.972 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccccc1)C[C@H](O)c1cccs1 755761586 OTJNDGPSHBHHBZ-IBGZPJMESA-N 421.478 4.514 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1cccc([N+](=O)[O-])c1Br 758090221 DAPHNQUMEPJWKX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(F)cc(C(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)c1[N+](=O)[O-] 758467166 NCEIOHWJZFWWAM-UHFFFAOYSA-N 415.396 4.541 5 20 HJBD CCCn1cc(N[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cn1 762543766 GNHXTKJMEYWQGQ-IBGZPJMESA-N 413.865 4.647 5 20 HJBD Cc1nc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 763077448 PSRJQVZKVHBUBC-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(NC(=O)c2ccco2)cc1 765591390 SKTFIZDWNPDFJX-INIZCTEOSA-N 408.410 4.614 5 20 HJBD Cc1onc(-c2ccncc2)c1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 766328582 ZTDWUSGQUIDHBP-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD C[C@H](OC(=O)c1ccc(-c2ccco2)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767482676 GWAHBXFJAZMTFE-NSHDSACASA-N 411.395 4.884 5 20 HJBD C[C@@H](CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1ccc(Cl)cc1 772146959 JFSYBCUPDKCAKT-CYBMUJFWSA-N 415.833 4.610 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1Cl 774199735 MEJUWXARPJGFCR-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)n2)cc1F 788128354 WGCTXEPSYIRFNX-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1cnc(COC(=O)CCc2ncc(-c3ccc(Cl)cc3)o2)c(C)c1[N+](=O)[O-] 789441765 GVBNTECLIDJVQP-UHFFFAOYSA-N 415.833 4.591 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(C(=O)N(C)C3CCCCC3)cc2)c1F 790327090 FZYMBVZFULMAKC-UHFFFAOYSA-N 413.449 4.699 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1 791949904 VOTZJDCBSYUGAY-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCCCC(=O)c1ccc(Br)cc1 798130198 KKIOVZTVOSEKKW-LFYBBSHMSA-N 418.243 4.577 5 20 HJBD Cc1c(C(=O)Nc2ccc3c(Cl)cccc3n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 804250572 LZXOCTQVFGASTE-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(COc3ccccc3F)no2)ccc1NCc1ccccc1 904729576 FIEBSNJNGSAKJJ-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N(Cc1cc(Br)ccc1F)C1CC1 914481197 LXZVLQSQRKKRCJ-XCVCLJGOSA-N 419.250 4.701 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2F)ccc1OCc1ccccc1 919685187 ISGGJMDXQRVKCL-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 1325765272 UGCQGNNXXFILBP-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 1342950257 OBUNXKISOJWFJW-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SCC(=O)c3ccc([N+](=O)[O-])cc3)n12 5509445 UBFRCKXNIWDDEU-UHFFFAOYSA-N 406.467 4.691 5 20 HJBD Cc1ccc(NC(=O)[C@@H](Sc2nccn2C2CC2)c2ccccc2)c([N+](=O)[O-])c1 11891961 SONLPZQRECKRPG-IBGZPJMESA-N 408.483 4.907 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)CC2)cc1 16275709 IAGAHRYMCABEGA-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD CCCN(CCC)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 20898946 PCHRMBJITWKLRW-UHFFFAOYSA-N 406.486 4.639 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCCc1cccc(Cl)c1 25507287 SOCVUASSCAVUTH-UHFFFAOYSA-N 418.824 4.718 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(c2cccc(C(F)(F)F)c2)CCCC1 64778428 WVCVDPYWWCNPGV-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1ccccc1 65158244 LCNPDRHIECCWMQ-UHFFFAOYSA-N 414.421 4.605 5 20 HJBD COCCOc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1Cl 139821234 RISCNDOHXUKXRX-UHFFFAOYSA-N 400.218 4.571 5 20 HJBD C[C@@H](NC(=O)c1ccc(NC(=O)Nc2ccccc2)s1)c1cccc([N+](=O)[O-])c1 195697089 XDXWMPOESZZCCX-CYBMUJFWSA-N 410.455 4.791 5 20 HJBD Cc1ccc([C@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N2CCCC2)o1 237657371 PXABAWVNHPJFES-IBGZPJMESA-N 412.515 4.546 5 20 HJBD CC(C)NC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc1 301878469 LCEHOXHPEZWDAC-UHFFFAOYSA-N 400.479 4.914 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1c1cccc(Br)c1 324812326 PTCKZKIJWGUPNY-INIZCTEOSA-N 409.667 4.988 5 20 HJBD CC(C)(C)c1ccc(Oc2ccc(CN[C@@H](CO)CC(F)F)cc2[N+](=O)[O-])cc1 428637490 DMMCTSHQVOKEHQ-MRXNPFEDSA-N 408.445 4.790 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2C)C(C)(C)C)cc1OC 430574214 SAAUBQJGKNJOJG-HXUWFJFHSA-N 400.475 4.828 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2)s1 431506785 JHEOUTSUEPITEW-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(O[C@@H]2CCOC2)cc1 433377388 VPGLEYIDSDBMMF-JOCHJYFZSA-N 420.421 4.807 5 20 HJBD Cc1ccc(NC(=O)N(C)C)cc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 435744419 XJSBANQCJZKBOU-UHFFFAOYSA-N 411.487 4.732 5 20 HJBD O=C(N[C@@H]1CCc2cc(F)ccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436140102 PZSZBDHKIQMSDH-LJQANCHMSA-N 408.379 4.516 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1ccccc1)C[C@H]1CCCO1 436141172 VIKGIKDHPDCWON-HXUWFJFHSA-N 412.489 4.503 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2O)cc1 436769191 JQRZANZOEMDPHW-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCc2c([nH]c3c(F)cccc23)C1 439483233 GYLITEFGFYMKOE-ZDUSSCGKSA-N 421.432 4.775 5 20 HJBD C[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 444120217 VAKMYEBLOGLZMC-GFCCVEGCSA-N 410.395 4.632 5 20 HJBD Cc1nc(COc2cccc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)c2)cs1 445479951 XEUCAQGBCUAVQT-UHFFFAOYSA-N 400.441 4.717 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)N(Cc1ccccc1)Cc1ccccc1 448110453 HFMCQYUAJDBHCR-QGZVFWFLSA-N 407.495 4.699 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460464871 BBAGMWPVCIGAGJ-UHFFFAOYSA-N 407.392 4.555 5 20 HJBD C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 461306214 ZKHMWLJHTXMACF-XDHUDOTRSA-N 414.480 4.904 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCN(Cc3ccc(Cl)cc3)CC2)cc1OC(F)F 462091431 MWDOOAXJFMEQLV-UHFFFAOYSA-N 411.836 4.562 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(Br)cc3c2OCC3)cc1[N+](=O)[O-] 462836187 DDDMGYAMEIWUCV-GFCCVEGCSA-N 421.291 4.542 5 20 HJBD CCCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(C)c1 470339419 YLBLYHWHOIWXTL-OAHLLOKOSA-N 410.449 4.923 5 20 HJBD COc1c(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)cccc1[N+](=O)[O-] 476090926 PNOXSGFFAUUPAE-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 479821184 XQRJBHZBWMNBBQ-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(OC(C)C)cc1 480844972 KYOOFFXTHLNULM-OAHLLOKOSA-N 418.515 4.612 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486114465 HECCFTLICWYLIL-UHFFFAOYSA-N 403.229 4.597 5 20 HJBD C[C@H](Sc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(Br)c1 488755843 YIQBFFKUMUHCSX-QMMMGPOBSA-N 422.347 4.676 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C[C@@H]1n1ccnc1 490588458 RFTOWQFKZLCOSJ-JXFKEZNVSA-N 422.510 4.666 5 20 HJBD O=C(Nc1cc(Cl)ccc1Br)c1ccc(NC2CC2)c([N+](=O)[O-])c1 494681506 LJZAAFCRVNZMAC-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD Cc1cc2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2[nH]1 500290076 SFJKQIIPCXSGAL-LLVKDONJSA-N 406.364 4.842 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2ccccc2F)C1 502156724 RHXPSUMCNIEIRK-ZDUSSCGKSA-N 422.406 4.728 5 20 HJBD CN(CC(F)F)C(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 504899415 GIRDEUHWUKYSCN-UVTDQMKNSA-N 417.437 4.859 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)ccc1[N+](=O)[O-] 511337560 LQALRBXNVLJOQH-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)COc3ccc([N+](=O)[O-])cc3)c(C)c2)c1 520636780 BJBAGGWXRVCHAD-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD C[C@H](Cc1ccsc1)Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-] 521194045 MXDWQOQFWNRCHY-CQSZACIVSA-N 417.512 4.500 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)o2)cc1 523499271 CWESMWVPKGDPNP-UHFFFAOYSA-N 407.470 4.630 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)C1CCN(Cc2csc([N+](=O)[O-])c2)CC1 533074588 NJJBCYBPEIRRFG-UHFFFAOYSA-N 414.314 4.814 5 20 HJBD CC1(C)CO[C@@H](c2ccccc2)CN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 533262165 ZIAPIXISWLPYAP-OAQYLSRUSA-N 407.470 4.644 5 20 HJBD Cc1cc([C@@H](NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)ccc1F 534036766 UDCHJBUCKDXEEK-QFIPXVFZSA-N 411.477 4.526 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534229634 GUCLOTMFXBZLKU-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD O=C(Nc1scnc1C1CC1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 534232740 NYQAQGXPZXHPSG-UHFFFAOYSA-N 424.269 4.674 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccccc3-c3cnn(C)c3)o2)c([N+](=O)[O-])c1 534646128 GSRYFDHKBVNNBV-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD CC(C)(C)NC(=O)c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 537736471 SCORJOVYFAYZDE-UHFFFAOYSA-N 410.499 4.859 5 20 HJBD COc1ccccc1OC[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538222336 UEHWHHOSGNEWIY-OAHLLOKOSA-N 418.515 4.549 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nnc(-c2ccccc2)s1 539358728 RKOHRTYLTVGEDL-UHFFFAOYSA-N 414.512 4.544 5 20 HJBD O=C(N[C@@H](c1cccc2ccccc12)C(F)(F)F)c1cc2c(cc1[N+](=O)[O-])OCO2 540969679 DRCUDKXSOHICET-SFHVURJKSA-N 418.327 4.510 5 20 HJBD Cc1nn(C)c(C(=O)Nc2cc(Cl)ccc2NCC2CCCCC2)c1[N+](=O)[O-] 541130177 BSXKEOTYKUIKPD-UHFFFAOYSA-N 405.886 4.535 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3cccc([N+](=O)[O-])c23)c1)c1ccc(F)cc1 541590958 SQNBJYKGEQQQCE-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(OC[C@H]2CCCO2)c1 543120249 BAAZADKHWQKMIU-MRXNPFEDSA-N 422.890 4.537 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)c1Br 543480572 BSPYVCSBANFECW-ZBFHGGJFSA-N 424.270 4.556 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)c1 544947742 DFVVXITWQGPVMA-UHFFFAOYSA-N 424.460 4.602 5 20 HJBD CC(C)(C(=O)Nc1cnn(Cc2ccc(Cl)cc2)c1)c1ccc([N+](=O)[O-])cc1F 545824864 WKGTYZFUYWSMBK-UHFFFAOYSA-N 416.840 4.548 5 20 HJBD O=C(Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)Nc1ccccc1Cl 554051544 KOAFFQBZQXTTPE-UHFFFAOYSA-N 414.830 4.694 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1OCCc2sccc21 554204785 KPQUYESZDAWYDL-DJJJIMSYSA-N 420.556 4.981 5 20 HJBD C[C@@H](C(=O)N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 555871307 LZQDKNVJKGPANR-RFAUZJTJSA-N 404.747 4.911 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)Cc2ccc(Br)cc2)c1 560997310 COVOJWGBDNHGRR-UHFFFAOYSA-N 421.291 4.507 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1 565268612 DHBTWODXPFBHCG-UHFFFAOYSA-N 410.451 4.600 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnc3n2CCCC3)ccc1Oc1ccccc1Cl 567257141 QJEAIZAYVAFJDE-UHFFFAOYSA-N 412.877 4.863 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3cnc4nccnc4c3)c3ccccc3n12 574560097 UUELGUUAJXVCLV-UHFFFAOYSA-N 404.433 4.540 5 20 HJBD O=C(NC1CC1)c1ccc(N[C@@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])c1 603859469 ADVBDRDYXDHYKI-QFIPXVFZSA-N 405.429 4.828 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(C(C)(C)C)cc2)cc1OC 604563041 QJABDCMDVZEGOX-UHFFFAOYSA-N 400.475 4.572 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 609151759 VZAUTAMULDPLJF-NRFANRHFSA-N 411.408 4.828 5 20 HJBD CCOc1ccc(NC(=O)CSc2nc3cc(Cl)cc(C)c3o2)c([N+](=O)[O-])c1 609750717 BUIZDLCMBBGVNC-UHFFFAOYSA-N 421.862 4.827 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cc(Cl)cc([N+](=O)[O-])c4)CC3)c2c1 609874311 QHHAHROGAPOWLD-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD CCc1c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cnn1CC(C)C 611139453 SYTJEPRUDOVIHD-UHFFFAOYSA-N 421.501 4.874 5 20 HJBD Cc1cccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cn12 611205433 RMEMJBHVCAOTJE-UHFFFAOYSA-N 410.499 4.516 5 20 HJBD NC(=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1Cl 618890454 FITBDFIAGIQXOT-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1cc([N+](=O)[O-])ccc1Cl 734804720 PUZWMPHLIGSNRC-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD CN(C(=O)c1ccccc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1 734881175 JXYWMEQBLNTPPL-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD COc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1OC1CCCC1 735427318 ASKZBUQODXNTFE-UHFFFAOYSA-N 404.850 4.759 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735904306 MPHRRYLWAKBBMS-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)OC(=O)CCn3cc([N+](=O)[O-])nc3C)s2)cc1 739305269 WJHQIUQMJFHUKM-CQSZACIVSA-N 414.487 4.535 5 20 HJBD O=C(Nc1ccccc1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1cccs1 739745125 MGEOFDBJQIIXOR-UHFFFAOYSA-N 423.494 4.661 5 20 HJBD CC/C(=C\C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 745752275 DBKAXTYNSHKGHG-REQDGWNSSA-N 402.834 4.612 5 20 HJBD O=C(O[C@H](c1ccccc1)c1cccnc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747231160 BBKIHMAWARSSHT-GOSISDBHSA-N 402.328 4.955 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2ccc3oc(C(C)C)nc3c2)cc1[N+](=O)[O-] 753067277 ZVQGBDJUPPTZES-UHFFFAOYSA-N 409.851 4.622 5 20 HJBD COc1cc(/C=C/c2ccc([N+](=O)[O-])cn2)ccc1OCc1ccc([N+](=O)[O-])cc1 753146162 KJBDKDYYYPEHEN-QHHAFSJGSA-N 407.382 4.656 5 20 HJBD O=C(CSc1ccc(Cl)cc1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760466218 VPUOTADJTNEWKT-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 763402251 GNXJIQSGFUNKJV-UHFFFAOYSA-N 413.218 4.686 5 20 HJBD CCN(CCc1ccccn1)[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 764324067 RZYPWOGMDISNSO-QHCPKHFHSA-N 418.497 4.543 5 20 HJBD C[C@H](OC(=O)c1ccccc1N(C)C(=O)c1cccs1)c1ccccc1[N+](=O)[O-] 764587888 MZPBONURBLUGQB-AWEZNQCLSA-N 410.451 4.851 5 20 HJBD CC[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1OC(F)F 764881701 GWNPUPXATATLKS-LJQANCHMSA-N 405.445 4.906 5 20 HJBD O=C(N[C@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765175466 FXHNLPFZHMDVPQ-MRXNPFEDSA-N 406.529 4.545 5 20 HJBD CC(C)(C)c1ccc(Cn2nccc2NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 770056670 MJJHUYYNKXKSGL-UHFFFAOYSA-N 414.412 4.668 5 20 HJBD C[C@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])C(=O)c1ccc(Cl)cc1 773604615 LFAIXPOVBZAPJK-ZETCQYMHSA-N 418.652 4.500 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1cc(N2CCOC2=O)ccc1C 777706121 MFFDWNDKNCAMJC-HNNXBMFYSA-N 401.488 4.843 5 20 HJBD C[C@H](OC(=O)C1(C)CCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 781300369 FNIBZOKFZGJACC-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1cc([N+](=O)[O-])ccc1Cl 785492756 PNJSKPGKKXJVFM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCOC1CC(CC(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)C1 789664300 QPCGUEAVKWTOAH-UHFFFAOYSA-N 417.531 4.913 5 20 HJBD CN(C)c1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 790800862 MAAQVHSWHIRFCS-UHFFFAOYSA-N 419.762 4.653 5 20 HJBD COc1c(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)cccc1[N+](=O)[O-] 793629355 RFWJMMXWTPADNG-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800150149 DCSCDHFRGQLUMS-UHFFFAOYSA-N 419.409 4.778 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@H]1CCCO1 804859444 CLHVUWWSUOOWEE-FXFXYLOPSA-N 424.478 4.857 5 20 HJBD CC(C)(C)c1nc(COC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])co1 813375517 TVLJVJOSSIPOTJ-UHFFFAOYSA-N 418.393 4.735 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c(F)cc1Br 813733486 DNVNINVKFNCXGX-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cc(Cl)ccc4[N+](=O)[O-])CC3)c2c1 915289332 TZPPLLWKILEORF-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD Cc1c(C(=O)N[C@@H](c2ccc(F)cc2)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916078731 AECPRSSMRSGMPL-LJQANCHMSA-N 401.394 4.612 5 20 HJBD O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1ccc(C2SCCS2)cc1 918819756 AZHQSVFLNRRESX-UHFFFAOYSA-N 405.497 4.699 5 20 HJBD Cc1ccc(OC(=O)c2cccc([N+](=O)[O-])c2Br)c2c1[C@H](C)CC2=O 1116766862 YLGGOBOTAANBPG-SNVBAGLBSA-N 404.216 4.575 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nnc(-c3ccc(F)cc3Br)o2)cc1 1322313716 YPHFBSZSAYUDML-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nnc(-c2ccc(Br)cc2Cl)o1 1322950555 ZIBONSAIROHOGH-UHFFFAOYSA-N 423.654 4.715 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(Cc3cccc4ccccc34)o2)c1 1324069928 URRRFSSOGGNNHK-UHFFFAOYSA-N 400.438 4.989 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 1326247145 AZJPOOROFTWIDZ-LJQANCHMSA-N 419.403 4.562 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCc1csc(-c2ccc(Cl)cc2)n1 7079670 RFXOTZDONOWFCB-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 10714773 BGRZLPFAGVESHR-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2ccc(Cl)cc2)c([N+](=O)[O-])c1Br 14203017 QMHJHFQMWVJUJB-UHFFFAOYSA-N 416.615 4.540 5 20 HJBD CCN(Cc1ccc(OCc2ccccc2)cc1)C(=O)COc1ccccc1[N+](=O)[O-] 18188339 GJSVOLVGWTVNEP-UHFFFAOYSA-N 420.465 4.601 5 20 HJBD CC(=O)Nc1nc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2s1 43273399 IDEXYUMZADXXLQ-UHFFFAOYSA-N 412.452 4.630 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc5cccc([N+](=O)[O-])c5[nH]4)CC3)c2c1 55681127 YSLKMVYTBDPTFD-UHFFFAOYSA-N 418.453 4.586 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3cccc(NC(=O)C4CCCCC4)c3)cc2N1 195706998 GSPFYJDRQWVZPD-UHFFFAOYSA-N 422.485 4.610 5 20 HJBD O=C(c1cc(N2CC=C(c3ccccc3Cl)CC2)ccc1[N+](=O)[O-])N1CCCC1 301919181 IGZIDEQZQQELOE-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD CCN(Cc1ccc(Cl)s1)Cc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 302913778 TZIWBCCUVATMDQ-UHFFFAOYSA-N 406.838 4.942 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 303760391 OGFJMLBFVNXGRU-WOJBJXKFSA-N 410.517 4.868 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1ccc(Br)cc1[N+](=O)[O-] 430622791 LSBSTRCZXCDUCH-UHFFFAOYSA-N 409.211 4.680 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 431675037 GYMJRXVMTAFKKD-UGKGYDQZSA-N 424.545 4.568 5 20 HJBD CN(c1ccc(Cl)cc1F)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 435470961 FEDWJZLPFIIAFE-UHFFFAOYSA-N 413.641 4.519 5 20 HJBD CN(Cc1cccc(Cl)c1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435815829 AZKMHQAXPNXCJM-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 435827216 QTBGZWDUBZOORN-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD O=C(NCCc1ccc(Cl)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435833324 FHJFFBPSHHPYNB-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD COc1ccc(CC[C@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436319853 TXXGTJCKJMWUBL-HNNXBMFYSA-N 418.468 4.580 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3noc(-c4ccc(C(C)C)c([N+](=O)[O-])c4)n3)cn2)n1 438834595 AIDGKZRLARGTPD-UHFFFAOYSA-N 404.430 4.633 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Br)s1 444525268 HPEFWIHLOZEESG-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3cccc([N+](=O)[O-])c3)n2)cc1 445277372 VLBMFLGUSHXHKL-WASHYIJTSA-N 406.442 4.843 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cc1Cl 445821196 WPNGDZULJUBLCA-UHFFFAOYSA-N 417.893 4.738 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 462441667 ZEZBDYVNHAOTOT-ZFWWWQNUSA-N 416.428 4.786 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC(F)(F)F 462642865 PTNPBYYBHOSVLE-CYBMUJFWSA-N 414.355 4.882 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 466712775 ZAOSMWDSRXRQBS-UHFFFAOYSA-N 411.458 4.701 5 20 HJBD C[C@@H](CCNC(=O)CCOc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470001569 XTEJIKSELFJEIE-AWEZNQCLSA-N 410.392 4.693 5 20 HJBD Cc1ccc(-n2c(Sc3cc(C)c([N+](=O)[O-])cn3)nnc2-c2ccncc2)cc1C 470869680 IQWMJQXPEVBVKG-UHFFFAOYSA-N 418.482 4.709 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 472697964 KQFGETPZBQSGSK-UHFFFAOYSA-N 417.409 4.752 5 20 HJBD CCC1(CC)CCC(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])CC1 475084743 FCMBGTZFAYUFDJ-UHFFFAOYSA-N 400.422 4.684 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)Nc4ccc([N+](=O)[O-])c(C)n4)cc3)o2)c1 475296843 VUAZROGQZXJAHY-UHFFFAOYSA-N 415.409 4.576 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(OCc2ccccc2)cc1 478839322 OJYMUNWTBIHHOE-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD CCOC(=O)c1csc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)n1 479309230 BWBXCGNPUKWREM-MRXNPFEDSA-N 419.503 4.747 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)C2CC2)cc1 482035753 FJEDTIUGLRZHFE-UHFFFAOYSA-N 413.499 4.944 5 20 HJBD CCc1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cnn1-c1cccc(C)c1 482700872 RUNIJZAJTYMYIC-UHFFFAOYSA-N 422.488 4.990 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCN(c2ccccc2)C1 484413014 BZOATIYUVMQCTO-QGZVFWFLSA-N 413.543 4.599 5 20 HJBD CC1CCN(c2cccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])c2)CC1 485519994 VIHIGYSGDVCFIE-UHFFFAOYSA-N 424.320 4.907 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2NC(=O)c2cccs2)c1[N+](=O)[O-] 486235963 SJVDOIGNFNNIOX-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492910252 FJZGJFBJBVYYMZ-UHFFFAOYSA-N 406.280 4.545 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496497517 QTDMOLJTKAEEGS-SFHVURJKSA-N 403.410 4.581 5 20 HJBD CCCc1csc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 504341755 XLZINLDRSCQKAT-CYBMUJFWSA-N 411.487 4.824 5 20 HJBD Cc1sc(Br)c(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1C 507915192 RRUHKZKTONWWJK-UHFFFAOYSA-N 403.685 4.619 5 20 HJBD CC(C)(NCc1ccc(OC(F)F)c(Br)c1)c1ccc([N+](=O)[O-])cc1 507950242 LEYLWACCXCPWHZ-UHFFFAOYSA-N 415.234 4.984 5 20 HJBD O=C(Cc1cc2c(ccc3ccccc32)o1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 509875076 XEVHULQBIAQRID-UHFFFAOYSA-N 418.405 4.837 5 20 HJBD C[C@@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1ccncc1F 509935003 QLAXONXUYWSERI-MRXNPFEDSA-N 417.444 4.832 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 512272423 YRITYPSFPPLCJJ-IBGZPJMESA-N 402.859 4.594 5 20 HJBD CCN(CC)c1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])cc3F)n2C)cc1 515131889 ZDLYESCRHZPZQF-UHFFFAOYSA-N 401.467 4.527 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 515707915 VRKGOEFMKPDXMK-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](Sc1ncccc1[N+](=O)[O-])c1ccccc1 516272536 PIEGOXGNYNFGJS-LJQANCHMSA-N 409.467 4.861 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)N(Cc1ccc(Cl)cc1)c1ccccc1 518954181 KOAWMKUJUUQRBP-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD COc1cc(C(=O)Nc2ccccc2SC2CCCC2)cc([N+](=O)[O-])c1OC 525045754 DORMNQUVYWWORZ-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)Cc2cc(C)c(OCC(F)(F)F)c(C)c2)c1 525649411 MXCKVDXRCRKLPO-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD C[C@@H](O)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 533015116 KQAILEGWOGCOIK-KGLIPLIRSA-N 420.918 4.632 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)[C@@H](OC1CCOCC1)c1ccccc1 540149557 RXXOGEOZTUTAFU-KHCICDEESA-N 412.530 4.831 5 20 HJBD CCO[C@H](CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)C1=CCCC1 540451969 TXJHRCKHQFFEEP-GOSISDBHSA-N 401.488 4.587 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCO2)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 543756168 ODIDZWRKHTVKPY-UHFFFAOYSA-N 421.236 4.560 5 20 HJBD C[C@@H](Cc1ccc(Br)cc1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 545528561 UCYDNVKQXFHILR-LBPRGKRZSA-N 402.248 4.677 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC(c2nc(-c3ccccn3)no2)CC1 553049305 RVVPZKZUXXLUGF-UHFFFAOYSA-N 420.256 4.731 5 20 HJBD COc1ccccc1[C@H]1CC[C@@H](C)CN1C(=O)CSc1ccccc1[N+](=O)[O-] 553221114 MVHPHCKNLKTQSZ-NVXWUHKLSA-N 400.500 4.695 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 555725075 IXPDSMYICOQVAG-AWEZNQCLSA-N 413.449 4.995 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OC[C@H]1CCCO1 556338027 DUROAPWUAANRTP-CYBMUJFWSA-N 411.241 4.712 5 20 HJBD Cc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(-c2cnn(C)c2)c1 558780725 CJRSQFZJQTUJDG-UHFFFAOYSA-N 404.392 4.612 5 20 HJBD CCOC(=O)c1ccnc(Oc2cc(F)c(Br)cc2Cl)c1[N+](=O)[O-] 558785602 SYZCOQLLHAXHIG-UHFFFAOYSA-N 419.590 4.514 5 20 HJBD O=C(CSc1nc(-c2ccco2)nc2ccccc12)Nc1ccccc1[N+](=O)[O-] 561160458 GHPVXCOAEKTXLM-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 573258486 DQKLMTPJFUCCHR-DZGCQCFKSA-N 412.433 4.613 5 20 HJBD CN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 579538937 ZNGFZCLZUYFMAM-GASCZTMLSA-N 402.416 4.874 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cnc21 603733154 AQVHSGROCITIDD-UHFFFAOYSA-N 417.425 4.965 5 20 HJBD O=C(CSCc1ccc(F)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742198 OENHHSBEWGMAKU-UHFFFAOYSA-N 416.396 4.558 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nc4ccc(C(F)(F)F)cc4[nH]3)n2)c1 603938048 IPGYVLWYWZHTSC-UHFFFAOYSA-N 421.360 4.832 5 20 HJBD CCN(Cc1ccoc1)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 608808348 QRYHLFNOYRVQQX-UHFFFAOYSA-N 424.478 4.803 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cc(F)c(F)cc3F)cc2[N+](=O)[O-])C1 609182887 WJRSAHMUIRDTPZ-VXGBXAGGSA-N 407.392 4.747 5 20 HJBD CC(C)(C)CN(CC(F)(F)F)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609504988 YQSMTOQEGGMKLX-UHFFFAOYSA-N 416.318 4.587 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2nc(/C=C/c3ccccc3)cs2)cc([N+](=O)[O-])c1 609756986 BUGPNRXPDFQSMO-CMDGGOBGSA-N 423.450 4.651 5 20 HJBD O=C(Cc1cc2ccccc2nc1O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762598 JKAZLJLALFRVBP-UHFFFAOYSA-N 415.405 4.822 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)c1 609978360 WGCHCXCWMWJDMN-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 610038319 MVBLAFQBPDHQTI-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(Cc2ccc(F)cc2)CC1 610063054 SOJDGGRVBILMJC-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NCc1nc2cc([N+](=O)[O-])ccc2o1 610582007 GSKLASBLBQTICP-UHFFFAOYSA-N 404.264 4.609 5 20 HJBD CC(C)OC1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CC1 611072087 NDRUPJNWNSZJKK-UHFFFAOYSA-N 411.502 4.673 5 20 HJBD CC(C)CCn1nccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 612675008 BDGSBGGGQYKKMI-UHFFFAOYSA-N 416.425 4.587 5 20 HJBD C[C@@H](OC(=O)[C@]1(C(F)(F)F)CCN(Cc2ccccc2)C1)c1cccc([N+](=O)[O-])c1 728167997 YDTOQAYHFZJHMM-QRWLVFNGSA-N 422.403 4.654 5 20 HJBD CC(C)COc1ncccc1C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728469014 GAJCAOIHWCYMEA-UHFFFAOYSA-N 403.866 4.735 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCC(=O)c2ccc3ccccc3c2)cc1SC 728651603 URVISIKVNCALRH-UHFFFAOYSA-N 411.435 4.518 5 20 HJBD Cc1cccc2c1CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C2 729411782 CFJCCONVPVUQPC-UHFFFAOYSA-N 410.417 4.599 5 20 HJBD CN(CCc1ccc(Br)cc1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 730379261 VGLFLPULMAVUCL-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD COc1cc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1SC 731703321 AFVAAQITWDDMSW-UHFFFAOYSA-N 419.502 4.629 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(C)(C)Sc1ccc(Br)cc1 735999384 HRCIRLNYMXYMPM-UHFFFAOYSA-N 423.332 4.887 5 20 HJBD COc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)ccc1OCc1ccccc1 736018639 MFAGLAZYJHOIPK-UHFFFAOYSA-N 418.449 4.775 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 736515760 IXBTUSIKLUHXTR-GOSISDBHSA-N 414.458 4.583 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877766 ZSAHZTGBDIQRMF-STQMWFEESA-N 404.850 4.946 5 20 HJBD Cc1cc(C)c2c(-n3cccc3)c(C(=O)N3CCc4c3cccc4[N+](=O)[O-])sc2n1 737583243 ZQCPNHBWPBKPIB-UHFFFAOYSA-N 418.478 4.815 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 742841986 WUWLKYNCXXWULH-QGZVFWFLSA-N 418.449 4.673 5 20 HJBD C[C@@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nc(-c2cccc(C(F)(F)F)c2)no1 744442328 CEDPJBNXWBVGTJ-SNVBAGLBSA-N 407.304 4.616 5 20 HJBD Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)OCc2nc3ccccc3c3ccccc23)cc1 745595504 WKXRXKAXFFXSLZ-RUZDIDTESA-N 424.500 4.931 5 20 HJBD C[C@H](C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 748142861 LUFPQCPXXMEWIC-SGTLLEGYSA-N 402.834 4.517 5 20 HJBD COc1ccc2nc(C3CC3)cc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)c2c1 751821551 IWWFUJZLGWWRBF-UHFFFAOYSA-N 419.393 4.527 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754983984 CKKCTUYEUWAUGF-SECBINFHSA-N 415.204 4.533 5 20 HJBD C[C@@H](C(=O)OCc1coc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1F 755069735 XIODGMFDSXZWEM-LLVKDONJSA-N 404.781 4.889 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCCOc1ccccc1[N+](=O)[O-] 756617465 OQYCJFCIRGYNDZ-UHFFFAOYSA-N 418.254 4.548 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3cc(Br)cc([N+](=O)[O-])c3)CCO2)cc1 757520419 SHRGCEBIIDZWLS-ROUUACIJSA-N 420.259 4.743 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cc(F)c([N+](=O)[O-])cc1F 763405318 BJVCVSSIQQXZHR-UHFFFAOYSA-N 421.375 4.783 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)NCCSc2ccc(Cl)cc2)C2CC2)c1 768479273 KOTHURBWJZPIFP-UHFFFAOYSA-N 421.975 4.879 5 20 HJBD Cn1cc(CNc2ccc(OCC(F)(F)F)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 770484194 CLSQTGFEXNJEGM-UHFFFAOYSA-N 406.364 4.549 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772999390 JRUIHLHKLVNXRZ-YCRPNKLZSA-N 403.910 4.583 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1Br 777013054 YDBXZEANZKIZAB-UHFFFAOYSA-N 422.235 4.751 5 20 HJBD COCCc1nc(C)c([C@H](C)OC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)s1 781534263 YBISMNQCKBLTJG-AWEZNQCLSA-N 422.506 4.553 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)c2)oc1C 782123510 POZHAPHDIWGQOD-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1ccccc1-c1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)no1 782429358 UIJDDTRLBRSHOY-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD Cc1ccccc1C1(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CCC1 788184856 YLXHBFVWXLCGNV-HNNXBMFYSA-N 407.426 4.679 5 20 HJBD CC[C@H](Cc1ccccc1)C(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791246729 YVWMVAKJKJXPIX-OAHLLOKOSA-N 417.303 4.511 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1C 791671887 HAWHYXYIFXPVFK-UHFFFAOYSA-N 415.446 4.901 5 20 HJBD CCOc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1OC(F)F 809915263 NJDFUWDUALDMLZ-UHFFFAOYSA-N 415.780 4.570 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(CCO)Cc1ccc(Cl)c(Cl)c1 809915562 RFGZAVKYICNYGS-UHFFFAOYSA-N 418.664 4.581 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc2c(c1)CCCCC2=O 811419631 INTWQVADQWQYCB-UHFFFAOYSA-N 406.394 4.516 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1cc([N+](=O)[O-])ccc1Cl 812575214 UOIMSHRZHBFLIH-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CC[C@@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC)cc1 813142122 CTCDYKYNWITYRA-DENIHFKCSA-N 406.438 4.825 5 20 HJBD CCC[C@@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 821118867 PZAOADONUOYPMJ-XJKSGUPXSA-N 404.850 4.702 5 20 HJBD COc1cc(NCc2cc([N+](=O)[O-])ccc2OC)c(Cl)cc1Br 864033774 GGTZLRWNBCHWLI-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 875036277 VSCGFIFOBHYFIN-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1cc(C(=O)OCc2nnc(-c3ccc(Cl)cc3Cl)o2)cc([N+](=O)[O-])c1 920900020 IRDVYUKAQYRALD-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CC(C)(C)n1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)nc2ccccc21 1318012860 MIYVKOXBXZCVMC-UHFFFAOYSA-N 424.504 4.898 5 20 HJBD CN(C)[C@H]1CCCC[C@@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 1318396713 JAFIUYNBNSUBFD-ROUUACIJSA-N 422.323 4.580 5 20 HJBD Cc1ccc(CC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 1342160142 ILQVTSMBQDMDGU-QGZVFWFLSA-N 406.486 4.969 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 22793093 BVQUUHYHZHRUJA-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1ccccc1C[C@H](C)N(Cc1ccccc1)C(=O)c1csc([N+](=O)[O-])c1 116548484 XQKPDCZYCPNBIT-INIZCTEOSA-N 410.495 4.939 5 20 HJBD CCC(CC)C(=O)N1CCC(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 236935652 BCYAMWANXVXSKY-UHFFFAOYSA-N 415.559 4.840 5 20 HJBD COc1ccc(CN[C@@H]2c3cc(Br)ccc3SC[C@H]2C)cc1[N+](=O)[O-] 237367245 DDJWEGFESQYFOY-ZMZPIMSZSA-N 423.332 4.939 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cccc(NC(=O)c2cccc(F)c2)c1 301442542 NRUSCBJYUFHISC-UHFFFAOYSA-N 409.417 4.745 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1OC(F)F 302188932 QIIPYHSIKOEZBG-MRXNPFEDSA-N 418.318 4.793 5 20 HJBD COc1ccc(Cc2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1OC(F)F 426412694 UZCCFABVYBMKJG-UHFFFAOYSA-N 419.384 4.505 5 20 HJBD C[C@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)[C@@H]1OCCc2sccc21 426457460 GIXHPVDOQKRGRZ-WLRWDXFRSA-N 410.455 4.529 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccc(Cl)cc1NC(=O)c1ccco1 429792476 CGHKDCKFIWFZLT-UHFFFAOYSA-N 401.806 4.714 5 20 HJBD Cc1cc(OCC(=O)Nc2cccnc2-c2ccc(Cl)s2)ccc1[N+](=O)[O-] 430800819 VCPIRENDYQBHOV-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@@H](c2ccccc2)c2ccccc21 436301471 ZBABNUOCWHDCKA-NRFANRHFSA-N 410.433 4.573 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436374091 UDYWMODRSRAVPO-UHFFFAOYSA-N 410.395 4.761 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 437019411 LKZCTRSUFZJUSQ-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD Cc1cc(C)cc(CCNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444070596 DGLBJDSKNROAHI-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1F 444230294 YYFUZLAJNRPBHC-LBPRGKRZSA-N 410.395 4.898 5 20 HJBD O=C(Nc1nc(C(F)(F)F)cs1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444644125 DOMBPAZVNRPZBH-UHFFFAOYSA-N 407.348 4.548 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 445814250 UCOGHWHQKFXIAD-UHFFFAOYSA-N 407.264 4.636 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 446005157 XFHSUADDFKYWTQ-HKUYNNGSSA-N 421.419 4.502 5 20 HJBD O=C(Nc1cccnc1-c1ccc(Cl)s1)N1CCc2ccc([N+](=O)[O-])cc2C1 446576209 NQBDRTACPTVKCJ-UHFFFAOYSA-N 414.874 4.962 5 20 HJBD CCCNC(=O)c1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1 446889994 FJMCXAIVTCWPEH-UHFFFAOYSA-N 409.442 4.615 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3ccccc3)c3cccc(F)c3)n2)c1 447338081 OUCINVBEUATYIC-NRFANRHFSA-N 404.401 4.663 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(c2ncc3n2CCCC3)CC1 447676670 RHKDGEVBTFPKCI-UHFFFAOYSA-N 410.521 4.941 5 20 HJBD C[C@@H](CC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 462441568 QETFZMSWFSYWJX-ZDUSSCGKSA-N 423.282 4.691 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccs1)CC(F)(F)F 462625030 XVDVRKTVIYHDPD-AWEZNQCLSA-N 400.422 4.816 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)NCCc1ccccc1[N+](=O)[O-] 466157268 LUTWLNVIVQUTHL-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD Cc1c(NC(=O)NCCc2ccccc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 467976008 XGKWZQUWLDLMMQ-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD C[C@@H](CNC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 468279352 XGKWOEIWNQFYOY-LBPRGKRZSA-N 405.376 4.557 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1 475062827 DQXFYWIBXGBATN-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1c(-c2ccc(C(F)(F)F)cc2)noc1C 477828969 JCTVMQGSEMHXFC-UHFFFAOYSA-N 421.331 4.838 5 20 HJBD Cc1ccc([C@H](Nc2ncc([N+](=O)[O-])c(C)c2Br)c2ccccn2)cc1 480573632 GTXZMKDBYZYGRN-SFHVURJKSA-N 413.275 4.966 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 485508681 WMMJATUDGKHOEH-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD C[C@@H](CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1c[nH]c2ccccc12 485735874 TWMPIVRPLFDYEP-INIZCTEOSA-N 407.470 4.640 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(F)cc2-c2ccc(F)cc2)cc([N+](=O)[O-])c1 485736066 QEGNKQLTWLGFBJ-UHFFFAOYSA-N 412.348 4.579 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1cc(Cl)cc(Cl)c1OC(F)F 486180449 WPFUXPDDBCRGRS-UHFFFAOYSA-N 405.184 4.741 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486300153 OMQNOZTUUZKASB-UHFFFAOYSA-N 424.320 4.575 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)cc2)n1 488185839 QUIBELVVADHCOC-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1CC(=O)OC(C)(C)C 489873680 SDEPZCNHUFPJEV-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@H](c1ccccc1)C(F)(F)F 490882457 WENPWAMBVTXXKK-SUMWQHHRSA-N 412.433 4.928 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2csc(Br)c2)cc1[N+](=O)[O-] 493002371 JCFIXNCUFSRLPC-NSHDSACASA-N 412.309 4.630 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2oc(C(F)(F)F)nc12 494700305 CFAZQQBUVTUEFH-SECBINFHSA-N 411.361 4.874 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1 496612372 OMSNOFTWYJSPKH-HSZRJFAPSA-N 419.481 4.813 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC3(CCCC3)c3c(F)cccc32)cc1OC 500122455 NUGAOOAIBDEKTM-UHFFFAOYSA-N 414.433 4.613 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 502293449 JZVACXLDLOIEJM-OAHLLOKOSA-N 415.471 4.824 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 504586642 VLWXCJZUYIIZAX-IEBWSBKVSA-N 422.453 4.635 5 20 HJBD COCCN(Cc1c(F)cccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 505781141 DTCBBAVBJUCDFO-CYBMUJFWSA-N 400.372 4.962 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(OC)c(Cl)c2)cc1OC 506308146 WFFQIWQKRWYHII-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 510082256 DCFDWCCEWFOVJQ-SFHVURJKSA-N 413.865 4.987 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)CSc1ccc([N+](=O)[O-])cc1 510093716 KIDGLFHRBNYGPW-UHFFFAOYSA-N 423.332 4.887 5 20 HJBD CCOc1ccc(-n2c(Sc3ccccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 514653045 YEKBBOUHHBALTB-UHFFFAOYSA-N 419.466 4.787 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 515237806 GTRLWQIOZZPFAH-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 516255717 KRTIHYHZMAIRKY-SFHVURJKSA-N 401.875 4.899 5 20 HJBD CCc1c(-c2ccc(Br)cc2)n[nH]c1NC(=O)c1ccc([N+](=O)[O-])s1 520369669 AHJAVJZSCXMHFP-UHFFFAOYSA-N 421.276 4.624 5 20 HJBD O=C(O)C/C(=C\c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2s1 520465887 QAFRRFNAMNDFCM-YRNVUSSQSA-N 406.366 4.821 5 20 HJBD C[C@H]1CCCN(Cc2ccc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)C1 521816009 JNFIQPRNJJBNDZ-INIZCTEOSA-N 419.472 4.718 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)n2)c1 521889832 JAAIPQIYVYXZGT-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD CC(=O)Nc1ccc(F)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 522672534 QCNCWRTXEGELSG-UHFFFAOYSA-N 409.373 4.737 5 20 HJBD Cc1ccc(F)c(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)c1 522817227 WVMUDSRCUMJFNW-UHFFFAOYSA-N 406.373 4.740 5 20 HJBD C[C@H](NC(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1cccc([N+](=O)[O-])c1 524640209 KAHWAIKCZBSRFC-AWEZNQCLSA-N 410.449 4.865 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 537135504 FORKPOJPLIVUME-DLBZAZTESA-N 404.470 4.643 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 537656469 GYTWGELISIPRTJ-UHFFFAOYSA-N 407.495 4.889 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](Cc1ccccc1)c1cccs1 542526236 SYRVWRDHORIPFO-FQEVSTJZSA-N 409.511 4.867 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)[C@H](C)c4ccc([N+](=O)[O-])cc4F)CC3)c[nH]c2c1 543550581 ABZCIHLAVLJXMJ-OAHLLOKOSA-N 407.445 4.943 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(COc2cc(Cl)ccc2Cl)n1 544526554 VZSTUBQNPDCDSP-UHFFFAOYSA-N 409.229 4.518 5 20 HJBD Cc1c(Cc2noc([C@H](C)Oc3ccccc3Br)n2)cccc1[N+](=O)[O-] 545074433 PPKCIHBWESSVND-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD COc1cccc2[nH]cc(Cc3noc(-c4cc([N+](=O)[O-])cc(C(F)(F)F)c4)n3)c12 545750664 DNTAHWWRACWLTN-UHFFFAOYSA-N 418.331 4.744 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cccc4cccnc34)n2)c(Br)c1 546476455 VPZMYDBKTNQWEQ-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1cc(Cc2noc(Cc3csc(-c4cccc(F)c4)n3)n2)ccc1[N+](=O)[O-] 546559723 NRMLVAOSBRNJQV-UHFFFAOYSA-N 410.430 4.730 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(C(F)(F)F)cc1N1CCCC1 553061730 NQVSUHURIIVFGL-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD O=[N+]([O-])c1cccc(F)c1Oc1ccc(Oc2ncc(Br)cn2)cc1 553246163 XHXFGHZUROAAAU-UHFFFAOYSA-N 406.167 4.871 5 20 HJBD C=Cc1ccc(C(=O)N(CCOC)c2nc(-c3ccc([N+](=O)[O-])cc3)cs2)cc1 556342890 TUQLWNQYMLQKDA-UHFFFAOYSA-N 409.467 4.655 5 20 HJBD CN(CCO)Cc1cc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)ccc1Cl 565677436 HJVZGRFAJKYYGK-UHFFFAOYSA-N 415.877 4.544 5 20 HJBD O=C(NC1(Cc2ccccc2Br)CC1)c1ccc(Cl)cc1[N+](=O)[O-] 579749231 MSOLNMWWNDLRED-UHFFFAOYSA-N 409.667 4.516 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603216158 CTGKUDQWAHCOCQ-UHFFFAOYSA-N 420.359 4.643 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)cc1[N+](=O)[O-] 604004724 QEMCCZIPSTUYNW-UHFFFAOYSA-N 418.453 4.840 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N1CCC(c2nc(-c3ccccc3)cs2)CC1 609491266 ZUGABVYMODZXCH-UHFFFAOYSA-N 422.510 4.536 5 20 HJBD CCOc1cccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609855845 JDSANHMRMAARSP-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@H](Cc2ccc(F)cc2)C1 609909598 OWEIXBFDXDZMIY-OAHLLOKOSA-N 411.458 4.562 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1Cc1ccccc1Cl 610062443 SXDOKYYDMSVFPW-CYBMUJFWSA-N 423.694 4.858 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(COC(C)(C)C)cc1 610624726 ZGMFFSREUVAMCH-ZDUSSCGKSA-N 406.866 4.969 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](COc2ccccc2Cl)C1 611085211 OHWJGRNBIVLWFW-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@H](c2cccc(F)c2)C1 727435496 RQBLPZLJIKWPHS-INIZCTEOSA-N 404.747 4.984 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cc3ccccc3nc2Cl)cc1SC 728651657 WMISSSYQYYJDEP-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3c(C)cccc3[N+](=O)[O-])sc2c1 729728878 GGZYDAQOIMAURA-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C(=O)COc1ccc(C=O)cc1[N+](=O)[O-])C1CC1 731616641 ICXJSFLPYHJGIW-MRXNPFEDSA-N 418.449 4.692 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)CCCC1 731799989 OIDQOWWYQNNMGG-UHFFFAOYSA-N 420.491 4.564 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ncoc2C(C)C)c2ccccc2)c([N+](=O)[O-])c1 732386941 VHUCKZYJWAUFHS-FQEVSTJZSA-N 423.425 4.551 5 20 HJBD COC(=O)CCCN(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 733889654 IFXZVPFYWCZPCO-UHFFFAOYSA-N 415.489 4.959 5 20 HJBD C[C@H](N[C@@H](C)c1nc2ccccc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734159979 SZLHAAYDAQVWMV-QWRGUYRKSA-N 404.879 4.536 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cc(Cl)ccn2)cc1[N+](=O)[O-])c1ccccn1 735888462 XKXPTSSCRZRBLM-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C1CCCCC1 736005281 GRYQQJLZYHWEKC-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD C[C@@H](C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccco1 741516701 ODAWFEVUGJBCOI-CYBMUJFWSA-N 405.362 4.670 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744827328 CANJZNXSPWFSSA-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)c3cccnc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748623255 DVOZXHPFXGBOHW-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2c(F)cccc2F)n1 751613548 DPUBUYNRAQQMOA-LLVKDONJSA-N 420.393 4.752 5 20 HJBD O=C(COC(=O)c1ccc(C2SCCCS2)cc1)c1cccc([N+](=O)[O-])c1 753520002 CZKNHCSQVXAHAP-UHFFFAOYSA-N 403.481 4.503 5 20 HJBD CCc1ccc([C@H](NCc2ccc(-n3ccnc3C)nc2)c2ccc([N+](=O)[O-])cc2)o1 753622710 CUWBZFPCDILSJT-HSZRJFAPSA-N 417.469 4.519 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)ccc1[N+](=O)[O-] 754339136 COFSMMXCQXDTID-UHFFFAOYSA-N 416.459 4.741 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 759947727 LCKHKKNHFHBNIX-PMPSAXMXSA-N 411.380 4.554 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Br)cc1F 760473267 ZBEOCNXZRXZZSO-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccc(C)cc1C 763814048 LRTDDAKWSZYPPE-CQSZACIVSA-N 402.472 4.508 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1-c1ncco1 765525567 CKRBJYZLURRHSY-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])c(Cl)c1 778140027 WROBGWGHHWROPN-NSHDSACASA-N 411.241 4.569 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CCCc1nc(-c2ccc(F)cc2)no1 778773567 QTRYOLULNMJKSI-AWEZNQCLSA-N 413.405 4.719 5 20 HJBD C[C@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2n1C 784053066 ICPGXVOBVPUFSP-NSHDSACASA-N 405.863 4.531 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OC[C@H]1COC(=O)O1 785640270 GGABIAMSDAYGFN-KRWDZBQOSA-N 402.402 4.626 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)Oc1cc(F)cc([N+](=O)[O-])c1 790785789 QHGMALOQXIUTGM-UHFFFAOYSA-N 404.394 4.619 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1ccc2nnc(-c3ccccc3)n2n1 795248413 BWYOQBJRESQRMM-UHFFFAOYSA-N 402.197 4.799 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2cccnc2)nc2ccccc12 798542558 YVDBYZAXBYJSHU-UHFFFAOYSA-N 414.421 4.856 5 20 HJBD COCc1nc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c(-c2ccccc2)s1 799297297 NRGZHZOQRCPOGH-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccccc2)cc1F 813287047 UWXIGZSILDPJEN-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3cn4cc(Br)ccc4n3)sc2c1 864237585 WCRODZAEZGHNFI-UHFFFAOYSA-N 421.301 4.907 5 20 HJBD COc1cc(-c2nc(-c3cc(C)ccn3)no2)c([N+](=O)[O-])cc1OCc1ccccc1 904943172 KQYXPFXIRMHVLV-UHFFFAOYSA-N 418.409 4.603 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 914437479 GQGISMITQVTGHT-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)sc1Cc1ccc(F)cc1 917736286 GDHRASGOSZKARJ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(Cn1c(=O)oc2ccc([N+](=O)[O-])cc21)C1CC1 920304810 BHISLNBGSHVDNA-LBPRGKRZSA-N 421.375 4.705 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(c2nc3ccccc3o2)CC1 1115817910 HOSMWUGANNOMAD-UHFFFAOYSA-N 407.451 4.971 5 20 HJBD Cc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(C)n1Cc1ccco1 1115833010 NQLYSQRKZBWJFV-UHFFFAOYSA-N 401.850 4.580 5 20 HJBD Cc1ccccc1C(F)(F)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 1116412947 RGUPKNNFZDKAQV-UHFFFAOYSA-N 402.319 4.586 5 20 HJBD Cc1ccc(O[C@H](C)c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c(Br)c1 1117189607 CPGZSUMHAFWPKX-LLVKDONJSA-N 404.220 4.856 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(CNc2ccccc2[N+](=O)[O-])o1 1257719512 YEAFYWHLZIRCGA-UHFFFAOYSA-N 402.838 4.563 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(-c2cc3c(s2)CCCCC3)o1 1322918547 AYWJXBWZFZDBBL-CYBMUJFWSA-N 416.507 4.580 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2C[C@H]3CCCC[C@H]32)cc1[N+](=O)[O-] 1337666740 LFCMXQPHDMEDPX-CRAIPNDOSA-N 410.495 4.562 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 7505801 JVGAFCMKDDVMSP-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD CSc1ccc(C(=O)NCCc2ccc(-c3csc(C)n3)cc2)cc1[N+](=O)[O-] 12620775 HABIEXUEIBFXDQ-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 42918326 CVOWIJJNSSDOCN-UHFFFAOYSA-N 411.411 4.942 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(Cl)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 65695201 CZYQVCZQQXFNFU-UHFFFAOYSA-N 405.794 4.730 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)cn2)cc1C 109402351 SWUVWQNVFABVKL-UHFFFAOYSA-N 411.389 4.556 5 20 HJBD COc1ccc(N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1Cc1ccccc1 248178951 CCJBYHUIGSTKFI-INIZCTEOSA-N 405.454 4.633 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2OC(C)C)cc1OC 303306571 ZVICRMHCFHYVPR-IBGZPJMESA-N 400.475 4.736 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC[C@@H](c2nccs2)C1 426456029 CODVIPQXHZNQAD-QGZVFWFLSA-N 423.494 4.650 5 20 HJBD CC(C)(c1nc(-c2cccc(NC(=O)C3CCC3)c2)no1)c1ccccc1[N+](=O)[O-] 426458068 HYTVASVMVHABMI-UHFFFAOYSA-N 406.442 4.709 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)c2)n1 431892875 YWABDBGZXMABGX-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC[C@@H]1Cc1ccc(F)cc1 436149100 HANNHCAJAFUSJW-LJQANCHMSA-N 400.453 4.668 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437985390 JZRFMBGAVNOFTB-AUSIDOKSSA-N 423.513 4.745 5 20 HJBD CCCCOC1CCN(c2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])CC1 439711227 AZUVKEHDMYRGOQ-UHFFFAOYSA-N 411.502 4.941 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)c1cccc([N+](=O)[O-])c1 441252374 WBCBJODFLKGLEE-SGTLLEGYSA-N 413.865 4.732 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1C1CC1 444289719 NYSKXGJHAIYMCZ-CQSZACIVSA-N 400.482 4.979 5 20 HJBD CCC(CC)[C@@H](NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccs1 444983112 ZJILIYBPXJPIJQ-GOSISDBHSA-N 401.492 4.509 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3o2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446937675 FKHOEUBBAQJDJK-UHFFFAOYSA-N 414.421 4.993 5 20 HJBD Cc1ccc(N(C(C)C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462152285 SGOYZAZVOMDBJH-UHFFFAOYSA-N 403.287 4.814 5 20 HJBD C[C@H](C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1F 462373343 VXDVGVBLKNQTFD-WHOFXGATSA-N 421.266 4.662 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccnc1Oc1cccc(F)c1 463952371 SJMLCVCPGBWRAR-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCCSC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283243 CZLOYMJWRBVBBW-AWEZNQCLSA-N 405.545 4.851 5 20 HJBD CCN(Cc1cc([N+](=O)[O-])ccc1OC(C)C)CC1CCN(c2ccccn2)CC1 464813239 XHZZORTVZKFZDK-UHFFFAOYSA-N 412.534 4.516 5 20 HJBD C[C@@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)cc1OC(F)F 467992492 GHJMSKYLFLIRPL-LLVKDONJSA-N 402.344 4.691 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC2CC2)c(F)c1 469456708 JRWVBCUQTWPWQM-CQSZACIVSA-N 400.450 4.678 5 20 HJBD Cc1ccc([C@@H](NC(=O)COc2c(Cl)cccc2[N+](=O)[O-])c2cccs2)cc1 471294972 BKMIHPXXDYQUIH-LJQANCHMSA-N 416.886 4.903 5 20 HJBD CC(C)(C(=O)NCC1(CCOCc2ccccc2)CC1)c1ccc([N+](=O)[O-])cc1F 471568272 XKVIAOQSTWJNPC-UHFFFAOYSA-N 414.477 4.515 5 20 HJBD COc1ccc(CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(C)(C)C)c(OC)c1 472504075 RFFBRSXBHAESFZ-UHFFFAOYSA-N 412.442 4.799 5 20 HJBD CN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)C1c2ccccc2-c2ccccc21 477520295 BOYBUPGGFVTURD-UHFFFAOYSA-N 410.433 4.623 5 20 HJBD COc1cc([C@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2C)ccc1OCC(C)C 478802692 VMZQYLNCSQLGKE-INIZCTEOSA-N 415.490 4.507 5 20 HJBD COC(=O)c1nc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)sc1C 480582960 PURWKCGSWAWGLR-MRXNPFEDSA-N 424.482 4.550 5 20 HJBD Cc1c([C@@H](C)N[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cccc1[N+](=O)[O-] 482096403 QXMAAXJEDPUIOW-FUHWJXTLSA-N 410.518 4.571 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 486667318 UFPHGCLVYJCUKL-UHFFFAOYSA-N 424.379 4.530 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc([N+](=O)[O-])cc1Cl 489074254 QLWYFASZIAXSMG-CQSZACIVSA-N 417.893 4.744 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@@H](c1ccccc1)C(F)(F)F 490882456 WENPWAMBVTXXKK-GUYCJALGSA-N 412.433 4.928 5 20 HJBD Cc1cc(C2CCN(C(=O)c3csc([N+](=O)[O-])c3)CC2)ccc1Br 494104223 CJVQECUUKRMAFW-UHFFFAOYSA-N 409.305 4.747 5 20 HJBD O=C(Nc1cccc(CCN2CCCC2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 496829983 HUNZJKJDCWECPN-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD C[C@H](Cc1ccc(Br)cc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 498643649 LDDIBCRFLIUNQU-CQSZACIVSA-N 417.303 4.727 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(-n2nccc2C(F)(F)F)c1 501856209 FCUSSCKQOKELMJ-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(O)CCCCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 502446113 NXSQGMSIZDNRIZ-UHFFFAOYSA-N 422.890 4.774 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)[C@@H](C)c1ccc(F)cc1 502495944 PHMPSTDPKOATGD-INIZCTEOSA-N 424.476 4.819 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 503653294 CVYPXZVJYBEWTJ-LLVKDONJSA-N 403.232 4.937 5 20 HJBD CCC(C)(C)c1ccc(C[S@](=O)CCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 505705183 HRUJULSJAPBQTE-MUUNZHRXSA-N 402.516 4.560 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2c(F)cccc2N2CCCC2)cc1[N+](=O)[O-] 510614764 MOXIDMBVGSYTNQ-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-n4ccnc4)cc3)cc2[N+](=O)[O-])C1 514091066 UBRISMUAZSKYRP-IAGOWNOFSA-N 419.485 4.515 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cccc(C(F)(F)F)c1 514805225 PQYXFUSSVGUSPZ-UHFFFAOYSA-N 405.376 4.943 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1OCC 515192885 OFRAHZLKFLDLOX-HNNXBMFYSA-N 415.490 4.704 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1OC 520151454 GBLQDGPGIHJUIV-INIZCTEOSA-N 420.469 4.838 5 20 HJBD CN(C)c1cccnc1CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520666721 JBMWTJVPRKLJRY-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD O=C(C1CC(c2cccc(F)c2)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 522221429 WKZNSYOUHHLQEB-UHFFFAOYSA-N 410.367 4.819 5 20 HJBD C[C@@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(C(F)(F)F)c1 523083943 AMKITLAHOMNEPI-LBPRGKRZSA-N 424.375 4.907 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)cc1 525175533 XTEHVCDMOMZNIE-JOCHJYFZSA-N 410.857 4.899 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1ccccc1)c1cc([N+](=O)[O-])cc2c1OCCO2 527036771 QVIKNEOXJJVQES-UHFFFAOYSA-N 410.813 4.939 5 20 HJBD Cc1ccccc1[C@H](CO)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 530598386 XPVDVSWZFKLBBE-NRFANRHFSA-N 407.426 4.551 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 542545436 QVKLAUVRMVTFON-KGLIPLIRSA-N 404.438 4.512 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)s2)cc1 542591488 VUWSGCGVEFWEQK-HNNXBMFYSA-N 424.526 4.933 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-c3csc(C)n3)cc2)c1 549212783 ZWZHPAAOUFKBEW-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(=O)Nc1cccc(-c2ccccc2)c1 550718268 GPXCKVLZDNXUCH-UHFFFAOYSA-N 417.465 4.638 5 20 HJBD COCCC[C@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 553027110 NWPXCOXUDBPSBC-CQSZACIVSA-N 411.285 4.842 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1C(=O)c1ccc(Cl)cc1 553062720 GSLBWWUVOAYCMY-UHFFFAOYSA-N 422.868 4.794 5 20 HJBD O=C(NCC1(c2ccccn2)CCC1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 553570965 ISMNWAASCMTIRQ-UHFFFAOYSA-N 419.506 4.993 5 20 HJBD Cc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n(C(C)(C)C)n1 555665832 FQFFAXBLVTXMLP-UHFFFAOYSA-N 408.458 4.686 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(F)c(F)cc1N1CCCCC1 558513414 KWHSWPCNXCEUPA-UHFFFAOYSA-N 418.444 4.570 5 20 HJBD Cc1cc(S(=O)(=O)Nc2cccc(Cl)c2Oc2cccnc2)ccc1[N+](=O)[O-] 567075126 FNNOZVGWZNIPAV-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD C[C@H](C(=O)Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cccc([N+](=O)[O-])c1 571955453 ADJWFRKQRCHPRN-AWEZNQCLSA-N 415.877 4.617 5 20 HJBD O=C(Nc1ccc(F)cc1OC1CCOCC1)c1cc2cc([N+](=O)[O-])ccc2s1 580107194 PGEQPVDTJOLYJP-UHFFFAOYSA-N 416.430 4.759 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)n(Cc2ccccc2)n1 603458333 AAKYNTXEXOFFPH-UHFFFAOYSA-N 417.469 4.871 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC 603651267 DFKRDPSRVCHOBI-UHFFFAOYSA-N 407.854 4.710 5 20 HJBD O=C1CSc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2N1 603734148 QCYPWJDWDGVJEV-UHFFFAOYSA-N 421.434 4.684 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)NCc4ccc([N+](=O)[O-])cc4)CC3)c[nH]c12 604077270 JBUVIJPXCPEUAH-UHFFFAOYSA-N 406.486 4.728 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(Oc3cccc(F)c3)cc2)cc1[N+](=O)[O-] 604087464 KJWONKATPYLZSQ-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(OCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 604131159 XMUSMJDTSPPLDL-QGZVFWFLSA-N 405.454 4.734 5 20 HJBD Cn1c([C@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2)nc2ccccc21 604441137 DXSVZNZORCGCHB-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD CCOC(=O)c1cc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)cc([N+](=O)[O-])c1 609475918 JAMLSSHPKBRWCO-UHFFFAOYSA-N 407.304 4.507 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@@H]2C)cc1[N+](=O)[O-] 609508237 GMZHDLVXKYUWRE-SJCJKPOMSA-N 406.891 4.572 5 20 HJBD COc1cccc(C[C@]2(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)C[C@H]3CC[C@H]2C3)c1 609783480 HPTYHVBANJVZQX-QQMNAOGKSA-N 410.470 4.600 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610186993 UVPVGDPXSUHOMF-OPYAIIAOSA-N 407.514 4.604 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@@H](COc4ccccc4Cl)C3)nc2c1 611090130 AZHKTHAMBZAOTK-CQSZACIVSA-N 401.850 4.680 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1cccs1 611198276 DHABWKSTTYWSDE-KRWDZBQOSA-N 419.572 4.724 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 728154257 DURFSESLDNPUMN-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 730505898 FDEFEBVTPLMREE-UHFFFAOYSA-N 423.416 4.693 5 20 HJBD CC[C@H](Cc1ccccc1)C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 732728428 GVFPPNWLIRSNAN-GOSISDBHSA-N 422.485 4.651 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1Cl 733223280 UWWAEWDVKGFBGT-UHFFFAOYSA-N 402.756 4.774 5 20 HJBD Cc1ccc(Oc2ccc(N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)cc2)nc1 733323155 GJFPLGYMIILQDM-MRXNPFEDSA-N 406.442 4.838 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2n1 740731179 IJDGLTTVSQZJEI-UHFFFAOYSA-N 407.474 4.768 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)c1cccc(Cl)c1 741002035 JULBAOVVGZLGSB-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD Cc1ccc([C@H](O)CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)o1 741263004 XNRULWQXSKTWDV-QGZVFWFLSA-N 402.834 4.765 5 20 HJBD COCc1nc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)cs1 742352268 WPZXKYIQWBKTMI-UHFFFAOYSA-N 424.434 4.550 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cccc(OCc2cccnc2)c1 757199675 JMQKDXQAOBIYIY-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 759339611 SUQVVPHAIZGSMR-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CN1CC[C@@H](CNCc2ccc([N+](=O)[O-])c(Cl)c2)[C@@H]1c1ccc(Cl)c(F)c1 761527314 OGRRELFVZOQZDQ-LIRRHRJNSA-N 412.292 4.823 5 20 HJBD Cn1ccc([C@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)n1 762819193 YQRBFSHTJVKXIN-QGZVFWFLSA-N 420.256 4.546 5 20 HJBD C[C@@H](C[C@H](O)c1cccs1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765129524 AKUCCXOVZKDFLY-GUYCJALGSA-N 420.556 4.933 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@@H]1c1cc(F)cc(Br)c1 767155047 WIHABQUTLOLZCE-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC[C@@H]1NC(=O)OC(C)(C)C 769424764 OJZQMULLWBTSED-YJBOKZPZSA-N 415.490 4.590 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)nn1C1CCCCC1 776338913 PRKBEJOCZDOYNL-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD Cc1cc(COC(=O)CCc2ncc(-c3ccccc3Cl)o2)ccc1[N+](=O)[O-] 781171723 PBFRDLXCIJMTIB-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD Cc1cc2c(S[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)ncnc2s1 783420548 FKSWXYQKKBBKOM-SECBINFHSA-N 408.892 4.681 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@H](C)c1cccc(N2CCOC2=O)c1 789367040 STRZMLJSIUOXAZ-MRXNPFEDSA-N 413.474 4.532 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)c(C)c1 791906883 ROCUOMQJACHUOT-OAHLLOKOSA-N 403.276 4.604 5 20 HJBD Cc1ccc(OCc2ccccc2)c(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c1 799504375 ZGWPAIUEEJESFR-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD CC(C)(C(=O)OCc1nc2cc(Br)ccc2o1)c1ccc([N+](=O)[O-])cc1 803360175 CYNHLIKBGICFAE-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD Cc1ccc(N(C)C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Br)c1 813309923 HVXLIULSXVVFSO-LBPRGKRZSA-N 409.305 4.809 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3Cl)n2)cc1 816832214 SYTHDFXYOHTEGN-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Oc1ccccc1-c1nc2ccccc2s1 857837037 VLRVMEWXRFBRHX-UHFFFAOYSA-N 406.419 4.856 5 20 HJBD Cc1cnn(-c2ccc(NCc3c(Br)cccc3[N+](=O)[O-])cc2F)c1 864029844 UDXQJNYDUSNONF-UHFFFAOYSA-N 405.227 4.603 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ccc(OC(F)F)cc1 912912549 DJGSARDOUZGDHI-CQSZACIVSA-N 419.428 4.678 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 915350498 CTOQGPBJXUUVDY-UHFFFAOYSA-N 420.444 4.755 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNc3nc4ccccn4c3[N+](=O)[O-])c2)c1 917088634 BQMLWTKEEAHQNN-UHFFFAOYSA-N 416.441 4.807 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)CC(C)(C)C 919495620 FGYREIXIRUZPMA-NWDGAFQWSA-N 418.412 4.946 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1cccc(COc2ccccc2)c1 920313669 JTHJAWFKHRCBIN-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccc(Oc2ccccn2)cc1 920790667 GKMUPSFLRRPJJZ-ZDUSSCGKSA-N 414.801 4.808 5 20 HJBD CC(C)c1ccc(-c2noc(CCc3nnc(-c4cccc([N+](=O)[O-])c4)o3)n2)cc1 1117190740 WESVUQNLEARSHN-UHFFFAOYSA-N 405.414 4.603 5 20 HJBD COC(=O)[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccc1Cl 1322351221 LARYHSCOXWEPSK-INIZCTEOSA-N 405.815 4.534 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccccc2)c(-c2nnc(-c3ccc4c(c3)OCO4)o2)c1 1322828327 MFJRCHNBCRHSEF-UHFFFAOYSA-N 403.350 4.833 5 20 HJBD Cn1ccc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2c1=O 1340740948 IQGLWRIJYVTTOB-UHFFFAOYSA-N 409.829 4.972 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 5869359 BBUDBLAICQEPGF-UHFFFAOYSA-N 410.470 4.564 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 55467036 IDTDHIBQNWISJE-AWEZNQCLSA-N 411.483 4.537 5 20 HJBD CCc1ccc(CNC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 60426463 KFSXVERETFIYKE-UHFFFAOYSA-N 404.435 4.646 5 20 HJBD COc1ccc(N(Cc2ccc(C(C)C)cc2)C(=O)CCn2cc([N+](=O)[O-])cn2)cc1 63572519 DXEUMGDZZXQMOZ-UHFFFAOYSA-N 422.485 4.547 5 20 HJBD Cc1nc(-c2ccc(C(F)(F)F)cc2)sc1C(=O)NCc1ccc([N+](=O)[O-])cc1 108375009 HYAXQZVAQBTCBE-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 139808140 JXAVHWFKKZYGJV-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD O=[N+]([O-])c1ccccc1CNCc1cn(Cc2ccccc2)nc1-c1cccs1 237940638 BJJGGTOWZXEYQD-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNc3c([N+](=O)[O-])ccc4c3CCN4)c2)cc1 302841565 MCZPHHURIYIWSH-UHFFFAOYSA-N 402.454 4.736 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Cl 303001147 HNXXLJIZANHPIR-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](C)c1sc(-c2ccccc2)nc1C 427387176 OUVWOYRWGZYUQU-CQSZACIVSA-N 411.483 4.869 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 430194947 LPGFDGDLRGPVHF-NRFANRHFSA-N 422.481 4.694 5 20 HJBD C[C@@H](C(=O)Nc1cccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1)n1cccn1 433238926 IPMPRUFYKUPQRC-YCRPNKLZSA-N 419.485 4.826 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(Cl)ccc1Cl 445329461 AGZNEGNILIZEEY-BAQGIRSFSA-N 419.224 4.636 5 20 HJBD O=C(Nc1cccn(Cc2ccccc2)c1=O)c1cc2ccccc2c2cccnc12 446509579 AAJHVBGVLDFCIJ-UHFFFAOYSA-N 405.457 4.850 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1nnc(-c3ccc(Cl)cc3Cl)o1)CC2 446733406 DCMFIOKCBIVLNH-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD COc1cc(C(=O)Nc2cccc(CSC(C)C)c2C)cc([N+](=O)[O-])c1OC 460255187 LWRDGECVIBFZTK-UHFFFAOYSA-N 404.488 4.814 5 20 HJBD CN(CCc1cccc(Br)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 461117623 XNRXZFPSBGVCEJ-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD CC(C)NC(=O)c1ccc(NCCc2c[nH]c3ccc(Cl)cc23)c([N+](=O)[O-])c1 463069594 KLOORHHYUYMCGV-UHFFFAOYSA-N 400.866 4.522 5 20 HJBD CCOc1ccc2nc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])sc2c1 463543345 JUFPRBTWEWUGEH-UHFFFAOYSA-N 411.361 4.874 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 463934439 KXFFAFKNFUIPBO-UHFFFAOYSA-N 405.376 4.632 5 20 HJBD Cc1c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)sc2cccc(F)c12 464660121 LSMGVNLBDKIQMH-UHFFFAOYSA-N 414.458 4.941 5 20 HJBD O=[N+]([O-])c1c(Sc2ccc(Cl)cc2)cccc1-c1nc([C@@H]2CCOC2)no1 466989513 JYWSBQSIKLWEOP-LLVKDONJSA-N 403.847 4.953 5 20 HJBD CCC(=O)c1ccc(NC2CCN(Cc3ccc(F)c(F)c3)CC2)c([N+](=O)[O-])c1 479381601 KJZSLOPLYWFSJH-UHFFFAOYSA-N 403.429 4.542 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc21 480230287 LDXFQTWHPPLIOJ-DHIUTWEWSA-N 414.461 4.867 5 20 HJBD CC[C@H](NCCC(=O)Nc1cc(C)c(Cl)cc1OC)c1cccc([N+](=O)[O-])c1 484495061 PRNGXCJKYKZRKZ-KRWDZBQOSA-N 405.882 4.635 5 20 HJBD C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1Cl 485937127 BXLJOSFGRJZVHD-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(Oc2ccccc2)nc1 486268037 DDPWJRBFOASUJQ-UHFFFAOYSA-N 409.467 4.824 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)s1 488356845 DEALGVPCRNEWKW-UHFFFAOYSA-N 422.466 4.586 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492964975 XZXWWUBBBCPAJP-OALUTQOASA-N 404.388 4.908 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494425721 NBXIWAMOZIBADV-REWPJTCUSA-N 423.513 4.745 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496446251 HDUCNEUJJSQFSZ-SFHVURJKSA-N 403.410 4.581 5 20 HJBD CC[C@@H]1C[C@]1(NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1cccc(C)c1 501606240 JKTLXDGTGSSFSR-SPLOXXLWSA-N 400.500 4.689 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 503907618 BKGJKZSGJHOCES-CQSZACIVSA-N 418.419 4.735 5 20 HJBD O=C(c1csc(-c2cccc(C(F)(F)F)c2)n1)N1CCc2cc([N+](=O)[O-])ccc21 508783289 HSXBDHLENQPYON-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 509579908 SYXSCPABWCMFCY-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](c3c[nH]c4ccccc34)C(F)(F)F)n2)c1 513163770 BWKJUOPXFLOCFI-KRWDZBQOSA-N 417.347 4.519 5 20 HJBD Cn1ccnc1[C@H](NCCCCOc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1 513702261 GVMHDKFVDRBKIQ-HXUWFJFHSA-N 414.893 4.520 5 20 HJBD Cc1cc(N[C@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)ccc1[N+](=O)[O-] 514188829 CQBHZDRXQPTNDW-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)C(=O)c1cc([N+](=O)[O-])cn1C 517650914 ITOBRZHQIOQTMT-UHFFFAOYSA-N 421.375 4.874 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(-c3ccccc3OC)c2)c([N+](=O)[O-])cc1OC 517672387 JGNXHTSJAZHHPL-UHFFFAOYSA-N 422.437 4.930 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccc3ccccc3c2)C2CC2)cc1[N+](=O)[O-] 517676530 LRGOKMXDQBHMCF-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccc4ccccc4c3)C3CC3)o2)cc1 517860246 TXDYDHXUMVTTIV-UHFFFAOYSA-N 400.438 4.963 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cc([C@H]3CCCOC3)nn2C)cc1[N+](=O)[O-] 518306021 DEOCZOWMCBTBOZ-SFHVURJKSA-N 421.501 4.602 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 520383431 UOMUNUZLQONDLG-UHFFFAOYSA-N 401.422 4.664 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NC(=O)c2ccc([N+](=O)[O-])cc2)cc1OC 521393544 PIQXVQPCQVCEBG-OAQYLSRUSA-N 420.465 4.634 5 20 HJBD CNc1ccc(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 531914373 AGARTLKLHLMMSH-UHFFFAOYSA-N 405.376 4.585 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccnc2-c2ccccc2)cc1[N+](=O)[O-] 533649952 ZJWFDUMEDFHXET-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD CC(C)Cc1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 537490656 QZUGGAMSRIMBDZ-UHFFFAOYSA-N 421.251 4.811 5 20 HJBD C[C@@H](CCOc1ccccc1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538250994 BLLMDTOFLYGVME-HNNXBMFYSA-N 411.483 4.786 5 20 HJBD CC(C)OC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 541622264 NFDGDKNQUPJRFK-UHFFFAOYSA-N 406.548 4.907 5 20 HJBD CCCc1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1 542173840 JXDVLHIZHZCCPO-UHFFFAOYSA-N 419.485 4.586 5 20 HJBD C[C@@H]1C[C@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 542272311 NKTQKJZAGMROCD-HZPDHXFCSA-N 400.500 4.681 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1/C=C/c1nc(Cc2ccccc2[N+](=O)[O-])no1 546944746 SRFKCMBAZVQHIH-OUKQBFOZSA-N 401.426 4.542 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(COc3ccccc3)cc2)c1 558756378 DDZCEOUVFVMKFO-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)[C@@H](C)Cc1ccsc1 560115550 BLJGFNXCAOSMPP-NSHDSACASA-N 411.321 4.821 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2cc(Cl)ccc2O[C@@H]2CCOC2)no1 562236127 BKJVXDNROVAKAE-GTBONMDNSA-N 413.817 4.636 5 20 HJBD NC(=O)Nc1cc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)ccc1F 566669636 KADRZLGFLZPSGR-UHFFFAOYSA-N 414.368 4.768 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCCCC3)cc2C)cc([N+](=O)[O-])c1OC 586826477 UQBLBTMCFZWBJZ-UHFFFAOYSA-N 413.474 4.553 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)co2)cc1 590286588 FREPSTLQIHFSOY-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD C[C@H](Sc1n[nH]c(/C=C\c2ccccc2)n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 598952820 IFPPYKUVXDENBT-OTAKNEKHSA-N 420.454 4.787 5 20 HJBD CC(C)N(CC1CC1)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 603756252 XGLPSHXVYFYMIX-UHFFFAOYSA-N 418.497 4.637 5 20 HJBD COc1ccccc1N(C)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 603992418 MRHYGUDUIKSODD-UHFFFAOYSA-N 415.496 4.796 5 20 HJBD CC(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 603993444 XFLCIYBBTPOKDC-UHFFFAOYSA-N 419.437 4.778 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c2cc(Cl)ccc2S1 608939633 BYGPSWYZGMTSHI-LLVKDONJSA-N 420.874 4.551 5 20 HJBD CC(=O)CCc1ccc(O[C@@H](C)C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 609860530 YXKNGAAABNRROU-SFHVURJKSA-N 424.497 4.860 5 20 HJBD CCn1c(S[C@H]2CCCc3ccccc3C2=O)nnc1-c1ccc([N+](=O)[O-])cc1 610863357 HQGJLZLBKGDOLH-SFHVURJKSA-N 408.483 4.553 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc([N+](=O)[O-])ccc1F 730502836 FTADXSWZGBOBHF-JOCHJYFZSA-N 406.413 4.578 5 20 HJBD Cc1c([C@@H](NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C(C)C)oc2ccccc12 732645713 NWPHYXKYCYWZKR-FQEVSTJZSA-N 400.406 4.681 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 734909241 SYHKDNFGFDWBHY-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCCN(C(=O)OC(C)(C)C)c2ccccc21 741954173 NPIHYETYKKVPFT-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD CC(C)(CNC(=O)Cc1cccc([N+](=O)[O-])c1)Sc1ccc(Br)cc1 742731026 XZZKOXIZFRBNCQ-UHFFFAOYSA-N 423.332 4.587 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1cc(F)ccc1[N+](=O)[O-] 750044844 BUMJVURHGHAELV-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD C[C@H](C(=O)Oc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1F 754270819 SFADKFCTSMZYIS-LBPRGKRZSA-N 414.414 4.757 5 20 HJBD O=C(Nc1ccccc1OCC1CC1)c1cc(F)cc([N+](=O)[O-])c1Br 754978093 RKOZZBMGRQILBY-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 756463421 BDKUYOZJQGHZFB-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD CS[C@@H](C)CC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 756884677 QYOORHIQMNAHBA-NSHDSACASA-N 408.863 4.548 5 20 HJBD C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(-c2ccncc2)cc1 756965310 LRSDOAWHAWLCLC-CQSZACIVSA-N 410.861 4.871 5 20 HJBD O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1ccc(Cl)c([N+](=O)[O-])c1 768290666 WEJFRBIIZIFNHU-UHFFFAOYSA-N 409.751 4.539 5 20 HJBD O=C(Cc1csc(COc2ccc(Cl)cc2)n1)Oc1cc(F)cc([N+](=O)[O-])c1 771487628 ANAPCPXGKXPKNG-UHFFFAOYSA-N 422.821 4.571 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)CC2 775494721 KFBBNOKKRQNCGS-UHFFFAOYSA-N 401.444 4.515 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(CNC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 779497232 ZCNDXBKXGHDFOA-CQSZACIVSA-N 414.462 4.502 5 20 HJBD O=C(c1cccc(NCc2c3ccccc3cc3ccccc23)n1)N1CCC[C@@H]1CO 779521030 RIKJTUFBZBEFJS-HXUWFJFHSA-N 411.505 4.597 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@H](C)[C@@H](C)SC)cc1[N+](=O)[O-] 797205009 NREVKIUULWSLIV-CHWSQXEVSA-N 419.524 4.845 5 20 HJBD COc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)cc(Cl)c1OCC(C)C 800146603 BONMFADAAXCLMG-UHFFFAOYSA-N 410.829 4.991 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CCN1Cc1ccccc1 813043970 WJOJJOMLBMOZNX-XJKSGUPXSA-N 422.312 4.685 5 20 HJBD O=C(NC1(CCOCc2ccccc2)CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813102657 IFXYSHGQIORDSV-UHFFFAOYSA-N 409.269 4.771 5 20 HJBD COCCC[C@@H](Cc1ccccc1)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813236789 PWFTWRHUISMEQW-HNNXBMFYSA-N 411.285 4.669 5 20 HJBD O=C(Cc1c[nH]c2cccc(Br)c12)Nc1cccc([N+](=O)[O-])c1Cl 813244832 SRBSLQLIOQNRNF-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD Cc1cc(O)nc2cc(C(=O)OCc3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc12 815247640 XZSCIOMXCYLFBS-UHFFFAOYSA-N 406.316 4.533 5 20 HJBD O=C(Nc1cccc(-c2ccc(F)cc2Cl)n1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 816802115 APKSDQREJPTLQD-UHFFFAOYSA-N 416.752 4.610 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cc(C(N)=O)ccc2Cl)cc1[N+](=O)[O-] 863995993 JTQRYKMQKTUQPQ-UHFFFAOYSA-N 410.861 4.727 5 20 HJBD Cc1ccc2nc(C3CC3)cc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c2c1 1323963367 MNCUAAZKMZORDV-UHFFFAOYSA-N 402.410 4.958 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(COc2ccccc2-c2ccccc2)o1 1326080458 FZLQCSSOZDDTGJ-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2sccc2Br)cc1SC 1343802510 JISXAIALSRZFMM-UHFFFAOYSA-N 418.290 4.506 5 20 HJBD O=C(Nc1cccc(-n2cnnc2)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 1355368031 RVJLFEXACGIPPY-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(C(F)(F)F)c2)no1 10757824 PTPSYVQSPCKWAJ-JTQLQIEISA-N 407.304 4.582 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1 22087715 XCCLUDDXYQMDML-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1cccs1)N(Cc1ccc([N+](=O)[O-])cc1)CC2 25919062 XFYHJZRQWRVROX-JOCHJYFZSA-N 410.495 4.821 5 20 HJBD O=C(Nc1ccc(OC(=O)C2(c3ccccc3)CCC2)cc1)c1cccc([N+](=O)[O-])c1 26374910 HCNUDMVQUYGUHF-UHFFFAOYSA-N 416.433 4.874 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 54011575 GVRRTMJAQDROCF-UHFFFAOYSA-N 410.499 4.694 5 20 HJBD CCc1cc(Nc2cccc(COCCOC)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64914700 VVCKJCMWFZVLHY-UHFFFAOYSA-N 408.458 4.521 5 20 HJBD CCCC(=O)Nc1cccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1C 142154190 BAANLDNYCKCKDX-CYBMUJFWSA-N 418.881 4.734 5 20 HJBD C[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1cc2ccccc2o1 301161738 HMMFQZLMBILMBD-GFCCVEGCSA-N 410.817 4.713 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ccc([N+](=O)[O-])c(C)n2)C3)cc1 301630853 CKQVKFJUJQVYSL-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD CCOc1cc(N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 301808608 YOZOXAMJABQCAA-LLVKDONJSA-N 401.410 4.853 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@@H](O)c3ccc(F)cc3)CC2)nc1-c1ccccc1 301855938 IJDJMCFYYVEYLT-QHCPKHFHSA-N 407.445 4.746 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 301941695 WHYFXMMMOZOICY-AWEZNQCLSA-N 422.553 4.826 5 20 HJBD CCCOc1ccccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 302688550 OKVCSRJRIQGGBL-UHFFFAOYSA-N 411.380 4.843 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCCC(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 409869586 JJHMIYGLWASQGW-CQSZACIVSA-N 410.499 4.706 5 20 HJBD CC(C)(NCc1cc(Br)ccc1OC(F)F)c1ccccc1[N+](=O)[O-] 429258485 VWJXISQZDKSRBL-UHFFFAOYSA-N 415.234 4.984 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 431149717 DAGBPRQIOAWEQT-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD O=C(O)CCCOc1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1 431516849 DZHRPMCOQNZEDV-UHFFFAOYSA-N 414.461 4.562 5 20 HJBD CCn1c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)nc2ccccc21 435938574 LXLGUWKYZOJULT-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437095496 LPABVAUCPIBESF-SJORKVTESA-N 404.388 4.908 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1=O 445474438 VWPHCDUQDXCDMT-OLZOCXBDSA-N 412.471 4.740 5 20 HJBD C[C@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C[C@H](c2ccsc2)O1 447674615 FIJQORKMZMARSI-TVQRCGJNSA-N 423.363 4.672 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)on1 460334054 INCTUEPBABWBHA-UHFFFAOYSA-N 400.460 4.540 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OC[C@@H]1CCOC1 463200649 GUGUCSHCQDHFMR-LLVKDONJSA-N 411.241 4.569 5 20 HJBD Cc1cccc([C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)c1 467228341 HKFVRIJVISBNEC-FQEVSTJZSA-N 410.861 4.540 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2c3ccccc3OC[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 467305940 VMCLMUHYHIGKKP-HZPDHXFCSA-N 418.453 4.591 5 20 HJBD C[C@H](O)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 468049245 SJMCCFMXJWIGBN-BBRMVZONSA-N 420.918 4.775 5 20 HJBD COc1cc(CNC/C(C)=C\c2cccc([N+](=O)[O-])c2)cc(Br)c1OC 468637344 IHHNGRIJVPNKDK-QPEQYQDCSA-N 421.291 4.568 5 20 HJBD CCc1ccc(C(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2C)cc1[N+](=O)[O-] 471342596 XRRKIJYCCNTXDS-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H](c1cccc(Cl)c1)c1ccccn1 472273551 FYXOJFQYZSRYBK-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD COc1ccc(CSCCC(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1Cl 478628468 XFXPBVVHICKKTF-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCc1c[nH]c2cc(Cl)cc(Cl)c12 479211180 YEXHNQINYOIJBI-UHFFFAOYSA-N 421.284 4.767 5 20 HJBD Cc1c(NC(=O)N2CCc3c(Br)cccc3[C@H]2C)cccc1[N+](=O)[O-] 479818624 OAQXAYMYNSOYGN-GFCCVEGCSA-N 404.264 4.817 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCN(c2ccccc2)C1 484413012 BZOATIYUVMQCTO-KRWDZBQOSA-N 413.543 4.599 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2c(F)cccc2F)CC1 485595790 XBRYFMIKJZLPKV-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2cccc(F)c2)C1 485792815 XEXRNULBOOFNTO-IBGZPJMESA-N 418.490 4.696 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cc(Cl)cc(Cl)c1 489982171 NMBFIVWLXRSUDP-UHFFFAOYSA-N 415.298 4.917 5 20 HJBD Cc1c(CC(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)cccc1[N+](=O)[O-] 494217520 WHFRDQBWRMBFDW-QGZVFWFLSA-N 418.877 4.686 5 20 HJBD C[C@@H](CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1 512824944 ZHBKRACTXCRHSG-NSHDSACASA-N 416.396 4.765 5 20 HJBD COc1ccc(Oc2cc(CNc3ccc4ncccc4c3[N+](=O)[O-])ccn2)cc1 515480482 GIIWIOSMEJTWBH-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD O=C(Nc1cc(F)c(F)cc1F)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 520542734 NJDDLOTXNIFGHK-UHFFFAOYSA-N 401.344 4.877 5 20 HJBD Cc1nn(C)c(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c1C 520729015 PCMGWSAOVJGNOD-UHFFFAOYSA-N 400.822 4.643 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(Cc4ccc([N+](=O)[O-])cc4Cl)n3)c2)n1 522822018 ZSHDCMUDSHEQCL-UHFFFAOYSA-N 423.860 4.751 5 20 HJBD O=C(Nc1cc(-c2cc(Br)ccc2Cl)[nH]n1)c1ccc([N+](=O)[O-])cc1 523975455 UWTUINJIPPJLSV-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 524169974 NFNFBGGPONJVFP-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)Nc1ccc(COCc2ccccc2)cc1 525746356 XKVCINMCDKSKLC-UHFFFAOYSA-N 421.453 4.512 5 20 HJBD NC(=O)CCSc1ccccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 537714613 CJCXGCKAVKPLFB-UHFFFAOYSA-N 413.524 4.903 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)NCc1cc(Cl)sc1Cl 540002736 PCJFBJYEMLGSOZ-UHFFFAOYSA-N 424.103 4.585 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2COC(C)(C)CC)cc1OC 544455023 PTGJWVQLNYEPTF-UHFFFAOYSA-N 416.474 4.960 5 20 HJBD Cc1c(Cc2noc(-c3cccc(CSc4nccn4C)c3)n2)cccc1[N+](=O)[O-] 545068168 CUGCQPOKOKMDHN-UHFFFAOYSA-N 421.482 4.570 5 20 HJBD CCOCc1ccc(-c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 546341351 MYWSEMZWODGZQI-GFCCVEGCSA-N 403.822 4.975 5 20 HJBD COc1ccc(Br)cc1-c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 547049880 VSEHGTYHWGIZQC-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1 548954929 RAWSBEKTACKOSF-UHFFFAOYSA-N 417.425 4.504 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1CN(C)c1ccccc1 554898821 GNPNNHVYLIJELB-UHFFFAOYSA-N 418.497 4.938 5 20 HJBD Cn1cc(C(F)(F)F)nc1[C@H]1CCCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 557741701 HDRZNLMYTHPSKD-JTQLQIEISA-N 422.329 4.750 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 568705657 DMDQKOISJHGSMA-BCOMRZATSA-N 408.479 4.645 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@]2(CCCO2)[C@@H]1C1CC1 568908997 LGKLLZHBQWIPOD-RBBKRZOGSA-N 410.495 4.530 5 20 HJBD C[C@@H](C(=O)N(C)Cc1cc(Br)ccc1Cl)c1cccc([N+](=O)[O-])c1 568942294 QELCIWLCLZKABV-LLVKDONJSA-N 411.683 4.773 5 20 HJBD Cc1cc(NC(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)ccc1-c1ccccc1 569467195 XRSCORDZRJCTOW-UHFFFAOYSA-N 403.438 4.997 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC=C(c2ccco2)C1 579989371 MWAAOYWMSWAIJY-UHFFFAOYSA-N 412.389 4.615 5 20 HJBD CC[C@]1(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)OCCc2ccccc21 582032162 UXEAIGXBWRQLNH-JOCHJYFZSA-N 409.511 4.686 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 582260933 RWBWTLQRJHOVIR-MRXNPFEDSA-N 414.767 4.561 5 20 HJBD O=C(O)c1cc(NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603580168 HDZJCWWMXFAWSC-YUMQZZPRSA-N 400.275 4.614 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCC[C@@H]1Cc1ccccc1 608817343 OGFQONPRCWDIAU-GOSISDBHSA-N 407.495 4.956 5 20 HJBD COc1ccc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2n1 608950020 FEZBRJUYVKHMNG-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 609022795 NWNBUMWFBCHFSQ-IYBDPMFKSA-N 424.501 4.596 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 609151557 ARUGEWIUGNZMQG-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H]3OCCc4ccccc43)c([N+](=O)[O-])c2)cc1 609586480 KRMQUBKLTFBPJV-HSZRJFAPSA-N 417.465 4.881 5 20 HJBD O=[N+]([O-])c1cc(CNc2cccc(Cn3cncn3)c2)ccc1Oc1ccc(F)cc1 609634191 ZYWUJRDSOIBXJF-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](C)Cc2ccc(Cl)cc2)cc1[N+](=O)[O-] 609913131 XOLVKVQDKNMHND-CQSZACIVSA-N 422.934 4.580 5 20 HJBD CC(=O)NCc1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 610910809 KFGNGHJISLAUHC-UHFFFAOYSA-N 409.417 4.774 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)C[C@@H]1CCCO[C@@H]1c1ccccc1 611266740 GJFYFNNZQPTLIJ-OXJNMPFZSA-N 406.429 4.796 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n(-c2cccc(Cl)c2)n1 612447733 MWLBZYHXHLELRV-GFCCVEGCSA-N 402.813 4.624 5 20 HJBD COc1ccc2c(c1)OCC[C@H]2Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 613181612 LESVJUXJMYMXLT-GOSISDBHSA-N 407.426 4.513 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2ccc(-n3cncn3)cn2)cc1 616206040 LTBPWMOHNPZYMB-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 726846855 HLFCTDAVLNJGGB-UHFFFAOYSA-N 414.531 4.840 5 20 HJBD C[C@@H](Oc1ccccc1C(F)(F)F)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 727101017 RYHYVTHDHVISGB-LLVKDONJSA-N 416.783 4.693 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710328 PMQSFUKCFPJZPI-UHFFFAOYSA-N 421.837 4.984 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728066366 KAPFLORAXVXVFX-INIZCTEOSA-N 423.391 4.669 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H]1CCc2ccccc21 734390029 XQQGJQPQHRXPRF-KRWDZBQOSA-N 420.469 4.502 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)N(Cn1nc(-c3cccs3)n(C3CC3)c1=S)CCC2 735918396 LEQKWXIGUJKGKM-UHFFFAOYSA-N 413.528 4.796 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H](C)c2ccc(C)o2)c2ccccc2)c([N+](=O)[O-])c1 745665197 RABYIVPJTVUAGV-IIBYNOLFSA-N 422.437 4.831 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OC1CCCC1 751086890 MEHMNGIQYCDVHL-ZDUSSCGKSA-N 402.422 4.555 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2ccc(F)cc2)ns1 758088666 PAXJINGNHRBRSB-UHFFFAOYSA-N 415.450 4.695 5 20 HJBD COc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1NC(=O)OC(C)(C)C 758343407 DNTSKBCOMHGGSI-UHFFFAOYSA-N 420.397 4.734 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 765058792 SSZZUVFPNFZMLU-TZMCWYRMSA-N 400.866 4.571 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 771759952 WQDORPXFRWXAGQ-UHFFFAOYSA-N 409.467 4.730 5 20 HJBD Cc1cnc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)nc1 772289282 AYZJXMLXJNJEQT-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SC[C@H](O)c3ccc([N+](=O)[O-])cc3)n12 776272019 QDNAFTAYJNXNHC-SFHVURJKSA-N 408.483 4.542 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(N2CCCC2=O)ccc1F 779854603 DSELRUDTNXQUKX-UHFFFAOYSA-N 417.462 4.615 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COc1cc([N+](=O)[O-])ccc1Br 786745322 DZECQWSFGJTMFA-UHFFFAOYSA-N 407.264 4.807 5 20 HJBD Cc1sc2nc(CSc3nc4ccc([N+](=O)[O-])cc4s3)nc(O)c2c1C 788004653 UCMKOJOLHXPCCT-UHFFFAOYSA-N 404.498 4.824 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1Cl 794222457 MZXWCGFQKHNLTM-UHFFFAOYSA-N 416.909 4.552 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@@H]1CCCOc2c(F)cccc21 797094247 YZKDRSWKVIJKJN-JOCHJYFZSA-N 417.436 4.751 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 810319489 HKLFJTXUJMQQNX-QHCPKHFHSA-N 419.481 4.925 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3ccc([N+](=O)[O-])c(Cl)c3)CC2)cc1 812777191 YVQUUZUSLKVIAS-NRFANRHFSA-N 404.894 4.593 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1cccs1)C[C@H]1CCCO1 812837026 JRDQFVHEUDTUSP-GFCCVEGCSA-N 415.298 4.785 5 20 HJBD Cc1c(C(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914454125 RCXYGVYPLAZFLD-UHFFFAOYSA-N 423.347 4.635 5 20 HJBD Cc1ccc(-n2nc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)c3c2CCC3)cc1 914605068 QPXKERMVZQMSIV-UHFFFAOYSA-N 424.888 4.503 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915865529 YTZBWPIASNJQRD-QXMHVHEDSA-N 415.371 4.747 5 20 HJBD Cc1c(OC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cccc1[N+](=O)[O-] 917755719 JGKXDAAUGRBXOL-UHFFFAOYSA-N 416.333 4.528 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)C(=O)Nc1cc(Cl)ccc1Cl 919513594 BIVMYQWRWVITKW-SECBINFHSA-N 422.224 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)CCSc1ncnc2sc(C)c(C)c12 920113047 PNWMCWOOOWODEN-UHFFFAOYSA-N 403.485 4.613 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Cl 920992957 WHPGVEMXVKLEPW-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(-n3cnc4ccccc43)nc2)c(OC(F)F)c1 1321069617 BGQUBRGFBUWHNG-UHFFFAOYSA-N 411.368 4.542 5 20 HJBD CCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1cccc(Cl)c1 1338972577 GXUIWWIFLFCCAW-UHFFFAOYSA-N 411.849 4.544 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccccc2)cc1 5966183 CRGNICLJBKXCCN-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD CC(C)CCN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 6598080 RJMUKCBEVUQWAQ-SFHVURJKSA-N 420.307 4.673 5 20 HJBD C[C@@H](OC(=O)c1cc2ccccc2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 9534857 FBDSCOGDOUWJIO-SNVBAGLBSA-N 422.315 4.544 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2ccc([N+](=O)[O-])s2)cc1 9943004 GWEQKNQOTCVBIS-UHFFFAOYSA-N 412.423 4.553 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 24927254 WBRXDIPULNOFMS-UHFFFAOYSA-N 413.521 4.635 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccc(Br)c2)nc1 46761630 XPAMCXLHCZLWLR-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@@H]2C2CCCC2)cc1[N+](=O)[O-])c1ccccn1 54138874 AFWWVZZTGAYZPH-HRAATJIYSA-N 408.502 4.958 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1ccc(Cl)cc1[N+](=O)[O-] 217606606 CWTLJYMPDHWIJA-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 426314465 ZJXYEPGWDUTOCM-CYBMUJFWSA-N 400.485 4.542 5 20 HJBD C[C@]1(C(=O)O)CCC[C@@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426732312 SNNXCIUDCOGGDZ-ICSRJNTNSA-N 404.850 4.774 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431408840 XKDYSFDIJHXWMQ-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD COc1cccc(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)c1[N+](=O)[O-] 435758824 HGVNGQQSWCSBDQ-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CC1 436252767 NANBYKGLLRVVDV-UHFFFAOYSA-N 417.484 4.716 5 20 HJBD CCC[C@@H](Cc1ccccc1)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436422425 ZJBUDHVWTPNIRB-KRWDZBQOSA-N 402.469 4.962 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 437016619 IKWORRCOXLVYPC-UHFFFAOYSA-N 420.347 4.787 5 20 HJBD C[C@H](C(=O)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 437429394 PRGZSQXMTDBLDQ-WHOFXGATSA-N 410.367 4.919 5 20 HJBD CCCCCn1nc(C)cc1NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444109504 GHBRXSYMIZXHDW-UHFFFAOYSA-N 424.451 4.907 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@@H]2C)cc1[N+](=O)[O-] 444407696 FFCJXEDUFZZMQA-SJCJKPOMSA-N 406.891 4.572 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445842560 ZQPNPBUJIFPEKW-UHFFFAOYSA-N 419.300 4.773 5 20 HJBD COc1cc(C(=O)N[C@@H]2c3ccccc3CCC[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 462582310 XESKJWNIXJZJQS-BUXKBTBVSA-N 420.412 4.648 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1CC(=O)OC(C)(C)C 462603280 PPDMXJCADRFEMX-KRWDZBQOSA-N 412.486 4.686 5 20 HJBD C[C@H]1COCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 466537888 CRZOTIXKLIDHHK-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD CC[C@@H](CCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467635119 XIMBIBNSRHCPIY-ZDUSSCGKSA-N 408.907 4.538 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 472502720 QGEVXERBEXXADS-UHFFFAOYSA-N 422.331 4.631 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 472839246 YJFLSWGITWQBEL-CYBMUJFWSA-N 418.375 4.980 5 20 HJBD Cn1nc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c2ccccc21 479407953 KDEQYFQXKJCFER-UHFFFAOYSA-N 410.861 4.727 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N1CCC(c2nc3cc(Cl)ccc3s2)CC1 479938693 KCPHNHDFYFLVJP-UHFFFAOYSA-N 405.911 4.767 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc(N(C)C(=O)c2ccc(Cl)cc2)cc1 480058022 FSAMDMMYVIZEBC-UHFFFAOYSA-N 414.849 4.842 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 482875896 FSTAAFSTLJYTCX-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD CSc1cccc(C(=O)N2CCCc3cc(Br)ccc32)c1[N+](=O)[O-] 485739625 UOVWXOHGJUHHJG-UHFFFAOYSA-N 407.289 4.672 5 20 HJBD COCc1c(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)sc2cccc(F)c12 488038957 IIHYWJZRACQREC-GFCCVEGCSA-N 402.447 4.894 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 490779098 AOTQRJNBOWGZKH-GOSISDBHSA-N 420.391 4.564 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2OC2CCCC2)c([N+](=O)[O-])cc1OC 494234384 PECPICZYDKAPAC-UHFFFAOYSA-N 420.849 4.839 5 20 HJBD C/C(=C\c1ccccc1)CN(C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 494865340 SITVGZHNNAMAOU-SAPNQHFASA-N 418.497 4.632 5 20 HJBD CN(Cc1c([N+](=O)[O-])ncn1C)C(c1ccc(Cl)cc1)c1ccc(Cl)cc1 494964816 SOTQDXWCEZPDNW-UHFFFAOYSA-N 405.285 4.857 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1 498650625 WWWRYYPKKUHXKM-UHFFFAOYSA-N 412.833 4.787 5 20 HJBD CN(C)CCOc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 500271723 YJLGZACJVPTPJW-UHFFFAOYSA-N 421.453 4.580 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccccc1N(C)Cc1ccccc1 500528166 SSKDLOUVEIYCGI-UHFFFAOYSA-N 404.470 4.590 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1ccc([N+](=O)[O-])s1 502320877 NIDYUSPNEWLEGN-UHFFFAOYSA-N 413.455 4.562 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)COc3ccccc3[N+](=O)[O-])s2)cc1 502479213 DSZJPPQUWSNIJB-AWEZNQCLSA-N 411.483 4.591 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2ccccc2)cc1OCC(C)C 502838299 CXTJAAPJUYSXNP-UHFFFAOYSA-N 408.458 4.684 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 505783681 TWVNNMQMOANBRS-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(F)c(Br)c1 507703798 QAHHPKCIEFEHAW-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(NCc1ccc(C(=O)N2CCCCC2)cc1)c1cc2ccccc2c2cccnc12 518960973 WKPULZULAYZUCA-UHFFFAOYSA-N 423.516 4.944 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)c1 520020744 OKSPVCUKTIBAKP-UHFFFAOYSA-N 416.437 4.525 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)cc1Cl 523981879 ZRTWGPWENGSGFZ-UHFFFAOYSA-N 402.813 4.628 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCc3ccccc3N2C(=O)c2ccc([N+](=O)[O-])s2)cc1 524034699 SGMWUVZVHJTJBS-SFHVURJKSA-N 421.478 4.565 5 20 HJBD Cc1ccc(C(=O)C2CCN(c3ccc([N+](=O)[O-])c(OC(F)F)c3)CC2)c(C)c1 525194306 MSDGPGJNODJVQE-UHFFFAOYSA-N 404.413 4.912 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534247571 ZXLSVLSAOONEOB-SSEXGKCCSA-N 419.462 4.636 5 20 HJBD CC(C)(C)C(=O)NC[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 537631440 NGPFBUHMHMJYCP-INIZCTEOSA-N 415.559 4.698 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccccc2CS(=O)(=O)c2ccccc2)c(Cl)c1 541075924 LYOAFSWDOZYWOV-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2c1 543934184 BWBHOAIWRJWMMX-UHFFFAOYSA-N 403.232 4.684 5 20 HJBD COc1ccc2cccc(Cc3noc(Cn4ccc5cc([N+](=O)[O-])ccc54)n3)c2c1 545018732 ATKCNCSQKWZXOJ-UHFFFAOYSA-N 414.421 4.733 5 20 HJBD CCc1nc2cc(CNC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)ccc2n1C1CC1 549020323 ADCSNPCGMRFBGY-CQSZACIVSA-N 424.526 4.639 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2Cl)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 554692812 XMIMCPAFDIEDTL-QAQDUYKDSA-N 413.905 4.527 5 20 HJBD Cc1ccc(CC2CCN(C(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)CC2)cc1C 571695909 COFKXCNOLZFJBV-UHFFFAOYSA-N 419.481 4.560 5 20 HJBD COc1cccc2[nH]cc(Cc3noc(-c4ccc5[nH]c6ccccc6c(=O)c5c4)n3)c12 573524869 MLALTNRFJHDILM-UHFFFAOYSA-N 422.444 4.812 5 20 HJBD O=C(Nc1nncn1C1CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 583555775 CZLVQWIUCXUAJK-UHFFFAOYSA-N 415.862 4.578 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1ncc(-c2ccc(F)cc2)o1 593030168 JSDVSMAHIUDVQP-UHFFFAOYSA-N 401.419 4.818 5 20 HJBD COc1cc([C@H]2Nc3ccccc3C(=O)N2Cc2ccc(C)cc2)ccc1[N+](=O)[O-] 602762659 XOCPMINKHBLOOG-QFIPXVFZSA-N 403.438 4.679 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(OCc4cccc(F)c4)c3)n2)cc1 604010764 WOBHDPZXUZNSFJ-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc(Cl)c1Cl 609796090 MLAVPVAANURJDJ-LLVKDONJSA-N 419.268 4.793 5 20 HJBD Cc1csc([C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C2CC2)n1 610241431 QZMSMFYEDWHHSQ-HXUWFJFHSA-N 422.510 4.853 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1O 613032487 OEANQQNMAMEPJK-OAHLLOKOSA-N 406.442 4.738 5 20 HJBD C[C@H]1CC[C@H](C(F)(F)F)CN1C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618689816 LDZREFSUQSBAGZ-HOCLYGCPSA-N 422.403 4.977 5 20 HJBD O=C(NCc1nccn1-c1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 619810815 HEOHNOCSLSFZOF-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727708319 ODSSQYBCGWBYTI-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCCc1ccc([N+](=O)[O-])cc1 728723357 QFZQKWQVGCXVTA-UHFFFAOYSA-N 419.524 4.628 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)n1 731880774 SOAVZLAACJCWKY-UHFFFAOYSA-N 423.498 4.956 5 20 HJBD O=C1N[C@@H](c2csc(-c3cccc([N+](=O)[O-])c3)n2)Nc2c1sc1ccccc21 742441851 KSNJPLLQXXBNFI-KRWDZBQOSA-N 408.464 4.787 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3ccccc3)C[C@H](O)c3cccs3)nc2c1 756055347 HOTHNMHEYYIUFJ-SFHVURJKSA-N 409.467 4.533 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756998630 OKXPLNOTVVPNRV-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CC=C(c2ccc(Cl)cc2)CC1 758641095 SJVILUDWSOZYHD-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758885710 YBLQTHLKCPBZTJ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(Cc3ccc(Cl)cc3Cl)no2)c([N+](=O)[O-])c1 761324358 ZZEAFNHQETVEBY-UHFFFAOYSA-N 423.212 4.569 5 20 HJBD O=C(OCc1ccccc1Oc1cccnc1)c1ccc([N+](=O)[O-])c2cccnc12 766218938 AXACFLZNEWMAMO-UHFFFAOYSA-N 401.378 4.687 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](O)c1ccc(OCc2ccccc2)cc1 780850005 UKRHEAACHHQNRP-JOCHJYFZSA-N 406.438 4.544 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CCCCS2)cc1)c1cc([N+](=O)[O-])ccc1Cl 783354782 GWVAZUOLEPYBAE-QGZVFWFLSA-N 420.874 4.692 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)CSc1ccccc1[N+](=O)[O-] 783774319 VAYNMMOEBSEYCX-UHFFFAOYSA-N 423.537 4.780 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 790338969 JMNUTQUATSFCNG-UHFFFAOYSA-N 423.473 4.875 5 20 HJBD Cc1ccc(-c2nc(COC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])cs2)o1 790741630 PEQKLKXDLGBFHO-UHFFFAOYSA-N 410.810 4.698 5 20 HJBD Cc1cc(COC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)ccc1[N+](=O)[O-] 790790664 OBWNVINVHSGQBD-UHFFFAOYSA-N 414.458 4.926 5 20 HJBD Cn1cc(CNc2ccc(OCC(F)(F)F)c(F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 800290008 SWWRGSYMWICQRL-UHFFFAOYSA-N 424.354 4.688 5 20 HJBD O=C(Nc1ncc(-c2ccccc2F)s1)c1cccc([N+](=O)[O-])c1Br 801733074 RHLQNNLCTBXOLC-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cc(C2CC2)cnc1Cl 815241822 NXWPXPGMCHIGQP-UHFFFAOYSA-N 400.740 4.896 5 20 HJBD CC(C)(C(=O)OCc1cncc(-c2ccsc2)c1)c1ccc([N+](=O)[O-])cc1F 816256061 UVAWRFWFOIHKLA-UHFFFAOYSA-N 400.431 4.878 5 20 HJBD CCOc1ccc(NC(=S)/C(=C(/O)c2cccc([N+](=O)[O-])c2)[n+]2ccccc2)cc1 916208605 MSVHLBPNNZEDGK-UHFFFAOYSA-O 422.486 4.604 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cn2)cc1 917747532 XICPTKLBJUTBCK-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccn(C2CCCCC2)n1 1257270246 WMWCGEFSYQXMGZ-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccccc1OC(F)F 1320413299 WSYZKDIXLBBPTD-JTQLQIEISA-N 401.207 4.810 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(COc3ccc4ccccc4c3)o2)ccc1-n1ccnc1 1324067152 YKGVYXMPWOVUEK-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD Cc1ccc(OCc2nnc(-c3ccc(Br)cc3[N+](=O)[O-])o2)cc1C 1345654656 DYMOSBZEDNXNRG-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H](C)CC1CCCCC1 1350743535 KIPGRVBSAXASLW-MRXNPFEDSA-N 414.506 4.988 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C 11102811 RZHOPBAUYIOEAX-BFUOFWGJSA-N 405.882 4.571 5 20 HJBD O=[N+]([O-])c1ccc2nc3c(c(-c4ccccc4)c2c1)CS(=O)(=O)c1ccc(F)cc1-3 15454024 NMHDLHRXTWBMSQ-UHFFFAOYSA-N 420.421 4.903 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 18831326 PUAXCCQAAWGBBY-UHFFFAOYSA-N 407.923 4.575 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2sc3ccccc3c2Cl)cccc1[N+](=O)[O-] 22925115 PZINTAONVPMYIP-NSHDSACASA-N 418.858 4.955 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 31277137 ZHILZMFATDFYEY-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCOc1cc(C(=O)N[C@H](CC(C)(C)C)c2ccccc2)c([N+](=O)[O-])cc1OC 31800566 PNYXIYCQHJYDJD-QGZVFWFLSA-N 400.475 4.909 5 20 HJBD O=C(N[C@@H]1CCCc2c1[nH]c1ccc(Br)cc21)c1ccccc1[N+](=O)[O-] 38334360 BPSDHWDIDIDDKB-MRXNPFEDSA-N 414.259 4.646 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cnc3ccccc3c2)cc1[N+](=O)[O-])c1ccccn1 53221153 AMGJCDIKYYNJTR-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)oc1C 56115437 DUPMMYCAXHCOEP-UHFFFAOYSA-N 417.425 4.519 5 20 HJBD CC(C)N(C)Cc1ccccc1CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 236661791 ZLWQRGRKGFJEQP-UHFFFAOYSA-N 410.543 4.848 5 20 HJBD O=C(c1cc(NCc2csc(-c3ccccc3)n2)ccc1[N+](=O)[O-])N1CCCC1 301520665 LEENEEQTOBYSLB-UHFFFAOYSA-N 408.483 4.566 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)cc1C(F)(F)F 326271695 VPGQGVBBQGFHKG-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)n1 433130397 HRELNGCQSXLOFO-MRXNPFEDSA-N 422.485 4.902 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Br)cc1 436216906 ABDZQJMKPPCVNQ-VIFPVBQESA-N 417.181 4.867 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N(Cc1ccccc1)C1CCCCC1 437265693 DDRCEAWHATYWCM-UHFFFAOYSA-N 415.877 4.548 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(CCCO)Cc1ccc(Cl)c(Cl)c1 446486680 XEHMUGHBDRAFEB-UHFFFAOYSA-N 412.273 4.627 5 20 HJBD Cc1cccc(OCCCCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c1 460354203 IKRWYCDOVPITPG-NRFANRHFSA-N 400.500 4.727 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC(C)C)s1 460631865 SYEWNUOLQLWMBH-AWEZNQCLSA-N 414.535 4.780 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 461257265 LTEQRVPBAAWLDL-IAGOWNOFSA-N 407.495 4.613 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)no1 463951948 CERLQAUBURMDOI-UHFFFAOYSA-N 408.458 4.963 5 20 HJBD Cc1nn(CCC(=O)N(C)[C@@H](C)c2ccc(-c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 464383495 LDGSYKHDLUWEBK-KRWDZBQOSA-N 406.486 4.685 5 20 HJBD CCCc1cccc(C(C)C)c1NC(=O)c1nnn(-c2cccc([N+](=O)[O-])c2)c1C 472665960 FQTYDRYNWDKXFZ-UHFFFAOYSA-N 407.474 4.812 5 20 HJBD COc1cc(CN(C)c2ccc3cc[nH]c3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 475356665 VFATUSFTTUOBPC-UHFFFAOYSA-N 409.364 4.662 5 20 HJBD COc1c(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)cccc1[N+](=O)[O-] 475585154 JJDFUIVXNDYGAV-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](c1ccccc1)N1CCC(C(F)F)CC1 483537203 MOECJPCFPOJNIR-SFHVURJKSA-N 423.847 4.905 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1)C(C)C 484283479 UPXFPPRQMWBXKS-UHFFFAOYSA-N 424.501 4.548 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)C2(c3ccccc3)CCCC2)cc1SC 484367618 KFADBPWESJTNAD-OAHLLOKOSA-N 414.527 4.956 5 20 HJBD CSc1cccc(C(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 485497111 AFGGVGAPULFHJM-CYBMUJFWSA-N 410.417 4.965 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1ccn(-c2ccccc2[N+](=O)[O-])n1 488418296 IFQGNTFTQBIEFM-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD Cc1cccc2oc(CCNC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)nc12 489957918 OSIJVZLNTDKEQP-UHFFFAOYSA-N 413.499 4.765 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)Cc4ccccc4[N+](=O)[O-])cc32)c1 490313208 CDOUKPQUMRNAAV-UHFFFAOYSA-N 415.449 4.788 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Cc2nc3ccccc3s2)cc1 499769114 MMUJOQYZVVALTA-UHFFFAOYSA-N 404.451 4.630 5 20 HJBD CC[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCc2ccccc2C1 500294173 CKPLSVOWHODHPO-NRFANRHFSA-N 422.554 4.645 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccccc2)n1Cc1ccco1 504517672 DOOXOYUUFZGVDA-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD COc1cc(CNC2(c3ccccc3)CCCC2)c([N+](=O)[O-])cc1OCC(F)F 505503785 VKIQHKSGWGIHES-UHFFFAOYSA-N 406.429 4.806 5 20 HJBD CN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@H](c1ccc(Cl)cc1)C(F)(F)F 517348553 JCWLEELVRHBJKJ-OAHLLOKOSA-N 412.755 4.500 5 20 HJBD COc1ccc(-c2nc(Cc3noc(CSc4ccc([N+](=O)[O-])cc4)n3)co2)cc1 518852568 BTCZMASHNAHXJT-UHFFFAOYSA-N 424.438 4.525 5 20 HJBD CCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)[C@H](c1ccccc1)c1ccc(F)cc1 520726920 BJVIHOAYNJXSKG-JOCHJYFZSA-N 422.412 4.714 5 20 HJBD COc1ccc(CNCc2ccc(-c3cccc(Br)c3)o2)cc1[N+](=O)[O-] 520958832 NIQWWHVRFVTQLG-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1OC1CCCC1 521336494 IVEUKCZFYHLPNO-ZDUSSCGKSA-N 402.422 4.555 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1cc(C(=O)Nc2ccccc2)ccc1Cl 525154999 CROKCAZQWCXABF-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD COc1ccc(OC(F)F)c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 532891217 XIEORXFXDBRHLD-UHFFFAOYSA-N 407.398 4.940 5 20 HJBD CNc1ccc(C(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)cc1[N+](=O)[O-] 533191094 KZLABLZOIBSGQH-UHFFFAOYSA-N 402.454 4.503 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc([S@](C)=O)cc1 535191078 OGAPSJSNZXWBFK-PWFNWSNSSA-N 400.525 4.912 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CCN2CCCC2)cc1 537136401 DKRSXHGLZDQQKS-UHFFFAOYSA-N 413.543 4.986 5 20 HJBD C[C@@H](NCC(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1)c1cccc([N+](=O)[O-])c1 538174757 GBBYYOAOTFDUFC-YJYMSZOUSA-N 422.312 4.916 5 20 HJBD C[C@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 538634362 IPHZFHVAWWABDA-KRWDZBQOSA-N 401.466 4.900 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccccc3n2Cc2ccccc2C)c1 538903218 WXFPXIMHCDNQGU-UHFFFAOYSA-N 400.438 4.862 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 539761523 LIIJYGPPNBHWFD-ZDUSSCGKSA-N 419.466 4.652 5 20 HJBD C[C@@H](NCCOc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccc(F)cc2)cc1 540374668 MDIHZUWEGNRVKO-MRXNPFEDSA-N 423.444 4.716 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](COc2ccccc2Cl)C1 541783245 BEXBIHREBAWLGN-CYBMUJFWSA-N 407.829 4.710 5 20 HJBD CCSCc1noc(C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)n1 544992482 DIECUPOKDDKPCS-UHFFFAOYSA-N 416.425 4.634 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3c[nH]c4cc(F)ccc34)no2)c2ccccc12 545654451 JSALXAZBICYDCR-UHFFFAOYSA-N 424.435 4.797 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549555351 SKTNKFVFLNYWEN-UHFFFAOYSA-N 406.282 4.605 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 555686714 ZYXNLIQSNODQLP-FZKQIMNGSA-N 414.849 4.513 5 20 HJBD O=C(NCCn1ccc2cc(Cl)ccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 557365828 MREWEUINCSBDKP-UHFFFAOYSA-N 415.880 4.719 5 20 HJBD COCC[C@H](NCc1cc(OC)c(F)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 571530593 WHVJCTUQPPFUFE-INIZCTEOSA-N 417.264 4.917 5 20 HJBD C[C@H](Nc1ccccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-])c1ccccc1 577247978 SZEIJOGFPYFKQF-KRWDZBQOSA-N 404.470 4.843 5 20 HJBD CC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 579645666 UYPKDQFQHXNEAW-OAHLLOKOSA-N 408.439 4.741 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n(-c2ccc(Cl)cc2)n1 603589255 IIGHQKOGZXAELF-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD O=C(NC1CC1)c1ccc(NCc2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 603860731 XDQPRPZCMDKABS-UHFFFAOYSA-N 417.465 4.678 5 20 HJBD CCc1sc(NC(=O)c2cc([N+](=O)[O-])ccc2OC)nc1-c1ccc(OC)cc1 609202344 CYMRYUNYJJXZHT-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD O=C(Nc1ccc(-c2nnc3n2CCCC3)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 609428493 KWTRXHOPZDIIAT-UHFFFAOYSA-N 419.466 4.657 5 20 HJBD C[C@H](Sc1ccccc1Cl)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609453567 OTFVBRAQHINIPK-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(Cc4ccccc4Cl)no3)cc2)c(C)c1[N+](=O)[O-] 609476032 XAXPORMMBHGBBR-UHFFFAOYSA-N 423.860 4.751 5 20 HJBD CC[S@](=O)c1ccccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762782 UGQIHSBOVCESCL-LJAQVGFWSA-N 410.451 4.767 5 20 HJBD CC(C)c1c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(F)cc1 610046560 FCGHVKBYBWPLFL-UHFFFAOYSA-N 424.476 4.796 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 610122536 MYWUBZSBLMFNNJ-KRWDZBQOSA-N 404.470 4.784 5 20 HJBD O=C(c1ccccc1)c1ccc(N[C@H](Cn2cccn2)c2ccccc2)c([N+](=O)[O-])c1 610160278 IUTPFYDNTIVNBC-JOCHJYFZSA-N 412.449 4.876 5 20 HJBD O=C(c1ccc(OC2CCCC2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 610305514 RZWYQIARASSZHR-UHFFFAOYSA-N 410.470 4.600 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](C[C@@H]2CCOC2)C1 611479847 ROHJHGPUTJTWPU-SJORKVTESA-N 406.548 4.766 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1c1ccccc1Br 614934766 XBNNPSBCLOENHG-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCCO[C@H](c2ccccc2)C1 618584835 OTWRWWZGJUHCAF-HNNXBMFYSA-N 417.334 4.612 5 20 HJBD CCOC(=O)[C@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727767982 RJMBDCDOCCYTEL-HNNXBMFYSA-N 401.462 4.615 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c(Cl)c1O)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 730362736 UBSPGDYQNBLLCR-QMMMGPOBSA-N 424.196 4.575 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1csc(-c2cccs2)n1 735445692 UEVUJBZXTPSUSR-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCc1nc2ccccc2c2ccccc12 736831343 HXUDLBQHCFWMGA-HXOBKFHXSA-N 419.525 4.651 5 20 HJBD CS(=O)(=O)c1ccc2c(c1)N(C(=O)c1c3ccccc3cc3ccccc13)CCC2 746519204 QHKJKRZUZBYZIQ-UHFFFAOYSA-N 415.514 4.990 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 754032298 SFALRSDFKZPORK-NSHDSACASA-N 423.487 4.930 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 754799666 UMVPTNLDCKHLSR-GFCCVEGCSA-N 402.838 4.548 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(C(F)(F)F)cc1 756353225 ZLSFVIOWDXLNFP-GOSISDBHSA-N 420.343 4.789 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1coc(-c2cccc(Cl)c2)n1 758736327 GXOBLPOBPZJVPL-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1C 758934010 AWAGMTPTXJFWAW-HNNXBMFYSA-N 420.425 4.521 5 20 HJBD C[C@@H](C(=O)Nc1cccc(CN(C)C(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 761523659 IKZHGOZGLBGDJS-OAHLLOKOSA-N 413.474 4.704 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)ccc2C)n1 763923055 IPCFMXDLPSDFPA-UHFFFAOYSA-N 410.386 4.644 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 767083227 MUIOIAGSLCGJQW-OAHLLOKOSA-N 414.458 4.719 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCO[C@@H](C)C4)cc3)o2)c([N+](=O)[O-])c1 770592387 CKKMYBDIZJZWAL-INIZCTEOSA-N 423.469 4.701 5 20 HJBD Cc1cc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)ccc1NC(=O)OC(C)(C)C 773991111 RIZQNYQJKHUIOQ-UHFFFAOYSA-N 411.458 4.762 5 20 HJBD C[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774924382 CTPPRYZAGZTLFZ-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD Cc1cccc(OCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1C 776132066 HWLDPZWWETXZFH-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD CCSc1ccc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776134442 BIZMAUMUUKYSDQ-QFIPXVFZSA-N 408.479 4.977 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 776139809 QSSUXDRKHSROPE-GOSISDBHSA-N 413.227 4.699 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 776218036 NRTAEOSCPOSNEY-FGLIZPNBSA-N 410.445 4.931 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2C(C)C)c1[N+](=O)[O-] 777073501 IBPGRIMXUPRSLX-ZDUSSCGKSA-N 402.472 4.624 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2cccc([N+](=O)[O-])c2)on1 778077643 ZRMQQCUYMCSBLL-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1cc([N+](=O)[O-])ccc1Cl 778136128 DMHNEFPWYQGZSD-LLVKDONJSA-N 411.241 4.569 5 20 HJBD CC(C)[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781583214 ZIOVGCCMVYQBSQ-IBGZPJMESA-N 405.838 4.603 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1cccc([N+](=O)[O-])c1Br 782167413 OMTZYPCVHDUIMR-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@H](c3cncc(Br)c3)C3CC3)sc2c1 804711474 LZZNMOSOBFUQLJ-HNNXBMFYSA-N 405.277 4.925 5 20 HJBD CC(C)(CCc1noc(C2(c3cc(C(F)(F)F)ccc3Cl)CC2)n1)[N+](=O)[O-] 812814978 CIAGMNUGGPIAII-UHFFFAOYSA-N 403.788 4.810 5 20 HJBD O=C(NCc1cccnc1OC1CCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812907932 BYALLKMOOUQZNW-UHFFFAOYSA-N 410.257 4.548 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3cc([N+](=O)[O-])ccc3Cl)cn2)c1 915614227 ASDCAPZLMHJLBH-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 917049384 ZBDDVTAOXBXEHR-NRFANRHFSA-N 403.390 4.577 5 20 HJBD C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(=O)Nc1ccc(Cl)cc1Cl 919523466 VKEOPKGPDLKYSH-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 1251502023 JYOTVAOJLZWNFA-DEOSSOPVSA-N 421.500 4.976 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])ccc2OC)cc1 1318214523 UWGXQAWEJAPECG-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cccc1C(N)=O 1320220481 LFSMITPUMLZITQ-UHFFFAOYSA-N 413.499 4.537 5 20 HJBD CCN(Cc1ccccc1)C(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 10083251 CSWTZKZSSZGILB-CYBMUJFWSA-N 401.513 4.734 5 20 HJBD Cc1ccoc1C(=O)O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 16416167 JTEFKIJYAIRZAS-SFHVURJKSA-N 414.801 4.686 5 20 HJBD COc1cc(C)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 54101354 NNXVQDJGLZOLPA-HNNXBMFYSA-N 406.442 4.732 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@H]1CCCCO1 56349040 DGWRCGIBWYGMHA-NVXWUHKLSA-N 416.499 4.662 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(C)c2)c([N+](=O)[O-])cc1OCc1cscn1 56379975 YQJALSOWMKWQCE-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n(-c2ccccc2C(F)(F)F)n1 60855010 QPUAYUHSPFXIDE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 107576808 IGSWFJQSWIJQSE-UHFFFAOYSA-N 401.466 4.714 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCCc1nnc(-c2ccccc2)o1 301473079 LNQALVXQIBQFNI-UHFFFAOYSA-N 412.755 4.972 5 20 HJBD CC(C)(c1nc(Cc2cccc(Br)c2)no1)c1ccccc1[N+](=O)[O-] 426414636 XOTCDLWBOBRXGN-UHFFFAOYSA-N 402.248 4.657 5 20 HJBD CC1(C)C[C@H](NCc2ccc(C(=O)N3CCCCC3)cc2)c2cc([N+](=O)[O-])ccc21 433284803 KQNNVBTVPFFVPM-QFIPXVFZSA-N 407.514 4.733 5 20 HJBD CC(C)OCc1cccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436319087 QLYIGASYLDTNNN-UHFFFAOYSA-N 404.441 4.998 5 20 HJBD COc1ccc(OCCSCc2nc(-c3ccc(Cl)cc3)no2)c([N+](=O)[O-])c1 437272637 YIJMVHFPKLKGRM-UHFFFAOYSA-N 421.862 4.619 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(C)c(O)c2C)cc1 439288084 YVGZWIYAEQBBBS-UHFFFAOYSA-N 408.410 4.970 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCCC[C@H]1/C=C/c1ccccc1 440878425 UAOANFKRSOSKHS-ZGKFYVQTSA-N 417.465 4.947 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccc(Cl)s3)cn2)c([N+](=O)[O-])cc1OC 448510684 PNFSVIWAOZUMMS-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD C[C@@H]1C[C@H]1[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 461414074 RGTJVWMPLONGHF-CVWILIBFSA-N 411.845 4.629 5 20 HJBD CNc1c(C(=O)Nc2ccc(OCc3ccccn3)c(Cl)c2)cccc1[N+](=O)[O-] 462936469 QLMQCTCTSBJFMP-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(F)cc3Br)CC2)cc1 464777444 PCQPGVZSZNTYPU-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466445011 DNBOKLWTVWRFMT-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 466899439 BXNUMWXUMJTZFQ-AAEUAGOBSA-N 409.305 4.827 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 467101098 JCZBKQXWRDGNDG-UHFFFAOYSA-N 408.404 4.919 5 20 HJBD CCCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1cccc(C)c1 470323061 DSWJDQUFZSGBHY-UHFFFAOYSA-N 408.458 4.611 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2cn3ccc(C)cc3n2)cc1 470754675 JDXKTRFALGFUQA-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(COc2ccccc2)c1C 475162314 MOYZEVUNUINJPV-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1-c1ccccc1 479345124 DNWDDJOUSLIVBZ-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 480173347 VZYVMUSJZXAOHL-UHFFFAOYSA-N 413.449 4.520 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(c2ccccc2)CC1 481805766 KIALHNVXPAXUIF-UHFFFAOYSA-N 414.527 4.790 5 20 HJBD O=C(NCc1nccc2ccccc12)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485939712 JDQPHIBHCYUSDD-UHFFFAOYSA-N 413.433 4.652 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)c(OC(F)F)cc1F)c1ccc([N+](=O)[O-])cc1 491247209 NOIDLNKKMXUVGQ-UHFFFAOYSA-N 401.316 4.531 5 20 HJBD COc1cc(CNc2cnn([C@@H](C)C3CC3)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 494394862 GHTMTAQEZBGXNH-INIZCTEOSA-N 422.485 4.962 5 20 HJBD O=C(c1c[nH]c2ccc(Br)cc12)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497586869 BPLNXOWXGJHDQG-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD O=C(c1ccnc(-c2ccc(Cl)cc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 501669005 ZAQILNPHFSHUEY-UHFFFAOYSA-N 408.845 4.510 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2CCN3CCCC[C@@H]23)cc1 509616092 FYQXBEBJFDODEG-OALUTQOASA-N 401.894 4.757 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(N3CCNC3=O)c2)cc1[N+](=O)[O-] 513582434 NQVFHIFURRXVRX-UHFFFAOYSA-N 417.469 4.504 5 20 HJBD COc1cc(Cl)c(/C=C2/CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1Cl 513785127 GOCYUIKVVNGKQO-POHAHGRESA-N 418.236 4.564 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 521043381 BPSMGWCMPMEMCT-UHFFFAOYSA-N 421.388 4.626 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 521944031 DOXKXHPSHSVTLS-UHFFFAOYSA-N 419.437 4.600 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 523060557 KBIPZRDSXHBMNB-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCc3nc(-c4cccc(Cl)c4)no3)o2)c1 524457812 ASGXYPBHRZWQQI-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)C1 524555662 JLNXOIAKOJQGAO-HNNXBMFYSA-N 401.894 4.661 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(-c2ccncc2)cc1 524838518 UJQUIRRLLHOAJM-UHFFFAOYSA-N 404.470 4.777 5 20 HJBD O=C(Nc1cccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)c1ccccc1 534365460 GQOZHKYRWIRWHZ-UHFFFAOYSA-N 415.405 4.523 5 20 HJBD CC(C)(C)C(=O)NC[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 537631442 NGPFBUHMHMJYCP-MRXNPFEDSA-N 415.559 4.698 5 20 HJBD CN(CCc1nccs1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 539862304 LQQXANMPHPNZIN-UHFFFAOYSA-N 422.304 4.748 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(CS(=O)(=O)C(C)C)cc1 540834428 YVXFCOLNXRFMNC-QGZVFWFLSA-N 422.572 4.901 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1ccc([N+](=O)[O-])cc1F 542524798 FPDVOHFZDDVNQL-CABCVRRESA-N 420.868 4.808 5 20 HJBD COc1ccc(-c2nc(Cc3noc([C@H](C)c4ccc([N+](=O)[O-])cc4F)n3)co2)cc1 544616373 GLTLMQJLRCESFL-GFCCVEGCSA-N 424.388 4.523 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc(OC(F)F)cc3)no2)c2ccccc12 544900267 XJIUOOCOTGLABN-UHFFFAOYSA-N 419.387 4.854 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1oc2ccc(CCC(C)=O)cc2c1C 546665657 SMZSRBKMSADDQT-UHFFFAOYSA-N 410.426 4.822 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)c1 549929869 WHRVDEVVFVDUEJ-IBGZPJMESA-N 408.376 4.626 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(F)cc1C 551680408 VZCOOTAGPTXFMR-UHFFFAOYSA-N 420.462 4.972 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 555312100 JRSVHTJAAZYOJK-MRXNPFEDSA-N 406.438 4.816 5 20 HJBD CC[C@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1ccccc1Cl 556872579 ZRZZYMDFMQHJAV-XLIONFOSSA-N 414.893 4.518 5 20 HJBD CCS(=O)(=O)c1ccc([C@H](C)Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 557742733 URCRJJBUIBCORA-NSHDSACASA-N 402.394 4.580 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(F)c(F)cc2Br)cc1[N+](=O)[O-] 560585257 BFKZBPHEIOJBKD-SNVBAGLBSA-N 415.234 4.885 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Cl)cc1OCC(F)F 571237003 DTNQAOOTMWICTF-UHFFFAOYSA-N 409.776 4.555 5 20 HJBD COc1cc(Cl)c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1C 573722403 SUOSDYFOTQGIEF-UHFFFAOYSA-N 419.865 4.641 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 577326122 HIYSSZGAHLLMPI-NSHDSACASA-N 415.500 4.718 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cc3nc(C(C)C)no3)cc2)c1[N+](=O)[O-] 582918615 GPTDNMQYBSNGFY-UHFFFAOYSA-N 412.471 4.666 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C2CC2)no1 584080011 IQIFJEJUOXTTLZ-SCLBCKFNSA-N 424.482 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 603642821 PCNCIGBHDMBAMQ-URLYPYJESA-N 413.318 4.934 5 20 HJBD CSc1ccc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 609059195 CHTNMYOXXCCRNU-SFHVURJKSA-N 422.510 5.000 5 20 HJBD COc1ccc(-c2nsc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)cc1 609127589 KFONYYMHRTXGCC-UHFFFAOYSA-N 412.471 4.672 5 20 HJBD Cc1ccc(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cc1[N+](=O)[O-] 609430036 BHDSAISDTSJLMV-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3cc(C(C)C)no3)cc2[N+](=O)[O-])n1 609621429 IWVLBNKZYUVULI-UHFFFAOYSA-N 418.500 4.552 5 20 HJBD Cc1cc(C)c2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2c1 610036354 LTFBXJWBCBWMGC-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD CCN(C(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 610102294 QZCUQXLAZVTPKR-QGZVFWFLSA-N 414.506 4.507 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1c(Cl)cccc1[N+](=O)[O-] 610154586 GCVHOAGNRSXDBD-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1OCC1CCOCC1 610155252 DSZREYNVUWQNIH-UHFFFAOYSA-N 418.877 4.543 5 20 HJBD COc1ccccc1-c1nc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cs1 611202682 OBOVMQICZMFWNL-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(-c3cccs3)[nH]n2)CC1 727485571 SPOFMBFCGDISPI-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3cccc(C(F)(F)F)c3)o2)cc1 730816704 DCUQULUGMDCWAY-SFHVURJKSA-N 406.360 4.697 5 20 HJBD CC[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(OC)cc1 731559694 XHEIPVSSGLXIFX-HSZRJFAPSA-N 401.462 4.858 5 20 HJBD CC(C)Oc1cccc([C@@H](O)CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 735086753 RRLJZCSVJXNYSY-NRFANRHFSA-N 412.511 4.934 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445731 WLGKJJXQDBNHCK-OAHLLOKOSA-N 410.426 4.571 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1)c1ccc(F)cc1 736012379 FDTVHBLKRYVKOH-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD CC(=O)N1C=Cc2ccccc2[C@H]1CC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 736015694 FAMVIXZKPZIMDZ-HSZRJFAPSA-N 419.481 4.835 5 20 HJBD O=C1OC(c2cc3ccccc3[nH]2)=N/C1=C/c1csc(-c2cccc([N+](=O)[O-])c2)n1 743279324 KBUCPFMHWCHYFX-VCHYOVAHSA-N 416.418 4.544 5 20 HJBD CCOc1cc(C(=O)O[C@@H](C)C(=O)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC 744517841 FCHKFZAYPFZORF-AWEZNQCLSA-N 415.442 4.554 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 745050339 NPFJDPLSSJCYMS-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cc(F)ccc1[N+](=O)[O-] 745495393 BNLUZADUZRCOFD-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD O=C(Nc1ccc(N2CCCC2)cc1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750829295 NIJRNIZBJFCDFC-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1Br 758267574 WDMVCYJIVDEDGY-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CS[C@@H](C)CC(=O)O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 766915360 GTCXPRPAUSCKNP-KPZWWZAWSA-N 422.890 4.613 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(Oc2ccccc2Cl)CC1 770009452 QQSPNZWQKUFANX-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1csc(-c2ccccn2)n1 775091394 PPNKQNPBODKBSR-UHFFFAOYSA-N 415.496 4.971 5 20 HJBD C[C@H](N[C@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548556 FXYXNWKKYYTSPV-CABCVRRESA-N 416.909 4.526 5 20 HJBD C[C@@H](N[C@H](C)c1c(F)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548680 IXTQIVBXALMZKQ-NXEZZACHSA-N 400.237 4.719 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)OC)cc1 776826868 GSVDISZPEBDJSS-UHFFFAOYSA-N 403.460 4.918 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2ccnc2C)nc1 777305881 AJAGZNFKDQRNMI-UHFFFAOYSA-N 411.487 4.628 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 779098586 BWBSEADVHKRHBJ-BJIFSAINSA-N 416.302 4.629 5 20 HJBD CC(=O)c1ccc(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)o1 781965708 CVEUCOGPYAVSGY-UHFFFAOYSA-N 414.801 4.875 5 20 HJBD Cc1cc(NCc2ccc(C(=O)N3CCCC3)cc2)c(Br)cc1[N+](=O)[O-] 788835538 SQBYRUUKLIYYRM-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)c1F 790914988 RBPISPYIRYLBQO-UHFFFAOYSA-N 410.449 4.987 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 791021953 LNYTYPZWSJOUEA-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 799838427 WRBMOEUZHOCIBC-NSHDSACASA-N 419.846 4.522 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2NC1=O 809971973 ATCZHBVPWOOSFR-QLJPJBMISA-N 424.284 4.862 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511594 PJMDCXXNSKCHLU-UHFFFAOYSA-N 419.315 4.553 5 20 HJBD Cc1cc(CC(C)(C)c2nc(CCC(C)(C)[N+](=O)[O-])no2)ccc1Br 815697602 HQZRKIUICRBMFQ-UHFFFAOYSA-N 410.312 4.649 5 20 HJBD COc1cc(Br)c(C=O)cc1Oc1ccc([N+](=O)[O-])c2cccnc12 883999465 DAUDPLOMQKTYAF-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD Cc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)oc1C 920264552 WNUUGTPXASFQPJ-UHFFFAOYSA-N 415.833 4.822 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])c1csc(Cc2ccc(Cl)cc2)n1 1115880204 FHVSGDSSXICVEE-UHFFFAOYSA-N 418.858 4.531 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)ccc1F 1318888481 ZKZHHSBKPPUUPE-UHFFFAOYSA-N 416.409 4.629 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1322633239 XTVHKIGMUQBOGY-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD C[C@@H]1CCc2sc(-c3nnc([C@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)o3)cc2C1 1341556797 LVSNENVUKYLEKS-BDJLRTHQSA-N 410.455 4.697 5 20 HJBD COc1ccc(NC(=O)NCc2ccc(Oc3ccccc3OC)cc2)c([N+](=O)[O-])c1 1345056209 RPSCADAJXRWZIE-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD Cc1n[nH]cc1C1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1345276263 MWLJOJUKUJIWTO-UHFFFAOYSA-N 400.866 4.919 5 20 HJBD COc1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1OC 6061999 WDOXLCZFPVMARK-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD Cc1c(C(=O)NCc2cn(-c3ccccc3)nc2-c2ccccc2)cccc1[N+](=O)[O-] 12023876 WDIRPUKAUPYAAA-UHFFFAOYSA-N 412.449 4.686 5 20 HJBD CC(=O)c1cccc(NC(=O)CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)c1 15332929 SOWJGXSNNQYLAA-UHFFFAOYSA-N 404.426 4.592 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])s2)c1 23488766 KMHHBXIQQAAZME-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 24997779 NNRFGYXWJRUPCG-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(-c4cccnc4)cs3)cc2[N+](=O)[O-])CC1 29253496 QJTVHISNMJZKPX-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CCn1c(S[C@H](C(=O)NC2CCCC2)C(C)C)nc2cc3ccccc3cc2c1=O 31747554 MQAZBMPBTZECSR-NRFANRHFSA-N 423.582 4.745 5 20 HJBD CCOc1cccc2cc([C@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 42637558 GREBBRVPXPVMDA-GJZGRUSLSA-N 411.458 4.726 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1c(C)nn(-c2ccccc2C)c1C 56520232 MEWNKVYIUKOEHC-UHFFFAOYSA-N 407.474 4.678 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 108836479 DDYIULQVJPHKNJ-UHFFFAOYSA-N 416.890 4.652 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc3c(c2)CCCC3)c([N+](=O)[O-])cc1OC(F)F 115378808 NQMULHJICSEQNL-GFCCVEGCSA-N 420.412 4.575 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC(C(F)(F)F)(C(F)(F)F)C1 301287088 NHJGSGQEVGJCRU-UHFFFAOYSA-N 407.108 4.678 5 20 HJBD COc1ccc2oc([C@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)c(C)c2c1 301391739 HNIZLXSVYFYKQT-ZDUSSCGKSA-N 411.414 4.788 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3ccc(F)cc3)n2C[C@H]2CCCO2)c1 301550133 GOWKHKLPZJSSKC-MRXNPFEDSA-N 414.462 4.631 5 20 HJBD COc1cc(C=O)c(Br)cc1Oc1ccc([N+](=O)[O-])c2cccnc12 301930867 NEHMYQKUSAQHPC-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1cc(-c2cccc(Cl)c2)on1 303006589 IXKOLEBYZNYCSY-UHFFFAOYSA-N 409.789 4.546 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 409975569 GELUTIFINJDCCC-UHFFFAOYSA-N 421.434 4.836 5 20 HJBD CSc1nnc(CCCN[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)n1CC(C)C 433113809 DGGMPTJSGFGCNU-GOSISDBHSA-N 417.579 4.509 5 20 HJBD C[C@@H](NC1(CN[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CCOCC1)c1ccccc1 433245690 LSDHXGXPXHOMBO-WZONZLPQSA-N 423.557 4.807 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)c1[N+](=O)[O-] 433850362 ZHMYPLVTXLNYPM-HNNXBMFYSA-N 404.879 4.676 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435392458 WMZMJMYRIRGZMF-SECBINFHSA-N 419.812 4.743 5 20 HJBD COc1cccc(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)c1[N+](=O)[O-] 435828953 XAZVJSNYGWGBLF-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Nc1c(C(=O)N[C@@H](CC(F)(F)F)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 444434372 JYPIHJIWEFXFPU-LBPRGKRZSA-N 421.297 4.619 5 20 HJBD O=C(Nc1nnc(-c2ccsc2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444711993 YGCJIXMJVBIETD-UHFFFAOYSA-N 404.476 4.514 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)CCc3cccc([N+](=O)[O-])c3)ccn2)c1C 446042107 MFTQNGNCSVUBSJ-UHFFFAOYSA-N 405.454 4.648 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](CO)Cc2c(F)cccc2Cl)o1 462738276 KLESLXNRAOUCBF-CQSZACIVSA-N 418.852 4.588 5 20 HJBD CCCc1c(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cnn1-c1cc(C)ccn1 463976725 OFESYNBIXHSCKW-UHFFFAOYSA-N 408.462 4.697 5 20 HJBD CCCN(C(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@@H](C)c1cc2ccccc2o1 464044805 TYDPCBUBPAFUBU-INIZCTEOSA-N 423.469 4.896 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2[nH]1)c1cc2cc([N+](=O)[O-])ccc2s1 464579171 ZTCZCCLBIOJIDW-UHFFFAOYSA-N 417.244 4.701 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H](C(F)(F)F)C2(C)C)c([N+](=O)[O-])cc1OCC 470615461 WJGLXSDQFXMBEM-OAHLLOKOSA-N 419.400 4.587 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1cccc(Sc2ccc(Cl)cc2)c1 471479055 CODZBYGHLBHKSY-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD COCCOc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(C)c1 475226376 ZZOAYAKBJRYPOF-UHFFFAOYSA-N 413.352 4.591 5 20 HJBD Cc1cccc(C(=O)Nc2nc3cc(F)c(Br)cc3s2)c1[N+](=O)[O-] 481292946 NNAQAKWBJMSUDP-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 481526770 LVDLPUOBDWFWQU-CQSZACIVSA-N 415.515 4.792 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccccc2OCCC(C)C)cc1SC 483522259 FPWAOBCCEGIMSD-UHFFFAOYSA-N 418.515 4.680 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1 486226305 NDTNRFXWTCBWRF-CYBMUJFWSA-N 406.529 4.546 5 20 HJBD Cc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1C(=O)N(C)C(C)C 487178954 HGTTXCYCIVWGGQ-UHFFFAOYSA-N 407.417 4.597 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccccc1 495184406 PBDRJSJSWVZETG-HSZRJFAPSA-N 419.481 4.577 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 499237673 NTORZWSAGNEDRV-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C2CC2)[C@@H]2CCCc3ccccc32)cc1OC 500236773 BZMNTFNGRMQVAE-LJQANCHMSA-N 410.470 4.684 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(COc2ccc3ccccc3c2)o1 500756916 GNJLNNGRNIBONX-UHFFFAOYSA-N 402.406 4.850 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(NC(=O)C(C)C)cc1 502660637 FUSFLDRACGQPSR-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD Cc1ccccc1[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@H](C)C1 503314791 JFELFDREAWUUKJ-HZUJVAHNSA-N 409.486 4.526 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c(OC(F)F)c2)cc1OC 504105280 XKZQOSIUGWNAPQ-UHFFFAOYSA-N 416.764 4.509 5 20 HJBD COCCSc1ccc([C@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 505611058 PQTWPOLKGOLKJM-HNNXBMFYSA-N 417.531 4.645 5 20 HJBD CCOc1ccc(C2=CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 509460418 AJNHZPCLKJDXHJ-MRXNPFEDSA-N 420.469 4.894 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 515510798 SDGABMOFXDDJNC-ZWKOTPCHSA-N 410.518 4.530 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)N(Cc1ccsc1)c1ccc(Br)cc1 515684716 CONIJWMTNGYVAI-UHFFFAOYSA-N 420.288 4.604 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccncc1 522650945 QITSVLVKJKKFIP-QGZVFWFLSA-N 403.866 4.556 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC2(CCC2)c2c(F)cccc21 523578995 PPAWDXFENGMOKE-UHFFFAOYSA-N 409.442 4.940 5 20 HJBD Cc1ccc(Sc2c(Cl)cccc2NC(=O)Cn2ccc([N+](=O)[O-])n2)cc1 525049237 WYSLCKRFCMVDAO-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD CCn1c(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)nnc1-c1ccccc1C 546967119 OVIBQFGAAJZNLZ-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD COC[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Cl)c1F 554376198 IVRAPHKXDGGRNM-HNNXBMFYSA-N 406.763 4.883 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@@H]1c1ccccc1OC(F)(F)F)c1ccccc1[N+](=O)[O-] 557969279 FEVNPUHOSSYDOL-KGLIPLIRSA-N 408.376 4.648 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 560297371 BDDWQAFFGVKFMA-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD O=C(N[C@H]1CCc2sc(Cl)cc21)c1sc(Br)cc1[N+](=O)[O-] 562176238 CTESMQLUQDINPT-LURJTMIESA-N 407.698 4.551 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@@H]1c1ccccc1 567099282 WSLZIMIAGNZJMX-OXJNMPFZSA-N 400.500 4.603 5 20 HJBD C[C@H](C(=O)NCCCCN1CCC(Cc2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 567661555 QACOUTPWQKORFQ-FQEVSTJZSA-N 423.557 4.549 5 20 HJBD C[C@@H](C(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 571014953 WPZZUOZJPJAESF-SRCQZFHVSA-N 403.276 4.523 5 20 HJBD C[C@@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 589875592 ZSAUOOCKRHOCGU-XIKOKIGWSA-N 418.375 4.523 5 20 HJBD CC(C)CN(Cc1ccccc1F)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603530585 DMJSZLTVKDRKCU-UHFFFAOYSA-N 413.493 4.635 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 603741153 IAPPABPOJUJYBG-HUUCEWRRSA-N 421.453 4.711 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 604071480 OKMLEOUFWILEDX-UHFFFAOYSA-N 407.257 4.974 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1ccc(C(F)(F)F)cc1 604360132 PEZKXTDCMUHQPG-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 609700029 UENDQHDMGQFSJJ-QGZVFWFLSA-N 422.934 4.628 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2F)c1 609864559 ZKFRWTNPVBSFCI-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@H](C)O[C@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610399332 WSKGEYFXWQXPHQ-LRDDRELGSA-N 420.453 4.525 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 611182225 RMUOGAJPEQCMGK-NRFANRHFSA-N 422.529 4.664 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3cnn(CCOC)c3c2)c2ccc([N+](=O)[O-])cc2)o1 612415805 HOHODJFDADNABN-QHCPKHFHSA-N 420.469 4.948 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1)c1ccccn1 612927591 AGNZKWUZJAPLSC-UHFFFAOYSA-N 421.800 4.613 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1CO 615894449 UDTHLQRFGBFPOC-UHFFFAOYSA-N 405.454 4.568 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1-c1c[nH]c2ncccc12 617757362 FLEYNLHZLDIBQI-NSHDSACASA-N 407.455 4.645 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1c(Cl)cccc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 726028096 AJIRLMSKVLTQEV-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1 731209164 LJFOQKCNTDUSHB-UHFFFAOYSA-N 401.875 4.957 5 20 HJBD Cn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nc2cc3ccccc3cc2c1=O 746388952 KCQRLUXKSBSKTQ-UHFFFAOYSA-N 411.870 4.941 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])cc2s1 749932754 BYOAFQUOUKEIMY-UHFFFAOYSA-N 420.834 4.679 5 20 HJBD C[C@H](OC(=O)CC[C@H](C)c1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750095928 MMIROPTWRWMEQV-KBPBESRZSA-N 404.850 4.702 5 20 HJBD COc1cc(C(=O)O[C@H](c2cccc(Cl)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC 750215463 HEVGFXTYQFUTOH-OAHLLOKOSA-N 419.739 4.726 5 20 HJBD O=C(Nc1nc2c(s1)CCCC2)c1cc(Br)cc([N+](=O)[O-])c1Cl 750828673 BBXUOHWQNNLRCI-UHFFFAOYSA-N 416.684 4.598 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 753827565 WYFSKSXZLVLKCZ-KBPBESRZSA-N 403.276 4.684 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@@H](O)c1cccs1 758361672 NRDNDPUMHHIMOQ-GOSISDBHSA-N 415.446 4.563 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2s1 784001646 QEMQOGLRZNLJFI-SNVBAGLBSA-N 407.904 4.827 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 791880591 JMIXYCPNJZWFKX-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD CC(=O)c1cc(N2CCC[C@H]2c2c(C)nn(CC(C)C)c2Cl)ccc1[N+](=O)[O-] 804552001 YPPBBGCMGVULFB-SFHVURJKSA-N 404.898 4.953 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc2ccccc2cc1Br 808358702 SNQLVOBIMFRWTF-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CCOC(=O)/C(=C/C(C)=C/c1ccccc1OC(F)F)c1ccc([N+](=O)[O-])cn1 811691784 UJUONHZNOHUZML-WFVPKAAFSA-N 404.369 4.641 5 20 HJBD Cc1cnc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(Br)c1 813090837 CDMCBHZLPUUGAM-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1ccc(-n2nc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2C)cc1 813147555 RIDFQLCPQXFVGR-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD C[C@@H](c1ccc(F)cc1)N1CC[C@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C1=O 814720057 HSIHNRSAARXKCZ-ZBEGNZNMSA-N 417.487 4.798 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815023116 JSDPKRJEDLAPGX-UHFFFAOYSA-N 421.419 4.576 5 20 HJBD Nc1c(Cl)cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc1[N+](=O)[O-] 904448737 BGDNQHHXKSVGJB-UHFFFAOYSA-N 409.789 4.735 5 20 HJBD Cc1c(Cc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cccc1[N+](=O)[O-] 904512455 VEPXZVDBGYBRLP-UHFFFAOYSA-N 406.373 4.870 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c(F)cccc2Cl)cc1)c1cccc([N+](=O)[O-])c1 909916612 UHZYHSQMKBHESX-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD O=C1Nc2cc(Cl)c([N+](=O)[O-])cc2/C1=C\c1ccc(OCc2ccncc2)cc1 915986886 HPVDVFFUVMUWHL-RQZCQDPDSA-N 407.813 4.715 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 918903291 KDTBTCZGTYGXOT-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc([C@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1116773095 HXZPCEUXQVUMLP-RDTXWAMCSA-N 424.457 4.744 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(-c2ccc(Cl)cc2Cl)o1 1257902409 QYSZPGRAQFCTCN-LBPRGKRZSA-N 411.249 4.557 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)C3CC3)cc2)c(Br)cc1[N+](=O)[O-] 1321796553 IFISDEPLFUGFRX-UHFFFAOYSA-N 404.264 4.626 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(Cc2csc(Cc3ccccc3)n2)n1 1323915376 TXHWHIQBOWRCBD-UHFFFAOYSA-N 406.467 4.900 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)Cn1c2ccccc2c(=O)c2ccccc21 10723587 PIHCGEQHZKSFLL-UHFFFAOYSA-N 424.456 4.862 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 53455926 XTYUVMGVQGSBRG-IAGOWNOFSA-N 404.470 4.962 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(-n2nccc2-c2ccccc2)c1 64364425 MBOVHJYARASTOX-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](c2ccccc2)N2CCC(C)CC2)c1 72006699 LCAGGVBRSJGVHP-NRFANRHFSA-N 413.543 4.520 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)NCC(C)C)c(Cl)c2)cc1[N+](=O)[O-] 195704681 SHFURZFBCTYQDB-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NCc2cccc([N+](=O)[O-])c2)cc1OC 237348181 JUPNGDYNCPHDDE-QFIPXVFZSA-N 406.482 4.994 5 20 HJBD Cc1cccn2cc(CNCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)nc12 237849763 UMOLJRRSFSGAKK-UHFFFAOYSA-N 406.417 4.772 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(N3CCc4ccccc4C3)nc2)c2ncccc12 301122893 YBUHQYBCEPTKSG-UHFFFAOYSA-N 411.465 4.713 5 20 HJBD C[C@@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccc(NC(=O)c2ccncc2)cc1 301287963 PBJCGLQXGBFMAS-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccco3)n2Cc2ccco2)c2ccncc12 301732082 YHBMFKXVTMYOHV-UHFFFAOYSA-N 419.422 4.787 5 20 HJBD COc1cc(NCc2ccc(C(=O)Nc3ccc(F)cc3)cc2)c(F)cc1[N+](=O)[O-] 301934193 QNSSEYNVZHLYLU-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD Cc1cc(NCc2cccc(C(=O)NCc3ccco3)c2)c2cc([N+](=O)[O-])ccc2n1 302066067 ATPGHPMHTRZQKX-UHFFFAOYSA-N 416.437 4.587 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3c(Cl)cccc3[N+](=O)[O-])c2)cc1 303551630 ZZYIGFBIMUGNQG-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD CN(C(=O)c1cccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1)c1ccccc1 429864374 DPGZHXJAJGVYJZ-UHFFFAOYSA-N 417.465 4.788 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 430202066 DZXQPFRYFRRILY-NRFANRHFSA-N 420.444 4.623 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1ccc(Br)cc1[N+](=O)[O-] 430592280 MIHXFOQCGQQKNF-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccn(C2CCN(C(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)CC2)n1 434031314 MGLPUDBYEGNCBR-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(-c4ccc(OC(F)F)cc4)o3)nc2c1 434793092 HHCQLAOFKIDLAX-UHFFFAOYSA-N 420.353 4.680 5 20 HJBD Cc1ccc(NC(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)cc1[N+](=O)[O-] 435661764 GMSVFGNWHIIIQR-LLVKDONJSA-N 414.409 4.790 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(Cl)c1 436364084 MCAOYWSFLBWCMV-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD C[C@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)no1 437151800 ZZHUGPXBBZESLC-ZDUSSCGKSA-N 409.446 4.510 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](C)c1nc2ccccc2s1 439904840 UVBSDEGQBBTTGO-LBPRGKRZSA-N 400.460 4.828 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2n1C(F)F 444671286 FYNCKXOIHXUFGJ-LBPRGKRZSA-N 413.384 4.845 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 444902988 NATDEARLKNVANV-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD Cc1cc(=NC(=O)c2cccc(Br)c2Cl)c2cc([N+](=O)[O-])ccc2[nH]1 462596966 XIRXUSRZVQFAKD-UHFFFAOYSA-N 420.650 4.542 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccccc3OCC(F)(F)F)CC2)cc1 464783177 HHSPFHHYDGZHLO-UHFFFAOYSA-N 410.392 4.579 5 20 HJBD O=C(NC1CCCCC1)c1cc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1Cl 466305214 CNUYMGNBBXKQAT-UHFFFAOYSA-N 419.840 4.702 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccncc2)cc1 467229703 KZGQJZLOAPUEAF-CQSZACIVSA-N 410.861 4.871 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(CSC3CCOCC3)c2)cc1[N+](=O)[O-] 471913128 UECMIYMANMAVHN-UHFFFAOYSA-N 400.500 4.822 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Sc1nnc(Cc2cccs2)n1C1CC1 473510369 PPVMYZIAJFSXCG-UHFFFAOYSA-N 409.496 4.873 5 20 HJBD Cc1cc(NC(=O)N(C)[C@@H](c2ccc(Cl)cc2)c2cnccn2)ccc1[N+](=O)[O-] 473779969 GXYANNOKGZVWPM-IBGZPJMESA-N 411.849 4.600 5 20 HJBD COCCSc1ccc([C@@H](C)Nc2ncc(Br)cc2[N+](=O)[O-])cc1 474793126 XVAZEANMSAQAJE-LLVKDONJSA-N 412.309 4.664 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nccs3)cc2)cc1SC 482051934 ISTRLXJXHYDUOH-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2noc(-c3ccc(Cl)cc3)n2)c1[N+](=O)[O-] 486427263 BFBIMPKGMWBSFX-JTQLQIEISA-N 418.862 4.511 5 20 HJBD Cc1cc(C2CCN(C(=O)c3ccc([N+](=O)[O-])cc3)CC2)ccc1Br 494144822 JUGKRTXGWVICHR-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(F)cc1F 495019617 QFLYKXKSUXSBLJ-HXUWFJFHSA-N 403.429 4.944 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] 499978450 MFBCGNIITWNRDF-JTQLQIEISA-N 416.890 4.753 5 20 HJBD Cc1cc(F)cc([C@]2(F)CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)c1 502886632 HNKCOELANCXPMY-QFIPXVFZSA-N 413.424 4.811 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C)c1S(=O)(=O)Nc1ccc2nc(C(F)F)sc2c1 507563436 HKXQXYHBASLOJA-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2cccc(F)c21 508815068 ZRFNTYAIZMKGSX-UHFFFAOYSA-N 414.380 4.824 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)CCOc2ccccc2)cc1 509077460 BWDLEVKHNYWVSU-UHFFFAOYSA-N 422.437 4.547 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 510584647 OHQVRYXOSLUHLC-UHFFFAOYSA-N 423.263 4.714 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510820707 LCGYDKULHGHACK-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccc4ccccc4c3)C3CC3)n2)c1 514100069 SVUZGAODSSREFJ-UHFFFAOYSA-N 400.438 4.963 5 20 HJBD CSc1ccc(NC(=O)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 515406519 FWAINKQFZVKPAB-UHFFFAOYSA-N 414.409 4.569 5 20 HJBD Cc1nc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)oc1-c1ccccc1 518029614 LDWFNIJVCZLLCZ-UHFFFAOYSA-N 407.470 4.928 5 20 HJBD Cc1c(NC(=O)CCCCOc2ccc(Br)cc2)cccc1[N+](=O)[O-] 520859582 XPOZTEPZYNTKTL-UHFFFAOYSA-N 407.264 4.854 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2C)cc([N+](=O)[O-])c1 522676404 ZZERUXJAXSWTIQ-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](c2ncccc2C)C(C)C)c([N+](=O)[O-])cc1OCC 523785394 HBRVKLLAMRCWDZ-LJQANCHMSA-N 416.478 4.614 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H](C[C@H](C)O)c3ccccc3)o2)c([N+](=O)[O-])c1 532346622 TUYZRSMFPAYDRR-FUHWJXTLSA-N 410.470 4.508 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 532825737 SGGJGGXLNQXJRY-UHFFFAOYSA-N 413.430 4.633 5 20 HJBD CN(C(=O)c1csc(-c2cc(Br)cs2)n1)c1cccc([N+](=O)[O-])c1 533606319 OEVGKZVPUREQPM-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD COc1ccc(-c2nnc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)s2)cc1 534233796 DTHPOFXULBCPRX-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3cccc(F)c3)C[C@@H]3CCCO3)co2)cc1 536355779 VKKPYKQDLGCBKX-NRFANRHFSA-N 411.433 4.570 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 538810495 QMPRHZFULMNJLA-UHFFFAOYSA-N 423.513 4.953 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC1CCN([C@H](C)c2ccncc2)CC1 540148688 AIVIUYLIRUGTPU-MJGOQNOKSA-N 414.575 4.676 5 20 HJBD Cc1ccc([C@@H](C)NCc2cn(-c3ccc(Cl)c(Cl)c3)nn2)cc1[N+](=O)[O-] 542980392 BHJQULZHJRGLOY-GFCCVEGCSA-N 406.273 4.642 5 20 HJBD COc1ccc(-c2csc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1F 543442705 BZDUPMAPASTMEV-SNVBAGLBSA-N 419.409 4.747 5 20 HJBD CS[C@@H]1C(=O)Nc2ccc(-c3noc(-c4cc5cc([N+](=O)[O-])ccc5s4)n3)cc21 545081514 NSLUTRHILIRSPX-INIZCTEOSA-N 424.463 4.883 5 20 HJBD CC(=O)CCc1ccc(O[C@@H](C)c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1 545144205 IHGNTCMEODJBPE-INIZCTEOSA-N 409.442 4.539 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(CNC(=O)c3cccs3)cc2)n1 546396148 KQUBLPDFCKNAKP-UHFFFAOYSA-N 420.450 4.612 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3OC(F)F)no2)c(Br)c1 547030957 BPGCSKCAMGHWJW-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD CC(C)c1nc2ccc(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3Cl)cc2s1 553374423 RHTCEWMKAMZDTL-UHFFFAOYSA-N 411.892 4.782 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@@H]1c1cccc(Br)c1)c1ccccc1[N+](=O)[O-] 554059315 WFSNWCQORQKYNI-CABCVRRESA-N 403.276 4.512 5 20 HJBD O=C(NC[C@@H]1CCCSC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 554075528 GEVNQYXGROIVMG-ZDUSSCGKSA-N 406.891 4.914 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 558794120 QXWDAPIRGZFJCG-CYBMUJFWSA-N 412.421 4.573 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2nc3ccccc3cc2Br)n1 578468731 AXPBPNFKHDBMFU-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)c(C(F)(F)F)c2)c1 579261826 ISYFIVWKYKOJEA-UHFFFAOYSA-N 420.290 4.866 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(C2(c3cccc(C(F)(F)F)c3)CC2)no1 589154533 KNLOTYXRBXOCMP-UHFFFAOYSA-N 405.332 4.752 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c2ncccc12 604446658 NQGHLAYWKHSRPA-SNVBAGLBSA-N 406.225 4.856 5 20 HJBD Cc1ccc(COc2ccc(C[C@H](C)NC(=O)Cc3ccccc3[N+](=O)[O-])cc2)cc1 608900899 RDTYJPLFXNWVIL-IBGZPJMESA-N 418.493 4.772 5 20 HJBD C[C@@H](CN(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 608947934 ISIWXOBHOZPXRA-ZDUSSCGKSA-N 412.433 4.968 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC[C@H](Nc4ccccc4)C3)c([N+](=O)[O-])c2)cc1 608974237 ZTZZYYQSCYCPIX-NRFANRHFSA-N 416.481 4.846 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccc3c(c2)CCO3)C2CCCC2)cc1[N+](=O)[O-] 609064586 GRAXWGPBPWCLMJ-UHFFFAOYSA-N 412.511 4.837 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1c(Cl)cccc1[N+](=O)[O-] 609498338 BFQHWJVOHLYKIY-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD C[C@@H](NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccccc1 609852650 FXPFZNROSZVFCD-LLVKDONJSA-N 405.241 4.578 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2)C1 610162261 MSKFDWZYMBJVML-AWEZNQCLSA-N 403.866 4.743 5 20 HJBD CC[C@@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)C(C)(C)c1ccccc1 610177479 OSNAFVRCKLUABK-HXUWFJFHSA-N 416.543 4.709 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@H]3c3cccc(Br)c3)nc2c1 610581199 MKUJLHGAVSADOF-INIZCTEOSA-N 402.248 4.836 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCc4[nH]c5ccc(Cl)cc5c4C3)co2)cc1 611171893 SFGZKXYNTBNONI-UHFFFAOYSA-N 408.845 4.943 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C)c(OC)c2)c([N+](=O)[O-])cc1OCCC 619206727 RXDOVYOELDDNKF-UHFFFAOYSA-N 402.447 4.742 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n1 675388781 BYYNLHCIXCNNGE-SFHVURJKSA-N 422.488 4.599 5 20 HJBD O=C(O[C@H](c1cc(Br)ccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 730406665 WYPJQKJAZBBDQZ-CYBMUJFWSA-N 422.128 4.957 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OCc1nc(Cc2cccc(Br)c2)no1 731490640 ZCVWIWKMOVMZAG-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1c(Cl)cccc1[N+](=O)[O-] 731957193 USJSWCOMCCGCAO-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD Cc1nc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])nn1-c1ccccc1C(C)C 746071517 CMGLDTGASOQJDP-UHFFFAOYSA-N 414.849 4.618 5 20 HJBD CSc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2s1 748606336 ZYDDXFUMMNZDIE-UHFFFAOYSA-N 418.456 4.704 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 754778318 SKWXXWVMBKQUMD-GFCCVEGCSA-N 416.890 4.661 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755067181 UITBMWWMEVGGND-RRFJBIMHSA-N 416.449 4.921 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(Cc3ccc(Cl)c([N+](=O)[O-])c3)C2)cc1 761251117 MENGVFWGZFSEAV-KRWDZBQOSA-N 416.909 4.590 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)cc1 762282492 CEPQEEURUPHSNZ-UHFFFAOYSA-N 414.393 4.722 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763424656 BEZDXQNSKFHERI-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2F)cc1)c1cc(F)c([N+](=O)[O-])cc1F 763429949 CGGDXYJVYXULEN-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 764220518 DYJBUYITWALMGG-SNVBAGLBSA-N 404.879 4.728 5 20 HJBD COc1cc(/C=C(/C(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)ccc1O 772119915 HOARCHIRCYRKAS-XSFVSMFZSA-N 418.449 4.508 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139030 NEIADRKTOWVIFV-QFIPXVFZSA-N 421.840 5.000 5 20 HJBD COc1ccc(CN(C(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)[C@H](C)C2CC2)cc1 777930509 KGKITZCXVGCXQY-CQSZACIVSA-N 404.413 4.523 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1cc(F)ccc1[N+](=O)[O-] 778199324 VTRNHGYMFWTZEM-AWEZNQCLSA-N 408.813 4.588 5 20 HJBD Cc1cc(CN(C(=O)c2cc([N+](=O)[O-])ccc2N)C2CCCC2)c2ccccc2n1 786926879 PVYVBOFHZAUSRS-UHFFFAOYSA-N 404.470 4.619 5 20 HJBD CCC(C)(C)c1ccc(NC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 791113762 FDVXGFOHIMDUFS-MRXNPFEDSA-N 415.515 4.587 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(OCc2ccccc2)c1 792883080 WHTTTZNFAPFLAT-INIZCTEOSA-N 407.426 4.933 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 801527491 HKHWVEAXVUTGOY-AWEZNQCLSA-N 411.408 4.976 5 20 HJBD O=C(OCc1nc2cccc(Cl)c2[nH]1)c1ccc2[nH]c3ccccc3c(=O)c2c1 802884633 JLVAQQOJOGLNBR-UHFFFAOYSA-N 403.825 4.568 5 20 HJBD Cc1cc(O)nc2cc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)ccc12 808322718 RBGNNDWQZXXPHV-UHFFFAOYSA-N 411.845 4.867 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(CCCO)[C@H]1CCCc2ccccc21 809919543 BRSITFODECVFIK-KRWDZBQOSA-N 403.866 4.542 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cc(O)nc2cc(F)ccc12 811130652 MNDONNDBXQMZAZ-UHFFFAOYSA-N 411.172 4.652 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)ccc1-c1ccccc1 811606482 DZGCGWFGBVZLTF-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3cc(Cl)ccc3[N+](=O)[O-])CC2)cc1 812776866 QXCLWMUAVHGAQG-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD CCOC(=O)/C=C/c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812843258 QIPURIGNZVEQDJ-VMPITWQZSA-N 409.225 4.730 5 20 HJBD Cc1c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cccc1NC(=O)N(C)C 813236918 SGWXLTZJOLCWJG-UHFFFAOYSA-N 411.245 4.556 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813878203 LJEKEVGYFXSJEV-IEBWSBKVSA-N 417.550 4.828 5 20 HJBD CN(C)C1CCN(c2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)CC1 864031556 FSPJWHRTWKBENP-UHFFFAOYSA-N 422.451 4.756 5 20 HJBD CSc1nc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2s1 878147656 ACAJQTXBAYPOKE-UHFFFAOYSA-N 414.512 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc(OCC(C)C)c(Cl)c1 914794212 NOBKWJOFDYOEHO-CYBMUJFWSA-N 421.881 4.731 5 20 HJBD O=C(Nc1cccc(OC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1)c1ccccc1 916422357 YQKWDDWSDHPMNQ-UHFFFAOYSA-N 417.421 4.641 5 20 HJBD Cc1c(C(=O)Nc2ccccc2COc2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 917866861 MLDKKAWUNRRNJY-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD CC(C)n1ncc2cc(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])cnc21 920330228 VYNCDDZFSYQAAF-UHFFFAOYSA-N 402.410 4.807 5 20 HJBD Cc1ccccc1OCCOC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 920544221 JNBUWNSFPLTSHW-KRWDZBQOSA-N 421.453 4.707 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cnn(-c3ccc(F)cc3F)c2C)n1 1254859591 JYFSOEFIRKZBMN-UHFFFAOYSA-N 411.368 4.701 5 20 HJBD CC(C)c1ccc(C2(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)CCC2)cc1 1318103406 YREBDRPYGKAISW-UHFFFAOYSA-N 411.454 4.750 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccccc2-n2ccnc2)o1 1327192181 MVJKBKWAUKQKOJ-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@H]3CN4CCC3CC4)no2)cc1[N+](=O)[O-] 1337929150 MVLADCGLJNBNGM-INIZCTEOSA-N 402.520 4.592 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2c1C 9551695 NQSDDGYMRPSCDO-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 9684334 DLAPDOLYWYYGGC-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD C[C@H](SCc1ccc(Br)cc1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 23143259 NXGUGZRKLPNCRA-JTQLQIEISA-N 413.268 4.757 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(F)cc3)n2Cc2ccccc2)nc1 23615366 DBHKOIOFBKSUAG-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD CCc1ccc(-c2nc(CS(=O)(=O)Cc3cccc([N+](=O)[O-])c3C)cs2)cc1 48718262 YEGDQEWZHORKHK-UHFFFAOYSA-N 416.524 4.704 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)cc1[N+](=O)[O-] 55803419 CQKZDCSRSJXSAR-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD Cc1ccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(OCC(F)(F)F)c1 63470964 PCACCXINORMWLL-UHFFFAOYSA-N 408.336 4.506 5 20 HJBD CC(C)(CNC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1 63624536 HGAOCYLXCQLTGU-UHFFFAOYSA-N 415.921 4.559 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)c3ncccc23)c1 65859118 GLXCWHTWTDGHHO-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD CC(C)c1ccc([C@@H]2CCCN2c2ccc(C(=O)N3CCOCC3)cc2[N+](=O)[O-])cc1 301833498 JSTJYMRJLKTUKQ-NRFANRHFSA-N 423.513 4.532 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 302973146 GRZKHDDJNKXLBN-HIFRSBDPSA-N 410.499 4.705 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 303952300 UCUJRESBIUUDKQ-AWEZNQCLSA-N 411.483 4.651 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 426882095 MJXKYCRPABAFQW-RDJZCZTQSA-N 423.307 4.501 5 20 HJBD COCc1c(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)sc2cccc(F)c12 430564932 NNCMJBHCUWLEHL-UHFFFAOYSA-N 402.447 4.760 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc2ccccc2[nH]1 435868039 BWZZRDCDYUNSLZ-QFIPXVFZSA-N 410.477 4.685 5 20 HJBD CCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H](C)c1cccc(OC)c1 436113300 VIIZTUIYNTVCNE-MRXNPFEDSA-N 400.478 4.913 5 20 HJBD CC(=O)[C@@H](Cc1c(F)cccc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436134085 UTOFJAFXKPRLRQ-OAQYLSRUSA-N 424.472 4.657 5 20 HJBD O=C(N[C@@H]1CSc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444040328 XIBZXZYJBNDCSU-GOSISDBHSA-N 408.429 4.536 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@H]1CCO[C@@H](c2ccccc2)C1 447687022 SMUANAPEDLVPPF-SWLSCSKDSA-N 417.334 4.976 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 463132699 BQADZIRXUUOCGS-UHFFFAOYSA-N 422.441 4.890 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C)c2c(n1)CCCC2 463252530 OOSAFEUPSQPYEI-UHFFFAOYSA-N 400.504 4.717 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(Cl)cccc2n1C 464464997 ZPHBLOIEQOEXTC-UHFFFAOYSA-N 404.879 4.889 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])ccc3CC)cs2)cc1 464720529 PXAMDUWAYQGERS-UHFFFAOYSA-N 411.483 4.861 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cc(Br)ccc3CO)cs2)c1 466380684 CYUDDSNBNQSFRC-UHFFFAOYSA-N 420.288 4.585 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 467998130 KKKWPAORWOSKOY-BRSBDYLESA-N 422.359 4.682 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@@H](C)CCc2ccccc2[N+](=O)[O-])CC1)c1ccccc1 468373293 PMASCPQOHHXSCU-WMZHIEFXSA-N 423.557 4.690 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)c1 469093081 RNHGKXNWKNYBLJ-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCCCC[C@H]1c1ncon1 469554762 SEYAMSYRBPPPPH-IBGZPJMESA-N 424.482 4.887 5 20 HJBD COc1ccc(-n2c(C)nnc2SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 477055399 FINWTLNLLHPJJW-UHFFFAOYSA-N 424.404 4.804 5 20 HJBD COc1ccc(OCc2ncc(-c3ccc(Br)cc3)o2)cc1[N+](=O)[O-] 479402383 ODZPCKHVPNSXBA-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD COc1ccc(CN[C@H](c2cc(F)cc(Br)c2)C2CC2)cc1[N+](=O)[O-] 479582926 WHZHFOUULUUANR-SFHVURJKSA-N 409.255 4.746 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@H](C)c1cccc([N+](=O)[O-])c1C 484491153 RIKGEEUXWTXZET-CQSZACIVSA-N 405.882 4.553 5 20 HJBD COc1ccccc1N(C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)C(C)C 484905177 DYIHQTHNEKRIKE-UHFFFAOYSA-N 407.264 4.730 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485611680 WVABDRKIONNRGW-MQSCRBSSSA-N 416.481 4.579 5 20 HJBD Cc1ccccc1OCCCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486069301 MCRIDEGAYWORNT-UHFFFAOYSA-N 410.392 4.653 5 20 HJBD O=C(CCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1csc2ccccc12 486071163 RDBFYANPKWFEEG-UHFFFAOYSA-N 408.479 4.964 5 20 HJBD CCNC(=O)Nc1ccc(C)c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 487198907 KQFJLLAHXVHMCG-UHFFFAOYSA-N 411.487 4.780 5 20 HJBD O=[N+]([O-])c1ccc(OCc2ccc(Oc3ccccc3)nn2)c(Br)c1 498027759 UYWZTOUIHZDSCF-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD COc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 503091081 FBCYFXGNBRQFLQ-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCc1ccc(NC(=O)NC(C)C)cc1 504896685 ZIAXCZDUCKJGML-KRWDZBQOSA-N 416.547 4.785 5 20 HJBD COc1ccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1Br 507000709 GSWHXXOOPDRZFZ-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD O=C(c1cc(N[C@@H]2C[C@@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 507030483 VDJPQWXGYKPTHY-CRAIPNDOSA-N 419.403 4.818 5 20 HJBD CC[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(OC)c(OC)c1 510190367 DHVQUJGCRSHXAL-SFHVURJKSA-N 411.458 4.550 5 20 HJBD COc1ccc(NC(=O)CSc2ncc(-c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 515341071 VFMQQGUMKMCDDO-UHFFFAOYSA-N 419.846 4.643 5 20 HJBD O=C(NCc1ccc(OCC(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 516272328 AHSPMYJJWMHWMF-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1csc2ccccc12 518831599 MJJKAWYEBFQMTJ-LBPRGKRZSA-N 404.875 4.589 5 20 HJBD C[C@@H]1SCCN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1c1ccccc1 522807375 WPXADXOFELEZAS-VBKZILBWSA-N 420.918 4.722 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(CCc1ccccn1)Cc1ccc(F)cc1 524026024 UOZJFUONTNBCJY-UHFFFAOYSA-N 408.433 4.714 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)COc2cccc([N+](=O)[O-])c2)cc1 525533591 MHZCUFFVYVIUJE-QGZVFWFLSA-N 420.465 4.869 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 533688366 MJZODROEXHXKDI-VIFPVBQESA-N 415.646 4.511 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1OC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 538144067 KYKSOKKEJZNZKZ-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(-c2ccncc2)cs1 539074660 XGYWGSHJICOVOE-MRXNPFEDSA-N 414.556 4.816 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3n[nH]c(C4CCCCC4)n3)C2)c2ncccc12 539765797 PHIBONVCOURPBT-MRXNPFEDSA-N 406.490 4.693 5 20 HJBD Cc1c([C@@H](C)NCc2cc([N+](=O)[O-])ccc2OC(C)C)cnn1-c1ccc(F)cc1 540464418 UXFQFPORCGHZGV-OAHLLOKOSA-N 412.465 4.866 5 20 HJBD C[C@@H](C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 543588759 QPQNTQVDASSAPM-JLCFBVMHSA-N 418.428 4.620 5 20 HJBD CSc1ccc(-c2nc(-c3cccc(NC(=O)NC(C)C)c3)no2)cc1[N+](=O)[O-] 544148201 GLXPWLYFBGHTJJ-UHFFFAOYSA-N 413.459 4.564 5 20 HJBD COc1cc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)ccc1OCc1cscn1 544951015 UEKSELLJPAPZGC-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545825949 DVKOSUGLXLTOJX-UHFFFAOYSA-N 416.449 4.534 5 20 HJBD CC[C@@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1ccccc1Cl 552049302 SRRLPVSRLBKZBX-FXAWDEMLSA-N 414.893 4.518 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2sc(-c3ccccc3)nc2C)c1 554872201 OFQXHKGHABBECJ-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD Cc1occc1-c1noc(C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)n1 564456318 HWZRAWAKMWGQSP-UHFFFAOYSA-N 422.363 4.949 5 20 HJBD COCC[C@@H](NCc1cc(OC)c(F)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 571530595 WHVJCTUQPPFUFE-MRXNPFEDSA-N 417.264 4.917 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H]1COc2ccccc2C1 573599981 NXEJIFLCVYTHJK-KRWDZBQOSA-N 405.410 4.512 5 20 HJBD COc1ccc(SCCCc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 577323938 OOGKENNPAPWQBU-UHFFFAOYSA-N 424.482 4.783 5 20 HJBD O=C(O)C[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 585731815 GONYWENKVZJGMH-INIZCTEOSA-N 416.430 4.893 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCc2[nH]c3ccc(Br)cc3c2C1 588814041 KBXGZRPQBJLRGI-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD Cc1sc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)nc1-c1ccccc1 603453387 YJFJELLFNHIPDZ-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccccc1 603685821 WAJRTOWUEFEDPU-QGZVFWFLSA-N 410.392 4.706 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2ccc(OCc3ccccc3)cc2)c1 603701034 ZAQPFOUPSCSSJW-UHFFFAOYSA-N 422.506 4.868 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608945398 LDNKDSVMTPTNPG-KRWDZBQOSA-N 408.376 4.990 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C)O1 609531498 QZQFLJUQDMGYDX-CZUORRHYSA-N 404.850 4.680 5 20 HJBD CSc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc1 609703432 WEDLERRETVRFTF-ZDUSSCGKSA-N 409.471 4.532 5 20 HJBD CC(C)(NC(=O)c1cccc(Cl)c1)c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 609961183 XTBTZIXBRXUGOD-UHFFFAOYSA-N 421.240 4.617 5 20 HJBD O=C(c1cnc(-c2ccc(C(F)(F)F)cc2)s1)N1CCc2cc([N+](=O)[O-])ccc21 610433989 MVQHOYYMQOKVKX-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD COc1ccc(CNc2cnccc2SC)cc1OCc1ccc([N+](=O)[O-])cc1 610947157 GNUXVYPWAKNHNZ-UHFFFAOYSA-N 411.483 4.911 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N=c3cc[nH]c(C)c3Cl)cc2[N+](=O)[O-])n1 611561945 GGYXSFDXRBBDOL-UHFFFAOYSA-N 420.903 4.542 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)cc1 731529937 JMRZRPIPMQQTGT-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CN1CCC(N2CC[C@@H](NCc3c4ccccc4c(Cl)c4ccccc34)C2)CC1 732821988 GQHJYQFTMCAVML-GOSISDBHSA-N 407.989 4.905 5 20 HJBD C[C@@H](N[C@H](C)c1nc2ccccc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734159977 SZLHAAYDAQVWMV-GHMZBOCLSA-N 404.879 4.536 5 20 HJBD CCSc1ccccc1OC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 734791901 KXRSKKAQZJMWKF-UHFFFAOYSA-N 411.483 4.788 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1ccc(N2CCC(O)CC2)cc1 740962707 MCNSAJLEJOFFTB-UHFFFAOYSA-N 412.489 4.706 5 20 HJBD CN(C)c1ccc(N2CCC(Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 742417870 ZOKCMBSFHSAVKJ-UHFFFAOYSA-N 408.424 4.761 5 20 HJBD CC(C)c1ccc(C(=O)Nc2sccc2C(=O)NCc2cccc([N+](=O)[O-])c2)cc1 742424259 PDBUIOXCJNXADF-UHFFFAOYSA-N 423.494 4.962 5 20 HJBD CCC(CC)(CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)SC 742842903 RWXPOPGPAJKBRA-OAHLLOKOSA-N 416.547 4.815 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1-c1ccccc1 742998811 ZTMJLDDWCLOKRY-INIZCTEOSA-N 404.422 4.754 5 20 HJBD O=C(OCc1noc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 750633890 WUKRKQGGONXUBR-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 751063670 XQQBUOPBTBCPNT-JTQLQIEISA-N 420.288 4.800 5 20 HJBD CNC(=O)CCCN(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 753737363 MSMOBVGDPDYFFR-UHFFFAOYSA-N 414.505 4.532 5 20 HJBD NC(=O)Nc1ccc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cc1 761856359 JOHOXCZGDWHCRX-UHFFFAOYSA-N 417.381 4.595 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nc(Cc2ccc(Cl)cc2)no1 763714915 GGFSFPWYMHPUBX-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ccc(Cl)cn2)cc1[N+](=O)[O-])c1ccccn1 778108974 ZMRNTAXKHVHEMG-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD C[C@H](NCc1c(Br)cccc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 792182654 YUEOSJHODPOJNH-NSHDSACASA-N 409.284 4.639 5 20 HJBD CCOC(=O)/C(=C/c1cc(C(F)(F)F)ccc1Cl)c1ccc([N+](=O)[O-])cn1 794947855 APOMDQRZSFKIAQ-MDWZMJQESA-N 400.740 4.766 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(Cl)cc(Br)c1F 800152493 XQRFXHWIEXAZCR-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812843259 QIPURIGNZVEQDJ-YVMONPNESA-N 409.225 4.730 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cccc(-n2ccc(C(F)(F)F)n2)c1 813241978 JHLKFCABFSUTBU-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(NC[C@H]1CCCN(Cc2ccccc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813425759 SREIDUIOKWHENV-OAHLLOKOSA-N 422.312 4.544 5 20 HJBD O=C(Nc1c(O)cc(Br)cc1Cl)c1cc([N+](=O)[O-])ccc1Cl 816590864 NLCFDMDXKXWFGE-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CC[C@@H](C(F)(F)F)c2ccccc21 817484028 YKQTZYDSCOJFSM-OAHLLOKOSA-N 423.347 4.518 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)nc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 919994220 DQPYMJMABQNONZ-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3c(Cl)cc([N+](=O)[O-])cc23)c1)C1CCC1 1330502120 DEGFZOFDOTURHG-UHFFFAOYSA-N 411.849 4.542 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(CSc3ccc(Cl)cc3)o2)cc2nsnc12 1345727690 WATLYDHRPYKXPM-UHFFFAOYSA-N 405.848 4.595 5 20 HJBD CC[C@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 21592980 YBCUPTLFLDEGNC-ZDUSSCGKSA-N 414.792 4.739 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCSCc1cc([N+](=O)[O-])cc2c1OCOC2 23742164 YTAYJONBBAXOIA-UHFFFAOYSA-N 416.499 4.730 5 20 HJBD C[C@@H](Sc1nc2ccccc2n1C(F)F)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 25980794 VDJKOZDOKMWDDU-SECBINFHSA-N 410.377 4.598 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)ccc2SC)cc1[N+](=O)[O-] 64848304 KTAUMVHKBGKXQR-UHFFFAOYSA-N 412.920 4.851 5 20 HJBD O=C(CSCc1ccc(Cl)cc1)Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1 303024220 NSTCCCNOCGCASF-UHFFFAOYSA-N 402.863 4.510 5 20 HJBD COc1ccc(CSCC(=O)N2[C@@H](C)[C@@H](c3ccccc3)C[C@H]2C)cc1[N+](=O)[O-] 303904938 LSWFQBBWVIQWHL-GJYPPUQNSA-N 414.527 4.630 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)c1ccc(Br)cc1[N+](=O)[O-] 431027431 WCKIMUUQUVQAJB-UHFFFAOYSA-N 401.216 4.547 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc2[nH]c3ccccc3c(=O)c2c1 435838114 XKMAEWFJZBDURJ-UHFFFAOYSA-N 412.445 4.975 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC=C(c2c[nH]c3ccccc23)CC1 439879479 OQULWWQYWOIYCW-UHFFFAOYSA-N 406.442 4.796 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 439976911 YITJWJVNHUQBKL-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1c(F)cccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 444075043 NZHWGQSMXRQDIL-XOBRGWDASA-N 406.844 4.760 5 20 HJBD CCc1nc(CNc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)no1 444421391 URLDWCSAJDMTTM-UHFFFAOYSA-N 407.352 4.915 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1[C@H]1CCCCC1=O 444559821 YJHUIYBUKBSAPG-IPELMVKDSA-N 404.532 4.606 5 20 HJBD CCn1nc(C(C)C)cc1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446431334 MNJNYCCZVYQNLE-UHFFFAOYSA-N 408.458 4.766 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c(Br)c1 447177650 VYKRBVQZSOQGQF-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 462230025 RNAQBGMEWRALIT-QGZVFWFLSA-N 421.419 4.690 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2cn3cccnc3n2)cc1 462438923 YIGLZAJKJFCVFY-KRWDZBQOSA-N 415.453 4.512 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])n2)c(C)o1 462997483 PTLDBAIBLJUILY-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1)CC1CC1 463956155 XFVQIZLWGXLBKK-UHFFFAOYSA-N 402.539 4.578 5 20 HJBD CC[C@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1nc(C(F)(F)F)cs1 463963554 JPFSAEQJKHOMDY-JTQLQIEISA-N 402.398 4.960 5 20 HJBD CC(=O)Nc1cccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1C 467855793 YRKKDJIICMQXCT-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 475634154 KUTWDEFJSQDGJI-WMHVBEDNSA-N 400.784 4.603 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477691668 JXFOULFDEUHRLJ-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)cc([N+](=O)[O-])c1C 479899951 INERTLDFVQHAHO-LJQANCHMSA-N 422.485 4.820 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)c(OCCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 481204561 YYZKDPJPVMHXDG-UHFFFAOYSA-N 422.865 4.943 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(C)cc(C)cc3s2)cc1SC 482355005 GBGSFLSXCWROPA-UHFFFAOYSA-N 403.485 4.804 5 20 HJBD O=C(NC1(c2ccccc2F)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485498279 ZFTUQIKSYKMYQH-UHFFFAOYSA-N 406.413 4.732 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)c1[N+](=O)[O-] 485749113 KIVHWAMWBSWFIR-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486322790 SWGSZEALZYHIHH-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1O 490603974 CFAFRLBIRMFFIY-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 493894943 SJLDIJQULLTCJI-SJCJKPOMSA-N 409.364 4.608 5 20 HJBD CC(C)NC(=O)Nc1ccc([C@H](C)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 495374465 QBOASKDYBFQJCP-AWEZNQCLSA-N 404.898 4.671 5 20 HJBD O=C([C@@H]1C[C@@H]1c1c(F)cccc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498133072 VFYOOMRTBDIGIT-IQUTYRLHSA-N 406.866 4.765 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3c(F)cccc3F)CCCC2)c1 500144408 QJYSLGZESJYBMF-UHFFFAOYSA-N 406.454 4.837 5 20 HJBD CCCN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@@H](C)CC(=O)Nc1ccccc1 500664387 LHYDWKLFKLCZAV-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(CCC(=O)Nc2ccccc2[N+](=O)[O-])CC1 501026073 UWHXXJXPTKQEBZ-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD CC[C@H](C)n1c(SCC(=O)Nc2ccccc2[N+](=O)[O-])nnc1-c1ccccc1 504314610 OXWBQPGLAJIYOW-AWEZNQCLSA-N 411.487 4.555 5 20 HJBD C[C@H]1CCCCN1C[C@H]1CCCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 505929381 CMSJNWIYMCGOJN-ZWKOTPCHSA-N 401.551 4.619 5 20 HJBD CC(C)(C)[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 506994238 GCLDKNFGSVVJCY-GOSISDBHSA-N 420.307 4.673 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1OC 517369448 VIZCPFSPEMGOJT-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD C[C@H](SCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)cc1 520116943 LKAQAHAWJOPYEL-LBPRGKRZSA-N 422.890 4.842 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)CC1CCOCC1 520385469 GVKQPWXGYDIKSE-UHFFFAOYSA-N 403.866 4.709 5 20 HJBD Cc1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1NC(=O)C(C)(C)C 521145192 KJOXNYDGZUWARG-UHFFFAOYSA-N 409.446 4.550 5 20 HJBD C[C@H](Cc1cccc(C(F)(F)F)c1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523084287 WHLMPSMCHVLBLS-GFCCVEGCSA-N 424.375 4.592 5 20 HJBD C[C@H]1CN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)C[C@@H](C)S1 525274608 LYKSOILHGGYHCI-KSMMKXTCSA-N 419.934 4.754 5 20 HJBD CC(C)(NC(=O)CCc1nc2cc(Cl)ccc2s1)c1ccc([N+](=O)[O-])cc1 530743543 NULODMWNBPNWHO-UHFFFAOYSA-N 403.891 4.842 5 20 HJBD CN(C(=O)c1cn(C(C)(C)C)nc1-c1ccc(F)cc1F)c1cccc([N+](=O)[O-])c1 533147012 KIEQDLBTOQAXHM-UHFFFAOYSA-N 414.412 4.768 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 533559629 JDXYUZDPYZNTCR-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)NCCc1cccc([N+](=O)[O-])c1 534723798 ZKVNCIVGCSDDJV-OAHLLOKOSA-N 410.499 4.630 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1C 534753761 HRTRTWZQPVYSOX-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD C[C@@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccccc1-c1ccc(Cl)cc1 539287917 QAATUVUZKIMVBY-CQSZACIVSA-N 409.873 4.865 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cccc(C)c2n1C 539672009 QWDTXPOXWUKNQZ-UHFFFAOYSA-N 412.515 4.612 5 20 HJBD Cc1sc(CCNC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccccc1 542599830 FCKATMCTXXEYKT-CYBMUJFWSA-N 413.474 4.628 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543459772 XAFQSDLZAAIWBC-GLEZIHRCSA-N 406.282 4.535 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 543856709 SZOVZXCZPYXOAG-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(NC(=O)C3CCC3)c2)no1 546274746 RZVLRAZYQWVSKU-UHFFFAOYSA-N 406.442 4.709 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(C[C@@H](c2ccccc2)C(F)(F)F)n1 546375940 HPEYSJMPVPGXEU-AWEZNQCLSA-N 406.364 4.521 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2cccc(OCC)c2[N+](=O)[O-])CC1 546581528 BDQSLCMWBAZLSU-UHFFFAOYSA-N 417.531 4.576 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccccc2C[S@](C)=O)cc1[N+](=O)[O-] 547268001 IHYYFDNJAICSLD-LJAQVGFWSA-N 409.511 4.853 5 20 HJBD Cc1nc2cc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])ccc2n1-c1ccccc1 552616161 PBIUARKXNXGSIN-UHFFFAOYSA-N 414.465 4.557 5 20 HJBD Cn1ccnc1[C@@H](Nc1cccc(OCc2cscn2)c1)c1cccc([N+](=O)[O-])c1 560550882 RRWVCNHAIILBNA-FQEVSTJZSA-N 421.482 4.565 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNc1ccc([N+](=O)[O-])c(C(=O)O)c1 566550094 QYKMFSQEJARFFG-UHFFFAOYSA-N 423.425 4.881 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 577784713 AWAGGRQJZULRKX-UHFFFAOYSA-N 405.454 4.977 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@H](C(F)(F)F)[C@@H](CO)C3)o2)c(Cl)c1 578558478 PYNXXNNBCATAND-ABAIWWIYSA-N 418.799 4.501 5 20 HJBD C[C@@H](Cc1cccc(O)c1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 580975709 FLSLASJFMLQVAA-HNNXBMFYSA-N 407.426 4.845 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 603910493 PDNULSMZWMJHEQ-INIZCTEOSA-N 406.486 4.591 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608945631 SUZMYMLKWAHPNV-SFHVURJKSA-N 408.376 4.746 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)c1 609507488 NTQABRKLXMCPND-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD COc1ccc([C@@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccccc2)cc1 609600015 JQIDWLAUERQPFL-QFIPXVFZSA-N 401.422 4.604 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)n1 609783579 AAQSTRIKZCTBJL-UHFFFAOYSA-N 421.837 4.701 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc2c1 610413906 JWZRONWIMQYFAI-XYOKQWHBSA-N 424.434 4.960 5 20 HJBD CC(C)(C)[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611211505 FFZMLTKKKLRRRB-IFXJQAMLSA-N 406.548 4.953 5 20 HJBD CCOc1ccc([C@H](C)NCc2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 611248159 NPCCIFPJNVOFOF-KRWDZBQOSA-N 407.470 4.818 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C)cc(C(F)(F)F)c2)cc1SC 616958351 UWCZIUNSGQRHTI-UHFFFAOYSA-N 400.378 4.905 5 20 HJBD CC(=O)c1ccc(OC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(F)c1 728489191 SXCORQKBBZAAMV-CYBMUJFWSA-N 423.400 4.724 5 20 HJBD C[C@H](OC(=O)c1nc(-c2ccccc2)n(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 729237444 CBQUOUUXDWYNCS-INIZCTEOSA-N 414.421 4.761 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3ccc(OC(F)F)c(Cl)c3)[n+]2[O-])c1 731490095 QNWWPKYDBLDSDT-GQCTYLIASA-N 419.771 4.715 5 20 HJBD COc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1[N+](=O)[O-] 735251473 NJCSBSQJPWKOEO-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1occc1COc1ccccc1 735441964 BWOKIZRWMADTQO-UHFFFAOYSA-N 420.377 4.779 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])c(C(F)(F)F)c1 742896271 OWGNUSOFCUKDJC-UHFFFAOYSA-N 414.355 4.860 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3ccccc3F)cs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748628734 AACLWJNCIDASHC-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD CCc1nc2cc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)ccc2o1 749568572 NXUVGRAZEAETLC-UHFFFAOYSA-N 424.638 4.967 5 20 HJBD CSc1cccc(C(=O)Nc2cnc(Cl)c(Br)c2C)c1[N+](=O)[O-] 776906631 RFRBFYJMGZDMSE-UHFFFAOYSA-N 416.684 4.688 5 20 HJBD CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 809921032 CDIJPLOCRSFLGC-WMLDXEAASA-N 407.829 4.771 5 20 HJBD COc1ccccc1-n1cnnc1SCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809950437 RKRZXULPXSLSGD-UHFFFAOYSA-N 411.270 4.783 5 20 HJBD O=C(CCc1cc2ccccc2nc1O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811083840 QAGJDANUBZNLIN-UHFFFAOYSA-N 421.236 4.831 5 20 HJBD Cc1ccc(N2CCC(C(=O)OCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)nc1 811101415 TYCKKCXLAJWTPD-UHFFFAOYSA-N 424.284 4.565 5 20 HJBD COC[C@H](C)[C@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 812834159 MIBKGOODVSIKHV-KBPBESRZSA-N 406.866 4.684 5 20 HJBD Cc1cc(OCc2nc(-c3cccc(CN4CCCCC4)c3)no2)ccc1[N+](=O)[O-] 904433452 GFCXTGGUNWFSHY-UHFFFAOYSA-N 408.458 4.518 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)c1ccc(Cl)cc1Cl 916523153 KCHYLWIKFHRWRD-SNVBAGLBSA-N 414.266 4.808 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN(CCO)Cc2cccnc2Cl)o1 1256340643 TTXOBXQHPPHSGH-UHFFFAOYSA-N 422.268 4.551 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1329883993 RYVNAKVNECACML-UHFFFAOYSA-N 416.409 4.629 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])o1)NC1(c2ccc(NC(=O)CC3CCC3)cc2)CCC1 1792267578 NYMREFYSRKWWEI-PKNBQFBNSA-N 423.469 4.525 5 20 HJBD C[C@H](OC(=O)c1occc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 24509490 BGXKWMPIKHMEQM-ZDUSSCGKSA-N 405.366 4.823 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1OC 107902362 WMGVEKQWOXRKFD-INIZCTEOSA-N 400.475 4.972 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@@H](c2nnc3ccc(C(F)(F)F)cn23)C1 217020950 UFSKJHIENQLIPR-UKRRQHHQSA-N 419.407 4.597 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1 237249503 KZPUKCZHGYBUQN-OAQYLSRUSA-N 410.445 4.825 5 20 HJBD COc1cc(Br)c(CNc2ccc([N+](=O)[O-])c3cnccc23)cc1OC 301151936 NQSHSZZZYLBFSK-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD CCOc1cccc(N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c1[N+](=O)[O-] 302150563 LKLMRUDFPLCRDV-LLVKDONJSA-N 401.410 4.853 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccccc1OCc1cccc(Cl)c1 303758264 KABXNNJPELVZNL-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD Cc1cc(C)cc(-c2ccc(CN[C@@H](Cc3ccc([N+](=O)[O-])cc3)C(=O)O)cc2)c1 426791788 GRLAACVCXBWJQR-QHCPKHFHSA-N 404.466 4.664 5 20 HJBD CC1(C)C[C@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccc(F)cc2O1 426850688 ZRPNEPVIDJCECH-IBGZPJMESA-N 413.449 4.710 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] 428608943 BLEPYAQJBMBFBF-LLVKDONJSA-N 411.241 4.569 5 20 HJBD O=C(C(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1)c1ccc(Cl)s1 428637297 CMVYYOBOBKPRLH-UHFFFAOYSA-N 400.843 4.726 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 429074147 FSMLYJIXVYHEGQ-AWEZNQCLSA-N 421.881 4.855 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H](N(C)C)CC3)cc2[N+](=O)[O-])cc1 430404579 ROHOAISLNNBIEA-GOSISDBHSA-N 413.543 4.611 5 20 HJBD COc1cc(CNc2ccnc3c([N+](=O)[O-])cccc23)ccc1OCC(F)(F)F 432335331 JYNFGQWORNWQIM-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)NCc1ccc([N+](=O)[O-])cc1Cl 432361827 ZLRLNNMJJPQPBP-HNNXBMFYSA-N 415.799 4.784 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](c1cccc(Cl)c1)C1CCCC1 433906576 GESGMACGSQIDFZ-IBGZPJMESA-N 415.877 4.543 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)cc1F 435828396 VSWCBSMDGZCYPY-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 437402195 AKJBPVLGBSWTBG-MQMHXKEQSA-N 403.360 4.771 5 20 HJBD CCCOc1ccc2c(c1)CCN(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)C2 441082465 WVVAZXCLCAHFOJ-UHFFFAOYSA-N 407.470 4.639 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)CN(Cc1ccccc1[N+](=O)[O-])C1CC1 441229932 UHVOANYJKNRYJG-MRXNPFEDSA-N 407.470 4.525 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)[C@@H]1SCCc2sccc21 443836839 QRAKTTLZQPVFMA-HXUWFJFHSA-N 424.503 4.856 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)o1 444117463 SNEWJCNGPPZEOY-UHFFFAOYSA-N 410.433 4.546 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444326235 HIDKOBBTHDLYLU-GOSISDBHSA-N 410.395 4.757 5 20 HJBD O=C(Cc1noc(CSc2ccc([N+](=O)[O-])cc2)n1)Nc1cccc2ccccc12 445336276 ZTAFLGLZDFHQIT-UHFFFAOYSA-N 420.450 4.605 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCOC2(CCCCCC2)C1 447681274 XUMHMZWPSODFIM-UHFFFAOYSA-N 409.355 4.574 5 20 HJBD O=C(Nc1n[nH]c(-c2cc(Cl)ccc2Cl)n1)c1ccc(Cl)cc1[N+](=O)[O-] 463170796 MBLFKXJTYCWVDB-UHFFFAOYSA-N 412.620 4.592 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(F)cc2)c1C(F)(F)F 464715029 TVWDQNFSOBFHDZ-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 472669939 MQDDYOQKEPMVQD-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(n2c(C)nc3ccccc32)CC1 477363775 UVJNYAUAPJXAGY-IBGZPJMESA-N 420.513 4.685 5 20 HJBD O=C(NCC1(Cc2ccccc2)CCC1)c1sc(Br)cc1[N+](=O)[O-] 486184796 OYWZYDJCTAXREL-UHFFFAOYSA-N 409.305 4.562 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OC2CCCC2)CC1 486272570 ULRXWAZEFHDFOU-UHFFFAOYSA-N 406.548 4.907 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)ccc1Oc1ccncc1 487716353 FSIMUMXACODWBU-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)c(OC(F)F)cc1F 490278275 XPPNCYVLJVLRFV-SSDOTTSWSA-N 422.718 4.534 5 20 HJBD CC[C@H](C[C@H](C)CO)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 494689015 VHCOYCMZZMMBHC-XJKSGUPXSA-N 406.866 4.567 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c(C(F)(F)F)c1 503068545 YNJWSPNSAMUWIU-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](CC)c1nnc2n1CCCCC2 504894184 KAYYHVYGQVJSIW-HZPDHXFCSA-N 403.552 4.524 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H](c4nnc5ccccn45)C3)s2)cc1 506128889 MXDYLZOYFWWVEF-KRWDZBQOSA-N 419.510 4.746 5 20 HJBD Cc1ccc(CNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 506152447 FDZYDFOXYQRRIU-QGZVFWFLSA-N 404.470 4.710 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 507273332 QUIXDOMAIMEZQP-HNNXBMFYSA-N 402.472 4.644 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1OC[C@H]1CCCO1 509803662 HYMCLVCHYZYZIM-OAHLLOKOSA-N 422.890 4.537 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)CSc3ncccc3[N+](=O)[O-])n2)c(C)c1 516272641 UUHXYVSIYLDOES-UHFFFAOYSA-N 414.512 4.769 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 521771750 BUENMZZTMYGHIE-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCC[C@@H]1Cc1ccccc1 522587336 KRHSRFQIBOEGAN-LJQANCHMSA-N 407.495 4.956 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 524130772 YMGTZKHTQGVMRL-KGLIPLIRSA-N 405.401 4.915 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cn2)C[C@H](C)O1 530309380 SJQNXFFIRHVPQQ-IRXDYDNUSA-N 412.493 4.649 5 20 HJBD COc1cc(C)cc(CNCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c1 532783398 RDSOBRRTVJRKLU-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1cccc(CSc2ccccn2)c1 534774829 BSXSHFKCHJYFNL-UHFFFAOYSA-N 408.483 4.646 5 20 HJBD Cn1cc(CNCCCCOc2ccc(Cl)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 537306272 ISGTZIUQXUSEDM-UHFFFAOYSA-N 414.893 4.598 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)C3)cs1 538963692 HRTSTKFITBOVFA-UHFFFAOYSA-N 414.512 4.987 5 20 HJBD CN(C(=O)C(C)(C)Oc1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355304 RCKFFKXBBHCOPC-UHFFFAOYSA-N 415.446 4.678 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 541636302 SOZOSJJLNYGOFD-CHWSQXEVSA-N 420.391 4.945 5 20 HJBD CC[C@H](N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1c(C)noc1C 542564976 GYFJZXZCPHMBJD-AVRDEDQJSA-N 422.485 4.929 5 20 HJBD C[C@H]1C[C@@H](c2cccnc2)N(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 542681720 PAMDPSVHLQCRHI-JTSKRJEESA-N 416.481 4.825 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 544399897 SXQPIBPBZCVYON-INIZCTEOSA-N 407.445 4.948 5 20 HJBD C[C@H](Oc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cn1)c1ccccc1 544911571 DLHKJKSTMVNSPU-HNNXBMFYSA-N 402.410 4.771 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc(Cc4ccc([N+](=O)[O-])cc4Cl)n3)cc2)n1 545541021 ACLYYHRQWCSLPR-UHFFFAOYSA-N 423.860 4.615 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 546527582 XBTUCNDBCKGEJU-UHFFFAOYSA-N 422.460 4.850 5 20 HJBD Cc1cn2c(-c3noc(-c4cc([N+](=O)[O-])ccc4C(F)(F)F)n3)c(C)nc2s1 551082474 BVRYQGQCVNFUSJ-UHFFFAOYSA-N 409.349 4.657 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@@H]1c1ccccc1OC(F)(F)F)c1ccccc1[N+](=O)[O-] 557969281 FEVNPUHOSSYDOL-ZIAGYGMSSA-N 408.376 4.648 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 560539653 MUQOBPNUKRTSOL-FCXXMXSZSA-N 422.485 4.647 5 20 HJBD COc1ccc2oc([C@@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 565799796 BEANPKGRYINEGT-HUUCEWRRSA-N 411.458 4.644 5 20 HJBD CC(C)[C@@H](c1cccnc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 570885141 UEXQBRQSHGGGED-KRWDZBQOSA-N 417.263 4.514 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(COCC(F)(F)F)c1 573170620 DBHNZAIRSAYMHL-UHFFFAOYSA-N 411.380 4.558 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCOC[C@H](C2CCC2)C1 574474152 JOJHOMOGMRNVOW-GOSISDBHSA-N 412.511 4.635 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cscc4Br)n3)c2c1 581098390 XCBBUOPIWSRAOP-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD COc1ccc(CSCC(=O)N2CC(C)(C)[C@H]2c2cccs2)cc1[N+](=O)[O-] 584376158 ALMAFJPPYVZNPW-GOSISDBHSA-N 406.529 4.508 5 20 HJBD COc1nc2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2s1 586815442 YOKNZDTYVAQHGP-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD Cc1c(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)cccc1[N+](=O)[O-] 603916941 XULCWRKLNWPXMI-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(Cc1cccnc1)c1ccc(Br)cc1 603990535 XKQNNQVHCIKUFH-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD COc1ccc(-c2ccc(CNCc3csc(Br)c3)o2)c([N+](=O)[O-])c1 608836392 UWRUDQVFUOZKLV-UHFFFAOYSA-N 423.288 4.977 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3CCc4sccc4C3)cc2)c([N+](=O)[O-])c1 609431255 MRCJBGMFSSHHEG-UHFFFAOYSA-N 422.462 4.850 5 20 HJBD Cc1ccccc1[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCC(C)CC1 609579180 SKXSSSCVKRTTCU-AEJJIKPWSA-N 409.486 4.526 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2)n1 609646888 TWMVBUSWKIEOHT-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCOc1ccc(Cl)c(C(F)(F)F)c1 609750623 WKZOTBCECBXSJD-UHFFFAOYSA-N 416.739 4.644 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCc2[nH]c3ccc(Cl)cc3c2C1 609792567 AMBHZJUXPYHAMD-UHFFFAOYSA-N 404.253 4.510 5 20 HJBD Cc1cccn2cc(CNCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)nc12 609919112 ACZXNPHJWFLYGO-UHFFFAOYSA-N 401.470 4.609 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 609976511 TVQJXEQZSKFJDL-LBPRGKRZSA-N 414.405 4.964 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(Cl)c1)c1ccc([N+](=O)[O-])cc1Br 610036138 ZXNZPHFDMSMFIK-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 610470796 SJOBRDHNNRYWAB-SFHVURJKSA-N 413.440 4.621 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2)nn1 610963936 RCLSHLHXYKGAJX-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD CC(C)N(C)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 611201581 JVBLJFLZNHPFQL-UHFFFAOYSA-N 419.591 4.822 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(CSc3ccc([N+](=O)[O-])cc3F)cs2)cc1 618964721 JIVOYXNGSFETDE-UHFFFAOYSA-N 417.487 4.972 5 20 HJBD Cc1cc(C(=O)OCC(=O)c2ccc([N+](=O)[O-])cc2)c(C)n1[C@H](C)c1ccccc1 728323833 SFNTZLMSUDFMGT-MRXNPFEDSA-N 406.438 4.662 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(Cl)cc2OCC(F)(F)F)cc1 733756305 WNRAHSAHNYEPRD-UHFFFAOYSA-N 405.785 4.998 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2s1 734112313 ZCCUJHVMQBMRMQ-WDEREUQCSA-N 405.863 4.503 5 20 HJBD Cc1cccc([C@@H](C)C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 740347481 KGYRLKDUCXAFLU-OLZOCXBDSA-N 424.375 4.596 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)ccc2C)c1 742005011 JGPYKNUYPOTECC-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccccn3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 742963629 SKFKPZXPUDRKAG-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)c1 745392533 WNZRSHIQQWRQGC-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD Cc1ccc(OC(=O)c2oc3ccccc3c2CSc2ncccn2)c([N+](=O)[O-])c1 748608329 HSLVZJYMATUNAG-UHFFFAOYSA-N 421.434 4.951 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)cc3cccnc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748624307 JGLVCQGIPLQIOW-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD Nc1c(C(=O)Nc2ccccc2SC[C@H]2CC2(Cl)Cl)cccc1[N+](=O)[O-] 749351858 GAQYPJUYYRBKKR-SNVBAGLBSA-N 412.298 4.715 5 20 HJBD CC(C)C(=O)Nc1ccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 753727529 OEGUCSLETKWRGX-UHFFFAOYSA-N 411.439 4.532 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1Br)CCC2 753836977 CJTTWJKRBIFFBM-ZDUSSCGKSA-N 424.320 4.526 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OC(F)(F)F)c1 754346816 GCHRXPSJVXIJRB-MNOVXSKESA-N 400.328 4.613 5 20 HJBD O=C(OCc1ccc(OCc2ccccn2)cc1)c1cc([N+](=O)[O-])cc(Cl)c1F 754986020 FTCASFPMRKRUCY-UHFFFAOYSA-N 416.792 4.718 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 761488057 GKBNXNZCFVKDGD-UHFFFAOYSA-N 410.817 4.776 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1cc(F)c([N+](=O)[O-])cc1F 763501676 QZPNFTDJIWILTQ-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(C)C)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 764108569 XHXYSVVZMZAZNZ-LLVKDONJSA-N 401.444 4.922 5 20 HJBD CC(C)(C)OC(=O)NC[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 769196012 RWDFZCZIWMRJJX-HNNXBMFYSA-N 417.531 4.670 5 20 HJBD COc1cc(/C=C2\C(=O)N(c3ccccc3C)C(=O)c3ccccc32)ccc1[N+](=O)[O-] 770569698 WAPIZFWBWCCZMR-UYRXBGFRSA-N 414.417 4.639 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1sc(Br)cc1[N+](=O)[O-] 770618130 IVIYWZWAJCRIJT-LLVKDONJSA-N 423.288 4.595 5 20 HJBD Cc1ccc([C@@H](O)c2ccccn2)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 773615866 SMUYOUZRXLOQIT-OAQYLSRUSA-N 419.462 4.847 5 20 HJBD Cc1c(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])nn2c(C(F)(F)F)nnc2c1C 795249818 WZXLRFMKZVBIDL-UHFFFAOYSA-N 422.150 4.767 5 20 HJBD CC(C)(C)OC(=O)Nc1ncc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)s1 800506083 CLGZGXJBCRNQBH-UHFFFAOYSA-N 404.492 4.563 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 807867756 KGZKOBXAGTXPKX-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cc2)n1 841862225 ZNBDYVCQLKKBBO-XGICHPGQSA-N 406.467 4.805 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3cccc(Br)c3)no2)c1 904294130 CXOHTRCROOBINX-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] 918792006 DXMVRIWOFCBCQQ-UHFFFAOYSA-N 416.430 4.988 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)COc3cccc4ccccc34)sc2c1 921231378 ZWJYZNQXFCTZNN-OAHLLOKOSA-N 412.492 4.890 5 20 HJBD Cc1ccc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1NC(=O)Nc1ccccc1 1252191539 UVRXQVHRAJHRGQ-UHFFFAOYSA-N 416.437 4.703 5 20 HJBD CN(C)[C@H]1CCCC[C@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 1318396708 JAFIUYNBNSUBFD-MSOLQXFVSA-N 422.323 4.580 5 20 HJBD COc1cccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1Br 1321307515 FDNNWHODYAMJHJ-LLVKDONJSA-N 408.252 4.557 5 20 HJBD Cn1cc(-c2nnc(Cc3cccc4ccccc34)o2)c(-c2ccc([N+](=O)[O-])cc2)n1 1322489464 SUICSVPQCQJQTE-UHFFFAOYSA-N 411.421 4.789 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1nc2ccccc2s1 11276545 YPFSUYLGHIQSBB-UHFFFAOYSA-N 400.459 4.508 5 20 HJBD CNC(=O)c1ccccc1NC(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 19208485 WHBDFIYEONDIDR-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC([C@@H](O)c3ccccc3)CC2)c([N+](=O)[O-])c1 22406199 JNNCDRILAOZHKW-DEOSSOPVSA-N 416.477 4.776 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 26580903 OTHQZUSNPVXANP-AWEZNQCLSA-N 421.497 4.654 5 20 HJBD Cc1c(NC(=O)CSc2nnc(-c3ccc4ccccc4c3)o2)cccc1[N+](=O)[O-] 32691331 NSHZCPOYPCKKKB-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD CNc1ccc(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)cc1[N+](=O)[O-] 45836233 LZILOSZNFIUBDP-UHFFFAOYSA-N 419.441 4.841 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53810789 BUGDCANFJZITFC-MRXNPFEDSA-N 419.485 4.790 5 20 HJBD COc1cc(CN[C@H](C)Cc2ccsc2)ccc1OCc1ccc([N+](=O)[O-])cc1 60527756 SWGIUTZKHKTFGO-MRXNPFEDSA-N 412.511 4.965 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(c4nc5ccc([N+](=O)[O-])cc5s4)CC3)c2c1 111190315 KDFMDDDQCGOMRH-UHFFFAOYSA-N 406.467 4.988 5 20 HJBD COc1ccc(-c2ccc(CNC(C)(C)c3nc(C)c(C)s3)o2)c([N+](=O)[O-])c1 237424117 IAWBYRCPQNTXGJ-UHFFFAOYSA-N 401.488 4.962 5 20 HJBD Cc1ccc(-c2nnc(Sc3cc(C)c([N+](=O)[O-])cn3)n2Cc2ccco2)cc1 301724549 TWCGYSWFUVDTEL-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2ccccc2)c2ccc(Cl)cc2)s1 302187822 QDMPETLNWLPOGJ-KRWDZBQOSA-N 422.915 4.915 5 20 HJBD CSc1ccccc1[C@@H](C)Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl 409649738 QLUZOIPDXHFNDM-GFCCVEGCSA-N 416.890 4.689 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1ccc(F)cc1 430122105 OHKKKWXDDONFKU-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)c1ccc([N+](=O)[O-])cc1Br 431142291 VSSPHBOTPRZHCN-PKOBYXMFSA-N 411.255 4.796 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccnc3c([N+](=O)[O-])cccc23)CC1 432164940 RQBAAWNHBYEGKS-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD CN(Cc1ccc(Br)cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435835860 OHCXPTBELUBLEC-UHFFFAOYSA-N 421.294 4.716 5 20 HJBD Cc1c(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cccc1C(=O)Nc1ccccc1 438908049 DIWDROLZEHSFRQ-UHFFFAOYSA-N 403.438 4.645 5 20 HJBD CCOc1cc(NC(=O)c2ccc(OC3CCC3)cc2)c([N+](=O)[O-])cc1OCC 443839613 LEDHEAROMZWGAT-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444027337 DBBYTMLLAFBKDZ-DOTOQJQBSA-N 400.453 4.839 5 20 HJBD Cc1ccccc1C1=CCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444299554 CCPPOFUSKNLXTA-UHFFFAOYSA-N 416.427 4.807 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)N1CCOc2ccc([N+](=O)[O-])cc2C1 460349189 QEXAUTGDZINKEJ-IBGZPJMESA-N 423.494 4.544 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@@H]4CCC[C@@H]43)cc2[N+](=O)[O-])n1 460383459 DSEKBZHRHRNDEQ-ZFWWWQNUSA-N 403.529 4.916 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)Nc1ccc([N+](=O)[O-])c2ncccc12 463134358 JCTOBGWERBFITC-UHFFFAOYSA-N 408.414 4.587 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OC3CCCC3)c(OC)c2)c([N+](=O)[O-])cc1F 464479899 JTPCFADEZHYAGF-AWEZNQCLSA-N 418.465 4.923 5 20 HJBD O=C(Cc1c(Cl)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464639855 WHJKBACFHLVMHH-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD CCc1cc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n(C)n1 466864021 AXQIJGPCLJSAQH-UHFFFAOYSA-N 416.890 4.948 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc(C(F)(F)F)cs1 470566155 OMMDWTUWEHIPEL-ZYHUDNBSSA-N 401.410 4.516 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1 470949133 VEGPWNAVJJIZHW-PMERELPUSA-N 424.478 4.554 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc([N+](=O)[O-])cc1 479576313 GFTPENNQXDZSOM-CQSZACIVSA-N 409.408 4.853 5 20 HJBD COc1ccccc1-c1csc(C2CCN(C(=O)c3ccc([N+](=O)[O-])cc3)CC2)n1 481565768 QWKNSVXCWXJHMR-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)ccc2o1 483846958 MXLOYVNMDVTIGX-UHFFFAOYSA-N 405.357 4.887 5 20 HJBD CC[C@H]1Cc2c(Br)cccc2CN1C(=O)CCc1cccc([N+](=O)[O-])c1 487419040 VZEWTORZPWTFCH-INIZCTEOSA-N 417.303 4.653 5 20 HJBD O=C(Nc1ccc2c(c1)oc1ccccc12)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 488349726 NYCCBEAUIATRNE-UHFFFAOYSA-N 405.414 4.560 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@H]1c1ccc(C(F)(F)F)cc1 491999847 MYJSBPWIDWQIRG-DYVFJYSZSA-N 400.784 4.575 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccc(Br)c(F)c2)cc1[N+](=O)[O-] 494836413 OFUZVBRVHBGNHU-LBPRGKRZSA-N 424.270 4.707 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H](c2ccccc2F)C1 500102361 CLHWATROCNLXNN-LJQANCHMSA-N 419.456 4.976 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2F)s1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 500307832 WSYKWZJWWKZIKK-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2ccc([N+](=O)[O-])s2)cc1 502508846 TZUWQWFOOAXRSQ-QGZVFWFLSA-N 400.431 4.518 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1 511599538 ZTNAXYZSWSERGW-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)c2)cn1 513002869 DSPMMUDEGRRXPU-UHFFFAOYSA-N 416.437 4.567 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)ccc1OCc1ccccc1 515689772 IZNGJOURTUMCFJ-AWEZNQCLSA-N 412.467 4.735 5 20 HJBD CC1CCN([C@@H](CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2cccs2)CC1 516715660 AOBQASWQGMHNRL-KRWDZBQOSA-N 422.938 4.904 5 20 HJBD O=C(Nc1cccc(COC2CCCCC2)c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520003179 WLYJYXNDKIHXGY-UHFFFAOYSA-N 420.469 4.882 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCc2ccccc2Cl)cc1[N+](=O)[O-])c1ccccn1 520761215 BHAQOUFPJMCWSW-HNNXBMFYSA-N 424.888 4.789 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)nc2ccccc21 530646113 FMLWUXGVVNEKPF-NRFANRHFSA-N 417.469 4.984 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(OCC2CCCCC2)CC1 532573188 XFPUZPNTWOMNJZ-INIZCTEOSA-N 414.506 4.767 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)CC(=O)Nc2ccccc2[N+](=O)[O-])cc1 532573505 GFDHRNCYUSYKCF-KRWDZBQOSA-N 419.481 4.902 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](c3ccccc3)c3nccs3)o2)c1 532669268 WRQKZAZHUVYCSI-FQEVSTJZSA-N 406.467 4.628 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2nc(-c3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 536873223 ZMALCYNXQSHDGR-CYBMUJFWSA-N 416.865 4.590 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](c1ccc(F)cc1F)C(C)(C)C 537123487 VEXACSABFQTLPE-FQEVSTJZSA-N 421.444 4.587 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149876 OMTFBMROLQJVED-GFCCVEGCSA-N 417.874 4.802 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 539358840 GUUJQHCCPVXPFO-IRXDYDNUSA-N 419.481 4.948 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 541594076 OGIZXWCZGVHWMD-IBGZPJMESA-N 409.511 4.867 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccc(O)cc2)CC1 544603472 XZSYRPDKYWQWIN-UHFFFAOYSA-N 400.500 4.821 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544651140 BACBVVGEIUJGJV-TZIWHRDSSA-N 415.465 4.574 5 20 HJBD CCc1ccc(-c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cc1[N+](=O)[O-] 545622390 UUTZOWKOHNFGGW-UHFFFAOYSA-N 416.437 4.772 5 20 HJBD CCCN(C)[C@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1 552680771 DUVTUSUTQBIPPN-QGZVFWFLSA-N 410.301 4.715 5 20 HJBD COc1ccc(C(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)cc1[N+](=O)[O-] 553063753 MSZNPDFKJPAVDQ-UHFFFAOYSA-N 408.376 4.675 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(Br)c3Cl)n2)cc1 559133284 LZNRREPXLQZKOL-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2cnco2)c1 573939339 IDYNQPKYQKFSHP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])s2)cs1 576888865 LIQZAXASYCVTSN-UHFFFAOYSA-N 416.528 4.823 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1csc(-c2ccc(Cl)s2)n1 577520975 HRNZQFGXUSMZRS-UHFFFAOYSA-N 407.904 4.748 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1coc(-c3ccc(C(F)(F)F)cc3)n1)CCO2 584261273 VDVJFWBYTYCYGL-UHFFFAOYSA-N 419.359 4.663 5 20 HJBD CC[C@@H](C)N(Cc1ccccc1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 585704700 VXQVIMRDBFYRJT-CYBMUJFWSA-N 408.401 4.646 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 588079598 JKGXJWIZRFGNFP-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD Cc1cc(C)n(-c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cc2)n1 601904396 CRTJQJLJRZZFCP-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)c1cc(F)cc([N+](=O)[O-])c1 609443943 MNCUHFQIUMHSDJ-UHFFFAOYSA-N 413.218 4.686 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])o1 609506453 ZZNHRPJABGLZKZ-UHFFFAOYSA-N 424.388 4.564 5 20 HJBD CN(C)c1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 610093148 WRSJZHWZSNGUEY-UHFFFAOYSA-N 424.423 4.824 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 613225617 BHRMFCTZBJGPGH-QZTJIDSGSA-N 407.470 4.711 5 20 HJBD CC(C)c1ccc(C(=O)N2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 615191987 RPLFVZGRFACURO-TXEJJXNPSA-N 412.330 4.921 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1ccc(Br)c([N+](=O)[O-])c1 729659754 GCIZKKBYIYLSNZ-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(Cl)c1 730643173 MYJKUJFDOYKINF-LLVKDONJSA-N 411.241 4.702 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1nc2ccccc2s1 734112309 ZCCUJHVMQBMRMQ-GHMZBOCLSA-N 405.863 4.503 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2s1 734112772 ALPOPIUXMYCZBN-NSHDSACASA-N 410.480 4.819 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCC(=O)c1ccc2ccccc2c1 735149399 DWCDABGOMDYOEL-UHFFFAOYSA-N 413.813 4.596 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(N2CCOC2=O)c1 735249340 XWEMIMXNVWPZBC-UHFFFAOYSA-N 419.393 4.596 5 20 HJBD CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCc1nc2ccccc2c2ccccc12 736831346 HXUDLBQHCFWMGA-SBUREZEXSA-N 419.525 4.651 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nnc(-c2cccc(Cl)c2)o1 745893018 YYSWSMPOFXYJKB-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1c(CC(=O)Nc2ccc([C@H](C)NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 747910035 IYOIKDZZZLLREG-HNNXBMFYSA-N 413.474 4.670 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CCS[C@@H]2CCCC[C@@H]21 750892327 FANRVMMWXZPMRF-WCQYABFASA-N 419.728 4.511 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2NC(=O)c2cccs2)cc1[N+](=O)[O-] 752746888 QNPXVFQRVRXXHR-AWEZNQCLSA-N 409.467 4.708 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760462672 CWLBXLLWKJPHHS-XFXZXTDPSA-N 401.378 4.555 5 20 HJBD C[C@@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1F 760544080 ZGTWADLMBXWLAO-LBPRGKRZSA-N 402.353 4.765 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)c2)[nH]c1C 760808576 AVJIHLAGMUDHQC-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD Cc1ccc(C[C@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)cc1 766018605 LMXZJJLNLKZMKY-VWLOTQADSA-N 416.525 4.841 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2)no1 776136030 UVOGTGBEBBKPTM-OAQYLSRUSA-N 401.378 4.591 5 20 HJBD O=C(Cn1ccc2ccc(Cl)cc21)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138712 RTJAXDIUDDWULA-JOCHJYFZSA-N 421.840 4.931 5 20 HJBD C[C@@H](Oc1ccccc1)c1nc2ccccc2n1C[C@H](O)c1ccc([N+](=O)[O-])cc1 776277215 PTWSBBRZRHFRIV-ZHRRBRCNSA-N 403.438 4.818 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)c1[N+](=O)[O-] 777080733 ULLDZMGLSNJXTC-LBPRGKRZSA-N 422.890 4.771 5 20 HJBD O=C(NCCc1nc(C2CCCCC2)cs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778268225 DEMKPHYJGIHCNK-UHFFFAOYSA-N 409.458 4.550 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cc([N+](=O)[O-])ccc1Cl 791870308 RLQOVYWRCBTMNF-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1cc([N+](=O)[O-])ccc1Br 791995107 IJSBVODUCIKDPL-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1Cl 793372598 WXKBZMKPTVJDPH-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809918924 AWJMDMCZSRBLRI-UHFFFAOYSA-N 405.838 4.698 5 20 HJBD O=C(COc1ccc(F)cc1Cl)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811214497 BAVJRJAAEZJEQL-UHFFFAOYSA-N 422.821 4.633 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2F)c1 813010506 DGLSBTWSSSCSBJ-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD COc1cc(-c2nc(Cc3cccs3)no2)c([N+](=O)[O-])cc1OCc1ccccc1 904339673 FBIYZUOEFUIYLJ-UHFFFAOYSA-N 423.450 4.885 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1ccc(CSC(F)F)o1 912757347 BKFLPGOOCUOUIS-WUXMJOGZSA-N 414.773 4.642 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 916473297 BRPNIMXJQXNQCG-UHFFFAOYSA-N 420.425 4.638 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c2ccc(Br)cc2n1 917611616 LTFNUXSJLCFAGN-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD COc1ccc([C@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 21830748 ARKHIZVSOHMVDV-JOCHJYFZSA-N 408.479 4.601 5 20 HJBD C[C@@H]1CCCC[C@H]1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 24177187 OAKZEXWXUNXPHN-VGOFRKELSA-N 418.497 4.685 5 20 HJBD CCn1c(S[C@H](C)C(=O)N2C[C@H](C)C[C@@H](C)C2)nc2cc3ccccc3cc2c1=O 24891418 JQHYCMILFMOOBZ-BRWVUGGUSA-N 423.582 4.555 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3nc4c(C)cccc4s3)cc2)c(C)c1[N+](=O)[O-] 28840718 OUBQKTGHDSQZOE-UHFFFAOYSA-N 421.482 4.627 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 55454278 NXXOVGSODNUQRE-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD O=C1CCc2cc(Nc3ncccc3[N+](=O)[O-])ccc2N1Cc1ccc(Cl)cc1 65946664 KABIFDIIMAUMKG-UHFFFAOYSA-N 408.845 4.866 5 20 HJBD COc1ccc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCc1cccnc1 237316362 NQVUFRQBOIKTAI-UHFFFAOYSA-N 407.470 4.602 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(Br)cc1 301068118 BRAJEWBYPOFCJU-CYBMUJFWSA-N 418.291 4.766 5 20 HJBD C[C@@H]1C[C@@H]1[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 302161994 KAFOTGTWYIUZHK-MURWCNHISA-N 400.909 4.522 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(F)cc1)CC(F)(F)F 303972842 FIYREVHNCFRKPT-LBPRGKRZSA-N 416.396 4.806 5 20 HJBD O=C(Nc1cc(-c2ccc(Br)o2)no1)c1ccc([N+](=O)[O-])cc1Cl 427674154 ZSXKJFLPOVWDOO-UHFFFAOYSA-N 412.583 4.511 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccnc2c([N+](=O)[O-])cccc12 432342774 NNSGFFUQJJULRT-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc(C(=O)Nc3ccccn3)c2)cc1[N+](=O)[O-] 439108212 RETPIQQCUZDVIF-UHFFFAOYSA-N 404.426 4.618 5 20 HJBD CCOCc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 439583192 SSYLWFYLPXVPJR-LBPRGKRZSA-N 411.380 4.589 5 20 HJBD O=C(NCCCc1ccc(O)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442709662 ALLKHQZMHWEIAQ-UHFFFAOYSA-N 408.479 4.814 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(CCc1cccc(F)c1)C1CC1 444027643 CQVHSCQPFQMTSA-UHFFFAOYSA-N 400.453 4.668 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2c3cc(Br)ccc3SC[C@@H]2C)c1 460164203 CQCQWISVSMUBOB-GTNSWQLSSA-N 421.316 4.879 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 462988887 KJXGPCKXGWSLCZ-LPHOPBHVSA-N 415.465 4.532 5 20 HJBD C[C@H](Sc1n[nH]c(-c2ccccc2Br)n1)c1ccccc1[N+](=O)[O-] 463040399 VKIYPAZZODCXHI-JTQLQIEISA-N 405.277 4.996 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCc2[nH]c3ccc(Cl)cc3c2C1 463136669 GMFXNSPLADLVEP-UHFFFAOYSA-N 423.778 4.947 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@H]1c1cccs1 466144450 RQRPTKYFVOHXQI-IBGZPJMESA-N 413.524 4.618 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccs2)CC(F)(F)F)cc1SC 470793356 QBHHXUBINMHEGI-UHFFFAOYSA-N 420.434 4.592 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1cn(-c2ccccc2)nn1 471251653 IBRMJIVJNXPQKR-HNNXBMFYSA-N 413.506 4.521 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2cc(Br)cc(F)c2C1 475561164 KYONUAKDPUBNEJ-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)c(Cl)c1 475634565 NBXJEKHECZXJDJ-OAHLLOKOSA-N 409.191 4.744 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC2CCC2)c2ccccc2)cc1SC 479410846 PVOPVDVRQNUFNO-QGZVFWFLSA-N 400.500 4.987 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](CCc1ccccc1)C(F)(F)F 479680672 XRGMVAVIKQGKJG-GUYCJALGSA-N 412.433 4.755 5 20 HJBD CCc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cnn1-c1cccc(Cl)c1 482747903 VOAKRHSJQUGARF-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD Cc1cc(C)c(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)c(OCc2ccccc2)n1 487864510 BTSZWEIZPVMTDI-UHFFFAOYSA-N 415.449 4.549 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@@H]2CCN(Cc3ccccc3)[C@@H](C)C2)cc1[N+](=O)[O-] 493312445 YKKDGKHBDCGFEX-CNNODRBYSA-N 424.545 4.659 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCO)cc1Cl 497647719 NDEBPWRAULMUHJ-UHFFFAOYSA-N 424.906 4.620 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2OC)cc1 497886400 XFQQDHPXYAXXAZ-QHCPKHFHSA-N 419.481 4.813 5 20 HJBD CC1(C)C[C@@H](N[C@H](Cn2ccccc2=O)c2ccccc2)c2cc([N+](=O)[O-])ccc21 503901148 HOZUUWALLYBZHM-FGZHOGPDSA-N 403.482 4.510 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1n[nH]c(-c2ccccc2Br)n1 504542223 NZSCMUKYOBDHAG-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(COc2ccccc2[N+](=O)[O-])n1 508646415 SGQWOTCADVQUQQ-MRXNPFEDSA-N 417.849 4.769 5 20 HJBD CCCOc1ccc([C@@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1OC 510113539 DNPWZGXGPLVTPC-OAHLLOKOSA-N 415.490 4.704 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)c2ccccc2)cc1 511227590 CJAFEYKXJZTWDS-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD CC(C)(c1nc(Cc2ccccc2[N+](=O)[O-])no1)c1ccccc1Br 518002603 PFNRTHDSLXXPJI-UHFFFAOYSA-N 402.248 4.657 5 20 HJBD O=C(Nc1ccc(-c2ccccn2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 519572868 SQMPDSFYCFBPAU-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD COc1cc(CN[C@@H](C)Cc2cccs2)ccc1OCc1ccc([N+](=O)[O-])cc1 521829317 YZAVWNNYJCJWJW-INIZCTEOSA-N 412.511 4.965 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 525257761 RRRYHCKGBONBIO-QFIPXVFZSA-N 410.518 4.530 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 525405347 DSXGKJZUNNUIAC-LLVKDONJSA-N 407.829 4.615 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 534428307 RDEJVZYTHNJFEP-SECBINFHSA-N 415.646 4.511 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Nc2ccc(F)cc2)CC1 540471321 BFNDOBXNFPUSBF-UHFFFAOYSA-N 417.506 4.951 5 20 HJBD CCc1cnccc1[C@H](C)N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 541193197 CVUCNRJWYAJXSW-GAJHUEQPSA-N 418.497 4.891 5 20 HJBD C[C@H](C(=O)NCc1ccc(CN2CCCC[C@H]2C)cc1)c1ccc([N+](=O)[O-])cc1F 542613641 WKSBMRQVHTWFIB-SJORKVTESA-N 413.493 4.528 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2cccnc2)ccc1OCc1ccc([N+](=O)[O-])cc1 542690923 NXKZUEFTMPJUJL-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD COc1ccc(NC(=O)c2oc3c(Br)cccc3c2C)cc1[N+](=O)[O-] 542926930 BPULCFLCPMKHPW-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 543951197 BANVFWBZBXGRSL-UHFFFAOYSA-N 403.548 4.784 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2ccc(F)cc2F)s1)c1ccc([N+](=O)[O-])cc1F 544432193 FJRQVQSKDQMOEP-SNVBAGLBSA-N 421.400 4.802 5 20 HJBD Cc1ccc(-c2noc(-c3cccc(C(=O)N(C)CC(C)(C)C)c3)n2)cc1[N+](=O)[O-] 546985711 XRSQGFMJJCZPST-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ncco2)cc1 550792626 XDKKJYFGTRMUEK-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC[C@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1ccccc1Cl 552049304 SRRLPVSRLBKZBX-XLIONFOSSA-N 414.893 4.518 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccc(Cl)cc2)n1 552611667 AMWKCHSLPQMUKV-UHFFFAOYSA-N 415.902 4.615 5 20 HJBD CC(C)(C)Oc1nc(Oc2ccc([N+](=O)[O-])c3ncccc23)ncc1Br 553191693 HLQANGGFDGSCGX-UHFFFAOYSA-N 419.235 4.665 5 20 HJBD Cc1cc(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 553721197 AMTYLNDJJRVJAB-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(F)c(OC(C)(C)C)c(F)c1 558768100 ONTQRFPOIGWDRX-UHFFFAOYSA-N 407.417 4.757 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2cc([N+](=O)[O-])ccc2CC)c1C 571413375 STUQTZJQERDMHI-UHFFFAOYSA-N 401.463 4.663 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCn2c(cc3c(Cl)cccc32)C1 576646146 NYMYFXLHADIPHC-ZDUSSCGKSA-N 423.860 4.830 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1N1CCCC1)N1CCCc2c1cccc2[N+](=O)[O-] 581511782 BUWKLIAGOMVUBQ-UHFFFAOYSA-N 419.403 4.807 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)C1(c2ccccc2)CC1 583225056 YNHZPQFWKFYXEQ-INIZCTEOSA-N 414.530 4.868 5 20 HJBD C[C@H](NC(=O)C1(c2ccc(Br)cc2)CCC1)c1cccc([N+](=O)[O-])c1 603966648 FGOGYJJBSVATJN-ZDUSSCGKSA-N 403.276 4.656 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)C1 604076352 VCNAHUNHSUUGSI-GUDVDZBRSA-N 424.545 4.633 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCS[C@H](c2ccccc2)C1 609791870 VJHGIZWEAKMNGH-SFHVURJKSA-N 411.508 4.649 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 609997372 MZAOYLVFQVKRAX-AWEZNQCLSA-N 412.442 4.684 5 20 HJBD COc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1OC 611199904 DMVGKQAFVZKTQW-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1Cl 611205065 VEKKAUCMVKMHTR-UHFFFAOYSA-N 419.890 4.634 5 20 HJBD CCCN(Cc1ccc(OC)cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 681956388 BAEGVTPQSLEKQT-UHFFFAOYSA-N 400.478 4.742 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1nc(-c2ccccc2)cs1 730553214 WWWGTPIIUCAVTH-OAHLLOKOSA-N 422.510 4.810 5 20 HJBD O=C(OCc1csc(COc2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])c(F)c1 731516954 PQRGRFLUIGZQOD-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)c1 731830514 DDCUWCPADZKPON-LJQANCHMSA-N 420.918 4.556 5 20 HJBD C[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 731986776 PQUCIVGHADEEDC-VIFPVBQESA-N 424.347 4.783 5 20 HJBD CCc1ccc(OS(=O)(=O)c2ccc(Cl)cc2C(F)(F)F)c([N+](=O)[O-])c1 734504327 WHSHMWMAZZMTKI-UHFFFAOYSA-N 409.769 4.597 5 20 HJBD O=C(OCc1csc(C2CCCC2)n1)c1ccc([N+](=O)[O-])cc1Br 740422150 MFHYCJPNKOFCOD-UHFFFAOYSA-N 411.277 4.828 5 20 HJBD C/C(=C\C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(C(F)(F)F)c1 744357623 VJNUFBSGYYYQHX-XYOKQWHBSA-N 422.359 4.817 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)CC[C@@H]1c1ccccc1 746337448 ORDZWIYAUNBFPA-RXVVDRJESA-N 407.514 4.851 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cn1C)c1ncc(-c2ccc(Cl)cc2Cl)o1 753334510 GCXUKWDRUNIBJB-VIFPVBQESA-N 410.213 4.813 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ncc(-c2ccccc2)o1 760837780 JIKMEAAGMKOUJQ-NSHDSACASA-N 416.231 4.503 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)sc1Cc1cccc(F)c1 763428422 XZQOIVFZGFXFMF-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767137638 FDEZOANZICKFKP-AWEZNQCLSA-N 403.360 4.725 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(C3SCCCS3)cc1)CC2 773991318 WEINJQQZDRKYKY-UHFFFAOYSA-N 400.525 4.975 5 20 HJBD C[C@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cn(C)c2ccccc12 774290528 DDZXVYDLVBZBLW-QMHKHESXSA-N 415.449 4.918 5 20 HJBD CC(C)Oc1ccccc1CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132703 JIQDNEWZGCJANP-QHCPKHFHSA-N 406.438 4.652 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nnc(-c2cccc(Cl)c2)o1 784695706 JTPJUJWTUGPYKP-QWRGUYRKSA-N 419.796 4.845 5 20 HJBD CCOC(=O)/C(=C\c1cc(Br)c(Cl)s1)c1ccc([N+](=O)[O-])cn1 794949964 RRSUIFFDKBPJNG-YHYXMXQVSA-N 417.668 4.571 5 20 HJBD Cc1csc(C2(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CCCC2)n1 812994527 MZDFOHUBBZOBFI-UHFFFAOYSA-N 400.287 4.866 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1ccccc1C(=O)c1cccs1 918534560 MKPBTOXEVYGFKE-UHFFFAOYSA-N 411.435 4.643 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc(C)cc([N+](=O)[O-])c2)c2ccccc2)cc1 920903358 QXXGTPKXKNHCLC-NRFANRHFSA-N 404.422 4.748 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCCCS(=O)(=O)c1ccccc1 921189174 FMGQNOWABWOWJD-UHFFFAOYSA-N 422.812 4.543 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 1118415583 MYOBGTGNJOJXCW-LLVKDONJSA-N 407.785 4.532 5 20 HJBD CC(C)c1ccc(-n2ccnc2SCC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1252190677 NTPILDPPTHNRJJ-UHFFFAOYSA-N 422.510 4.538 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)c(Br)c1 1320705105 KOBMIIYUNFRCGN-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(c3c[nH]c4ncccc34)CC2)c(Br)c1 1324150861 NXTTYDFVINZQNG-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD COc1ccc(CSCc2nnc(COc3cc(C)cc(C)c3)o2)cc1[N+](=O)[O-] 1328089691 MJHJWVBFBJNTET-UHFFFAOYSA-N 415.471 4.616 5 20 HJBD Cc1ccc(/C=C2\CCn3c2nc2cc(C(F)(F)F)ccc2c3=O)cc1[N+](=O)[O-] 7699991 XWEZALHRDSAKRV-MDWZMJQESA-N 401.344 4.576 5 20 HJBD COc1cccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 16029463 QTSJSSGJOTVNJN-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD C[C@H](Sc1nccn1-c1ccc(Cl)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 26679303 FZGPBYYOLIIDHX-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD Cc1sc(NC(=O)CCOc2ccccc2[N+](=O)[O-])nc1-c1ccc(F)cc1 59741182 DUYWYURLYMCYKW-UHFFFAOYSA-N 401.419 4.573 5 20 HJBD Cc1ccc(-c2ccc(CN(C(=O)CCn3cc([N+](=O)[O-])cn3)C(C)C)s2)cc1 64321542 SHAYQDZTTDOZSE-UHFFFAOYSA-N 412.515 4.656 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1[N+](=O)[O-] 105350547 WOBAVDUGQTZOSU-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CC(C)c1ccc(-c2ncc(Cn3cnc4cc([N+](=O)[O-])ccc4c3=O)s2)cc1 252243795 LBQJCQBLAIAFJH-UHFFFAOYSA-N 406.467 4.600 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 426294636 USGVIJHLZOCKDK-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD C[C@H](CO)N(CC1CCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 430097172 GQYMHZGDVSUCHN-CQSZACIVSA-N 418.877 4.664 5 20 HJBD CC(C)(NC(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 431060280 RWSRPMWWNMKSLG-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD Cc1cc(C)c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(Br)c1 431213111 VUNPUYQFRJERSM-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])cc2)n1 434227606 KNJZNKMRPOFTQH-UHFFFAOYSA-N 403.489 4.610 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2)c1[N+](=O)[O-] 435542561 FHOJHRCQGFFYIR-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD Cc1ccc(CCNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c1 436314030 QVKMXKRKCLMWAQ-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCS[C@@H](C(C)C)C3)cc2[N+](=O)[O-])n1 437204776 ZMGGMXBAXWHRJA-MRXNPFEDSA-N 423.585 4.725 5 20 HJBD Cn1c([C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)nc2ccccc21 437593677 UPJZSJLCGDMKCR-FQEVSTJZSA-N 417.469 4.516 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)c([N+](=O)[O-])c1 440818334 TXZFSLSDOUTQMX-UHFFFAOYSA-N 417.632 4.775 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444232590 RFMDDVMNQCVGGY-QWHCGFSZSA-N 420.406 4.919 5 20 HJBD O=C(NC[C@]1(O)CCC[C@@H](C(F)(F)F)C1)c1cc2ccccc2c2cccnc12 444481112 KVWBTPWOMVRLMS-VFNWGFHPSA-N 402.416 4.601 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1 445781643 LGLQKEWNTUYVOF-OAHLLOKOSA-N 404.220 4.533 5 20 HJBD CCOC(=O)[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Cl)c1 447398767 SYXUNIYFSXYDBK-INIZCTEOSA-N 416.783 4.661 5 20 HJBD COc1cccc(Oc2ncccc2CN[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)c1 447541266 FZNZJZIUVXDXGC-JOCHJYFZSA-N 405.454 4.958 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 447867446 WTMNQDXSJXNXGK-UHFFFAOYSA-N 408.336 4.903 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)cc1OC 462435662 IWNQKADVZKJPNL-INIZCTEOSA-N 420.893 4.541 5 20 HJBD Cc1ccc([C@H](OC[C@H](O)COc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1 466998822 RURGDWXCVDUCPH-SIKLNZKXSA-N 411.429 4.588 5 20 HJBD Cc1c(CN(Cc2cccc(Br)c2)C2CCOCC2)cccc1[N+](=O)[O-] 467296879 BOQAMLDRXRCLKC-UHFFFAOYSA-N 419.319 4.847 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 472281740 SIQYDBGUGLQWJP-NRFANRHFSA-N 422.872 4.737 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)c1nc2ccccc2cc1[N+](=O)[O-] 473529382 WRZARNZEQWDULG-UHFFFAOYSA-N 418.375 4.627 5 20 HJBD O=C(c1ccc(Oc2ccccc2)o1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 482317233 XLRNHSQHGOKWFY-UHFFFAOYSA-N 408.410 4.664 5 20 HJBD O=c1c([N+](=O)[O-])cn(CCCCOc2cccc(C(F)(F)F)c2)c2ccccc12 487871217 NEVYCOKTNPJJKM-UHFFFAOYSA-N 406.360 4.788 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2ccc(OCc3c(C)noc3C)cc2)cc1[N+](=O)[O-] 488001569 VCICJADYFGDEDY-OAHLLOKOSA-N 423.469 4.507 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCc2ncc(-c3ccc(F)cc3F)o2)cc1[N+](=O)[O-] 488064220 SCPXRYGUCRFCPV-ZDUSSCGKSA-N 415.396 4.646 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)c2ccccc2)nc1 489902622 VWYCQHHOZHAYJO-UHFFFAOYSA-N 422.466 4.606 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489959151 SHFXGOQLVHOTKK-UHFFFAOYSA-N 422.388 4.529 5 20 HJBD O=C(N[C@H]1CCOC2(CCCCC2)C1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 491918562 ABTUMFIHBFLFJC-IBGZPJMESA-N 423.513 4.819 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497811624 JFTUMFHMNSPWQT-JKSUJKDBSA-N 414.767 4.527 5 20 HJBD CCN(CCc1cccs1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 499632320 OSQBSTLCPMEHHD-UHFFFAOYSA-N 409.511 4.973 5 20 HJBD O=c1ccccn1C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1 500502795 YNUJDDKJPUGJNO-QFIPXVFZSA-N 415.449 4.548 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)c12 505022507 BFIZVKQTKXGEFU-UHFFFAOYSA-N 418.457 4.997 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C(F)(F)F)cc1 505402782 LTGPUUZPNHHOBJ-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1OCC(F)(F)F 505550476 LMLLWZVKIBACBK-JTQLQIEISA-N 418.368 4.794 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(n4c(O)nc5ccccc54)CC3)o2)cc1 506128461 FXXYGLCHFIWWEB-UHFFFAOYSA-N 418.453 4.747 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc2nc(C(F)F)sc2c1 507251560 IQAJYGUOHJYFNQ-SECBINFHSA-N 422.413 4.590 5 20 HJBD CCc1ccc(-c2noc(CSCc3cc([N+](=O)[O-])cc4c3OCOC4)n2)cc1 509033864 TYBNSLGTODRQSA-UHFFFAOYSA-N 413.455 4.507 5 20 HJBD O=C(c1cscc1Br)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 512669510 UQWJBKTVVZEEBO-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD COc1ccc([C@@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(C)c1OC 513414642 OSDBIIPVHIYJRC-MRXNPFEDSA-N 415.490 4.602 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Br)cc1Cl 513573107 PHZTXWYDXPBEDM-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD O=C(NC[C@@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])[nH]1 515765639 KCBLQCNMGCOQGF-HNNXBMFYSA-N 408.845 4.620 5 20 HJBD COc1ccc(C(=O)N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)cc1[N+](=O)[O-] 517856288 OLYOAUKZAHHBGR-NSHDSACASA-N 415.446 4.665 5 20 HJBD CNc1ccc(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)cc1[N+](=O)[O-] 523440712 VDCOTBWKIRTZRZ-LJQANCHMSA-N 403.438 4.557 5 20 HJBD C[C@H]1Cc2ccccc2CN1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 525074280 ZMVOJVXLRBWKKU-LBPRGKRZSA-N 410.417 4.679 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccnn3Cc3ccccc3F)cs2)c1 537505766 GSPDXWGUUZGRHK-UHFFFAOYSA-N 409.446 4.714 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)CC(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 538020498 GMLKFDOQSPHQBH-ZIAGYGMSSA-N 409.408 4.990 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](Oc2ccccc2Cl)C1)c1ccccc1[N+](=O)[O-] 538144854 LBTOREURRZZEPH-HUUCEWRRSA-N 403.866 4.562 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n([C@@H]2C[C@H]3CC[C@H]2C3)n1 538240554 BTSMYYQAOOIFEX-SLEUVZQESA-N 408.380 4.732 5 20 HJBD CN(C(=O)c1csc(-c2ccoc2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252444 ARQLHBPUTGPJEL-UHFFFAOYSA-N 412.452 4.711 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(C3CC3)n2-c2ccccc2)cc1OC(F)F 538891653 FGIDGSCQGHOKHU-UHFFFAOYSA-N 404.398 4.806 5 20 HJBD CC(C)(C)c1ccc(C(=O)N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 541632908 MVGRULPGHDUGLN-FXAWDEMLSA-N 400.450 4.682 5 20 HJBD COc1cccc(C=C2CCN(C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CC2)c1 545853966 LXWGKGWTJCDODI-UHFFFAOYSA-N 412.461 4.726 5 20 HJBD CC(C)(C(=O)Nc1cccc(COCc2ccco2)c1)c1ccc([N+](=O)[O-])cc1F 546578059 BZZLYZXWMHMNFM-UHFFFAOYSA-N 412.417 4.960 5 20 HJBD C[C@H](c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1)c1ccc([N+](=O)[O-])cc1F 547025688 LDNZGFFIWXORNU-LBPRGKRZSA-N 422.372 4.781 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 566753979 LTPAHVBTJBPHNQ-QGZVFWFLSA-N 406.404 4.952 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 577079989 GLMKZXCWXUNOJI-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@@]4(CCc5ccccc5O4)C3)cs2)c1 579173862 IRABJEBDGJXPSB-QFIPXVFZSA-N 407.495 4.688 5 20 HJBD COc1cc(Cl)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1C 582205370 JULQONSSYFNUQN-UHFFFAOYSA-N 417.771 4.906 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890300 YIBXOGKUWGZUEZ-AEFFLSMTSA-N 406.486 4.591 5 20 HJBD CCN(CCC(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 604523902 BGGDLIXIPGQBEO-UHFFFAOYSA-N 412.364 4.810 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 609653071 XENRAUYIUHULNH-VGOFRKELSA-N 421.522 4.879 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C 609725174 LJRGIPRBWBVEMJ-UHFFFAOYSA-N 419.865 4.703 5 20 HJBD CC(=O)N(Cc1ccc(Nc2ccc(Br)cc2[N+](=O)[O-])cc1)C(C)C 609739112 DMDCHNGBFJEVBR-UHFFFAOYSA-N 406.280 4.858 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCc2ccc(O)cc2CC1 609929768 CEOWAIAAVKSFRY-UHFFFAOYSA-N 419.437 4.725 5 20 HJBD O=C(NCCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1Br 610036131 ZTLGGVSYGZMXJW-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD CC(C)(C)[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611211507 FFZMLTKKKLRRRB-LIRRHRJNSA-N 406.548 4.953 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccc(OCc2ccccn2)c1 613294756 AHHFOUSXYKDSFN-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ncoc2C(C)C)c2ccccc2)c([N+](=O)[O-])c1 732386942 VHUCKZYJWAUFHS-HXUWFJFHSA-N 423.425 4.551 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(Cl)nn3)cc2[N+](=O)[O-])cc1 733102546 SHVZISLRWHFIMZ-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1Br 736528182 QMTCRHCHNUTMBE-AAEUAGOBSA-N 407.239 4.515 5 20 HJBD C[C@H](Sc1nnc(-c2cc(Cl)ccc2Cl)n1N)c1ccccc1[N+](=O)[O-] 742448449 CGGNGNAAWLQWQC-VIFPVBQESA-N 410.286 4.727 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCc1ncc(-c2ccc(Cl)cc2)o1 744614529 BXOMBTYJMYODBP-UHFFFAOYSA-N 411.801 4.601 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746057488 ATDXVDQVSCQQJN-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CNc1ccc(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 748022411 QBJAGQDTAWGFOM-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD COc1ccc(CSCC(=O)Oc2ccc(-c3cscn3)cc2)cc1[N+](=O)[O-] 750751767 GGPXCLIMDLWUNX-UHFFFAOYSA-N 416.480 4.566 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)cc1 751622376 YVKMHNPESPDWSD-UHFFFAOYSA-N 423.450 4.762 5 20 HJBD Cn1cccc1[C@H]1CCCCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754977623 PNXWXWPVUGRWLN-OAHLLOKOSA-N 424.270 4.592 5 20 HJBD C[C@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1cccs1 755432496 ARUZTCUHNLGIAD-FQEVSTJZSA-N 420.556 4.576 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CCO2)cc1 757524998 JOGCTBVXLIMKLH-QSFXBCCZSA-N 401.484 4.847 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761871252 IQCCEHIVQCGLBN-KDOFPFPSSA-N 412.467 4.581 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)cc1[N+](=O)[O-] 762993056 OFNOJLASCIQUAW-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 762995258 NMEVEKGUUVCLEB-OAQYLSRUSA-N 408.429 4.961 5 20 HJBD C[C@@H](C(=O)OCc1cccc(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 763396560 AYLKKXPLDFPSKQ-CQSZACIVSA-N 400.431 4.789 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 763401131 DIZZVSIUBCLSQI-STQMWFEESA-N 415.833 4.630 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](c2nc3ccccc3s2)C1 768675821 MCDZSNTYIAANSC-ZDUSSCGKSA-N 401.875 4.878 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(C)C 771519198 CMIQFEOPRJJDQP-UHFFFAOYSA-N 409.442 4.854 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc(Cc2ccccc2F)s1 773054193 IDKOOMBATHEGTE-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCOC[C@H]2C)cc1 775487994 LAIXLSVPCMDHOI-OAHLLOKOSA-N 415.515 4.573 5 20 HJBD CSc1c(F)cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1F 784139716 NXZYINSJKUPPOC-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1cc(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)ccc1NC(=O)OC(C)(C)C 789439391 DLUGNKGNVABJGE-UHFFFAOYSA-N 415.446 4.619 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(CCc2ccccc2)CC1 807537535 CPPMFRNFCWWQAY-UHFFFAOYSA-N 407.514 4.680 5 20 HJBD CC(=O)N[C@@H](c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1)C1CCCC1 809362978 JQHKCGKLMAOYSC-HXUWFJFHSA-N 422.441 4.805 5 20 HJBD CCC[C@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 821118861 PZAOADONUOYPMJ-BBRMVZONSA-N 404.850 4.702 5 20 HJBD Cc1cc(C(=O)OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)cc([N+](=O)[O-])c1 920899555 GOETWFKDUAVRQN-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1F 1115850452 RLRSNXQMZSSKKG-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CC(C)(C)n1c(NC(=O)c2cc([N+](=O)[O-])ccc2Br)nc2ccccc21 1318123979 XZUXJMVCKCWPRK-UHFFFAOYSA-N 417.263 4.714 5 20 HJBD COc1ccc([C@H](C)OC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 1523842945 OVJURNYKLPIRNV-LBPRGKRZSA-N 415.833 4.575 5 20 HJBD COc1ccccc1-c1nc(COC(=O)CSc2ccc([N+](=O)[O-])cc2)cs1 9352681 LYFUBXAHMZHTHB-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD CN(CC(=O)Nc1ccccc1-c1ccccc1)Cc1cc([N+](=O)[O-])ccc1Cl 16312850 MBNAHVCEQJSGFG-UHFFFAOYSA-N 409.873 4.986 5 20 HJBD CON(C)C(=O)c1c2c(nc3ccccc13)/C(=C/c1ccc([N+](=O)[O-])cc1)CCC2 27070074 FOVQYSMNGZCIPJ-JQIJEIRASA-N 403.438 4.653 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 55593293 KTLQQMUROYGAIT-GJZGRUSLSA-N 409.486 4.582 5 20 HJBD O=C(Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1)c1c[nH]c2cc([N+](=O)[O-])ccc12 65156624 NQYIZTOZGATHTG-UHFFFAOYSA-N 424.420 4.781 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2nnc(C(F)F)s2)cc1[N+](=O)[O-] 144516192 GGTALVKNTQWSDA-UHFFFAOYSA-N 402.448 4.774 5 20 HJBD O=C(Nc1cccc(CNC/C=C/c2ccccc2[N+](=O)[O-])c1)c1cccc(F)c1 237731075 KRCCEEVWFGEGNK-WEVVVXLNSA-N 405.429 4.789 5 20 HJBD Cc1cccc([N+](=O)[O-])c1N1CCC(C(=O)Nc2ccccc2Br)CC1 301202248 WYWLJFGMXYHGEI-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 303652762 KWIZGYYUDMFNBG-MUUNZHRXSA-N 404.463 4.568 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)c(C(F)(F)F)c1 303861669 GPLXJPMIMSPEPU-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431789787 UNQMNHFOSHZLON-JTQLQIEISA-N 420.288 4.800 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](c2ccccc2)C(F)F)c([N+](=O)[O-])cc1OCC 432642645 MNYJMPMOEXKCCR-KRWDZBQOSA-N 409.389 4.520 5 20 HJBD O=C1CCc2cc(NCc3c(Cl)cccc3[N+](=O)[O-])ccc2N1CC(F)(F)F 435888334 NBVQIYWEBINMKA-UHFFFAOYSA-N 413.783 4.702 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)c(C)c1 438843006 RKSCQWUCOJBYNX-ZDUSSCGKSA-N 401.488 4.679 5 20 HJBD Cc1c(CC(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cccc1[N+](=O)[O-] 439085158 JATGAJOGUDBMSS-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD Cc1ccnc(Oc2cc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)ccc2C)c1 439619164 FHHFBOTWWXKXJF-INIZCTEOSA-N 406.442 4.838 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444081663 FJMIJZUTLRHVJA-NRFANRHFSA-N 418.443 4.711 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444104768 ZBNNAYAVPUMRPO-GDBMZVCRSA-N 418.443 4.978 5 20 HJBD O=C(N[C@H]1CCC[C@H]1OCc1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444238064 MYHZTTCUGBFDDL-BJKOFHAPSA-N 412.489 4.549 5 20 HJBD CCc1[nH]nc(C(=O)N(Cc2ccc(-c3ccccc3OC)cc2)C(C)C)c1[N+](=O)[O-] 444259362 KOYOCTBYLWPSGU-UHFFFAOYSA-N 422.485 4.607 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2ccc(Cl)cc2C1 444285956 JVTRVVKBVZOUTI-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3Br)ccc2NC1=O 467007369 PEQRFCLMGRTNTI-HWPZZCPQSA-N 420.263 4.640 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 470088713 UGAQOUWSFAZBJV-UHFFFAOYSA-N 424.320 4.908 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 473058160 MUEMSNMXOIRZQY-UHFFFAOYSA-N 423.391 4.997 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nnc(-c2c(F)cccc2Cl)s1 478764055 KOELLDSOAUOJNL-UHFFFAOYSA-N 424.866 4.637 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1C 482804332 ZUKFQLGFQGEMQT-LLVKDONJSA-N 410.424 4.688 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1)C(C)C 484454914 HPRPHZAZWDXMIE-LJQANCHMSA-N 402.516 4.503 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c2)o1 484952372 WCEGJNNVOYKADJ-UHFFFAOYSA-N 407.426 4.666 5 20 HJBD O=C(Nc1cc(F)c(F)c(F)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485707446 DRGQVVCTCSKWGS-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3cccc(SC)c3[N+](=O)[O-])c(C)c2c1 486224686 LQQZWKHUPRGPKU-GFCCVEGCSA-N 400.456 4.871 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 486304928 OJKOGQJQKXNEJU-UHFFFAOYSA-N 422.359 4.771 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 499623574 WECVRHSQOMPBRP-CYBMUJFWSA-N 411.439 4.559 5 20 HJBD CN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H]1CCSc2ccc(Br)cc21 504649777 INXQAWLJTGPBAI-INIZCTEOSA-N 421.316 4.595 5 20 HJBD O=C(c1ccc(-c2ccc3c(c2)OCCO3)s1)N1CCCc2ccc([N+](=O)[O-])cc21 509967070 IFKCQVIBTLUJNF-UHFFFAOYSA-N 422.462 4.688 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](CCO)c1ccc(Cl)c(Cl)c1 512220711 UHXZPFUHWPGRED-GOSISDBHSA-N 413.301 4.902 5 20 HJBD Cc1nc(COc2ccccc2C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cs1 513839317 IGDKISKJNFJZLY-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-n2cccn2)c1 514448561 KZDTVDZPMCDHBK-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CN(C)C(=O)Nc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 514642788 PPZVEXDGLNFBIQ-UHFFFAOYSA-N 410.499 4.707 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 517546249 KUEUXLTVELKHNH-CQSZACIVSA-N 420.307 4.520 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 517785026 JYDHEEXWTOFDRK-INIZCTEOSA-N 419.437 4.511 5 20 HJBD CCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 518659754 MSEPWLNREQCMBS-LBPRGKRZSA-N 408.863 4.766 5 20 HJBD CCCCNC(=O)c1ccc(CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1 518762360 SZWNXCMVKAAKFJ-UHFFFAOYSA-N 407.470 4.675 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)[C@H](Sc1ccccc1)c1ccccc1 520255734 BEUMUWDLTFJZTJ-OAQYLSRUSA-N 408.479 4.623 5 20 HJBD CCCCOCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 524022435 UHRLNBMQKJWYLN-UHFFFAOYSA-N 410.829 4.613 5 20 HJBD CCOc1cc(CNc2ccc(C)cc2C)ccc1OCCn1c([N+](=O)[O-])cnc1C 532108419 UVSGXSSXCQVFDK-UHFFFAOYSA-N 424.501 4.806 5 20 HJBD CCOc1cc(NC(=O)c2cnn([C@@H](C)CC)c2C2CC2)c([N+](=O)[O-])cc1OCC 534791560 KGDZCXJOCVHTPO-ZDUSSCGKSA-N 416.478 4.689 5 20 HJBD O=c1c2ccccc2nc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1C1CC1 534798156 DFYRQYZHODIAJM-UHFFFAOYSA-N 420.450 4.587 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 534809211 XTEWVZKUKXXYIP-UHFFFAOYSA-N 407.264 4.888 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)COc2ccccc2[N+](=O)[O-])c1C 536263535 LDKHBXCSPKVZDX-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD Cc1ccc(-n2nc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2C)cc1 537221801 UTSIOACKLQBISG-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(Cc3nnc(-c4ccc(Cl)cc4Cl)o3)c2)c1 538658183 PBZJOGQMGKGMQH-UHFFFAOYSA-N 416.224 4.863 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c3ccccc23)c1 539097587 ZJQWTEQYNLNPDJ-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD CC(C)(C)[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 540425472 QZZZSVCYEJZKSV-GOSISDBHSA-N 402.401 4.800 5 20 HJBD Cc1cnc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)s1 542572966 KJSISGFEKLBNIB-CQSZACIVSA-N 410.499 4.505 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)NCc1cc([N+](=O)[O-])ccc1OC 542716070 OFUYLJPFYCAWAM-UHFFFAOYSA-N 401.463 4.630 5 20 HJBD CCn1c([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)nc2ccccc21 543949896 BKMZMFYYWIWIMH-LLVKDONJSA-N 404.268 4.603 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544446902 YWQDCYBLYSALOE-UHFFFAOYSA-N 423.498 4.915 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4c[nH]c5ccc(F)cc45)no3)cs2)cc1 545029268 HUBDLRZOSURMIP-UHFFFAOYSA-N 421.413 4.980 5 20 HJBD Cc1ccccc1C(NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccccc1C 545518601 OHXYRKGBGHTFIH-UHFFFAOYSA-N 404.466 4.957 5 20 HJBD CC(=O)Nc1ccc(S[C@@H](C)c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1 545783582 XLFKDGSPUBLKQX-ZDUSSCGKSA-N 412.471 4.689 5 20 HJBD C[C@H](Sc1ccccn1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 546448450 SFRZSJHFHNXZKP-JTQLQIEISA-N 421.276 4.579 5 20 HJBD COc1ccc(C(=O)CC(C)(C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 546832527 KOVKFYDLQLAEDW-UHFFFAOYSA-N 424.497 4.784 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@H]2c2ccccc2C(F)(F)F)n1 547082642 GMZSVWDQEWAYQT-UONOGXRCSA-N 418.375 4.922 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 554235285 UXLBKHBLDNLOLM-INIZCTEOSA-N 416.524 4.917 5 20 HJBD CC(C)[C@H]1N(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)CC12CCC2 557369672 WYQOEYCFKJEQCE-HRAATJIYSA-N 408.502 4.814 5 20 HJBD Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 559096747 ZIMZQDIGBULXPA-MOPGFXCFSA-N 406.511 4.877 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(Oc2cccnc2)cc1 564606857 TYTJIBLGRZZVIJ-AWEZNQCLSA-N 402.410 4.754 5 20 HJBD COc1ccc2oc([C@@H](C)N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 565799793 BEANPKGRYINEGT-CABCVRRESA-N 411.458 4.644 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@H](CSc2ccccc2)C1 571099763 MJJOTBMJPXTBNT-ZDUSSCGKSA-N 410.417 4.868 5 20 HJBD CCCc1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)o1 590395478 UHWCZHJLIWGVGK-UHFFFAOYSA-N 403.504 4.808 5 20 HJBD CCCCN(Cc1ccccc1F)C(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-] 603531547 UZWAWHFHYNCLMU-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](C)c2cc3ccccc3o2)cc1[N+](=O)[O-] 603567828 SSAMVAFNOYZOPW-CQSZACIVSA-N 414.483 4.802 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890150 SCKIRQRTMRXTJR-GOSISDBHSA-N 406.486 4.593 5 20 HJBD CC(C)NC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 603937382 OPAWWUNWKDFRDJ-UHFFFAOYSA-N 418.453 4.729 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccccc3)cc2C)c([N+](=O)[O-])cc1OC 609445036 MGFBJEZUUKAPLH-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3C[C@H](C)Oc4ccccc43)cc2[N+](=O)[O-])C1 609512109 FQBWBMGALDQQNO-BBWFWOEESA-N 409.486 4.505 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 609715964 DLUDFGWSJPYDAZ-IBGZPJMESA-N 424.545 4.740 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCOC2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 610051745 GQDYPXFHANRVQD-HXUWFJFHSA-N 420.421 4.807 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3ccc(OC(F)(F)F)cc3)o2)cc1 726606093 SSUYYZOQINKNTA-GOSISDBHSA-N 422.359 4.577 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2ccn(C(C)C)n2)cc1 735133631 NLQXCFJRANOHHA-UHFFFAOYSA-N 411.414 4.530 5 20 HJBD Cc1ccc(Sc2ccc(CNC[C@@H](O)c3ccsc3)cc2[N+](=O)[O-])cc1 740011622 PAKPHNUGXNGVFC-LJQANCHMSA-N 400.525 4.939 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc(OC2CCCC2)c(F)c1 743484858 SMNMKCPYHJJRTD-ZDUSSCGKSA-N 417.437 4.503 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 746255444 ZBZAVLBEBYFMTB-GHTZIAJQSA-N 414.477 4.760 5 20 HJBD Cc1c(C(=O)Nc2ccc(CNc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 748392001 UUSSJONBIJHFIG-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 751093072 KGUREZLJCPKVND-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCCCC[C@H]1c1ccncc1 755023260 DPMHDKHNQCBCNA-INIZCTEOSA-N 419.279 4.902 5 20 HJBD C[C@H](NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)c1nc2ccccc2s1 755023903 AWLXSHBOTBSZNM-VIFPVBQESA-N 421.276 4.850 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 755149887 BOPVXZQDNNTZGT-SECBINFHSA-N 415.646 4.511 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@H](C)Sc2nc3ccccc3s2)cc1[N+](=O)[O-] 762571545 VQEVFGGOIDHWRP-STQMWFEESA-N 401.513 4.871 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 764391725 KTNGPEIWPBUUPW-FPOVZHCZSA-N 414.527 4.582 5 20 HJBD C[C@@H](NC1CCN(C[C@@H]2CCCO2)CC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 765973100 CMCAZRKZDWCTQS-AEFFLSMTSA-N 415.559 4.617 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc(Cl)c(Cl)c1 776136478 VOVIOPMRFRIYBT-MRXNPFEDSA-N 404.209 4.638 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccnn2Cc2ccc(C(F)(F)F)cc2)c1F 790165215 TZXXEODENHNNFM-UHFFFAOYSA-N 422.338 4.558 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)c1ccc2[nH]ccc2c1 791001654 ZVGNMAFWSYRLEV-UHFFFAOYSA-N 402.248 4.814 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc(F)c([N+](=O)[O-])cc1F 800356766 WDIVKEGINCSWRP-UHFFFAOYSA-N 408.254 4.596 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 804408270 GTOHSMVHBWPYCK-NRFANRHFSA-N 422.506 4.693 5 20 HJBD CC(=O)N(c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C 864038372 NEUCMLVIFAEWMG-KPZWWZAWSA-N 407.392 4.987 5 20 HJBD CCC(CC)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866486496 JHSVUYAGVDSVIN-GOSISDBHSA-N 404.850 4.907 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1 897473005 POEOYBNTRYLQAS-UHFFFAOYSA-N 403.410 4.795 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 913419028 FVPARXIXJCUUCO-UHFFFAOYSA-N 420.425 4.984 5 20 HJBD CC(C)c1ccc2cc(C(=O)OCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)[nH]c2c1 919076937 AALINBJPQDSHOY-UHFFFAOYSA-N 406.398 4.607 5 20 HJBD C=C1c2ccccc2C(=O)N1[C@H](C)C(=O)OCc1nc2ccccc2c2ccccc12 1115822642 IJLBNEMLEJHDNG-QGZVFWFLSA-N 408.457 4.946 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1cc(F)cc([N+](=O)[O-])c1Br 1116242795 DIQSFXHTWCDAHI-UHFFFAOYSA-N 422.185 4.679 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2cccnc2OCC(F)F)o1 1116414662 VQVNFBGTFASFFB-UHFFFAOYSA-N 423.803 4.837 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)c1 1117230971 FPHHFRSZJXVHII-UHFFFAOYSA-N 422.260 4.805 5 20 HJBD COc1cc(CNCc2ccc3c(c2)CCC3)ccc1OCc1ccc([N+](=O)[O-])cc1 1318414466 SRXNTGIDJACSQA-UHFFFAOYSA-N 418.493 4.961 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCO[C@@H](c4ccc(Cl)s4)C3)c2c1 1318932238 HEWKPWQLURNLKI-CYBMUJFWSA-N 411.892 4.618 5 20 HJBD Cc1ccc2c(c1)S[C@@H](C(=O)N(C)c1nc(-c3ccccc3[N+](=O)[O-])cs1)C2 1319929468 LMPUSNXWAOHVBT-GOSISDBHSA-N 411.508 4.707 5 20 HJBD CC(C)n1ncc2c1[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])CCC2 1321281284 DUQWNHSYAMDKIM-NSHDSACASA-N 419.354 4.911 5 20 HJBD Cc1cc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)ccc1[N+](=O)[O-] 1322025827 HFWOZMBVFXUOQN-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD NC(=O)N1CCc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc21 1339382115 KSQKIEMLACQFHL-UHFFFAOYSA-N 412.833 4.562 5 20 HJBD CCOc1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 8264888 QUDBUAXZFSARNS-OAHLLOKOSA-N 411.483 4.623 5 20 HJBD O=C(COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccccc1Cc1ccccc1 11025236 UCDQOSZLTCXUNS-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD Cc1cc(CN(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)no1 25135933 ZUPLMHUUBCZZQQ-UHFFFAOYSA-N 401.806 4.609 5 20 HJBD CSc1ccc(NC(=O)[C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 25375036 FOEKMDORQQBLHQ-NSHDSACASA-N 416.480 4.715 5 20 HJBD Cn1c(SCc2nccn2Cc2ccccc2)nc2cc3ccccc3cc2c1=O 31747236 UHMCWTXWMXLPTK-UHFFFAOYSA-N 412.518 4.624 5 20 HJBD C[C@@H](OC(=O)c1[nH]c2ccccc2c1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 46767474 HUJBITIUJPABTF-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50356573 YAFIPTXTGXCEGA-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 50383515 UXGFBWUEEHTETD-UHFFFAOYSA-N 411.483 4.756 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccccc2CN2CCCC[C@@H]2C)c([N+](=O)[O-])c1 71954931 WAJFDLGKRMPZSR-SFHVURJKSA-N 424.545 4.720 5 20 HJBD COc1ccc(CCC(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1F 247974123 CCORTXNLOUOUAA-UHFFFAOYSA-N 415.446 4.746 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)(c2cccc(OC)c2)CC1 410229055 MDKJVKRVFDYLPK-SFHVURJKSA-N 414.477 4.660 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CC[C@@H](Nc2ccccc2)C1 426463234 XLBWMTUBFNKBHT-HXUWFJFHSA-N 417.465 4.500 5 20 HJBD COC[C@H](Nc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-])c1ccc(C)o1 426897829 IXIGYEYVZDYGAB-IBGZPJMESA-N 409.442 4.857 5 20 HJBD CC[C@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])Oc1cccc(C(F)(F)F)c1 430496491 GTTOFFXGOMPIQZ-GFCCVEGCSA-N 416.783 4.854 5 20 HJBD O=C(N[C@@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435831500 RIFKLJFRHLKFIV-HXUWFJFHSA-N 404.416 4.767 5 20 HJBD O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436103341 RWQROEHCFNUFOZ-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD CC(C)[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 436106455 UFBUFYUTEOBYGX-FQEVSTJZSA-N 402.469 4.990 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@H](c2nc3c(s2)CCCC3)C1 436416129 YHJOAAFHBLOIDF-NSHDSACASA-N 412.437 4.728 5 20 HJBD COc1cc(-c2nc3cc(Br)ccc3n2C(C)C)cc([N+](=O)[O-])c1O 436655915 KNPHGRVVILIKLR-UHFFFAOYSA-N 406.236 4.669 5 20 HJBD O=C(CCCc1ccc(Br)s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437114373 DCNGNSFBRYAEHQ-UHFFFAOYSA-N 423.332 4.933 5 20 HJBD COc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c(OCc2ccccc2)c1 439884665 WCANAWDIWNQSBB-UHFFFAOYSA-N 417.421 4.916 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N(Cc1ccc(F)cc1)CC(F)(F)F 460467373 CZSZSDDZIZPXEK-UHFFFAOYSA-N 411.355 4.513 5 20 HJBD CCCN(C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2)[C@@H](C)c1cc2ccccc2o1 465078029 MJGLNNURRXYNMJ-HNNXBMFYSA-N 424.453 4.655 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1C1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466279505 SITYWHFWRUSJHH-QFBILLFUSA-N 418.902 4.697 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 471441193 DELUVBYDJLDBDB-SNVBAGLBSA-N 411.241 4.900 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 471505142 AGQWGBNTXDVXAH-NVXWUHKLSA-N 418.490 4.539 5 20 HJBD Cn1c(COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 472177907 JEMXBTCBTOEHAL-UHFFFAOYSA-N 412.755 4.642 5 20 HJBD COc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1Br 472763680 BCVMFPAYHBKDIC-UHFFFAOYSA-N 400.616 4.663 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)cc2C(F)(F)F)cccc1[N+](=O)[O-] 475055678 XBUNMMSNIIXSMO-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1nc2ccccc2o1 475810939 XMWYQFDESMHCLM-IBGZPJMESA-N 408.458 4.682 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(Cl)c3Br)n2)c1 479458767 XXFFZPHDOSFJMD-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(Br)c1 483055995 FXEUMAVYBMTOSW-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3SCCOC)sc2C1 483247948 TZFBQQIEPNNODK-LBPRGKRZSA-N 421.544 4.557 5 20 HJBD COC[C@@H](C)n1c(S[C@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484488586 LDVWBETXIUDLQU-HUUCEWRRSA-N 413.499 4.674 5 20 HJBD CC(C)(C)Oc1cc(N[C@H](CO)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 489008283 HUIVXTWBDRQQLT-OAHLLOKOSA-N 409.280 4.680 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492965147 YXHSQPZBMKPOQG-ZWKOTPCHSA-N 404.388 4.908 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 494079921 PMLBNOZTRYJBEE-AWEZNQCLSA-N 411.487 4.694 5 20 HJBD C[C@H](NC(=O)NCC1(N[C@@H](C)c2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1 502810299 LNFRGYQNNGORQU-ROUUACIJSA-N 410.518 4.619 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3ccc([N+](=O)[O-])c(C)c3)c(C)c2)s1 505876610 GCCARFBPRWBGQN-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc(F)c(F)c2F)cc1[N+](=O)[O-])c1ccccn1 506235503 NUAMHEUJYUGAPZ-NSHDSACASA-N 416.359 4.833 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(-c3cn4cccnc4n3)cc2)cc1[N+](=O)[O-] 509246287 JEABPHUFYPOBGK-UHFFFAOYSA-N 415.453 4.854 5 20 HJBD Cc1cc(Cc2noc(CCCc3ncc(-c4ccccc4)o3)n2)ccc1[N+](=O)[O-] 516823776 BURFOKVUJQXANR-UHFFFAOYSA-N 404.426 4.707 5 20 HJBD CCN(Cc1ccc(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)cc1)C(C)C 518372443 WOAYLWVYIYIFIT-UHFFFAOYSA-N 421.501 4.572 5 20 HJBD O=[N+]([O-])c1cc(I)cc(CN[C@H](c2cccs2)C2CC2)c1 519568485 NZQCKODHLLSKIN-HNNXBMFYSA-N 414.268 4.502 5 20 HJBD CC1(C(=O)N2CCN(Cc3ccc(-c4ccccc4[N+](=O)[O-])s3)CC2)CCCC1 521835841 XCNLVPQYJBDYEH-UHFFFAOYSA-N 413.543 4.548 5 20 HJBD CC(=O)N(c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1)c1cccc(C)c1C 533774838 DSSXSMWWFIHBEQ-UHFFFAOYSA-N 424.526 4.985 5 20 HJBD Cc1sc(NC(=O)c2cccc([C@@H]3CCOC3)c2)nc1-c1cccc([N+](=O)[O-])c1 534179142 QOKTVESODKZLHU-QGZVFWFLSA-N 409.467 4.783 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540192741 PTUFLNZDJNHMOK-QGZVFWFLSA-N 409.442 4.763 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1Br 543482030 QEZUHUDCPHAOGM-DZGCQCFKSA-N 410.243 4.532 5 20 HJBD CC(C)NC(=O)Nc1cccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)c1 546346214 LBTQEYACYOCOOX-UHFFFAOYSA-N 407.386 4.588 5 20 HJBD COc1c(-c2nc(C(C)(C)c3cccc(C(F)(F)F)c3)no2)cccc1[N+](=O)[O-] 551532505 VUPYPVCUXYZVTB-UHFFFAOYSA-N 407.348 4.998 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 557357720 YUSGTUJMKYQBAV-XQAUZQBESA-N 420.444 4.588 5 20 HJBD COc1cccc(C2(CN[C@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)CCCC2)c1 568910201 HRXMIWFXRFAFCB-JOCHJYFZSA-N 420.513 4.528 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccnc(C(F)(F)F)c4)no3)cs2)c1 571601069 LRVMJJCKEUQDBB-UHFFFAOYSA-N 419.344 4.849 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4ccccc4Cl)o3)n2)cc1 581182766 OHGZLYYDWWDPBA-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD CC(C)(c1nc(Cc2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 589075488 NFYBCEXXRITLQB-UHFFFAOYSA-N 407.348 4.635 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)N[C@@H](c1ccccc1)C1CC1 598931393 MOZNWKMCODTXJR-QFIPXVFZSA-N 408.479 4.970 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cc([N+](=O)[O-])c1 609107185 IUHWMLCTFHDYJH-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD COc1cc(NCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])cc(OC)c1 609634162 QCKYSINJSLUKMR-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD CC(C)(C)C[C@@H](O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609836471 CEUUCGDXTWJLIX-OAHLLOKOSA-N 406.866 4.567 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1csc(-c2ccc(Br)s2)n1 609966247 RKLMBTSJGUWUCH-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(c1csc(-c2cccc(C(F)(F)F)c2)n1)N1CCc2c1cccc2[N+](=O)[O-] 609971618 LPBOPWGTCSBCMV-UHFFFAOYSA-N 419.384 4.940 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCN(c2ccccc2Cl)C1 610178523 FOWQPFYRFXJLAJ-HUUCEWRRSA-N 419.934 4.514 5 20 HJBD Cc1cc(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)ccc1[N+](=O)[O-] 613778037 FURKQBUBNOQYMQ-UHFFFAOYSA-N 412.421 4.794 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(c3nc(-c4ccccc4)no3)CC2)cc1[N+](=O)[O-] 615194212 NMBXFXMMNDYFHD-UHFFFAOYSA-N 420.469 4.788 5 20 HJBD COc1ccc(-c2cc(CSCCC(=O)Nc3ccccc3[N+](=O)[O-])on2)cc1 619705251 YQJYUBACGOONOF-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727708870 NKECTZBBZFTRAU-UHFFFAOYSA-N 412.392 4.520 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1)c1ccc(F)cc1 728245669 MBUBZRXRCSMHOQ-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730644085 JBXIIXSMIZIAJN-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD CC[C@@H](CC(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(C)cc1 738690005 MLVMRNUWVBNKAV-AWEZNQCLSA-N 404.850 4.622 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccccc1OCC(F)(F)F 744497666 OPCNVRFHHGLFOR-GFCCVEGCSA-N 415.389 4.760 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(Cc2ccccc2)no1 744580573 LVLJRYVYBJDQGC-CQSZACIVSA-N 409.442 4.784 5 20 HJBD C/C(=C\C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748390670 LJWFXFWKOODYRM-XZHRJIJLSA-N 422.359 4.587 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748390672 LJWFXFWKOODYRM-YLGBQOPLSA-N 422.359 4.587 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc(Cl)c([N+](=O)[O-])c1 748659664 CPNLNKAAICZUHO-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD COc1ccc(-c2ccc(CNC(C)(C)c3ccc([N+](=O)[O-])cc3)o2)c([N+](=O)[O-])c1 751150902 AEOVYPWDCBIZPB-UHFFFAOYSA-N 411.414 4.797 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1Cc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 755004638 CQHCSIOLJXSNBK-XJKSGUPXSA-N 421.266 4.638 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 755025522 ZWSWFGRVCSUVET-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)C1CCN(C(=O)OC(C)(C)C)CC1 764431680 DRKFPEFGVWTJPF-OAHLLOKOSA-N 405.495 4.515 5 20 HJBD Cc1c(CC(=O)Oc2ccc([C@H](C)NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 767081180 WQPFDQWFYHOMGW-HNNXBMFYSA-N 414.458 4.637 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767195849 SHYNUTFAERCPBQ-CQSZACIVSA-N 410.417 4.722 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1cccc(N2CCOC2=O)c1 768096459 JNYPPARFSTWLRO-NVXWUHKLSA-N 415.515 4.773 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(OCc2ccccc2F)CC1 768843264 VHUOZDBJWSJFSY-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C(=O)Nc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 777073599 LTDINFPENAABEQ-HXUWFJFHSA-N 422.462 4.854 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2C(=O)O[C@@H](C)c2cc3ccccc3o2)ccc1[N+](=O)[O-] 786475588 UNYLRDUXNVUGRE-KXBFYZLASA-N 422.437 4.558 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc(Br)ncc1F 786926624 NDEDQGJBRHXKGQ-MRVPVSSYSA-N 422.176 4.761 5 20 HJBD COc1ccc(C[C@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 788504479 RDECVBKKCLHVOL-HJPURHCSSA-N 421.497 4.829 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1C[C@H]2CC[C@H]1C2 791119975 UPQJWAOMAPASKJ-JSGCOSHPSA-N 403.841 4.557 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2c(F)cccc2F)n1)c1ccccc1[N+](=O)[O-] 796441736 LBQSSQIYYRXXTL-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 800149579 UBIVJFMJPDICSZ-MRXNPFEDSA-N 417.437 4.637 5 20 HJBD CCNc1ccc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 807477713 WHYYHSRIMUQNEV-LLVKDONJSA-N 416.821 4.648 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NOCCC1CC1 817388486 XKNKYAWGUGZBAN-GHRIWEEISA-N 423.494 4.983 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 915181568 XBTGLSCJEBZTOS-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CC1CCN(C[C@@H](C)c2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1118358528 TXYPYQZUYZUDSB-ZIAGYGMSSA-N 408.886 4.607 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2ccccc2Br)c([N+](=O)[O-])c1 1123268876 VONZAVKRBGVWSL-INIZCTEOSA-N 420.263 4.735 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1nc(C(C)(C)C)cs1 1318303325 OYSWLZSURKGVDM-VIFPVBQESA-N 412.309 4.602 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc(C(C)(C)C)nn2)cc1[N+](=O)[O-])c1ccccn1 1318681314 HWSOUTSLVZEFOU-AWEZNQCLSA-N 420.473 4.503 5 20 HJBD CC(C)(C)c1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])nn1 1324777368 PHAVKFFSZANZKI-UHFFFAOYSA-N 406.442 4.514 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cc1 6027844 HHXPLQFXDSBPHK-LLVKDONJSA-N 401.469 4.526 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(SCc3ccccc3)s2)cc1[N+](=O)[O-] 14582378 YZPFKMMWYSVWFE-UHFFFAOYSA-N 418.525 4.713 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCN(Cc2nc3ccccc3s2)CC1 23823787 NPLCMDINPJXFBJ-UHFFFAOYSA-N 422.432 4.546 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 27161606 IAJJEHRXPIMEQJ-CQSZACIVSA-N 424.526 4.669 5 20 HJBD CCN(CC(=O)Nc1c(F)cccc1F)C(=O)c1cc2ccccc2c2cccnc12 42230530 BEJPKMLVDMKAMN-UHFFFAOYSA-N 419.431 4.767 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 42918327 RPBWOHMLXVPXIE-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H](C1CC1)N(Cc1ccccc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 58649572 BMEXHQMNRPWGAS-LJQANCHMSA-N 410.517 4.982 5 20 HJBD COc1ccc(Cl)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1 64832033 ZBVJJAXEHVDZNP-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD CC[C@@H](C)NC(=O)c1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 236898972 GGOCVNLVQPSCFN-OAHLLOKOSA-N 424.526 4.536 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1NC(C)=O 237246766 XUACMAIVFWYVJR-UHFFFAOYSA-N 411.483 4.580 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 303149659 FFRPWLLHHJRTMI-LBPRGKRZSA-N 404.291 4.871 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])cc1OC 409939018 QLMWRKWNPKQYIG-JTQLQIEISA-N 413.257 4.800 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1ccc(F)cc1 430153188 DQSNSPVVKXATHU-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD Cc1cc([C@@H]2CCCN2C(=O)CCc2cccc([N+](=O)[O-])c2)ccc1Br 431150490 LJXDXJVCWGRPTE-IBGZPJMESA-N 417.303 4.962 5 20 HJBD CC(C)(C(=O)NCc1cccc(COCc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 431996735 YKPWNIAHFROHCX-UHFFFAOYSA-N 418.493 4.906 5 20 HJBD CCCN(C(=O)c1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)C(C)C 433488242 UENYVGQVGNTCAV-UHFFFAOYSA-N 423.473 4.518 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)Nc4cc([N+](=O)[O-])ccc4O)cc3)o2)cc1 436851499 LUBMZZAZYGZUMA-UHFFFAOYSA-N 416.393 4.578 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(COCC(F)(F)F)c2)cc1OC(F)F 441968022 WPIKSUQJOLPLPH-UHFFFAOYSA-N 406.307 4.887 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C(F)(F)F)c1 443853180 MSGZDEZIXZEDEP-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD C[C@@H]1C[C@H]1[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 461414076 RGTJVWMPLONGHF-PLTJGGDTSA-N 411.845 4.629 5 20 HJBD CCSc1nnc(Sc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)s1 462424229 APKVOWMKGGWQLP-UHFFFAOYSA-N 406.514 4.684 5 20 HJBD O=C(NC1CCCC1)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463273405 KGNZFPGYIBIWLP-UHFFFAOYSA-N 421.375 4.538 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463717701 WKIABMUFZAAAMY-CQSZACIVSA-N 421.419 4.569 5 20 HJBD CCn1nccc1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464563280 HTNCEEKIUWQMAY-UHFFFAOYSA-N 402.863 4.868 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)NCc1ccc(Oc2ccccc2F)c(F)c1 465687821 LWCVDHYNFJXGLB-UHFFFAOYSA-N 413.380 4.665 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C(=O)N(C)c3ccccc3)c2)cc1[N+](=O)[O-] 471359289 ZCKCWOKNLCMDSI-UHFFFAOYSA-N 403.438 4.686 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1ccc(Cl)cc1[N+](=O)[O-] 475082485 JDOGNZQSSBZLJT-LBPRGKRZSA-N 414.676 4.564 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 479546174 QBFPURFPRPLANI-BDJLRTHQSA-N 402.800 4.960 5 20 HJBD Cc1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(F)c1 485314101 FAEPZTCVWXCVSH-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 485542940 KVLNWOKPRJTAQP-ZDUSSCGKSA-N 409.305 4.575 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2cc(C(=O)O)cc([N+](=O)[O-])c2)c1 485742827 KELUUOHYCJLJCJ-UHFFFAOYSA-N 414.361 4.508 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](C)N(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 486638753 MOXMLIUJQZAYIX-WIYYLYMNSA-N 407.514 4.524 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N2CCCC2)c1C 488640997 CIKFNWZLTZLWDT-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD O=C(NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 490391266 AKGPNHOJACMDBC-GDBMZVCRSA-N 407.392 4.755 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1Cl 493081064 PZZZRDJXZYWKOP-CYBMUJFWSA-N 418.881 4.579 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccs2)ns1 504563217 KEOBKSJLWSHCQJ-UHFFFAOYSA-N 406.514 4.929 5 20 HJBD CCc1cc(N2CCC(c3noc(C(C)C)n3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 505407216 BKCDUZQLARAADX-UHFFFAOYSA-N 422.489 4.505 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 511765467 RJIWBFVIGBBFKS-UHFFFAOYSA-N 420.469 4.641 5 20 HJBD CC(C)N1CCC[C@@H](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 518274184 MBJAVKYVRVAWER-SFHVURJKSA-N 401.482 4.736 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)CC1 520112340 ALSCAVOUHSNXPP-UHFFFAOYSA-N 416.481 4.745 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1cccc(OCc2cccc(C)c2)c1 520680830 YCSAJNMSEOOYJQ-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD CN(Cc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 522536363 MTEWTIZJSUSEIJ-IAGOWNOFSA-N 401.677 4.645 5 20 HJBD O=C(CCCNC(=O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522672384 JNFOUZQGGHEUNQ-UHFFFAOYSA-N 419.437 4.536 5 20 HJBD COc1ccc(COCc2cccc(NCc3ccc(OC)c([N+](=O)[O-])c3)c2)cc1 532889019 XWJZHOOUWLNDEN-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD O=C(C[C@H]1CCCCCN1C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccccc1 535704831 MAUKOFSWYOMZLW-QGZVFWFLSA-N 405.454 4.734 5 20 HJBD COc1ccccc1C1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 538192093 UEXUVAABGYUGAK-UHFFFAOYSA-N 400.500 4.566 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(OC[C@@H]3CCCCO3)CC2)s1 539825617 QZWSOWZHQHIOQV-SFHVURJKSA-N 416.543 4.873 5 20 HJBD C[C@H](N[C@H](C)c1nc(C(C)(C)C)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 543511205 GECGNGKPLUTJRS-NWDGAFQWSA-N 401.492 4.811 5 20 HJBD C[C@@H](c1nc(-c2ccc(C(=O)N3CCC[C@H]3C)cc2)no1)c1ccc([N+](=O)[O-])cc1F 547262779 JBAYDSPVPUZTML-ZIAGYGMSSA-N 424.432 4.560 5 20 HJBD O=C(CCc1cncc(F)c1)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 548615396 VXSDDOBORUYCAM-UHFFFAOYSA-N 403.460 4.913 5 20 HJBD Cn1ccnc1[C@H](NC1CCC(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 552068426 WXCSJBZPMJPYAA-INXVGGANSA-N 408.477 4.873 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OCC(F)F 559547678 QVHFQCOQPCTOOP-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@H](c4ccc(Cl)cc4)C3)o2)c1 569385315 LGRSUBNKKRARHE-FQEVSTJZSA-N 413.861 4.519 5 20 HJBD CCOC(=O)C(C)(C)c1csc(NC(=O)c2cc3ccccc3c3cccnc23)n1 572070543 BKXKCOTVUROJMM-UHFFFAOYSA-N 419.506 4.938 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(Br)c1Cl 581774751 MGDOCXRSIPWNNI-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n(-c2cccc(Cl)c2)n1 585716217 SEWVPSOOGWMCOG-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(F)c1 603531297 DSSNNMNYWLXKOM-UHFFFAOYSA-N 402.369 4.898 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1Cl 609504449 XBYPNNPELRWERM-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD CC[C@@H]1CN(C)CCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 619578216 CZSMBMFBMHQAFH-QGZVFWFLSA-N 417.893 4.597 5 20 HJBD C/C(=C\C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 727579837 KOIGKBIYIDSBRH-LMMOQWNQSA-N 423.252 4.875 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 727926071 BJEGQCOILPVDFF-DRZSPHRISA-N 423.252 4.576 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728082787 LSDIVEVHPPJFPG-HNNXBMFYSA-N 423.347 4.808 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1nc2ccccc2s1 734111156 QRHKBIHJPDHSKO-AWEZNQCLSA-N 410.499 4.686 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)c2ccccc2)c1 734407073 YZNHPKMCBZOMLM-JVTNTXMCSA-N 406.463 4.555 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 734909160 ILKKWEIXVHQEQK-KGLIPLIRSA-N 404.850 4.621 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 742338123 OOTLIHMYJNFBSY-OAHLLOKOSA-N 414.458 4.783 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Br)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 752984930 USMZSVCHVZJZLI-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c(-c2nc3ccccc3[nH]2)c1 763424639 WCXNOCASUVAJEQ-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 767177907 SICJQCYRGFWVAN-UHFFFAOYSA-N 410.257 4.850 5 20 HJBD CC(C)(C)OC(=O)NC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 768345455 UYXVWYBMAYHEGO-UHFFFAOYSA-N 417.531 4.812 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2nc(C3CC3)cs2)cc1[N+](=O)[O-])c1ccccn1 773958549 JQBPENCNSVWELK-ZDUSSCGKSA-N 424.482 4.854 5 20 HJBD CCN(C[C@H](O)c1ccc(C)cc1)C(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775538803 IKLLNTPFRNJTJY-IBGZPJMESA-N 402.516 4.599 5 20 HJBD C[C@@H](CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(=O)c1cccc(F)c1 776136547 VTVYOENJZAMRQX-WNSKOXEYSA-N 422.412 4.671 5 20 HJBD O=C(CSCc1ccc(F)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139372 UFTRZJMTCSNOSB-NRFANRHFSA-N 412.442 4.695 5 20 HJBD O=C(COc1ccc2c(c1)CCCC2)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776216810 DVRMBBXCJGIDCA-DEOSSOPVSA-N 418.449 4.580 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2NC(=O)OC(C)(C)C)c1[N+](=O)[O-] 776786753 YFDKTXSQGFUSNK-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD CC(C)Oc1ccc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c(Cl)c1 781760744 LUZWXCGYWDSNDB-UHFFFAOYSA-N 407.810 4.515 5 20 HJBD Cc1c(Cl)cccc1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 781923984 IXTYIYWZPPSISX-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nnc(-c2cccc(Cl)c2)o1)c1cccc([N+](=O)[O-])c1 784994673 WODUUKRVWJVZCN-NWDGAFQWSA-N 401.806 4.706 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSC[C@H]2CC3(CCC3)C(=O)O2)cc1OC 790572591 RNKCERGRWUQKHP-GOEBONIOSA-N 423.531 4.747 5 20 HJBD CC(C)C[C@@H](C)n1nccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 792802937 MEJZEDHMYLFWDE-SNVBAGLBSA-N 413.247 4.552 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(c2cccc(Br)c2)CC1 798795352 SXVWDYKEZYWGRA-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1OC(C)C 809912763 JMCUQQNXZVQAOQ-LBPRGKRZSA-N 407.854 4.927 5 20 HJBD CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 809921030 CDIJPLOCRSFLGC-RHSMWYFYSA-N 407.829 4.771 5 20 HJBD Cc1ccc(CN(C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)C2CC2)cc1 917170795 FWRBIZUTFVLARF-UHFFFAOYSA-N 413.524 4.796 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1coc(-c3ccc(Br)cc3)n1)C2 1318853463 MTGFYABECLLKCA-UHFFFAOYSA-N 400.232 4.528 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nc(C)cs2)cc1 1321889202 VCKDANJHSIJKKB-UHFFFAOYSA-N 400.485 4.805 5 20 HJBD Cc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Br)c2c1[C@@H](C)CC2=O 1323085950 RXJVXIVEIYPPCV-JTQLQIEISA-N 404.216 4.575 5 20 HJBD Cn1nc(-c2ccco2)cc1NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 1325156868 HWAWLYSGWOAREM-UHFFFAOYSA-N 420.450 4.992 5 20 HJBD C[C@@H](Oc1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2)cc1 5994319 IVUKWWGOUKFHSM-OAHLLOKOSA-N 410.401 4.719 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)c1 16224956 UFVLJDBPWOPILB-CYBMUJFWSA-N 413.524 4.946 5 20 HJBD COc1cc(C)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 54101355 NNXVQDJGLZOLPA-OAHLLOKOSA-N 406.442 4.732 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NCC(=O)Nc1cc([N+](=O)[O-])ccc1C 55860004 QDVKOBVLRATYIB-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 55867791 DMIABBMMLRHSFJ-MRXNPFEDSA-N 407.392 4.619 5 20 HJBD COc1ccc(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)cc1[N+](=O)[O-] 78660811 LOCQIGUMXICLNU-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1sccc1-c1ccccc1 108804221 VIFSSOAJLIAYLP-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C\c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 207063125 LQDRZLYADJOYJV-SKIIKRCTSA-N 422.462 4.901 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccc4c(c3)OCCO4)C3CC3)cs2)c1 238012957 FKFJIRIHWTWJJW-OAQYLSRUSA-N 423.494 4.730 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(NC(=O)NC2CC2)cc1 301349147 IEFFACKHTFRZKH-LLVKDONJSA-N 406.389 4.653 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2ccc([N+](=O)[O-])c(C)n2)cc1 301640655 GMODJJZBQGJKJU-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD Cc1nnc(Sc2ncc([N+](=O)[O-])cc2Br)n1[C@H]1CCC[C@H](C)C1 301845606 SVHRFPIAMKIWPQ-ONGXEEELSA-N 412.313 4.555 5 20 HJBD COc1cc(NCc2ccc(Cn3cnc4ccccc43)cc2)c(F)cc1[N+](=O)[O-] 301964977 NUIJJVLJRQEOJN-UHFFFAOYSA-N 406.417 4.753 5 20 HJBD Cc1nn(CCC(=O)N[C@H](CC(C)(C)C)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 303859685 LOPGJQJOIASJNZ-QGZVFWFLSA-N 406.914 4.745 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1ccc(Br)c(F)c1 431158133 HYPVOHOUTYMAAM-INIZCTEOSA-N 411.202 4.613 5 20 HJBD COCCOc1ccc([C@@H](C)N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168746 TZKGECXTLKTLLO-QRWLVFNGSA-N 414.502 4.702 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435934178 IDLQXNBPXPYKIA-XMMPIXPASA-N 405.457 4.596 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)c2C)c1 437073849 BHHJFHAJVHORLJ-UHFFFAOYSA-N 405.458 4.532 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCCC[C@@H]1/C=C\c1ccccc1 440878423 UAOANFKRSOSKHS-RXQFDZFGSA-N 417.465 4.947 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 443941876 AUISHMYOYVHLOX-UHFFFAOYSA-N 407.474 4.698 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1 443948636 NPCMOSUECDLWLE-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CCOc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1Cl 445193272 NMFXWLSSZARYEJ-UHFFFAOYSA-N 405.657 4.723 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc([S@@](C)=O)cc1 447691961 XJMAQAGHBKCWNI-HYKORDCSSA-N 423.363 4.554 5 20 HJBD CC[C@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])Cc1cccc(Br)c1 460147643 JDLOKFUCFLYXBL-AWEZNQCLSA-N 405.292 4.664 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2c3ccccc3CCC[C@H]2C)cc1SC 462579422 PGNORRGSFIBRFU-XCLFUZPHSA-N 400.500 4.769 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1 463973229 XSBRMYWMIPUWPM-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD C[C@H](Oc1cccnc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 464188793 QMFIRABBJXXCPA-LBPRGKRZSA-N 401.469 4.609 5 20 HJBD COc1cc(CN[C@@H]2CCCc3sccc32)c([N+](=O)[O-])cc1OCC(F)(F)F 466456218 QNYHOTPMHOYHLV-CYBMUJFWSA-N 416.421 4.773 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(OCC(F)F)c(C(F)(F)F)c1 470218181 CEZJXQXJWFFDAS-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD O=C(CCSCc1ccccc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 470826434 XFQNJYWCHIVCCG-UHFFFAOYSA-N 412.433 4.809 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(NC(=O)c2ccccc2)ccc1C 470835169 YYCLSCIMKAZAOE-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(c3ccccc3)C3Cc4ccccc4C3)o2)cc1 470967187 MAIFWEOALMFZFF-UHFFFAOYSA-N 412.449 4.819 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)c(C(F)(F)F)o1 472532380 IMJXTLBZQMKSHQ-UHFFFAOYSA-N 418.327 4.998 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCCC[C@H]1c1nc2ccccc2o1 475218300 GECLZVJTRGSHTO-SFHVURJKSA-N 406.442 4.678 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CSc1nnc(-c2ccccc2Cl)n1C1CCCC1 476520906 WMQUQJGWEZEZPL-UHFFFAOYSA-N 418.910 4.648 5 20 HJBD CCNC(=O)c1ccc(CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 480611793 OZMQLFXXJYSGHI-OAHLLOKOSA-N 409.511 4.924 5 20 HJBD CCc1c(NC(=O)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccc(C(F)(F)F)cc1 481857769 JVJBIJPOZHNDGJ-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](c3ccccc3F)C2)cc1SC 482892542 BFGQSGNXBGSPHD-STQMWFEESA-N 404.463 4.531 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1csc(-c2ccccc2F)n1 485329662 GUOPDTNVSDPOIL-CYBMUJFWSA-N 420.487 4.842 5 20 HJBD CCCC1CCC(N(C)C(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])CC1 487040067 UQMGMZICRMOGIE-UHFFFAOYSA-N 400.422 4.636 5 20 HJBD CC(C)(C)OC(=O)c1cccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 487187063 LKSAEDYXANARKV-UHFFFAOYSA-N 410.392 4.859 5 20 HJBD Nc1c(C(=O)Nc2ccc(F)cc2-c2nc3ccccc3s2)cccc1[N+](=O)[O-] 487255867 BCXCNEDKUXKFLZ-UHFFFAOYSA-N 408.414 4.845 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCC2(CCOCC2)C1 488536397 NNOVADFQEKNWCS-UHFFFAOYSA-N 411.458 4.812 5 20 HJBD CCc1cc(=NC(=O)c2scc(C)c2Br)c2cc([N+](=O)[O-])ccc2[nH]1 489721191 VUWVSHHJKHQWKP-UHFFFAOYSA-N 420.288 4.512 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 490154198 CQBPHOCGBBPZMS-UHFFFAOYSA-N 414.849 4.580 5 20 HJBD CCN(Cc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])[C@H](C)c1cccc(O)c1 491239469 LLTQFLVLOUUAOC-CYBMUJFWSA-N 410.417 4.536 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCOC2)c1[N+](=O)[O-] 494521484 DEBHGMNTJDCXJT-LBPRGKRZSA-N 422.890 4.638 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 499657681 KHHJACQHBJGMKJ-UHFFFAOYSA-N 402.410 4.611 5 20 HJBD Cc1cc(NC(=O)c2ccc(CCC(F)(F)F)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 502994122 AECUASWMXRUFJX-UHFFFAOYSA-N 418.375 4.836 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccc(Cl)cc1OCC(F)(F)F 504106296 ZZEUVHWETBMJOF-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)CC1 506398121 PTHSWGOBEQMNTH-UHFFFAOYSA-N 405.454 4.540 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1 508283977 DDUMGWHZANWCMK-KBPBESRZSA-N 415.877 4.904 5 20 HJBD CC(C)[C@@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1nncn1C 512492115 NVSLRNPQDYTOMY-LJQANCHMSA-N 415.881 4.656 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 513020061 LBILSLWHUDKWTI-UHFFFAOYSA-N 409.364 4.663 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1ccc(OC(F)F)c(Cl)c1 513812370 JUPHYIPMDYLEHG-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD O=C(N[C@H]1CCC[C@H]1c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 518955129 PAWYLVLMOWZSOL-RDJZCZTQSA-N 408.376 4.602 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)cc1[N+](=O)[O-] 519932059 JKUBCFSLLCFLHZ-IBGZPJMESA-N 408.458 4.872 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 519978911 VYCAMNQIGKYXTF-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD Cc1c(NC(=O)[C@@H](C)NCC2(c3cccs3)CCCCC2)cccc1[N+](=O)[O-] 523519629 UXSZODWQXJMUPY-MRXNPFEDSA-N 401.532 4.783 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccc2c(c1)CCO2)C1CCCC1 524121904 PTQCRJLQTFGPNN-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD COc1cc(CNC2(c3ccc(F)cc3)CCCC2)c([N+](=O)[O-])cc1OC(F)F 533051392 KQZDQQHYESVWNI-UHFFFAOYSA-N 410.392 4.903 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2ccccc2F)CC1 533345695 SLXOBBFHNWVWJK-OAHLLOKOSA-N 410.449 4.800 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1ccc(Cl)cc1[N+](=O)[O-] 537383209 KPXBZPUJHDBKDH-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 539489604 PJCUSUZPDGNRKF-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 542559578 DQNIKHGZFADJCG-QFBILLFUSA-N 418.490 4.885 5 20 HJBD O=C(CCc1cc(F)ccc1Br)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 543152933 QKWQAKTWOYHVCZ-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 543508033 QJHJSRWXPTXYDC-LBPRGKRZSA-N 410.405 4.712 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc(-c2cc(Br)c(C)c([N+](=O)[O-])c2)n1 545802820 BWEYYZYDXQKSSV-HNNXBMFYSA-N 422.210 4.591 5 20 HJBD Cc1nc(-c2cccc(N[C@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)c2)oc1C 552070763 CUHRPVBPMWJORY-HXUWFJFHSA-N 403.442 4.802 5 20 HJBD CC(C)(c1noc(COc2ccccc2[N+](=O)[O-])n1)c1ccccc1Br 555014320 CICHHOHKCNFLSG-UHFFFAOYSA-N 418.247 4.645 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555134625 AAKCEANUBWPRIW-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 557512213 SKEOYOUSUQLFKN-BDTNDASRSA-N 419.525 4.606 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1cc([N+](=O)[O-])ccc1C 577859049 QTFNYNBPRSLWIY-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(CC(Cc3ccccc3)Cc3ccccc3)CC2)n1 580746579 RUGQQLOZLCDKBH-UHFFFAOYSA-N 404.514 4.530 5 20 HJBD Cc1cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(Cl)cc1F 581512831 QXKHAOMHHWEUOT-UHFFFAOYSA-N 406.719 4.889 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@@H]4CCN(c5ccccc5Cl)C4)n3)c2c1 582588426 OAQAZXSAXZUASN-CYBMUJFWSA-N 423.860 4.697 5 20 HJBD CN(C(=O)C1(c2cccc(F)c2)CCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 582732909 SOBITYYUGFKUGQ-UHFFFAOYSA-N 411.458 4.942 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3ccc(F)cc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 603524668 BSIBAYOQGOUBBM-UHFFFAOYSA-N 424.476 4.646 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3sc(C)nc3C)cs2)c1 603769923 FBRGQFAGKFTNNI-UHFFFAOYSA-N 406.514 4.766 5 20 HJBD C[C@@H]1Cc2ccccc2N1C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 603920597 ZVXYLALRLFASFX-OAHLLOKOSA-N 421.522 4.865 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548887 HBXZBYQGLBJDNM-UHFFFAOYSA-N 406.423 4.588 5 20 HJBD Cc1cccc(CN(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609854895 PMCGEJMFPPPMBE-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD CC(C)CN(C(=O)[C@H](C)OCC1CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400882 ZWHTWQNRMKRWJU-AWEZNQCLSA-N 403.504 4.522 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC[C@H](CCO)C1 613517149 XOORXOMKVNHUPZ-CQSZACIVSA-N 420.918 4.634 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725834327 GIRDUTUVYPZOOV-UHFFFAOYSA-N 416.886 4.736 5 20 HJBD C[C@@H](Oc1ccc(F)c(F)c1)C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166013 UMNHFPMIYZSZGK-LLVKDONJSA-N 410.804 4.737 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 729400999 ANWIRRXJQRPYSO-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCCc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 731139449 QYGDKPLMBLKINS-HNNXBMFYSA-N 409.417 4.702 5 20 HJBD Nc1ccc(C(=O)Nc2cccc(Oc3ccnc4ccccc34)c2)cc1[N+](=O)[O-] 734451670 AQPPNDXRQGFDKW-UHFFFAOYSA-N 400.394 4.770 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567595 NAJWEXNRQXXJAZ-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD CN(C(=O)c1ccccc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1 746059267 SCYSJPHPOUOOIE-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD C[C@H](OC(=O)c1csc(COc2ccc(F)cc2)n1)c1ccccc1[N+](=O)[O-] 749056303 NVNLQMQPMTUDSY-LBPRGKRZSA-N 402.403 4.687 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1cc([N+](=O)[O-])ccc1Br 751198844 YVPKMQJLYUNMRO-INIZCTEOSA-N 407.264 4.637 5 20 HJBD COc1ccc(-c2nc(COC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cs2)cc1 754324063 DLCQQZQHFZKHFV-GFCCVEGCSA-N 416.430 4.713 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc(F)cc2[N+](=O)[O-])c2ccccc2)cc1 758117182 ZLAHPNDQFKBRGH-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD C[C@H](NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1cccc(OCc2ccccc2)c1 765045722 BQRADWSRZYTBGV-AWEZNQCLSA-N 412.392 4.943 5 20 HJBD Cc1cn(-c2ccccc2)nc1C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 769911923 OZJPDACSKQSYHI-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1ccc(OCCC(F)(F)F)cc1 774563602 JEAKFXLMIVFYOY-UHFFFAOYSA-N 422.359 4.693 5 20 HJBD Cc1cnc(COC(=O)c2nc(-c3ccccc3)n3c2CCCCC3)c(C)c1[N+](=O)[O-] 789445872 WUQIHFAMWSOPJK-UHFFFAOYSA-N 420.469 4.554 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccc(N2CCCCCC2)cc1 792705046 RYJXULQRZOKOQZ-UHFFFAOYSA-N 420.469 4.541 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 801092718 WFSGBDLKTQDLRU-MUJYYYPQSA-N 415.287 4.908 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 801464215 RJELLXKGOBKTBE-AWEZNQCLSA-N 419.553 4.894 5 20 HJBD CCCCOC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 814696538 PSBMBOHKLBPARV-UHFFFAOYSA-N 410.348 4.823 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCCC2=O)cc1 815119219 QXTDSXWWZRQWOY-AWEZNQCLSA-N 421.419 4.981 5 20 HJBD O=C(Nc1ccc(OC(F)F)cn1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 817064953 ZQXVRDKIPNPTRZ-UHFFFAOYSA-N 409.414 4.878 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(COc2ccccc2)cs1 916553408 MICDMJOOCZDNLB-CYBMUJFWSA-N 415.496 4.750 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 916653670 RNTPSDVMCVOFSO-CYBMUJFWSA-N 400.696 4.850 5 20 HJBD Cc1sc(NC(=O)C(F)(F)c2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 920844037 NZSXEAJMJWDXIM-UHFFFAOYSA-N 407.373 4.896 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H]3CCCC[C@H]3C2)cc1[N+](=O)[O-])c1ccccn1 1317950117 FXCSFYMCTYEKJJ-LNLFQRSKSA-N 408.502 4.815 5 20 HJBD C[C@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 7375217 WUCXCMDKYWAVJO-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD CC(C)COc1cccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 7545176 SODYFEMSTDUVND-CQSZACIVSA-N 411.414 4.598 5 20 HJBD C[C@@H](Sc1nc(/C=C/c2ccccc2)n[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12836836 IFPPYKUVXDENBT-BWODNOAJSA-N 420.454 4.787 5 20 HJBD C[C@@H](OC(=O)c1occc1-c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 16537450 XXLIMXYRALSBFS-GFCCVEGCSA-N 414.801 4.692 5 20 HJBD Cc1ccc([C@H](NC(=O)COc2ccc(Cl)cc2[N+](=O)[O-])c2cccs2)cc1 17724592 GRUIKVUDVRUSNM-FQEVSTJZSA-N 416.886 4.903 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)Cc3ccc(C(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 18093728 OSUHAXGBRRTXNI-UHFFFAOYSA-N 420.513 4.852 5 20 HJBD CN(C(=O)c1cc(-c2ccco2)n(-c2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])cc1 58582049 YQUCKSSLICSURX-UHFFFAOYSA-N 422.828 4.971 5 20 HJBD Cc1ncsc1CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 237664745 NXKCVPGEVIHBIR-UHFFFAOYSA-N 405.483 4.502 5 20 HJBD Cc1nc(C)c(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)s1 237953908 NEUQXTWRUADDJO-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD CCOc1cccc(N2CCC(C(=O)Nc3ccc(F)c(Cl)c3)CC2)c1[N+](=O)[O-] 302209940 GDTINORNNNOSQP-UHFFFAOYSA-N 421.856 4.641 5 20 HJBD Cc1nc(N[C@H](Cc2ccccn2)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 302709641 WTGOKFPGXBOZRU-QGZVFWFLSA-N 413.275 4.852 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)Cc1cccc(Cl)c1 426697398 WSYLCAYKOGONIA-OAHLLOKOSA-N 414.845 4.541 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H](N(C)C)CC3)cc2[N+](=O)[O-])cc1 430404581 ROHOAISLNNBIEA-SFHVURJKSA-N 413.543 4.611 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)N1C(=O)[C@@H](c2ccc(Cl)c(Cl)c2)N(C)C1=O 430876052 PTORBFHRSBWRHU-MGPLVRAMSA-N 408.241 4.598 5 20 HJBD COc1ccccc1[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccco1 438034499 AZCBYSJYIMKGKS-QFIPXVFZSA-N 421.453 4.660 5 20 HJBD Cc1c(CC(=O)NCc2ccc(-c3nc4ccccc4s3)o2)cccc1[N+](=O)[O-] 438901995 KWHISRASJPAQOM-UHFFFAOYSA-N 407.451 4.632 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)C1(c2cc(C(F)(F)F)ccc2Cl)CC1 440733397 JRJLVUPGSAEKJN-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD O=C(Cc1noc(/C=C/c2ccccc2[N+](=O)[O-])n1)Nc1cc(Cl)ccc1Cl 445334153 WJRJWSVNXQOTFG-VMPITWQZSA-N 419.224 4.636 5 20 HJBD O=C(Nc1cccc(-c2cccs2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 446813499 GQXWLDPUZFXREL-UHFFFAOYSA-N 401.831 4.554 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2Nc2cccc(-c3ncc4n3CCCC4)c2)n1 447566958 XYZIXKXAGVIATP-PMACEKPBSA-N 406.490 4.587 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N(C)[C@H](C)c1sc(C(C)C)nc1C 460219222 CCGVKAXEPHWAEB-CQSZACIVSA-N 414.535 4.959 5 20 HJBD Cc1nn(C)c2cc(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc12 462366592 VBFQFNPOSUMXEN-UHFFFAOYSA-N 424.404 4.540 5 20 HJBD Cc1cnc(Sc2nnc([C@H](C)N3CCCCC3)n2-c2ccccc2)c([N+](=O)[O-])c1 463055263 NTIIMBKUSFQPJV-INIZCTEOSA-N 424.530 4.577 5 20 HJBD CC(C)(C(=O)Nc1ccc([N+](=O)[O-])cc1)c1csc(-c2cc(F)ccc2F)n1 463282640 LXEWAHDJAZMFHC-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD CO[C@@H](CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1cccc(Cl)c1 466348211 WJUYDUUOAKZVDE-IBGZPJMESA-N 405.882 4.556 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cc(F)cc(Br)c1 466445010 DNBOKLWTVWRFMT-INIZCTEOSA-N 408.227 4.865 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCc2ccccn2)c(F)c1 472197454 ZIOJAWSEWKCIGR-UHFFFAOYSA-N 424.432 4.539 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)c1 472272148 CXTPAFCILFZSKG-IBGZPJMESA-N 413.886 4.885 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@@H](c1ccc(C)cc1)c1cccnc1 475906801 RDNNVAHDDLAMQD-NRFANRHFSA-N 405.454 4.559 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 478277295 HLAUYCCSPYQYSG-WBMJQRKESA-N 405.545 4.630 5 20 HJBD Cc1csc(-c2ccc(Nc3ccc(C(=O)c4nccn4C)cc3[N+](=O)[O-])cc2)n1 480523637 XVSXARUVLUFOME-UHFFFAOYSA-N 419.466 4.735 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3cccc(Cl)c3s2)cc1 481783220 SVTGDOLTQPFOHI-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD CC1(C)C[C@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccc(F)cc2O1 481840577 WGBUKIIAEGTXLO-SFHVURJKSA-N 413.449 4.684 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1 481898522 ADBKQODIFLROIV-UHFFFAOYSA-N 421.841 4.570 5 20 HJBD CCOc1c(OC)cc(CNc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc1OC 484797773 YGWFPTIRYLZKKI-UHFFFAOYSA-N 404.463 4.800 5 20 HJBD CC(C)(C)Cc1csc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])n1 486123195 DQPLIYYJZADFTA-UHFFFAOYSA-N 404.311 4.716 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486748089 MJRMJFJPKRZIBL-UHFFFAOYSA-N 419.359 4.518 5 20 HJBD CC(C)CO[C@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 488133950 YYLABVRKMHKQAX-SFHVURJKSA-N 400.500 4.633 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccc(Oc3cccnc3)c(F)c2)cc1[N+](=O)[O-] 489442507 WCOSXANMOIOWNT-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1 494209542 SIHJQUDATRFYBA-INIZCTEOSA-N 404.850 4.757 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCSc1ncnc2sc(C)c(C)c12 506116205 RSDNTMUJQCQTIR-UHFFFAOYSA-N 416.528 4.954 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C[C@H]1CCOC1)c1ccccc1 511374168 WJJCMNKAGNAWJS-DENIHFKCSA-N 411.502 4.683 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)cc1[N+](=O)[O-] 520080797 YJSBBFXMHAEXAR-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@@H]2CCc3c(O)cccc32)cc1 523581625 YHYKETOHJCHILG-HXUWFJFHSA-N 420.421 4.519 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)Nc1ccnn1C1CCCC1 531251748 JAIDACHMTIYSLP-UHFFFAOYSA-N 412.471 4.652 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(-c2cnc(C3CC3)o2)cc1 533885894 IPNTUZIGRFYONQ-UHFFFAOYSA-N 402.410 4.790 5 20 HJBD CCCn1c(Sc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)nnc1-c1ccncc1 535234520 RFWIPRAFMNBLSG-UHFFFAOYSA-N 409.393 4.828 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])CC1 539019827 CDKAASHVEBMKJU-UHFFFAOYSA-N 424.526 4.706 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 539354858 OXMJCHCNEYLRFX-QGZVFWFLSA-N 402.472 4.515 5 20 HJBD C[S@@](=O)Cc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Cl 542184136 LMYAZNXSSGSYJW-HHHXNRCGSA-N 421.931 4.862 5 20 HJBD COc1ccc(COc2ccc(-c3noc(-c4cc([N+](=O)[O-])ccc4C)n3)cn2)cc1 544382265 FMQZDKOCTVNKES-UHFFFAOYSA-N 418.409 4.603 5 20 HJBD COc1cc(Cc2noc(/C=C/c3cc(Br)cs3)n2)ccc1[N+](=O)[O-] 545046857 LCFHVVMURNOIJX-HWKANZROSA-N 422.260 4.572 5 20 HJBD CSCc1ccccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 546141353 PFHSFTNKZSJWHF-UHFFFAOYSA-N 401.488 4.533 5 20 HJBD COc1cc(C(=O)Nc2ccc3c(Cl)cccc3n2)c([N+](=O)[O-])cc1OC(F)F 563316920 MKCUGTLQKIFOMB-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD O=C(Nc1cccc(Oc2ccccc2)c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 564415252 REWUEAGLBLFIQH-UHFFFAOYSA-N 405.410 4.814 5 20 HJBD COc1cc(CN[C@H]2CCCc3ccc(C)cc32)c([N+](=O)[O-])cc1OCC(F)F 571365406 LZWWLNFRTFQJQA-KRWDZBQOSA-N 406.429 4.723 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 576620147 OBIDPBDWYPCRLP-XSLBHYSZSA-N 410.405 4.559 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2nc3ccccc3n2C)cc1)c1cccc([N+](=O)[O-])c1 577452742 CTYRWGRLTXCHJT-HNNXBMFYSA-N 400.438 4.891 5 20 HJBD Cc1nc([N+](=O)[O-])cn1Cc1nc(C2(c3cc(Cl)ccc3Cl)CCCC2)no1 580860181 RNDVZGMDDHSACJ-UHFFFAOYSA-N 422.272 4.698 5 20 HJBD O=C(NC/C=C/c1cccnc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 590564651 AMSXKSUPKGQACZ-DUXPYHPUSA-N 409.829 4.879 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](c2ccc(CC(C)C)cc2)C(C)C)cccc1[N+](=O)[O-] 603705705 GRTRDZUZGRWJGJ-OAQYLSRUSA-N 411.502 4.554 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n(-c2ccc(C)cc2)n1 603760342 KSLZSQABKJJIGE-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD Cc1cc(NC(=O)CCCOc2ccccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 608962646 QEYAMBUQCGLSLK-UHFFFAOYSA-N 414.849 4.540 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609151489 SUBZEMYPKYAPPQ-UHFFFAOYSA-N 402.863 4.555 5 20 HJBD Cc1csc(C(C)(C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 609699693 XHPMEDWXDQBPBQ-UHFFFAOYSA-N 419.450 4.522 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609700102 AYSSPRAXPWANLI-KSSFIOAISA-N 420.918 4.641 5 20 HJBD CSc1ncc(Cl)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 609762853 YLZMJTAWUZBQST-UHFFFAOYSA-N 416.846 4.805 5 20 HJBD CC1CCN([C@@H](CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c2cccs2)CC1 610036790 NTBZYQGTCGLGMY-IBGZPJMESA-N 415.559 4.523 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCSc2ccc(Cl)cc21 610038914 AOOFWECTOFULLP-UHFFFAOYSA-N 413.680 4.763 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1CCc1ccccc1 610061375 GZOVMOZLPKHHIZ-OAHLLOKOSA-N 403.276 4.595 5 20 HJBD CCc1ccccc1CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 610118061 NUAAHCFKQFMBLN-INIZCTEOSA-N 404.470 4.655 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 611271482 CANWYOAOJCJHGV-JOCHJYFZSA-N 401.551 4.721 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H](O)c3ccc4ccccc4c3)o2)c([N+](=O)[O-])c1 731452607 FYZOKUUQTUGLLR-HSZRJFAPSA-N 418.449 4.840 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 731600473 BGBJXFKPHPNTDI-UHFFFAOYSA-N 424.453 4.534 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2ccc(F)cc2C(F)(F)F)cc1[N+](=O)[O-] 758510522 VZMKSGPHDWACFJ-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD C[C@H](C(=O)Nc1ccccc1C(=O)N(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 761596419 WKEBAUZERDDHOO-INIZCTEOSA-N 409.486 4.742 5 20 HJBD O=C(O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1F 761662482 JHFDDJMMFRVRHP-UHFFFAOYSA-N 404.419 4.719 5 20 HJBD C[C@H](C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 761679864 QIZHUUUPQCFMKA-ZDUSSCGKSA-N 405.907 4.544 5 20 HJBD Cc1ccccc1[C@@H](O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762435498 DKFJIZIBXHLFJI-FQEVSTJZSA-N 408.479 4.518 5 20 HJBD O=C(OCc1csc(C2CCCCC2)n1)c1cc([N+](=O)[O-])ccc1SCCO 763744448 MFLCVBYTFDKGBA-UHFFFAOYSA-N 422.528 4.540 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764148297 AYQGGAWOEZXSQG-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 768593977 ZCMOCHYRJOYECQ-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD O=C(Nc1cc(-c2ccc(Br)o2)no1)c1cc([N+](=O)[O-])ccc1Cl 769449830 JLAFEJUNAMKBKM-UHFFFAOYSA-N 412.583 4.511 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)c(F)c1 769711541 QZDMTACSSCHDBT-HUUCEWRRSA-N 415.465 4.525 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Cl)co2)cc1 773103882 HTFQBRXDGDDWTB-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@H](c2ccc(Br)cc2)C2CCC2)c1 775202628 IBYSHVDDUBTLSC-MOPGFXCFSA-N 405.292 4.522 5 20 HJBD CC(C)CN(C(=O)C1SCCS1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495568 LFZZBJQUFSPPOU-UHFFFAOYSA-N 409.558 4.513 5 20 HJBD Cc1cc(Cl)ccc1OCC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131218 DRVVBVLVMYWGGP-NRFANRHFSA-N 412.829 4.663 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2cccc(F)c2)no1 776134005 JZNLLPKGWYTJLU-NRFANRHFSA-N 419.368 4.730 5 20 HJBD COc1cc([C@@H](C)NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 776605520 LSYHMRYHFBNTSX-VGOFRKELSA-N 422.481 4.567 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3c(Cl)cccc3Cl)no2)C1 781610782 ZKUMUWQTTQTVED-CQSZACIVSA-N 405.197 4.817 5 20 HJBD CC(C)(C)OC(=O)NCCc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 783609523 XBRMVYYPKRAEFP-UHFFFAOYSA-N 419.909 4.605 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC[C@H](c2cc3ccccc3[nH]2)C1 791128534 QYOSROXKJRGRMK-AWEZNQCLSA-N 415.852 4.817 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(C(F)(F)F)cc1 798784802 GPQPVULISVOPID-LLVKDONJSA-N 421.331 4.511 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1nc(-c2ccccn2)cs1 800342624 RUSUQZXFJWKERA-UHFFFAOYSA-N 415.496 4.971 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(Cl)c(O)cc2F)CC1 805259242 QDDVAEZIUSRYBH-UHFFFAOYSA-N 407.829 4.582 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@@H](c2cccc(Cl)c2)C1 813519936 HXTRNWGSCYNKDC-OAHLLOKOSA-N 415.660 4.769 5 20 HJBD CC(C)c1ccc(C2(O)CCC(NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)CC2)cc1 816592202 BRJWWPBXRXKUAH-UHFFFAOYSA-N 408.502 4.515 5 20 HJBD Cc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)oc2ccc(Br)cc12 825487970 MFGRKLNKGIFOFP-UHFFFAOYSA-N 411.158 4.942 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)c3cccc(Br)c3)sc2c1 913115118 RMWVCKODCDLZDG-ZDUSSCGKSA-N 411.302 4.793 5 20 HJBD COc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)ccc1OC1CCCC1 917069647 WSLINKYIPIKNHO-UHFFFAOYSA-N 418.877 4.850 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 919342879 HRTRBUNZTIDWMW-OAHLLOKOSA-N 413.861 4.898 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 919515905 GHMZGZKPLCWJKT-ZIAGYGMSSA-N 409.442 4.774 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 920468443 VYYGPBNPUBOWJP-QGZVFWFLSA-N 419.525 4.765 5 20 HJBD COc1cc(-c2nnc(C(C)(C)c3ccccc3)o2)c([N+](=O)[O-])cc1OC(F)F 1117218082 ZGCONNRGNORACI-UHFFFAOYSA-N 405.357 4.581 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(-c3cc(-c4ccccc4)on3)o2)cc1SC 1257886516 SBTQSPDLFDYLLI-UHFFFAOYSA-N 410.411 4.697 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2 1318000430 GIMZDYKQWHKKDB-UHFFFAOYSA-N 424.409 4.597 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cs1 1318733080 YBNXYWSFIVVQCX-UHFFFAOYSA-N 411.508 4.808 5 20 HJBD CCOc1ccc([C@H](NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c2ccccc2)cc1 1330235144 UXUVPAXDDGOJMI-HSZRJFAPSA-N 417.465 4.808 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(-c3cnc4c(c3)c(C3CC3)nn4-c3ccccc3)n2)c1 1337884600 RIJULKCDFFFMMU-UHFFFAOYSA-N 424.420 4.923 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cn3ccsc3n2)cc1 8280293 PFWQOBNPEKUDBT-UHFFFAOYSA-N 410.480 4.702 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 11672009 YOEATZDSYWNHFP-PCYYEKQGSA-N 405.819 4.677 5 20 HJBD Cc1cc(C)cc(-n2ccnc2SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 22132991 JOACXZZGRWBCON-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CC(C)CCC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 23949819 FHNHHZRAKAPETA-IBGZPJMESA-N 404.850 4.907 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])n1 31990335 XIYGDRYSNHJQEJ-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1OC 47159657 GPEQXWMGTJNZMM-MRXNPFEDSA-N 415.490 4.685 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 50297649 ZFINGYYSMGJDHS-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 55381227 CBLUZJMSYAYXGY-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCCc2cc(Br)ccc21 59813591 CHJJMVOGXGVBJW-UHFFFAOYSA-N 416.275 4.525 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(CN2CCCCC2)c1 64724312 CGQJDEYRYOXXBM-OAHLLOKOSA-N 417.893 4.640 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C[C@H](c2ccccc2)O1 109057158 XLSBUZVTJVISNE-UZUQRXQVSA-N 418.449 4.989 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1 109334147 OFAMLPKSGBEOSR-UHFFFAOYSA-N 415.515 4.968 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N1CCCC[C@H]1c1cc2ccccc2[nH]1 303349412 DTZUBTZAGSIITP-KRWDZBQOSA-N 419.890 4.645 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC(Cn4cncn4)CC3)cc2[N+](=O)[O-])cc1 428474490 KPCFHOJHDQFWEN-UHFFFAOYSA-N 423.542 4.558 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(OCc3cscn3)c2)cc1[N+](=O)[O-] 429060354 OWFZOLCCLYIIJB-AWEZNQCLSA-N 412.471 4.821 5 20 HJBD O=C(c1ccc(F)cc1C(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 429549544 UEVLYDBXPLBSSA-MRXNPFEDSA-N 400.353 4.641 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 430661176 AAAAQXNAZKSCRC-IVCQMTBJSA-N 414.480 4.734 5 20 HJBD O=C1NCCN1c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 432418504 SCJLHLLNKQUVQD-UHFFFAOYSA-N 412.833 4.650 5 20 HJBD C[C@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 437627345 TVHBXLMGHGEKIC-VIFPVBQESA-N 420.290 4.621 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccncc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443807375 WBTIGTCWEXVKFU-SFHVURJKSA-N 401.344 4.528 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444232296 PKBPKOUVGUEALM-UHFFFAOYSA-N 423.476 4.773 5 20 HJBD O=C(c1ccc(Oc2cccnc2)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460366967 UNJDZTROWFTJLQ-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD COc1ccc([N+](=O)[O-])c(N2CCC(C(=O)Nc3ccc(Cl)c(Cl)c3)CC2)c1 462880072 AHLBZRZTRXMQPQ-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463883807 PDGPPYFHQCMXTI-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(Oc2ccccc2Cl)CC1 463957535 ILPZNOBQBSCJFW-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2cc(Cl)cc(Cl)c2OC(F)F)c1 465045990 HVTKEILFVBGOGB-UHFFFAOYSA-N 405.184 4.741 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3cccc([N+](=O)[O-])c3N)s2)cc1 468742872 FQNBXWLSKXYHIC-CQSZACIVSA-N 410.499 4.751 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 469493983 MWZKFDGPHROKJV-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD C[C@H](CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(F)(F)F 479390694 ZHJPFNFRWNYLLR-SNVBAGLBSA-N 402.756 4.969 5 20 HJBD COc1ccc([C@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)C(F)(F)F)cc1 482291335 DBTVZOMQAMVIPH-SFHVURJKSA-N 423.391 4.581 5 20 HJBD NC(=O)N1CCCc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)cc21 487218731 JZBRAUVFKONCIJ-UHFFFAOYSA-N 408.483 4.767 5 20 HJBD CCOc1cc(CNc2cccc(C(=O)N(C)c3ccccc3)c2)ccc1[N+](=O)[O-] 492584802 VTBPQOTUXPPCHZ-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD COc1cc(C(=O)N[C@H]2CCc3ccc(C(C)C)cc32)c([N+](=O)[O-])cc1OC(F)F 494207426 DEBBBUVSAXBYGV-INIZCTEOSA-N 420.412 4.746 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)C(C)C)cc1 494212427 MMELHAGZKVCINE-OAQYLSRUSA-N 413.522 4.659 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@@]2(CCCc3ccccc32)C1 498390585 PASOMJGQVFDOAR-DEOSSOPVSA-N 401.466 4.653 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCC3CCCCC3)cc2)c([N+](=O)[O-])cc1OC 498423313 PSUDDUJGHPIFQZ-UHFFFAOYSA-N 414.458 4.823 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CSc1n[nH]c(COc2ccc(Cl)cc2)n1 501140163 DEXHNAITHUVFBS-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1noc(Cc2ccccc2)n1 504931444 YBFYATKGPRDCIH-NVXWUHKLSA-N 412.515 4.790 5 20 HJBD CCCc1nc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)co1 509285541 JXHQKDGVVANMSI-UHFFFAOYSA-N 414.487 4.962 5 20 HJBD COc1cc(CN2CCCC[C@H]2c2ncc[nH]2)ccc1OCc1ccc([N+](=O)[O-])cc1 512540678 AKWVLCDLZVFVRA-FQEVSTJZSA-N 422.485 4.633 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OCC(F)(F)F 514769834 AQBLXHSNMRWUSO-UHFFFAOYSA-N 417.771 4.630 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](CCC(C)C)c2ccc(Cl)cc2)cccc1[N+](=O)[O-] 518138786 SQHIEHKUUFIMQU-GOSISDBHSA-N 417.893 4.789 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)c1 520614775 MMYLDXNAPZFJAI-UHFFFAOYSA-N 417.465 4.860 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@@H]2C)c1 521080087 JOVMNPKOSCVLLO-KPZWWZAWSA-N 406.891 4.572 5 20 HJBD O=C(CN(Cc1ccccc1F)Cc1ccccc1[N+](=O)[O-])Nc1ccccc1F 532658209 SIOYCIQMUDQMAF-UHFFFAOYSA-N 411.408 4.514 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1cccc(F)c1 535506355 BOQSATLNBAUUOU-LBPRGKRZSA-N 401.419 4.649 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(N2CCCCC2)CC1 537482924 TYAXSYSEDWLBNU-UHFFFAOYSA-N 405.564 4.578 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(Cc3cccs3)n2C2CC2)cc1OC(F)F 538891721 JTIOCNSYNMIWFT-UHFFFAOYSA-N 424.454 4.926 5 20 HJBD COc1cccc(Oc2ncccc2CNC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)c1 539841481 PRDNNZVTKBQXFH-UHFFFAOYSA-N 421.453 4.646 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 541514888 YKHQJLMEQFBORV-MDOVXXIYSA-N 400.500 4.694 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1c(-c2cccs2)nc2ccccn12 542217039 BLUWKOXWNBKTII-UHFFFAOYSA-N 410.480 4.702 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccc2ccccc2c1)C1CC1 542455456 AYYWHTISXHCSEO-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD CC(C)(C)OC(=O)c1ccc(Br)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1 543493445 SVDVHFYFKVMEDT-UHFFFAOYSA-N 421.247 4.565 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 545101014 GHSWQGQZENBKJI-CQSZACIVSA-N 424.638 4.797 5 20 HJBD CCN(CC)CCOc1ccccc1-c1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 546305947 PERWJWCEGOUXDR-UHFFFAOYSA-N 421.457 4.514 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)c1 561443745 MFWFDICJZIEXTB-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4coc(C)n4)no3)cc2[N+](=O)[O-])n1 584461952 IFGFDWDUFDALIG-UHFFFAOYSA-N 401.429 4.524 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1ccccc1OCCn1ccnc1 600853716 CCDHUSNSFCCHAK-UHFFFAOYSA-N 406.364 4.501 5 20 HJBD CC(C)N1CCN(c2cccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)CC1 600857840 VJNOVTYYYMDRLV-UHFFFAOYSA-N 422.451 4.756 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2COc2ccccc2)cc1OC 604022931 JCPDBSBMBXGOMJ-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD C[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 608820223 TYZVRZIRLHFBFG-PBHICJAKSA-N 417.893 4.548 5 20 HJBD O=C1[C@H](Nc2cccc(COc3cccc(F)c3)c2)CCN1c1cccc([N+](=O)[O-])c1 609451172 PCWWYYAQUFFFGK-JOCHJYFZSA-N 421.428 4.530 5 20 HJBD CO[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 610271525 WSEAOZXJUREANS-SFHVURJKSA-N 403.891 4.849 5 20 HJBD O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1ccc([N+](=O)[O-])cc1Cl 611726868 PZWJIDGACIEYTQ-UHFFFAOYSA-N 409.751 4.539 5 20 HJBD CC[C@@]1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOC1 616689500 CSZFNNKSBMYDOS-LJQANCHMSA-N 406.891 4.698 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 681946842 BSMKTZBYRQPKJW-UHFFFAOYSA-N 410.395 4.972 5 20 HJBD CC(C)C[C@H](C)N(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)c1ccc2[nH]ccc2c1 727312408 HLQGIGHOQZFTKT-HNNXBMFYSA-N 423.469 4.929 5 20 HJBD Cc1cc(C)c(-c2cc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)n[nH]2)cc1C 728607733 YEWBVSRVLABMEA-UHFFFAOYSA-N 423.429 4.688 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCCC2)c(Cl)c1 731007543 OKSLHBSVLOGEOK-UHFFFAOYSA-N 402.882 4.679 5 20 HJBD C[C@H](OC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)o1 741315045 DBSWOKDCDSCAEH-AWEZNQCLSA-N 418.409 4.568 5 20 HJBD CC(C)(NCn1cc([N+](=O)[O-])c(-c2ccncc2)n1)c1ccc(Cl)c(Cl)c1 742146948 UTSGDZMRDNRFOS-UHFFFAOYSA-N 406.273 4.643 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 746413960 KKQQYMAWGXNIAD-LJQANCHMSA-N 413.430 4.578 5 20 HJBD Cc1ccc(C(=O)[C@H](C)OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1C 747956398 SJUCIIANFVJXMF-AWEZNQCLSA-N 410.451 4.763 5 20 HJBD Cc1ccc(N(C(=O)c2ccc([N+](=O)[O-])cc2I)C(C)C)cc1 751128799 QIHGARBRDIOBLQ-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1ccc(Br)c([N+](=O)[O-])c1 753272216 CICKFOCUTVGBGL-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 754671719 FSLXSPQYQSDOPS-CHWSQXEVSA-N 416.528 4.766 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)cc2)co1 754977710 QDJWQTHNMOLGNY-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD COc1ccc([C@H](C)N(C)C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 755031832 XDVGENZDCKHQTO-NSHDSACASA-N 408.252 4.591 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3ccc(Cl)c([N+](=O)[O-])c3)o2)c1 760147049 LDZMPTGEYVVGHS-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD COC(=O)c1ccc(OCc2ccccc2)c(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 763763720 LPTDRYMYGANYGG-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1c(C)cc(C)cc1C 763806582 PUKOPGNXQNWHEH-OAHLLOKOSA-N 416.499 4.816 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)Cc2cccc(Cl)c2)c1=S 764856932 LRPZDIQAGDNRIY-UHFFFAOYSA-N 417.922 4.752 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cc(C)on2)ccc1OCc1ccc([N+](=O)[O-])cc1 768438193 PJCIDDJJJULQIG-OAQYLSRUSA-N 423.469 4.816 5 20 HJBD O=C(Nc1nc(C2CCC2)cs1)c1cc(Br)cc([N+](=O)[O-])c1Cl 769193163 JXNAHHSYTXFFGF-UHFFFAOYSA-N 416.684 4.987 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)sc1Cc1ccccc1F 769487478 VOZOYVJZXZEXIK-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2cnoc2C2CC2)n1 773454310 XAWUFSYUBNYJST-UHFFFAOYSA-N 417.468 4.733 5 20 HJBD O=C(COc1ccc2ccccc2c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137355 ZPOUQLJCMUGIEA-XMMPIXPASA-N 414.417 4.855 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCOC[C@H]2C)cc1 779958172 JQJZMYRMWAWOSF-OAHLLOKOSA-N 415.515 4.574 5 20 HJBD C[C@@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1nc(C2CC2)cs1 781181069 WXMRECNJLFXADC-SECBINFHSA-N 416.377 4.788 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Br)Nc1cc(Cl)ccc1Cl 786746639 XEAVXEXDQLFTPE-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c2C)c1 790898479 AAEQQAFKQOCFEZ-UHFFFAOYSA-N 416.840 4.679 5 20 HJBD CCOC(=O)c1cc2ccccc2cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 791041998 OBEDNIBIEZHKCR-UHFFFAOYSA-N 410.451 4.899 5 20 HJBD COc1c(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 793628748 OEIRSMJUCRKUBX-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 802905977 HBYIJGPKDQMGQL-UHFFFAOYSA-N 415.431 4.524 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCc1c(F)cc([N+](=O)[O-])cc1F 803388704 SBXXRBQJSIXCEA-UHFFFAOYSA-N 424.208 4.948 5 20 HJBD Cc1cccc(Cl)c1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374566 KXPYPGKNAQLCFR-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 813305582 BWXJAIUVGNFTLA-UHFFFAOYSA-N 415.190 4.595 5 20 HJBD Cc1cccn2cc(COc3ccc(C(=O)Nc4cc([N+](=O)[O-])ccc4F)cc3)nc12 818269328 PFMXOAPGDTUZET-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD Cc1c(C(=O)N(CCc2ccccc2F)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914516616 MYWKRDZLONPCDL-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD C[C@@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2ccccc12 916523163 LXGGHTNNUOSZEF-HUUCEWRRSA-N 424.478 4.799 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)OCc1cc(F)ccc1[N+](=O)[O-] 919102966 BXBBMQYZRRBREY-UHFFFAOYSA-N 404.394 4.586 5 20 HJBD Cc1c(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)cccc1[N+](=O)[O-] 1257635104 BJCBDMITBNCLRM-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD CN(c1ccccc1)c1ccccc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1341397960 NUYJUONWIMUJML-UHFFFAOYSA-N 402.410 4.992 5 20 HJBD COc1ccc(NC(=O)N(C)[C@@H](C)c2ccccc2Br)c([N+](=O)[O-])c1 1517709399 PFGMZAJTULULRW-NSHDSACASA-N 408.252 4.591 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2cccs2)CC1 27573577 HUNNLNCLTMISNB-KRWDZBQOSA-N 407.923 4.513 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cc(Cl)ccc3F)cc2)c(C)c1[N+](=O)[O-] 60953747 ZDXVUHSFWTVABT-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1cccnc1)Cc1ccco1 64906309 AWZQUUYTIYNZFQ-UHFFFAOYSA-N 420.450 4.549 5 20 HJBD CC1CCN([C@H](CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2cccs2)CC1 72106867 NVSAQXKNTSIIHZ-QGZVFWFLSA-N 407.923 4.513 5 20 HJBD CCN(CC(=O)Nc1ccc([N+](=O)[O-])cc1Br)[C@H](C)c1ccc(F)cc1 108128965 RMKIEPRNYMKXII-GFCCVEGCSA-N 424.270 4.518 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 237007763 ZXMMQDNIYKKWOX-OAHLLOKOSA-N 424.526 4.993 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 248249438 LFXRMLCPBPTJEJ-SFHVURJKSA-N 410.301 4.715 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCc1noc(Cc2ccc(Br)cc2)n1 303435204 GTIHZGCAQSVFBY-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 426264496 CUGMTFWVSYONDX-SNVBAGLBSA-N 416.425 4.590 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCCC[C@H]1c1ccncc1 426277307 JHXRZMGHNZYYDK-SFHVURJKSA-N 422.407 4.836 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 431340086 CAMXWIYOZUHGGA-LJQANCHMSA-N 413.440 4.535 5 20 HJBD O=C(O)CCCOc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 431777089 WNNKUEDYBKPASN-UHFFFAOYSA-N 400.434 4.884 5 20 HJBD CCO[C@@H](C)c1noc(CNc2cc([N+](=O)[O-])ccc2Sc2cccs2)n1 434883677 RUNJZARNYPJIDK-NSHDSACASA-N 406.489 4.900 5 20 HJBD O=C(NCCCc1c[nH]c2ccccc12)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444007393 DKUJIXJJLNLLBI-UHFFFAOYSA-N 413.452 4.664 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)s1 444071923 HEQDWEUSSUBHLD-CQSZACIVSA-N 405.523 4.842 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1nc2ccccc2n1C(F)F 444664067 MOWSSHROSYSTOS-ZDUSSCGKSA-N 404.417 4.978 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1=O 445474439 VWPHCDUQDXCDMT-QWHCGFSZSA-N 412.471 4.740 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445692587 QZIQMQJBUGITFX-LLVKDONJSA-N 411.683 4.773 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C 446483862 FKEABHPUDKFCCC-YVEFUNNKSA-N 422.279 4.563 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 446487044 YREGRLSLFBQNIR-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD O=[N+]([O-])c1cc(CNC2(c3c(Cl)cccc3Cl)CCC2)c2c(c1)COCO2 447054109 KJHYQYZLUFXLDG-UHFFFAOYSA-N 409.269 4.937 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 462423404 UIZZZGQXKCGAPF-INIZCTEOSA-N 407.426 4.648 5 20 HJBD COc1cc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])ccc1OC(C)C 464455155 BWSNXFBNAYXOFE-UHFFFAOYSA-N 410.879 4.775 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 470023992 HLXOABHVJLLQQE-FGZHOGPDSA-N 411.502 4.744 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)C/C(C)=C/c1cccc([N+](=O)[O-])c1 470174461 SZBKKIXSRIBQLO-RVDMUPIBSA-N 421.419 4.978 5 20 HJBD Cc1c(NC(=O)NCc2ccc(C(=O)Nc3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 473686642 LESDTHXCYCRZOG-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CC[C@@H](CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 476096700 WWEFWWAAQVESSO-ZDUSSCGKSA-N 408.907 4.538 5 20 HJBD O=C1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)c(F)cc2N1 477073239 ZIMLPLSZKKMIEH-UHFFFAOYSA-N 421.384 4.663 5 20 HJBD CN1Cc2cc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)ccc2NC1=O 479419758 KYYFGWCWIGASJS-UHFFFAOYSA-N 417.469 4.952 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)s1 480178729 JBMNSEARCBFSJN-OAHLLOKOSA-N 412.471 4.558 5 20 HJBD CCN(C[C@@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 480744054 SWFRBDLIXPEAQW-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)nn1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 484178672 XAVUJRLLVGKDCJ-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)ccc1C 488025501 UERPNYBWTQYZDI-IYBDPMFKSA-N 411.502 4.642 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccccc1OC(F)F)C(F)(F)F 491259042 HNCVFAYMQKNDPZ-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD CCOc1cc(CN[C@H](c2ccccc2OC(F)F)C(F)(F)F)ccc1[N+](=O)[O-] 492611195 ZHRNSAAIPZYJLQ-MRXNPFEDSA-N 420.334 4.988 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccccc2OC[C@@H]2CCCCO2)cc1[N+](=O)[O-] 493238763 QGUFHCKRBUIIKL-WMZOPIPTSA-N 413.474 4.734 5 20 HJBD O=C(Nc1nc(-c2cc(Br)cs2)cs1)c1ccc([N+](=O)[O-])s1 497953013 XIMLJEHEXNPBCW-UHFFFAOYSA-N 416.303 4.856 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H](C)Oc3cccc(F)c3)n2)cc1[N+](=O)[O-] 498784608 JHRBSTYWXGTCQV-LBPRGKRZSA-N 401.419 4.572 5 20 HJBD CN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc(Cl)cc(Cl)c1 499514950 DABYTGJEOYDNMR-UHFFFAOYSA-N 408.285 4.781 5 20 HJBD COc1cc(C(=O)NC[C@H](C)SC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 502097609 YITKRJLSLMUPQV-NSHDSACASA-N 410.879 4.530 5 20 HJBD Cn1c([C@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccccc2)nc2ccccc21 509120809 FPQIKIBSDXAQLX-OAQYLSRUSA-N 408.408 4.639 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 510990031 FVIJYUONSKJLDV-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD O=C(NCC1(Sc2ccccc2)CC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 518808858 QWSPETMGENDEJM-UHFFFAOYSA-N 411.508 4.773 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)cc1 520223783 VAJYCICRIVAXBC-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)COc3cccc([N+](=O)[O-])c3)cc2)cc1 520805744 XQPKVUAZRWVONA-UHFFFAOYSA-N 422.437 4.594 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)NCc3ccccc3[N+](=O)[O-])s2)cc1 532314834 AHQBKDLIARYHED-OAHLLOKOSA-N 410.499 4.896 5 20 HJBD CC1CCC(NC(=O)[C@@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 536303435 ZGCAGQSRNBTISP-NPCAHTBFSA-N 403.504 4.566 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)c1ccc(Br)cc1[N+](=O)[O-] 538905144 KBEIVMFFROSFFD-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCCc3c([nH]c4c(F)cccc34)C2)cc1[N+](=O)[O-] 541390560 HLNJIPVQHRRUAP-AWEZNQCLSA-N 410.449 4.743 5 20 HJBD Cc1nc(NC(=O)c2nc(-c3cccc(C(F)(F)F)c3)oc2C)ccc1[N+](=O)[O-] 542926460 RSVGYNKVEOJNDA-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)ccc1NC1CC1)c1ccc([N+](=O)[O-])cc1F 543587471 JYFZKVIZKLDOGM-SNVBAGLBSA-N 422.254 4.813 5 20 HJBD CC(=O)N1CCC[C@H](c2noc(-c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)n2)C1 545057278 JKIJASLQHLEKHC-INIZCTEOSA-N 424.482 4.522 5 20 HJBD COc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)ccc1OCc1cscn1 546398176 UYDXXZYQHXLECO-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD Cn1cc(CNc2ccc(Cl)cc2OC(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 547285880 AZOWGATXHCWTKE-UHFFFAOYSA-N 408.792 4.862 5 20 HJBD Cc1cccc2c1CC[C@H]2NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548036200 NQJZQGGOXLCRAF-HXUWFJFHSA-N 404.416 4.685 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1Cl 552433485 LPGWFHCYTFVNNH-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD CC(C)(NC(=O)c1nc2ccccc2cc1Br)c1ccccc1[N+](=O)[O-] 557967117 AJZQIVDMJZCFLZ-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2nnc([C@H]3CCCO3)o2)nc1-c1ccccc1 562891015 HFSNHFPQXVANCG-QZTJIDSGSA-N 421.457 4.623 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc4oc(CO)cc4c3)cc2[N+](=O)[O-])C1 564395265 DCKAISSPBRVMFV-HUUCEWRRSA-N 423.469 4.568 5 20 HJBD COc1ccc(CN[C@@H]2c3ccccc3O[C@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 588933992 DXUAIVOPQMDYRN-FYSMJZIKSA-N 420.465 4.794 5 20 HJBD CN(C(=O)CSc1nc(-c2ccco2)nc2ccccc12)c1ccc([N+](=O)[O-])cc1 589578463 YDLFTHXGPDRKSN-UHFFFAOYSA-N 420.450 4.553 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3CCc4nc(C)ncc4C3)cc2[N+](=O)[O-])cc1 603633271 XFRSGKQHDDOEAM-LJQANCHMSA-N 420.538 4.800 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNc3cc4ncnc(O)c4cc3[N+](=O)[O-])cn2)c1 603859476 AUZJWARTAABRDK-UHFFFAOYSA-N 417.425 4.660 5 20 HJBD CCc1nc2ccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2nc1CC 604346725 UDPVSRVRDKZWMX-UHFFFAOYSA-N 406.486 4.714 5 20 HJBD COCCN(C(=O)C[C@H]1CCCC[C@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 604446193 ZJQGPAOWHIGDPR-NVXWUHKLSA-N 417.531 4.914 5 20 HJBD Cc1nn(CCC(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 608803536 PJQOBMKPYUHDRP-ZDUSSCGKSA-N 424.423 4.569 5 20 HJBD O=C(Nc1ccccc1Oc1cccc(F)c1)c1cc([N+](=O)[O-])cc2c1OCCO2 608941054 RHIGLSGVKSPSPH-UHFFFAOYSA-N 410.357 4.550 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCc3cccc(C)c3)c2)c([N+](=O)[O-])cc1OC 609508414 UYGUKTSZWGMGMH-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccc(NC(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 609843628 OQCCUQRRZKIIKO-KRWDZBQOSA-N 407.430 4.712 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@@]2(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610190448 MZDQHWYKLSXXGO-DQLWACAZSA-N 416.481 4.623 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc(C)ccc3[N+](=O)[O-])c2)cc1 610226234 ZVZJBSULKGKVOT-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD COc1cccc(CC2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 612034594 RBTGDBIICUYMSS-UHFFFAOYSA-N 403.866 4.743 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])s1 612502481 YJFYXVUGFPPGCR-JTQLQIEISA-N 423.210 4.842 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1c1ccccc1Br 614934759 XBNNPSBCLOENHG-INIZCTEOSA-N 420.263 4.735 5 20 HJBD C[C@@H](C(=O)Nc1cccc(COCCOc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 617701638 FTDBJMLDHJNVRM-GOSISDBHSA-N 420.465 4.933 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)ccc1[N+](=O)[O-] 726336714 UAPQRPAGVTXXFP-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=C(c1cc(Cl)c2c(c1)OCCO2)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166858 OCRKBOCFAAIQFG-UHFFFAOYSA-N 423.252 4.730 5 20 HJBD CN(CCc1ccccc1F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 731592321 YUNCLISWLBZETP-UHFFFAOYSA-N 416.396 4.546 5 20 HJBD Cc1ccc(-n2nc(C(C)C)cc2NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 739658242 AIHGBOBBGSPARJ-UHFFFAOYSA-N 423.429 4.681 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 741645135 DMZYYJTWZJZHPD-UHFFFAOYSA-N 420.425 4.536 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C[C@@H](O)c1cc(Cl)cc(Cl)c1 748024000 LWNKGIYVOFFZLP-MRXNPFEDSA-N 417.676 4.637 5 20 HJBD COc1cc(CN(C)C(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 751065008 SFSHTOCTMVCIFJ-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1C 758907928 BVYHKWCXSBJVKQ-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD Cc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnc(Cl)c1Br 759281116 OQSIBMPHTMDQCD-UHFFFAOYSA-N 410.611 4.713 5 20 HJBD CC(C)c1cccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)n1 761841166 FJOMGKHYRAWVSL-UHFFFAOYSA-N 405.410 4.585 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 762071011 UTSSCSZRHYNEOB-UHFFFAOYSA-N 407.426 4.841 5 20 HJBD Cc1cc(Cc2noc([C@@H]3CCN(c4ccc(C(C)C)cc4)C3=O)n2)ccc1[N+](=O)[O-] 762301904 HFHPMMCEBSNHCB-IBGZPJMESA-N 420.469 4.521 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H](c2nc3ccccc3o2)C1 768243022 GFBSPACISDDRAW-ZDUSSCGKSA-N 416.275 4.878 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)ccc1F 771222994 ACTXWWNXNGUQJR-UHFFFAOYSA-N 406.395 4.859 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1[C@@H]2CCC[C@@H]12 776697252 ZLKDNFIDDUGFHW-SPYBWZPUSA-N 414.845 4.517 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780658974 LITNNRNDHOHKQZ-YPBQGGFRSA-N 412.489 4.672 5 20 HJBD C[C@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1cccc(Cl)c1Cl 782529207 HPVQHIWODXGJJX-JTQLQIEISA-N 400.237 4.720 5 20 HJBD C[C@@H](CC(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c[nH]c2ccccc12 787229403 XLRHKORDGBKKCU-KBPBESRZSA-N 420.425 4.924 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cc2)cc1 788122805 DHGGZUQTDNLFPQ-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F 791391571 JLMDCQCUTWBQEE-UHFFFAOYSA-N 422.460 4.973 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1F 803462576 NWIITMCJYXJZLQ-JTQLQIEISA-N 405.769 4.664 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(C(F)(F)F)nc1 808952324 VCXSOQCBVJDVSJ-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD COc1ccc(C2(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])CCOCC2)cc1 809961085 MWECDNBMSDEGGD-UHFFFAOYSA-N 411.285 4.706 5 20 HJBD CCOCCC1(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCCC1 811217692 WWNFQDDFWHUKGX-UHFFFAOYSA-N 404.488 4.749 5 20 HJBD CCOC(=O)/C=C\c1ccc(NCc2c(Br)cccc2[N+](=O)[O-])cc1 863995060 OJMPZTJLAUEDAU-FLIBITNWSA-N 405.248 4.546 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)n2)c(F)c1 904310920 NYXQRRIMKLDMIX-UHFFFAOYSA-N 419.294 4.655 5 20 HJBD COc1cc([C@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OC1CCCC1 916015309 VBOKRCPDRADWDC-AWEZNQCLSA-N 415.515 4.972 5 20 HJBD O=[N+]([O-])c1ccc2c(cnn2CN2CCC[C@@H]2c2ccc(Br)cc2)c1 917485613 CGPHJFZACXNTIV-QGZVFWFLSA-N 401.264 4.502 5 20 HJBD O=C(O[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1csc([N+](=O)[O-])c1 919368387 WESJXURKCBBBJS-HXUWFJFHSA-N 406.419 4.919 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Cc1ccccc1 919568325 JOLPOMIZDDGTIJ-HNNXBMFYSA-N 422.412 4.509 5 20 HJBD Cc1ccccc1C(F)(F)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1319813796 CMEZYPLMSXTHCN-UHFFFAOYSA-N 403.410 4.782 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nnc(-c2cnn(-c3ccccc3)c2)o1 1326989102 AVZVHGIEMJXMAA-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(Cc2cc(Cl)ccc2Br)o1 1329262747 MIRJUBJZYRVJQD-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD C[C@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccc(C(F)(F)F)cc1 1345740171 LPRVVXRVSDQQOU-GFCCVEGCSA-N 407.348 4.922 5 20 HJBD Cc1cccc(-c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c1 7179874 VVHDDVTVUMOQKG-GFCCVEGCSA-N 409.427 4.857 5 20 HJBD O=C(Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1)c1ccc([N+](=O)[O-])s1 10971807 IPNRNTZLTQHCQZ-UHFFFAOYSA-N 413.402 4.975 5 20 HJBD COc1cc(C(=O)OCc2ccccc2[N+](=O)[O-])cc(OC)c1OCc1ccccc1 16369747 KCHGGCARQVNRSZ-UHFFFAOYSA-N 423.421 4.548 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)c2ccc(OC)cc2)cc1 18704897 VYJBWUNTRMOZNV-QFIPXVFZSA-N 420.465 4.634 5 20 HJBD O=C(Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1cc(Cl)c[nH]1 25710770 WRWIXHDREDSBMB-UHFFFAOYSA-N 420.208 4.701 5 20 HJBD CCSc1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])s1 32533848 HAEHSVBWIPXUHG-UHFFFAOYSA-N 403.510 4.941 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)Cc3cccs3)cc2[N+](=O)[O-])n1 33204215 AECXBYBEZHFWKP-LLVKDONJSA-N 419.553 4.933 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3oc(C(C)(C)C)nc3c2)c([N+](=O)[O-])cc1OC 106024352 SJHBTSKVUTZVRA-UHFFFAOYSA-N 413.430 4.693 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1NCc1cccnc1Oc1cccc(F)c1 301854303 BLBYHHUYDJQGCC-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1cc(C(F)(F)F)ccc1Br 326499277 ZPZDHAKISCPUBW-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc([N+](=O)[O-])cc1 431773505 BWRLZSBMJKMJLF-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435938941 SYZOXIPJZHSPDU-VXGBXAGGSA-N 406.379 4.671 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCCc1cc(-c2ccccc2)no1 443964656 NBXKTBIGAONLCM-UHFFFAOYSA-N 408.458 4.963 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444031072 LXSBGEBNUOLCGL-GOEBONIOSA-N 418.443 4.978 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 444261822 STEMONSXNCTIJC-MRXNPFEDSA-N 419.268 4.707 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@H]3c3cccc(Cl)c3)n2)c([N+](=O)[O-])c(OC)c1 445815241 IHSOKRIEFUPMLA-HOTGVXAUSA-N 415.833 4.510 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@H]1CCCc2ccc([N+](=O)[O-])cc21 447579143 JFIPWNQPLGOOMJ-SFHVURJKSA-N 417.893 4.561 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)CCOc3ccccc3[N+](=O)[O-])ccc2C)c1 460505083 RRYKBPIOIZIRFU-UHFFFAOYSA-N 407.426 4.807 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 469343251 YNCOWCFJAFRIFF-MHZLTWQESA-N 410.517 4.779 5 20 HJBD Cc1cc(Nc2ccc(CC(=O)N3CCCc4ccccc43)cc2)ncc1[N+](=O)[O-] 470867008 ZKROAMJZCGFCPP-UHFFFAOYSA-N 402.454 4.564 5 20 HJBD C[C@]1(O)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 473589787 HUMIJXNURIKTOQ-FQEVSTJZSA-N 420.918 4.777 5 20 HJBD COC(=O)C[C@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1ccc2ccccc2c1 473696882 PYIJPLIWHYJQIL-IBGZPJMESA-N 421.453 4.791 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCC[C@@H]1c1nc2ccccc2o1 475217185 WQWATSUSEBDROV-LJQANCHMSA-N 420.469 4.704 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@@H](C)C(F)(F)F 477958571 AKJFYTVKYBWMLO-QMMMGPOBSA-N 404.332 4.505 5 20 HJBD CCO[C@@H](C)c1nc(CSc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)cs1 481356297 BADOSSLVJXFDEI-LBPRGKRZSA-N 419.532 4.720 5 20 HJBD COc1ccc(-c2ccncc2)cc1CN1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 482022265 QFZLJVACCHNELN-UHFFFAOYSA-N 419.481 4.709 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(-c2ccc(Cl)cc2)c1 482066806 XKNQYMHWGGUBSU-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD C[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1cn(C)c2ccccc12 484172728 GPJKHWJMYNEMQZ-CQSZACIVSA-N 420.494 4.977 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@H]2c2cccc(C)c2C)c(C)c([N+](=O)[O-])c1 486857376 JZAUDOPZYNRECR-IBGZPJMESA-N 411.458 4.676 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2cc(Oc3ccc(F)cc3)ccn2)cc1[N+](=O)[O-] 489254670 NASJCBJCQVRUQD-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD COc1cc(C(=O)N[C@@H](C)[C@@H](C)C2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 490858691 MZMKIHXOWIQOKF-NEPJUHHUSA-N 400.422 4.540 5 20 HJBD C[C@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(F)cccc1F 497360256 BYUZHVRSQDLYFZ-JTQLQIEISA-N 417.334 4.571 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCCOc3ccccc3C(F)(F)F)c1)OCOC2 497508856 SHJZTSVJORDZKE-UHFFFAOYSA-N 415.389 4.792 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2OC)cc1 497886399 XFQQDHPXYAXXAZ-HSZRJFAPSA-N 419.481 4.813 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 498487498 JNPISHNUBFIKFE-UHFFFAOYSA-N 409.486 4.689 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H](c2ccccc2F)C1 500102360 CLHWATROCNLXNN-IBGZPJMESA-N 419.456 4.976 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 506260495 QUNLXHDUASNMKC-HNNXBMFYSA-N 422.437 4.895 5 20 HJBD COc1cc(CN2CCC(C(F)(F)F)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 506495098 JIKLEIKLALEHPR-UHFFFAOYSA-N 424.419 4.957 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(C(F)(F)F)CCCCC1 509838943 LEZOTNBFLQXNLH-UHFFFAOYSA-N 402.416 4.536 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1cccc2ccccc12 510102776 URAWWKINVITESA-NRFANRHFSA-N 412.449 4.911 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H](c1cccc(F)c1)c1cnn(C)c1 513089675 OYTFZZFMMNNRIM-QHCPKHFHSA-N 420.444 4.949 5 20 HJBD Cc1csc(-c2cccc(NC(=O)c3ccc(OC(F)F)c([N+](=O)[O-])c3)c2)n1 513731373 VJEPFVMIUUGETP-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2ccc(Cl)s2)c([N+](=O)[O-])cc1OCC 514504306 DRVNKHRJMBHSFY-UHFFFAOYSA-N 413.883 4.771 5 20 HJBD CSc1cccc(C(=O)Nc2cccc3cc(Br)cnc23)c1[N+](=O)[O-] 515328510 ABAGMJPVXYLNBD-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(Cc1cccc(F)c1)C1CCCC1 515371249 HJHHVUSZYXRCTI-UHFFFAOYSA-N 411.477 4.919 5 20 HJBD C[C@@H](NC(C)(C)CNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 518806562 DZBNNXRPNCCHNK-OAHLLOKOSA-N 424.526 4.578 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)c1 519073882 NXTUGFTUVCOKDW-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(C)c1 519977196 QOOUIGCMRNQQGW-HNNXBMFYSA-N 411.502 4.981 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)C[C@H]3CC[C@H]2C3)c1 522735925 ILFNTHYNKKAYAP-WVMBUTMQSA-N 406.482 4.542 5 20 HJBD CCC[C@@H](C)N(C(=O)c1ccc([N+](=O)[O-])c(C)c1)c1nc(C)c(C(=O)OCC)s1 522956766 HUWOHQKIKHFQGS-CYBMUJFWSA-N 419.503 4.680 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cc12 523132153 OMDNWNFRZQPWTH-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1-c1ncc(-c2ccccc2F)o1 524339023 IUADANWKFBCAAJ-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)cc1 525175534 XTEHVCDMOMZNIE-QFIPXVFZSA-N 410.857 4.899 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(C)ccc3[N+](=O)[O-])s2)cc1 525653491 UUJGYCOVIVRDHJ-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(C(=O)NC2CC2)cc1 535295954 WNUXTJDLWXDRIB-UHFFFAOYSA-N 417.421 4.532 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1ccccc1[N+](=O)[O-] 536091653 BIWXACFQSAIEHU-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537213186 XVPKKVMGWULOGL-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 537323915 YNADXZASJJNEFP-CQSZACIVSA-N 406.282 4.955 5 20 HJBD CCOc1cc(N[C@H](C)[C@@H](OC2CCOCC2)c2ccccc2)ccc1[N+](=O)[O-] 537835216 ULYYDZFLGIOWRS-OPAMFIHVSA-N 400.475 4.731 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@@H](OC1CCOCC1)c1ccccc1 540032350 AGSPPZKJFHQZIW-JLTOFOAXSA-N 422.428 4.934 5 20 HJBD CN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 543107501 MSYSHQXTZBVKJF-INIZCTEOSA-N 420.309 4.918 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)c1ccc(Cl)c(Cl)c1 543175981 XSAYJWLLTJYVDO-LLVKDONJSA-N 412.273 4.813 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(OCc4ccccn4)cc3)n2)ccc1[N+](=O)[O-] 546561627 OZBFSKLMYIIREE-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD COc1ccc(C(=O)CC(C)(C)Cc2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1 547105575 DVPZPRSWCFIEAJ-UHFFFAOYSA-N 409.442 4.804 5 20 HJBD O=C(NCc1ccc(F)cc1)c1ccc(NC/C=C\c2ccccc2[N+](=O)[O-])cc1 549176413 NAMVCGCYPKXFJZ-HYXAFXHYSA-N 405.429 4.789 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cnn(C2CCCC2)c1C 551772983 WBTWYQLDBKPIHB-UHFFFAOYSA-N 407.268 4.538 5 20 HJBD Cc1ccc2nc(CNCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cn2c1 560815229 APTVOFCOLMAHBL-UHFFFAOYSA-N 401.470 4.609 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1NCc1ccccc1-c1ccc(Cn2ccnc2)cc1 574027701 LNOAURGMGZEXCP-UHFFFAOYSA-N 416.485 4.644 5 20 HJBD CCCOc1c(Cl)cc(-c2noc(-c3cccc([N+](=O)[O-])c3OC)n2)cc1OC 577244842 BUBNQWCKHAJPTR-UHFFFAOYSA-N 419.821 4.771 5 20 HJBD C[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 581244282 SZIHUEQVXRUSMO-HNNXBMFYSA-N 410.499 4.663 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 581266070 RASTXOKTVXTQKS-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1csc([N+](=O)[O-])c1 588781634 XBAPCNRJNDJKCE-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CC(C)[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 590666435 FPMUPQIFCVHYKQ-OAQYLSRUSA-N 415.921 4.978 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1Cl 604031361 VHBAIZACAKFWMX-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD CCC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 609179359 KXBQRYHPCAZSBX-QKLQHJQFSA-N 410.518 4.743 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H](C(C)(C)C)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 610247544 YFMVJBOGHRRGEY-XIKOKIGWSA-N 424.423 4.870 5 20 HJBD CCOc1ccc2c(c1)[C@@H](NCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CCO2 614100923 DCWFGMRVVODDHR-IBGZPJMESA-N 411.483 4.730 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cc(F)ccc1F 617345449 QGACQZFHKQQRMN-SFHVURJKSA-N 404.394 4.517 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCCc2sccc21 619570782 HGMCARSGOLWBOK-UHFFFAOYSA-N 400.378 4.581 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 727680757 CCIBUFJEOYGGLK-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1cc([N+](=O)[O-])ccc1Br 727993797 WVYWNWJARDBQKY-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C1(c2ccc(F)cc2)CCCC1 735443647 PUDQSGLDZSYWFG-UHFFFAOYSA-N 410.401 4.944 5 20 HJBD O=c1cc(COc2ccc([N+](=O)[O-])cc2Br)c2cc3c(cc2o1)CCC3 737399704 RBQFWGSUOIXJOW-UHFFFAOYSA-N 416.227 4.531 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)o1 739614446 UOBLBOOZUKJOOG-INIZCTEOSA-N 401.419 4.724 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@](C)(O)c3ccsc3)c([N+](=O)[O-])c2)cc1 740869881 BNTHPJGVVZRGIA-NRFANRHFSA-N 411.483 4.537 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2cccc(NC(=O)OC(C)(C)C)c2)c1 744246343 CUYQHPFDRJLTQJ-UHFFFAOYSA-N 404.444 4.883 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746072613 RUMBRGZHTNLRQJ-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COc3cc(C=O)ccc3[N+](=O)[O-])cc2)c1 748155081 XGWXWPJGHJLYRR-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n1 750913285 FWWMNUAUDDWMTE-AWEZNQCLSA-N 424.682 4.691 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)N(Cc1ccsc1)c1ccccc1 751285575 ZFQBQRSVFOWKFS-UHFFFAOYSA-N 408.888 4.705 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc2ccccc2c1 755069441 UADSJTMKGGDRTC-KBPBESRZSA-N 410.401 4.561 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccccc1OC[C@@H]1CCCCO1 757205943 GGTCFYNGUOUOBR-INIZCTEOSA-N 419.865 4.518 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)n2)ccc1F 761616043 XLLQUUDEJAYKQP-SNVBAGLBSA-N 419.800 4.628 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1OCc1cc([N+](=O)[O-])cc2c1OCOC2 769561470 CBARQEGQRQYHPA-UHFFFAOYSA-N 416.430 4.696 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 772927230 DOMPCHJUOIHAEN-RDTXWAMCSA-N 407.829 4.507 5 20 HJBD CCC(=O)c1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccc1F 775496948 YNXHJGDHKFRTNC-UHFFFAOYSA-N 413.430 4.727 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776130724 BVPKFKNKNGXXLP-QFIPXVFZSA-N 421.840 5.000 5 20 HJBD Cc1cc(-n2ccnc2)ccc1COC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 791357229 SKQCGWCGJHDEGK-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)OCc1ccc([N+](=O)[O-])cc1Cl 795936022 CVLVNYGNIWLGSN-UHFFFAOYSA-N 408.835 4.614 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)OC(C)(C)C)c1 798541587 NNRUIUHRVZWHBH-UHFFFAOYSA-N 401.419 4.593 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1 800144829 HHTLICQNIRUZST-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 801074158 MWPOMCKHQCNCLU-UHFFFAOYSA-N 409.364 4.578 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2nc3ccccc3s2)o1 812824617 ZBJRBAJUYMGODW-GHXNOFRVSA-N 405.435 4.794 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cccc(CN4CCCCC4)c3)no2)c2ncccc12 904441024 WYAYUCMZWBYNSB-UHFFFAOYSA-N 415.453 4.846 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)ccc1OC(C)C 915307529 HFXGWHCIYFHGFO-LBPRGKRZSA-N 407.854 4.927 5 20 HJBD CCNc1ccc(C(=O)O[C@H](C(=O)Nc2ccccc2Cl)C(C)C)cc1[N+](=O)[O-] 915369101 SLXIYLZJOSRJLT-SFHVURJKSA-N 419.865 4.500 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@@H]1CCOC1 919012387 DKYLPSYZAZFQHF-FEEIMYBTSA-N 424.478 4.715 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cc3ccccc3o2)cs1 921197976 BHBJWAZFGGSCIC-UHFFFAOYSA-N 408.439 4.783 5 20 HJBD C[C@H](Nc1ccc(-c2nnc(CCc3ccccc3)o2)cc1[N+](=O)[O-])c1ccccn1 1117257217 LBRSXIBDKHFUDB-INIZCTEOSA-N 415.453 4.998 5 20 HJBD COc1ccc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)cc1-c1ccccc1 1318164929 PHUOMAMVTDGRAP-UHFFFAOYSA-N 414.421 4.913 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 1330548899 HZNOWKSMOJXRRH-YQQQUEKLSA-N 413.905 4.564 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1ccc(Br)c([N+](=O)[O-])c1 9848975 CMEVFKCSKNFGGC-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3csc(C)n3)c2)cc1OC 15765891 HSKOCLTXMZBXIU-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1cccc(-n2ccn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2=S)c1 27533380 BJWVMZWBZQVAPA-UHFFFAOYSA-N 418.906 4.668 5 20 HJBD CCOc1cc(CN(C)C(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1OC(F)F 46378911 UEQPYFPFHFFAMG-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD C[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cc1ccccc1 56196003 UYWIHGBIDWCNQZ-ROUUACIJSA-N 403.482 4.863 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2C(=O)Nc2cccc([N+](=O)[O-])c2)cc1OC 72075062 HMCNAXQXVOHPHL-GOSISDBHSA-N 413.474 4.631 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc(Cl)cc1[N+](=O)[O-] 97038256 YABHOAUJPWHEEW-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c2ccccc2)cc1 104823567 NYAYEHKHSQOESR-QHCPKHFHSA-N 417.465 4.697 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccccc1OCCc1ccccc1 117514920 MLLDYEIPXBDQQP-UHFFFAOYSA-N 406.438 4.624 5 20 HJBD CCn1cc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cn1 237020153 KYLXSCHLWYRBNI-UHFFFAOYSA-N 404.829 4.686 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccc(OCC(F)F)c3)o2)c([N+](=O)[O-])c1 237434560 TUYTZPXQAWTLEX-UHFFFAOYSA-N 418.396 4.797 5 20 HJBD O=C(Nc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)C1CCC1 237902794 IPYXCLRZBOEEOB-UHFFFAOYSA-N 422.510 4.747 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Nc2cccc(COC3CCCCC3)c2)s1 302178535 NJTLNCWXQHRVFS-UHFFFAOYSA-N 410.517 4.653 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@@H](C)C(F)(F)C3)cc2[N+](=O)[O-])n1 427673435 TYQLMAFAHNYGSV-SNVBAGLBSA-N 413.471 4.628 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 432903789 GIQINNNVDOCHJK-INIZCTEOSA-N 424.501 4.666 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)C1 433240496 OHNKRFDQOFXFHL-JOCHJYFZSA-N 409.530 4.558 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Oc3ccc(Cl)cc3)nc2)c1[N+](=O)[O-] 434226014 NXLDHPNMEGIYBP-UHFFFAOYSA-N 401.810 4.534 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C(=O)O)cc2c1 439990706 ADADYCKSQRXKLL-UHFFFAOYSA-N 400.412 4.792 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCO[C@H](c4ccco4)C3)cc2[N+](=O)[O-])cc1 440652078 XVJVCXIUTRAWCC-FQEVSTJZSA-N 424.478 4.861 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CCC[C@H]2c2ccc(C)cc2)cc1OC 443900066 VAGXUDBPDXSXCG-PKOBYXMFSA-N 412.486 4.719 5 20 HJBD CCOc1cc(-c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc(Cl)c1O 445413118 QMWQNHGNHRLHSB-SECBINFHSA-N 407.785 4.693 5 20 HJBD Cc1c([C@H](C)NCc2cnn(-c3ccc(Br)cc3)c2)cccc1[N+](=O)[O-] 447577808 IZXJZOKMPNNUQO-AWEZNQCLSA-N 415.291 4.702 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCc4sccc4C3)n2Cc2cccs2)c1 461501752 DTJHOYAGTJKRAU-UHFFFAOYSA-N 423.523 4.587 5 20 HJBD Cc1cc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461939398 WNZZSQUVIFPIAZ-UHFFFAOYSA-N 422.329 4.979 5 20 HJBD O=[N+]([O-])c1ccc(NCC2(c3ccc(F)cc3)CCOCC2)c(Br)c1 462096547 JPRQNTKOEWXVMS-UHFFFAOYSA-N 409.255 4.657 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CC[C@@H](CSc2ccccc2)C1 464341523 WBAJDYVGVUFJID-OAHLLOKOSA-N 420.918 4.658 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccs2)nn1C 464521619 KMLKCUGIOSVSKM-UHFFFAOYSA-N 417.516 4.596 5 20 HJBD O=C(CSCc1cc(-c2cccs2)on1)NCc1ccc([N+](=O)[O-])cc1Cl 466297182 KIZCELOPFZQSFS-UHFFFAOYSA-N 423.903 4.514 5 20 HJBD Cc1cccc(CCNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 467898432 BLNNKQBQRHKBON-UHFFFAOYSA-N 424.888 4.536 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 468185669 CKVBXGBZVDSMQL-LBPRGKRZSA-N 420.849 4.564 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 469243498 OMGDSDKYUOIAOZ-UHFFFAOYSA-N 406.869 4.846 5 20 HJBD COc1cc(CSc2c(OC)cccc2OC)c([N+](=O)[O-])cc1OC(F)F 473519432 BGXFHZSVNAAFCO-UHFFFAOYSA-N 401.387 4.514 5 20 HJBD COc1c(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)cccc1[N+](=O)[O-] 475782838 UAJJJRDBLKLSIZ-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 480417012 IKJVRYYQQTZLBW-LBPRGKRZSA-N 418.375 4.751 5 20 HJBD Cc1cc(Br)c(O)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 482608041 VCENTIVXSIAGMM-UHFFFAOYSA-N 419.153 4.642 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])on1 485901528 SNNODLBAPWMYCL-UHFFFAOYSA-N 423.469 4.995 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486708327 FETGBNHNIPVEEZ-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=C(Nc1ncc(Cc2ccc(Br)cc2)s1)c1csc([N+](=O)[O-])c1 487647011 DQSKYBHILUZLQQ-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnn([C@H](C)C3CC3)c2)cc1 490920960 ZEUZNYKMENHGPT-CQSZACIVSA-N 422.441 4.816 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C)c(Br)c(C)c2)c([N+](=O)[O-])cc1OC 494864656 OVFFDCVRCODULE-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H]1c1nc2ccccc2s1 498237543 PMDNOBOHGNFZHE-CQSZACIVSA-N 419.409 4.783 5 20 HJBD CS(=O)(=O)c1cc(SCc2csc(-c3ccccc3F)n2)ccc1[N+](=O)[O-] 507652626 UIQHXAHTDOQXPW-UHFFFAOYSA-N 424.500 4.553 5 20 HJBD CCC(CC)N(CC)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 515016392 FFBICMFJKDTQNG-UHFFFAOYSA-N 415.456 4.867 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Cl)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 519128673 ONPLHAGBELGQEA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1Cc2ccccc2-c2ccccc2C1 522420776 ZFYYCYQBOUKRGS-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 524573024 HNVBXOBQKUZFBQ-CYBMUJFWSA-N 400.866 4.599 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@H]2CCO[C@H]21 524948658 QYDXXOHSZQITMG-NSISKUIASA-N 402.878 4.944 5 20 HJBD COC[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccco1 530858615 MEVAWKCPTYBTLW-MRXNPFEDSA-N 421.247 4.688 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(OCc2cccnc2)cc1 534248319 SVUBLSBYXZQQMQ-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(C)n2[C@H]2CCC[C@H](C)C2)cccc1[N+](=O)[O-] 535335458 IGUXXPDUSPHGMB-NOLJZWGESA-N 417.535 4.674 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@H](C)c1nc(-c2ccccc2)cs1 536855450 ABPSFDGPUYOYKI-LSDHHAIUSA-N 410.499 4.786 5 20 HJBD C[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cc(F)c(Cl)cc1Cl 539243990 QJAWQQKBTPFPGH-SECBINFHSA-N 411.220 4.942 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 539891090 QLIXQMFZOOBECK-GXTWGEPZSA-N 406.891 4.697 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 543469882 XOTDBJHICZEZBB-CQSZACIVSA-N 408.433 4.676 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)[C@H]2C 543792084 IEYRHCUAIXFCMB-KKUMJFAQSA-N 400.500 4.618 5 20 HJBD CCOCc1ccc(-c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1 544170692 PAGVVJHMSNIWCK-UHFFFAOYSA-N 408.439 4.972 5 20 HJBD C[C@@H](C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1F 544427235 HKEJEKDUYGGXLG-ADLMAVQZSA-N 408.376 4.633 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 545855865 BYRMRVGGVZSKQA-MRXNPFEDSA-N 424.476 4.691 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)c1 549010535 VLWSNOJBNNSJIL-HNNXBMFYSA-N 423.494 4.975 5 20 HJBD O=C(c1ccc(OC[C@@H]2CCCO2)cc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 554141635 IOLPPPKZMYWDRG-QFIPXVFZSA-N 410.470 4.742 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 561139224 YHWOUZGTFMIIJG-TUACAJSNSA-N 422.528 4.949 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(-c3ccncc3)c(C)c2)cc1[N+](=O)[O-] 580416162 ZEYUMGYMUGFHQB-UHFFFAOYSA-N 423.494 4.846 5 20 HJBD Cc1onc(-c2cccnc2)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 588886606 GGVBRJLPRGSCAN-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2c(Cl)cccc2[N+](=O)[O-])c1=O 589057558 SBVLYDSKHVHYRZ-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD COc1ccc(CN2CCCC[C@H]2c2cc[nH]n2)cc1OCc1ccc([N+](=O)[O-])cc1 589183270 AVKYJLOIIZRBMO-NRFANRHFSA-N 422.485 4.633 5 20 HJBD O=C(NC1CCC(c2ccccc2)CC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737601 RZXJIFVNIRUVQL-UHFFFAOYSA-N 422.403 4.992 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cc(Cl)ccc2SC(C)C)cc([N+](=O)[O-])c1 609183568 ZQFBHCYJOHGAGZ-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1 609212048 FHJPNTMNHMPZDG-ZDUSSCGKSA-N 414.462 4.726 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(C(F)(F)C(F)(F)F)cc3)n2)cc1 609234265 OKTWYBGYTOEBSL-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD CCC(CC)(CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 609550277 YXTRNYFTMOOGEX-HNNXBMFYSA-N 403.910 4.888 5 20 HJBD Cc1cc(C)nc(SCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 609762415 IPLGMIAHEYGSIN-UHFFFAOYSA-N 410.455 4.525 5 20 HJBD CN(c1ccccc1)c1ccc(CNC[C@@H](CCO)c2ccccc2)cc1[N+](=O)[O-] 610137572 JAUGRFCQQCZPEE-OAQYLSRUSA-N 405.498 4.619 5 20 HJBD Cc1c(CC(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)cccc1[N+](=O)[O-] 610970275 NZZRZLNVDJWKCP-UHFFFAOYSA-N 421.522 4.975 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1scc(C(=O)OC)c1C 611475371 XPJJWFLFWJQRJZ-UHFFFAOYSA-N 408.501 4.896 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cc2)cs1 611999904 IXGYLXPGJVRUIZ-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD COc1ccc(CSCc2nc(-c3cccc4cnccc34)no2)cc1[N+](=O)[O-] 612931917 NRNXTPSPVYPUEJ-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD O=C(Nc1ccc(CC[C@H](O)c2ccccc2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 727762706 NZJOUEZYLZWYRR-QFIPXVFZSA-N 415.449 4.995 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@@H]1C[C@@H]1c1cccc2ccccc12 730877258 QTNNJBGLUNWKCT-WOJBJXKFSA-N 415.405 4.645 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@H]1c1ccc(Br)c([N+](=O)[O-])c1 731496119 BJGGGDYLKFVMGO-OAHLLOKOSA-N 410.655 4.597 5 20 HJBD Cc1ccc(N(Cc2ccco2)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1C 735272568 HNFVAGHIJGSTHY-UHFFFAOYSA-N 417.421 4.905 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)c1 745388877 WSQUPXWBKGPTBE-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1cccc(Cl)c1[N+](=O)[O-] 754275414 NFOKIPKAZUXMHK-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 755425266 XCDOHBRBYFOSGO-SJCJKPOMSA-N 405.376 4.855 5 20 HJBD O=C(c1cnc(NCc2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1)N1CCCCC1 756975195 VCKUQTTXKXKYNH-UHFFFAOYSA-N 409.273 4.535 5 20 HJBD Cc1ccc(Br)c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760534016 WYPNGVXMUXCUED-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 760872179 ZISDKMZYQUJUAY-BTYIYWSLSA-N 403.910 4.583 5 20 HJBD Cc1nc2ccc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cc2s1 763220792 OUJXJSGCCUOTTA-HXUWFJFHSA-N 405.435 4.854 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763412275 OKLYWDFTRNKLCU-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 777326804 WHQGYQJRHJXEKL-NRFANRHFSA-N 415.405 4.520 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC([C@H](C)NC(=O)OC(C)(C)C)CC1 787406579 QQADKVHPLWUDJF-NOCRFKNCSA-N 403.523 4.623 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])c(F)c1 787689209 FEJKBHOISHOOHJ-LJQANCHMSA-N 419.368 4.730 5 20 HJBD CC(C)=CCCNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 791002018 FDXMKYUQVRXVGU-UHFFFAOYSA-N 401.850 4.587 5 20 HJBD CCOC(=O)/C(=C\c1csc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cn1 794943741 OXGSAWMSEDXDLQ-SXGWCWSVSA-N 415.858 4.870 5 20 HJBD O=C(OCC[C@H]1CCCCN1C(=O)c1ccc(Cl)s1)c1cccc([N+](=O)[O-])c1 918636174 DZHOONOTFJRPHX-CQSZACIVSA-N 422.890 4.552 5 20 HJBD C[C@@H](OC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 920266980 CMFROGZMSFRACH-LLVKDONJSA-N 419.846 4.695 5 20 HJBD O=C(Nc1cccc(-c2ccc3c(c2)CCO3)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319770116 OSQYXBCMUHXKFT-UHFFFAOYSA-N 401.422 4.744 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 11025163 PPKOIRIWSQWORG-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCOc1ccccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 15657394 AUAYNNNYUKFNBB-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD Cc1ccc(NC(=O)Cc2csc(Cc3cccc(Cl)c3)n2)cc1[N+](=O)[O-] 46666444 SVXJFUVOAHQJNO-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD O=C(NCc1ccc(N2CCCCCC2)nc1)c1cc2cc([N+](=O)[O-])ccc2s1 117456163 AHVKKAKJHAPJAD-UHFFFAOYSA-N 410.499 4.515 5 20 HJBD CCNC(=O)c1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 236984580 IYLBBKQUCKFDQF-UHFFFAOYSA-N 423.444 4.566 5 20 HJBD COc1ccc2c(C)cc3nnc(Sc4ccc([N+](=O)[O-])c5cnccc45)n3c2c1 301059268 KNDOEQHYOVKYEM-UHFFFAOYSA-N 417.450 4.807 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc2ncccc2c1[N+](=O)[O-] 301750761 ULSRMWKPMXICOW-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD COc1cccc(-c2nnc(Sc3ccccc3[N+](=O)[O-])n2Cc2ccco2)c1 302921946 QTCFPUSYNPBAQR-UHFFFAOYSA-N 408.439 4.654 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(Nc2ccc([N+](=O)[O-])cc2Br)CC1 380423975 FAJDHNMEJPDSNC-UHFFFAOYSA-N 414.300 4.605 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 410189137 IOCJBFWYAFEJBM-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD C[C@@H]1CC[C@](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426641053 XMRZJOSJOLUXPS-IVZQSRNASA-N 416.449 4.897 5 20 HJBD CC(C)(NCC(=O)Nc1cc(C(F)(F)F)ccc1Cl)c1ccccc1[N+](=O)[O-] 429273032 LFYFGJDQVIMJKG-UHFFFAOYSA-N 415.799 4.730 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](Cc1nc(C)no1)c1ccccc1 429462657 RLWGKZNSMVYFKK-MSOLQXFVSA-N 412.515 4.731 5 20 HJBD C[C@@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccc([N+](=O)[O-])s1 430442012 PZLJXQQLVBCAQU-OAHLLOKOSA-N 421.478 4.629 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2ncccc2Cl)CC1 430509371 XETVAOJJSGCXFF-UHFFFAOYSA-N 417.896 4.750 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)c2)cn1 430527842 VTEQQWXLLUQSRW-UHFFFAOYSA-N 405.454 4.542 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)s1 430936238 YALXDPAITXMCRC-SNVBAGLBSA-N 403.685 4.953 5 20 HJBD C[C@@H](Oc1ccc([N+](=O)[O-])c(CO)c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 431888871 YUZGYPLZGHJENT-SECBINFHSA-N 410.213 4.584 5 20 HJBD CC(C)CCn1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)nn1 435441522 CIFZYKFCHHTGCK-UHFFFAOYSA-N 417.516 4.697 5 20 HJBD Cc1nc(-c2ccc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)cc2)cs1 441060436 FFEYKDJALPZANT-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444232589 RFMDDVMNQCVGGY-OLZOCXBDSA-N 420.406 4.919 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 460639203 ZLOBDYAKUOZLHW-UHFFFAOYSA-N 411.483 4.527 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(C)(C)CN(C)C 461679635 JLEHLGSZWNDSDG-AWEZNQCLSA-N 419.909 4.747 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 462777846 UPTBKRJGAQYDBT-DENIHFKCSA-N 415.578 4.667 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1 463718255 YEJPEARLSJYLIF-UHFFFAOYSA-N 419.485 4.501 5 20 HJBD COc1cc(CNC/C(C)=C/c2cccc([N+](=O)[O-])c2)ccc1OCC(F)(F)F 468623057 USJDJNOBSKLJFI-RIYZIHGNSA-N 410.392 4.738 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)c1cccc([N+](=O)[O-])c1N 468656750 ZOIDWTSLPWPSLA-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 468847014 DCUWLPFBZFQFHE-OAHLLOKOSA-N 411.483 4.625 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3)c3ccccc32)cc1OC 470220578 RGILLQOQBOWRBR-LJQANCHMSA-N 418.449 4.794 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 471169557 PGHZNEKDWSMVJI-UHFFFAOYSA-N 415.453 4.551 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 475941610 UUZIZMBIPQGNJV-INIZCTEOSA-N 401.532 4.811 5 20 HJBD Cc1ccc(NC(=O)c2cn(C3CC3)c3cc(Cl)c(F)cc3c2=O)cc1[N+](=O)[O-] 476750577 YKRALHRVJWWTEK-UHFFFAOYSA-N 415.808 4.598 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1ccc(Br)cc1[N+](=O)[O-] 476939634 KWXGRPQJJKPNTN-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1c(F)cccc1F)C1CC1 481130258 YWPPNEHAMXCZRO-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCC[C@@H]1C(F)(F)F 482231619 ZJNXNPZRESEVMA-YPMHNXCESA-N 408.804 4.502 5 20 HJBD Cc1ccc(Br)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482936477 ZNKQNYCPPOYCAT-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)c3cnn(C)c3C)cc2[N+](=O)[O-])cc1 484262357 KCZBMCOMWRSVBE-CQSZACIVSA-N 410.499 4.587 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C[C@@H](C)O)c1ccccc1 490586309 MJOVDHZYHBCMEC-SJLPKXTDSA-N 416.543 4.627 5 20 HJBD CC(C)Cn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c(C(F)F)n1 493114286 QSAWSUQQNZQDGN-UHFFFAOYSA-N 406.311 4.656 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(CCc2ccccc2)Cc2cccnc2)cc1[N+](=O)[O-] 493254523 KLCXCXXQAQPUGI-LJQANCHMSA-N 418.497 4.814 5 20 HJBD COc1cc(CNc2cnn([C@H](C)C3CC3)c2)c([N+](=O)[O-])cc1OCc1ccccc1 494391826 IVOHSRXVLKQQPK-MRXNPFEDSA-N 422.485 4.962 5 20 HJBD CC(C)c1ccc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2CN(C)CCO2)cc1[N+](=O)[O-] 498359366 NYEULZWSBYXBFQ-FCHUYYIVSA-N 417.937 4.533 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)ccc1F 498654491 NBIJTYBFGHEWIZ-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD Cc1cc(F)cc2c1CN(C(=O)c1cc(F)cc3c(=O)c4cc(F)ccc4[nH]c13)CC2 504127437 VXANGPUWUHWNGC-UHFFFAOYSA-N 422.406 4.606 5 20 HJBD CC(C)n1c(SCc2c(F)cccc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 504508573 WCBMKLLDLOADOK-UHFFFAOYSA-N 407.854 4.970 5 20 HJBD COc1cccc([C@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506162905 GOPWPLZWRGBGTH-JKSUJKDBSA-N 420.469 4.663 5 20 HJBD CCCCN(C)C(=O)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 510385760 CSZPUOJALOFGOP-UHFFFAOYSA-N 415.559 4.794 5 20 HJBD O=C(CCN[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1)Nc1ccccc1[N+](=O)[O-] 511593436 MAKRVPHOVGKMOU-LJQANCHMSA-N 423.391 4.563 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 513788715 WFLQRFZGLKKDBE-SGXKBVARSA-N 422.529 4.941 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 513834468 ULVOGSAHYGTNKE-UHFFFAOYSA-N 415.808 4.944 5 20 HJBD COc1ccc(CNC(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc1[N+](=O)[O-] 518166388 YLJQYXXJIUDXTK-LJQANCHMSA-N 408.458 4.522 5 20 HJBD C[C@@H](SCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(OC(F)(F)F)cc1 518917026 PLSIDPYQHNDLGZ-SNVBAGLBSA-N 400.378 4.587 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 520558739 GKQSBLFFIZBPLI-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H]3CCN(C4CCCC4)C3)c([N+](=O)[O-])c2)cc1 524671595 WNWICOAZVMHSNS-IBGZPJMESA-N 408.502 4.584 5 20 HJBD Cc1cc(-c2ncccc2NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cs1 527339935 CZJYLBYNSOXCPU-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CCOC(=O)c1cc(N[C@@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 531823105 WNQGQJLKGXAAHO-INIZCTEOSA-N 421.453 4.919 5 20 HJBD Cc1c(NC(=O)NC[C@@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)cccc1[N+](=O)[O-] 536487770 HENGPNSNZDRNHM-LIRRHRJNSA-N 420.872 4.510 5 20 HJBD C[C@H](NCc1cccc(Br)c1OC(F)F)c1cccc([N+](=O)[O-])c1 537078238 XOERJZDUORAYBS-JTQLQIEISA-N 401.207 4.810 5 20 HJBD CCS[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 539899876 YIKGKMBRJQZZIX-CYBMUJFWSA-N 402.476 4.684 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCc2ccccc2)CC1 540398093 MNZGTPWJIHWKOF-UHFFFAOYSA-N 414.527 4.917 5 20 HJBD C[C@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])Oc1ccccc1Cl 540529930 CFUQQGMZWMREST-CQSZACIVSA-N 411.845 4.508 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 545038120 KHPUCKKWNAYONW-UHFFFAOYSA-N 417.849 4.649 5 20 HJBD COCCC(C)(C)CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 545341569 GOXHCRWRVRTGDH-LJQANCHMSA-N 419.909 4.580 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(Cc3cn4cc(Cl)cc(Cl)c4n3)no2)cc1 546359644 WRWNHARWFSWMFD-ZZXKWVIFSA-N 416.224 4.694 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(C)c2I)n1 546399535 FOXTZLZGLGFSTD-UHFFFAOYSA-N 421.194 4.533 5 20 HJBD Cc1cc(Cc2noc(CCc3nc(C)c(-c4ccccc4)o3)n2)ccc1[N+](=O)[O-] 546557410 CGUYWALJFOEJJP-UHFFFAOYSA-N 404.426 4.626 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 554235286 UXLBKHBLDNLOLM-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD Cc1c(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cccc1[N+](=O)[O-] 557438506 QAUGSGKSROMSSG-SGTLLEGYSA-N 408.376 4.524 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CC[C@](F)(c3cc(F)cc(F)c3)C2)cc1[N+](=O)[O-] 565612502 NJDPONSEXRNJEE-RNODOKPDSA-N 407.392 4.523 5 20 HJBD CCN(C)C(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 583254564 IRQJDFIYQLZYIY-UHFFFAOYSA-N 415.515 4.687 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604073545 MWYUJWKAFZGHIV-UHFFFAOYSA-N 424.638 4.753 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](C)c2cc(F)c(Cl)cc2Cl)cc1[N+](=O)[O-] 604081269 HHUUFHZBCMTBEN-VIFPVBQESA-N 416.236 4.610 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1c(Cl)cccc1[N+](=O)[O-] 609483178 HDZGNTSYQISQHK-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 609667733 JNNFQCVYNWUGKK-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD O=C(Nc1nc(/C=C/c2ccccc2)cs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 609757269 RHEAJKMRMUPWFI-SOFGYWHQSA-N 417.450 4.660 5 20 HJBD CC(C)CN1CCC(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 609773163 OLPNEAFYOSQZCN-UHFFFAOYSA-N 412.490 4.629 5 20 HJBD C[C@@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1C(F)(F)F 610222084 DHCCPZMMZYQVTO-LLVKDONJSA-N 410.348 4.517 5 20 HJBD CN(C(=O)OC(C)(C)C)[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 725748805 TWQOLRZMJYPXAS-SFHVURJKSA-N 419.525 4.860 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccsc1 728887291 GAHRLSDWFVAJST-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccnc(C2CC2)n1 732628377 FCZOGHIRSOKVJL-UHFFFAOYSA-N 424.844 4.747 5 20 HJBD Cc1ccc(C(=O)CCC(=O)CCC(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)s1 735790975 HCSLSYLGFQIWLH-UHFFFAOYSA-N 423.874 4.844 5 20 HJBD O=C(c1ccc(C2SCCS2)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 741956279 DDJWWZYPDAUBAT-SFHVURJKSA-N 418.565 4.961 5 20 HJBD Cc1cc2c(cc1C)O[C@@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)C2 744359424 HXTGVTCJUIIVIS-HXUWFJFHSA-N 400.862 4.612 5 20 HJBD C[C@H](OC(=O)c1c[nH]cc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744751291 LCMJGGLLBVRCNL-ZDUSSCGKSA-N 404.382 4.558 5 20 HJBD Cc1cc(C)cc(C2(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 744819003 RUTIHYZFSROGBU-OAHLLOKOSA-N 407.426 4.598 5 20 HJBD CC(C)(C)c1ccc(NC(=O)COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 745567562 CIRRMGCBSWLSRC-UHFFFAOYSA-N 424.375 4.707 5 20 HJBD C[C@H](OC(=O)c1cccc(-c2ccoc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 760569878 BKEFJPMKCXLQBO-LBPRGKRZSA-N 414.801 4.692 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764220121 YCWDUHAOAAXVIJ-GOSISDBHSA-N 410.861 4.931 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3ccc(CNCCCF)cc3[N+](=O)[O-])sc2c1 767925817 GAIIPIOENXVIRO-UHFFFAOYSA-N 422.463 4.713 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 768624506 PWZICPWHKSABQD-CYBMUJFWSA-N 403.360 4.725 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(NC(=O)Nc3ccccc3)s1)CC2 773987868 BPDDVHZZSWFNOY-UHFFFAOYSA-N 422.466 4.812 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])NCC1(c2cccs2)CCCCC1 781646823 SOGACDRYKOVHLC-UHFFFAOYSA-N 417.487 4.515 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)s2)o1 781751240 UXUIZBQXBKECEB-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD CCc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 791580308 OOOKECSIYRTRCK-UHFFFAOYSA-N 420.803 4.727 5 20 HJBD COc1cc(OC2CC2)ccc1C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 792532193 PCXXTXMAQYOXSM-UHFFFAOYSA-N 416.861 4.855 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(F)(c2ccccc2C(F)(F)F)CC1 798545555 YOZMIIBQIXHKGY-UHFFFAOYSA-N 414.330 4.854 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 801951423 SUNAAPUUYGCDSF-UHFFFAOYSA-N 410.232 4.552 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@@H]1CCN(CCc2ccccc2)C1 811477920 IKESIEAXAKVDCU-INIZCTEOSA-N 408.329 4.556 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccccc2CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 916086154 TZIOMDSKRQIPNY-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD COc1ccc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c(OC)c1 916838914 IWQKLCZEGRQSDB-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1ccc(CN2CCCC2=O)cc1 920330073 RGSVGBGCIGGOJY-UHFFFAOYSA-N 416.433 4.603 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1c1nnc(-c2cccc3ccccc23)o1 1117246076 YQWVVYHACRZYSL-INIZCTEOSA-N 420.450 4.837 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cc(-c4ccccc4)on3)o2)ccc1N1CCCC1 1346009181 OWKQOOKWIMOTSZ-UHFFFAOYSA-N 403.398 4.567 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)COc3ccc(C=O)cc3[N+](=O)[O-])cc2)cc1 7397209 VTCNYWRBOPSEOO-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)c1cc(C)n(CCc2cccs2)c1C 7510095 PRXQOABYNGPBFO-UHFFFAOYSA-N 414.483 4.588 5 20 HJBD Cc1c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)oc2ccc(F)cc12 7541876 WFFOKQRPCKDEMQ-LLVKDONJSA-N 411.345 4.757 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(c2nc3ccccc3s2)CC1 15620223 UWTUWUVNGNSNPV-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054203 ZOMXTBUSVBMEOP-LLVKDONJSA-N 403.485 4.723 5 20 HJBD O=C(N[C@H]1CCSc2ccc(Cl)cc21)c1ccc(NC2CC2)c([N+](=O)[O-])c1 16299023 OZOVHTQVZMLCGA-HNNXBMFYSA-N 403.891 4.789 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc(C)cc2[N+](=O)[O-])cs1 54915083 JYCCCCVBKCRNAT-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(Br)cc3)cs2)c1 236703032 RRJKQHUISXUCEA-UHFFFAOYSA-N 404.289 4.771 5 20 HJBD CC(C)[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1nc(-c2ccncc2)no1 301865041 MMSIYFMEVNDFPV-IBGZPJMESA-N 416.441 4.911 5 20 HJBD C[C@H]1C[C@@]1(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 410366702 HUERIDLCUKNNLG-AYVTZFPOSA-N 415.696 4.737 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](Cc1nc(C)no1)c1ccccc1 429462663 RLWGKZNSMVYFKK-ZWKOTPCHSA-N 412.515 4.731 5 20 HJBD CCCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1C 429674229 JYZXGILXAVAKTK-UHFFFAOYSA-N 407.474 4.705 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 429813627 JHJQLJHFVFTPQG-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1ccc(C(C)(C)C(F)(F)F)cc1 429948211 FBYIZIDQVFBNFW-UHFFFAOYSA-N 423.391 4.629 5 20 HJBD O=C(c1cc(C2CC2)nc2ccc(F)cc12)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437595599 YTEDBRVIBCXXAG-UHFFFAOYSA-N 405.429 4.964 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@H](C)N4CCc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 438523318 LRZXBVJWJBONQP-STQMWFEESA-N 424.526 4.549 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c1 444001538 IBUYNMPELNSAAI-HNNXBMFYSA-N 420.459 4.937 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Cc1cccs1 444087352 LEZOGWVUPSNMHB-GFCCVEGCSA-N 412.461 4.630 5 20 HJBD COc1cc(C(=O)N2CC(C)(C)Sc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 446424074 JECXNGBLBIAHLY-UHFFFAOYSA-N 424.425 4.736 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3cc(C)c([N+](=O)[O-])cn3)C2)n1 447683961 VQKAIGFBISZGGQ-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(N2CCCC2=O)ccc1Cl 462432304 MLGGDXDCRKKKQH-OAHLLOKOSA-N 415.877 4.582 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 464190256 MSGKBYKJHNVQNV-UHFFFAOYSA-N 416.421 4.692 5 20 HJBD CCOc1c(Br)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)cc1OC 464717070 XJYAHJRJHFKSRM-UHFFFAOYSA-N 423.263 4.579 5 20 HJBD CSc1cc(C(=O)COc2ccc([N+](=O)[O-])c3ccccc23)ccc1NC(C)=O 464802359 OMIKHFDNCBMZPY-UHFFFAOYSA-N 410.451 4.690 5 20 HJBD C[C@@]1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOC1 466437218 ZBPLDSUWKCXEGX-IBGZPJMESA-N 406.891 4.556 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3ccc(Cl)cc3F)s2)c1[N+](=O)[O-] 470630662 BVBUDGCOROARCV-UHFFFAOYSA-N 424.866 4.880 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cnc4ccccc4n3)cc2[N+](=O)[O-])n1 475057339 WAMIDJNJKOJRMK-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](c2cccc(Cl)c2)c2ccccn2)cccc1[N+](=O)[O-] 475324862 INYIIENFUHQFGN-QFIPXVFZSA-N 424.888 4.659 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc3c(Cl)cc(C(F)(F)F)cn23)c(F)c1 476525237 YAAHIUOSQSMORZ-UHFFFAOYSA-N 406.748 4.741 5 20 HJBD CCC[C@@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(N2CCCC2)c1 482035546 ANOZGLKUFXUEKS-HTAPYJJXSA-N 410.518 4.653 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1OCC1CC1 482490332 NAXRRQUVLJYFOB-UHFFFAOYSA-N 408.813 4.584 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 485455836 FJHXECGVOHUGQM-UHFFFAOYSA-N 408.433 4.811 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3ccccc3)c(C(C)=O)s2)c1[N+](=O)[O-] 485737583 XJZVHVKABYZXOX-UHFFFAOYSA-N 413.480 4.895 5 20 HJBD CC[C@@H](NC(=O)CCSc1ccc2c(c1)OCCCO2)c1cccc([N+](=O)[O-])c1 487845200 SWQULEGDJLANQZ-GOSISDBHSA-N 416.499 4.506 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cc(Cl)c(Cl)cn1 488660999 QFJFHTDZPCFQOP-UHFFFAOYSA-N 409.151 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](Cc2cccc(F)c2)c2cccc(F)c2)c1 489957413 PRLUGMWMPAFTDM-HXUWFJFHSA-N 412.392 4.595 5 20 HJBD CCCN(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@H](C)C(=O)Nc1ccccc1C 489989002 GRZBPJSSRUSZAK-QGZVFWFLSA-N 411.502 4.906 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@@H](C)CC(=O)Nc1ccccc1 500642177 KQLRLOAVSDRCOM-HNNXBMFYSA-N 409.442 4.611 5 20 HJBD CCOc1cc(NC(=O)CSc2ccc(C)cc2C)c([N+](=O)[O-])cc1OCC 501213218 ZNYJOXUWHBIOOR-UHFFFAOYSA-N 404.488 4.740 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(C[C@H]3CCOC3)C3CC3)o2)c(Br)c1 506579657 QFEIGJXQPYQCSY-CYBMUJFWSA-N 421.291 4.618 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1 508393538 VGLSYKCDGHEOAX-RHSMWYFYSA-N 408.376 4.688 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 508727931 GLTFIMBHMDGEOL-AWEZNQCLSA-N 421.419 4.919 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccsc2)c2ccccc2)c([N+](=O)[O-])cc1OC 509173452 XFDYSTPZHMNHQM-UHFFFAOYSA-N 412.467 4.911 5 20 HJBD O=C(Cc1cccc(OCc2cccc(Cl)c2)c1)NCCc1ccc([N+](=O)[O-])cc1 520706174 VBGAIWCYXZAEOR-UHFFFAOYSA-N 424.884 4.729 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccccc3)Cc3ccc(F)cc3)n2)c1 521193690 OARCJUKXKSMPRM-UHFFFAOYSA-N 418.428 4.986 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1cccc(Oc2ccnc3ccccc23)c1 522337455 HYNOMJNPRQUOTQ-UHFFFAOYSA-N 414.421 4.986 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(NC(=O)c2cccs2)cc1 522746547 DGHNIFPPBZULKP-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD CNc1ccc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530458753 ZEHACJGLIUEELW-LJQANCHMSA-N 423.448 4.533 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1OC(F)(F)F 533221598 PRYNUOWQCJCOJT-GFCCVEGCSA-N 422.363 4.736 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(Br)c1 533672627 WHRQDJDNOYFASB-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)CC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-] 533763026 GQWYYSXERJCJQN-LBPRGKRZSA-N 410.927 4.768 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]2CCCC[C@H]21 535509218 RLVCCMPXGWSATH-HNAYVOBHSA-N 415.515 4.664 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3nnc([C@H]4Cc5ccccc5O4)o3)o2)cc1 537491629 PPIKQNXXEHACEG-LJQANCHMSA-N 404.382 4.526 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 537673580 PXVNHMJAEFQRCJ-OAQYLSRUSA-N 409.530 4.504 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 537765153 XFMJRCXQZQEENQ-ZIAGYGMSSA-N 404.850 4.585 5 20 HJBD C[C@H](Oc1ccccc1Cl)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251378 IWPSNVZXXYHJEM-LBPRGKRZSA-N 417.874 4.802 5 20 HJBD CC(C)C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 538564427 HUQHMUTWKFZCNS-UYAOXDASSA-N 411.502 4.530 5 20 HJBD CCOC(=O)CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 539354541 GQTLUYJNQVCBIT-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)F)cc1 540323524 JTEFCBNYNFQVGV-RYUDHWBXSA-N 404.373 4.658 5 20 HJBD Cc1csc(C2(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])CCCC2)n1 541261342 FLVFAKWFYVRRNW-UHFFFAOYSA-N 411.936 4.691 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 543922111 QMFBJVIXAOKWLB-GOSISDBHSA-N 420.343 4.574 5 20 HJBD CC(C)c1cccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)n1 546450500 VVYJFBCYLUKXML-UHFFFAOYSA-N 403.236 4.517 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C[C@H](Cc3ccccc3)c3ccccc3)n2)nc1 547190340 FIYFHXKKQVHZLO-IBGZPJMESA-N 400.438 4.533 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 551210535 FYQUDCLPUSZFKH-AWEZNQCLSA-N 421.419 4.569 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1sccc1Br 553335794 TVWPHDHPVUJOBP-UHFFFAOYSA-N 415.334 4.849 5 20 HJBD Cn1cc(C(F)(F)F)nc1[C@H]1CCCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C1 553687733 HENONYBFXWOFKH-JTQLQIEISA-N 422.329 4.750 5 20 HJBD COc1ccc(CNc2cc(C)c(C(F)(F)F)cc2[N+](=O)[O-])c(OC)c1OC 555117318 LGZDACFFQVKCCU-UHFFFAOYSA-N 400.353 4.560 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 557078480 DGOXMJKFFIYYDR-OAHLLOKOSA-N 408.376 4.642 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2Cc3cccc(Cl)c3C2)cc1[N+](=O)[O-])c1ccccn1 557959233 OXGJHSLZIPLHOT-CQSZACIVSA-N 422.872 4.972 5 20 HJBD Cn1ccnc1[C@H](Nc1cccc(OCc2cscn2)c1)c1cccc([N+](=O)[O-])c1 560550884 RRWVCNHAIILBNA-HXUWFJFHSA-N 421.482 4.565 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 603569726 INKOWSRBBWCART-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)c2ccccc2F)c1 603637517 VQZCFWIQSZZOAR-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(Br)cc2n1 603967161 SDAMICJHBWTVCH-GFCCVEGCSA-N 414.259 4.705 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 603990720 LNCKKLIUXBQLNG-UHFFFAOYSA-N 407.426 4.610 5 20 HJBD CCCOc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 604023074 FJNRUCYCOGHEMC-UHFFFAOYSA-N 412.364 4.885 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(C(C)(C)C)cc2)C(F)(F)F)c1 604430686 APKAPFQABWJBJS-KRWDZBQOSA-N 410.392 4.934 5 20 HJBD O=C(Cc1cccc(OCc2ccc(Cl)cc2)c1)NCc1cccc([N+](=O)[O-])c1 609261885 IBIARDZMRZFGKO-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCSC[C@@H]1c1ccc(Cl)cc1 609703493 PLYGHVIZAFISGT-GOSISDBHSA-N 401.875 4.660 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cc(Cl)cc([N+](=O)[O-])c1 609853746 KFXVWPBCFOPQRI-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610963081 JOTFVIPJGJPQLX-UHFFFAOYSA-N 400.263 4.611 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(-c2n[nH]c3c2CCCC3)c1 611145742 PLWAKFZSJRFDEH-UHFFFAOYSA-N 415.453 4.526 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1OCc1ccn(C2CCCC2)n1 612661124 LKPLAWABCMPOSI-UHFFFAOYSA-N 417.263 4.796 5 20 HJBD O=C(Nc1ccccc1-c1ncc2n1CCCC2)c1c[nH]c2cc([N+](=O)[O-])ccc12 619581074 OYXQXAZZNIETSV-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD CC1(C)CN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c2ccccc21 672867985 KTFMHACFBWXWBA-UHFFFAOYSA-N 404.416 4.898 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C(C)C)c1C 736874079 GEIQRAKQMXTLBE-GOSISDBHSA-N 404.850 4.685 5 20 HJBD C[C@@H](Sc1ccc(S(=O)(=O)N2CCCCC2)cc1[N+](=O)[O-])c1cccs1 737425708 ZUHZVGGKYZMQFM-CYBMUJFWSA-N 412.558 4.684 5 20 HJBD O=C(Nc1ccc(N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Br 739716453 HDGFZMPYMNGUNK-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD Cc1cccc2cc(C(=O)N(Cc3nnc(-c4cccc([N+](=O)[O-])c4)o3)C(C)C)oc12 747121469 KUAHWOPQHBOOGB-UHFFFAOYSA-N 420.425 4.750 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1 750199077 ZXBSMVAMRMGLRM-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD C[C@H](C(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 754432421 ZAMYZUDRZYYNRE-GXTWGEPZSA-N 410.367 4.872 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Br 754664676 YDNMQNBUCBZHQF-CABCVRRESA-N 403.276 4.814 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)ccc21 755014739 XTPOYWDOVLPNRV-UHFFFAOYSA-N 421.226 4.679 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755068267 UBOXPWFQZXQXNH-UONOGXRCSA-N 416.449 4.533 5 20 HJBD CC(F)(F)CC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 758731652 UBEOUTIVMNPLQQ-MRXNPFEDSA-N 412.776 4.517 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc2cc(Cl)ccc2n1C 766485130 DKSBRMWZCBNEMJ-LLVKDONJSA-N 410.817 4.601 5 20 HJBD COc1ccccc1COC1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 769928833 QPFXJMCSKDADBL-UHFFFAOYSA-N 410.392 4.808 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)c1ccco1 778742733 XVHQWPPKYFTLFH-HNNXBMFYSA-N 408.410 4.975 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cn(-c3ccc(Cl)c(Cl)c3)cn1)CC2 797474763 KMUVOXKMEYWGCP-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD O=C(CN1CCc2cc([N+](=O)[O-])ccc21)Nc1cc(Cl)c(Cl)cc1Cl 797674983 CBJOMMSWKCBOFG-UHFFFAOYSA-N 400.649 4.556 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1c(Cl)cc(F)cc1Cl 797816919 XEDHQQBNLLBJAI-UHFFFAOYSA-N 413.641 4.803 5 20 HJBD CCn1c(SCc2ccc(Cl)nc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 799889276 VDESPZQCGBMKQL-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1ccc(OC(C)C)c2ccccc12 800051522 MSVZVFKVTDEVDW-UHFFFAOYSA-N 418.446 4.784 5 20 HJBD CC(C)(CCc1noc(-c2ccc(Br)c(C(F)(F)F)c2)n1)[N+](=O)[O-] 812815527 OSWOYJNZZPGTPK-UHFFFAOYSA-N 408.174 4.506 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCOc1ccc2ccccc2c1 914900149 DXVAISTVAYTRNO-UHFFFAOYSA-N 423.468 4.930 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)c1C 917829015 ZCNWWKQCPSCTBQ-UHFFFAOYSA-N 424.501 4.659 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc(-c3ccc(Br)cc3[N+](=O)[O-])o2)o1 1257872251 HAWLFIOXTPMIHM-UHFFFAOYSA-N 421.251 4.509 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCn2c(Cc3ccccc3)nnc2C1 1323427811 LIQWPGYMFACHOK-UHFFFAOYSA-N 418.500 4.831 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Nc2ccccc2Cl)CC1 1325554898 OLKOWBGPFVUOSC-QGZVFWFLSA-N 415.921 4.920 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(/C(Cl)=C\c2ccc([N+](=O)[O-])cc2)s1 96993417 SNYGHOYAZQCSQJ-NTEUORMPSA-N 404.810 4.575 5 20 HJBD Cc1sc(NC(=O)c2cnn(-c3ccccc3)c2C)nc1-c1cccc([N+](=O)[O-])c1 195704100 QCBHEZWIUJBSKQ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(-n2cccn2)c1 237641747 IEJTXEIGAFWUFB-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CCOc1cc(N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)ccc1[N+](=O)[O-] 301581473 NUCCNZRXFLAGCE-OAHLLOKOSA-N 406.442 4.814 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ncc(Cl)cc3[N+](=O)[O-])cc2)cc1 301745110 NUSKQMFLNZXYQO-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)cc1C(F)(F)F 302042716 LXEHFCUQMVHZNE-UHFFFAOYSA-N 408.302 4.670 5 20 HJBD COc1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1OC1CCCC1 303501653 BSWAYSQUVOBSSW-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD Cc1c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cnn1CC(C)C 426928041 XDWAXYBJWYNMCS-UHFFFAOYSA-N 408.458 4.587 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 437140632 GSQLXWPSULKWKJ-GFCCVEGCSA-N 423.391 4.703 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437235964 WBZHTOFZHAFLIW-UHFFFAOYSA-N 417.181 4.961 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 438902131 DGTFJMVMEBBZAH-UHFFFAOYSA-N 403.438 4.645 5 20 HJBD Cc1c(CC(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)cccc1[N+](=O)[O-] 439294509 FPBNJLSTNCJYRU-UHFFFAOYSA-N 401.466 4.699 5 20 HJBD Cc1ccnc(Oc2cc(N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)ccc2C)c1 439617937 LJKQXBSIINHMKW-MRXNPFEDSA-N 406.442 4.838 5 20 HJBD O=C(Nc1c2c(nn1-c1ccccc1)CCC2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443826832 BRQARFTVXYFOTF-UHFFFAOYSA-N 416.359 4.540 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444074036 LGMFQUWGWLKKJH-UHFFFAOYSA-N 411.230 4.835 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 444918284 HECSUVPOYPEVQA-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1)Nc1c(Cl)cccc1Cl 445374552 VZACZQCNFZGVCI-TWGQIWQCSA-N 419.224 4.636 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cc1NC(=O)c1ccco1 445813784 NJDRGWHIQIBAII-UHFFFAOYSA-N 413.817 4.665 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447014933 DMPYMYPBDJGEIY-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD O=C1CCN1c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 447978251 REVWCBHKPQIETM-UHFFFAOYSA-N 407.401 4.875 5 20 HJBD Cc1ccc2c(CCC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c[nH]c2c1 465142099 WSLOZVQTYOLLQK-UHFFFAOYSA-N 419.403 4.908 5 20 HJBD O=[N+]([O-])c1cccc(F)c1COc1ccc(Oc2ncc(Br)cn2)cc1 473683211 QPASZLXWBMZRGP-UHFFFAOYSA-N 420.194 4.658 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 474744791 YZQWNQWLYSTWJP-HUUCEWRRSA-N 412.877 4.698 5 20 HJBD COc1cc(CN2CCC[C@](C)(OC)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 475569183 POGHIWNRFANCIR-QHCPKHFHSA-N 414.502 4.573 5 20 HJBD O=C(Nc1nc2ccccc2nc1N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2s1 477647870 SWGGSBFBYJNOFA-UHFFFAOYSA-N 419.466 4.605 5 20 HJBD C[C@@H](NC(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 482402186 RUSOTRLDLOUHLG-RFAUZJTJSA-N 403.307 4.537 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 482827934 OEPIVODPONFZIA-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(C)oc3C)cs2)cc1SC 483465463 CMLNEYYHINXZJT-UHFFFAOYSA-N 419.484 4.911 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1C 485735778 SJAHNPPEZBYKGO-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD O=C(O)C/C(=C\c1ccc(Br)cc1[N+](=O)[O-])c1nc2ccccc2s1 486542838 VJMIPTFEVDVBAZ-YRNVUSSQSA-N 419.256 4.982 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc([C@@H]2C[C@H]2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 486707608 NDEYGQDFJIIRSI-CXOGBFNASA-N 408.376 4.750 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 486780749 RHTXHVJBRKAZDL-INIZCTEOSA-N 400.500 4.635 5 20 HJBD CCn1c(S[C@H](C)C(=O)c2ccc(C)c(C)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489395262 QEMSOZNJMIWLNX-OAHLLOKOSA-N 410.499 4.854 5 20 HJBD COC(=O)c1ccc(-c2nc(CN(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)cc1 490816957 FRPSVJDBYYOFKD-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2cc(Br)cs2)cc1[N+](=O)[O-] 493102298 BNRGCEKGDNHYGI-NSHDSACASA-N 412.309 4.630 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 498245616 PCJURHVTYDXSNJ-IBGZPJMESA-N 412.396 4.631 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 499104602 XCUBBYPQHFTCGO-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1cccc(Br)c1 507632959 BYOMPMMAKYBVRN-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD COc1cc(C(=O)Nc2cc(C)c(Cl)c(C)c2)c([N+](=O)[O-])cc1OC(F)F 508079112 PNEGAKTWEFMCLU-UHFFFAOYSA-N 400.765 4.727 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cc(F)c(Cl)cc1Cl 509566999 BKLRXAURXCKXTD-SECBINFHSA-N 401.221 4.758 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H]1CCOc2c(-c3ccccc3)cccc21 509903964 ZBEDQFNWVPULHU-FQEVSTJZSA-N 403.438 4.585 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](c3c[nH]c4ccccc34)C(F)(F)F)o2)cc1 513157871 DQMWLFJSMWYDNQ-KRWDZBQOSA-N 417.347 4.519 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 517771344 PCKFPBIFJFRVCO-OYHNWAKOSA-N 423.444 4.892 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2nc(-c3cc(Br)cs3)no2)cc1 517975310 JUJAREZIWAZFRJ-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)sc2C)cc1 520691226 GSHQOCPGGXXOQJ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CC(C)(C)C[C@@H](O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 522715630 UMQVGWWGRPXLOK-CYBMUJFWSA-N 411.296 4.504 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Br)c1)c1ccccc1[N+](=O)[O-] 522817523 DAYZOMPPDOJLTF-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CN(Cc1ncc(-c2ccccc2Br)o1)Cc1ccccc1[N+](=O)[O-] 525120933 DSDBJIBTTFPTLJ-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD O=C(CCSc1ccc(Cl)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 525215790 VIYBWPSROYPPPH-UHFFFAOYSA-N 420.918 4.800 5 20 HJBD COc1cccc([C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 525526198 WVKJAHDMZPOXAQ-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)n1 532536380 ZHCHMNFIMNHXNN-HXUWFJFHSA-N 408.502 4.512 5 20 HJBD COc1ccc(CC(=O)N2C[C@@H](C)C[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 532539215 QBMYNTLUTUMENA-GUYCJALGSA-N 422.403 4.774 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N(C)C1CCCCC1 534058471 BTKDHDFBNZFGMK-CQSZACIVSA-N 403.504 4.663 5 20 HJBD COc1ccc([C@H](OC)[C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537151028 GRQBGESCEMUPQQ-VBKZILBWSA-N 418.515 4.610 5 20 HJBD Cc1ccc(CC(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cn1 537151923 QPJBOIFRJCGWAM-UHFFFAOYSA-N 410.499 4.653 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 537518065 ASDKZMVSNDIVMU-CYBMUJFWSA-N 406.280 4.650 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccn(CC(F)(F)F)n3)o2)c(Cl)c1 538593115 YEQVCBWAYBCBOV-UHFFFAOYSA-N 400.744 4.879 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)COc1ccc(F)cc1F 539338907 UDYVRSTYTTUYHV-GFCCVEGCSA-N 410.442 4.571 5 20 HJBD COC(=O)CCSCc1cccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)c1 539890301 VSXYGAUUXIIHLT-UHFFFAOYSA-N 416.499 4.569 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 540794390 NEKQHXFDVZVXKI-KBPBESRZSA-N 410.396 4.526 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(Oc2cccnn2)c1 544053156 RINPPWYLZSRCLH-UHFFFAOYSA-N 416.846 4.561 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)c3nnc(C)s3)cc2[N+](=O)[O-])cc1 544709392 ZJDKMCPNOSQWEY-LBPRGKRZSA-N 414.512 4.705 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3oc(N(C)C)nc3c2)no1 545118235 NCCZKZKIPCLJHU-LLVKDONJSA-N 411.443 4.705 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@H]1c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 557967319 CAHXDYBWZWKQSW-GJZGRUSLSA-N 403.276 4.512 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@](F)(c2ccccc2F)C1 565645812 GTKPZBDPACIUMT-XMMPIXPASA-N 404.416 4.531 5 20 HJBD O=C(Nc1cccc(Cl)c1OCC(F)F)c1ccc([N+](=O)[O-])c2cccnc12 565912327 LPMGXFGDCOIQBT-UHFFFAOYSA-N 407.760 4.693 5 20 HJBD CCCN(C(=O)Nc1cc([N+](=O)[O-])ccc1CC)[C@H](C)CC(=O)Nc1ccccc1 572532595 VHJUHOYJDYMBRD-MRXNPFEDSA-N 412.490 4.818 5 20 HJBD CCN1CCC(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 572996100 JEWDXTMPBCDREJ-UHFFFAOYSA-N 417.893 4.502 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(Cc2csc(-c3ccc(Cl)s3)n2)n1 581975243 VXNXCRBGLHEVHE-UHFFFAOYSA-N 418.887 4.998 5 20 HJBD O=C(NCCCCc1ccc(Cl)s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 584236231 XXSKKBPRGDIENN-UHFFFAOYSA-N 421.950 4.665 5 20 HJBD O=C(Nc1nnc(SCc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])s1 600855431 CVPLEZZXBOHJBA-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD CCOc1cccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 603994076 IGWUQSIQIZPPHA-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(Oc3cccc(Cl)c3)cc2)c(C)c1[N+](=O)[O-] 608806239 ZZQQAFPFXCGYOE-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(N[C@@H](C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609044885 RPNNMPUGGJOYFC-HNNXBMFYSA-N 407.474 4.610 5 20 HJBD C[C@@H](NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1)c1cccc([N+](=O)[O-])c1 609298584 ZUTMBIVZRNORTN-LLVKDONJSA-N 405.241 4.578 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609857847 POLITMBJCGBJGY-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)nn1 610184354 UTXQCMNHFPVAPB-AWEZNQCLSA-N 410.455 4.605 5 20 HJBD CC(C)[C@@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 728641508 SRSSIFQNWGEUKN-MRXNPFEDSA-N 404.850 4.560 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Cl)c1C 732575800 MLWWIABJBMQSOH-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H](OC1CCCC1)c1ccccc1 735445620 OJIYYNLEMYHMDT-NRFANRHFSA-N 422.437 4.994 5 20 HJBD O=C(OC1([C@@H]2CCOC2)CC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 735909998 LYMMXVHYPDZOQQ-MRXNPFEDSA-N 416.861 4.586 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 742149892 OIVPBGOBARDMOF-UHFFFAOYSA-N 413.481 4.822 5 20 HJBD Cc1c(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)cccc1[N+](=O)[O-] 744496204 WGJQBYOFRAYBMI-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@@H](OC(=O)C1(c2cccc(Cl)c2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744778473 OHCXNRCZXFKYTD-LLVKDONJSA-N 423.252 4.504 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749908237 HDJRYHKBUULFMC-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)cc1OCc1cccnc1 756899765 AEPVYASKSYNLGT-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 757220992 LBPGZRDAFGYHEO-UHFFFAOYSA-N 420.853 4.917 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 761428624 QQGVJGYWKKUXOO-HNNXBMFYSA-N 420.421 4.565 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1OC 763372961 DTOZIKRNJLHPEN-UHFFFAOYSA-N 422.428 4.723 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763518069 ONKLAXJZIZMZOB-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2ccc(Br)c([N+](=O)[O-])c2)cc1OC 768228923 MDUXLYVAHSUPHL-MRXNPFEDSA-N 421.291 4.712 5 20 HJBD Cc1cc(Br)ncc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775491501 KPYPXAITUKMJBX-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD C[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 784085657 XKJGDZLNQGESET-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD COCCOc1c(Cl)cc(N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1Cl 788734173 KHNAHZANPRCFAU-SNVBAGLBSA-N 400.262 4.682 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)c1nc2ccccc2n1C(F)F 791471666 SYQUMUWLDNKPEM-NSHDSACASA-N 405.357 4.656 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)cn1 798667005 KIWGLAQSVPOSGE-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1F 801539189 CQCSVNCDZILZBV-FQEVSTJZSA-N 408.408 4.754 5 20 HJBD Cc1ccc([C@H](O)c2ccccn2)cc1NCc1cc([N+](=O)[O-])ccc1OC(F)F 812847197 YOTTZYHAJMIMJA-FQEVSTJZSA-N 415.396 4.593 5 20 HJBD O=C(Nc1cc(-c2cc(F)cc(F)c2)[nH]n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813478859 PGXIUYCJVDYFKZ-UHFFFAOYSA-N 413.167 4.822 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3cccc([N+](=O)[O-])c3Cl)s2)cc1F 816860082 WXPKEPBYEMWAQU-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1ccc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c(F)c1 864042518 PUNZNUWLOUCWNS-UHFFFAOYSA-N 412.417 4.942 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 915283573 UIGXPDOOBZOIMM-UHFFFAOYSA-N 414.364 4.881 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(OCC(F)(F)F)c1 917959924 DGGORLBDEVRHGF-LBPRGKRZSA-N 415.389 4.760 5 20 HJBD O=C(Nc1ccc(N2CCOC2=O)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 918386481 IPNOCQUGNINQLK-UHFFFAOYSA-N 419.393 4.596 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318731341 OBAMWPLPKDBBCD-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD Cc1ccc2c(c1)S[C@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C2 1342663677 KQDBNKUMSQOGDF-LEWJYISDSA-N 406.463 4.648 5 20 HJBD COc1ccc(C(=O)C2CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)cc1 12741195 OEKZPNRCBXISIP-UHFFFAOYSA-N 424.478 4.553 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccc3c(c2)OCO3)C2CCCC2)c1 26857836 LFJRBPWZVHLCBC-UHFFFAOYSA-N 414.483 4.630 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCn4cccc4[C@@H]3C)cc2[N+](=O)[O-])n1 30265654 GNOLFKXLKXWBQM-ZDUSSCGKSA-N 414.512 4.529 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cc1OC 41895413 UUPWYPBZZNEPAK-BBRMVZONSA-N 414.458 4.523 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCn2cccc2[C@@H]1c1ccccc1 116822990 QPKURELAJCRGLM-NRFANRHFSA-N 403.463 4.856 5 20 HJBD Cc1ccc(CNCC2(c3ccc(Br)cc3)CCOCC2)cc1[N+](=O)[O-] 237763718 AALDQLLEFQOYPU-UHFFFAOYSA-N 419.319 4.504 5 20 HJBD CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1nc(C(F)(F)F)cs1 301524307 IGQWBCWNYRSSTI-MRVPVSSYSA-N 411.203 4.791 5 20 HJBD CCC(CC)c1cc(CNC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)on1 426066536 KNCSKDFXAQRAIC-UHFFFAOYSA-N 414.384 4.505 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1ccc(Cl)cc1[N+](=O)[O-] 428192175 AVRULJLXJQTZEM-LBPRGKRZSA-N 413.680 4.588 5 20 HJBD CC(C)(NC(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])cc1 431170541 CGGFVZFKBHODQM-UHFFFAOYSA-N 424.432 4.765 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(Cc2ccc(Cl)c(Cl)c2)C(C)C)cc1[N+](=O)[O-] 437230228 XMJUSVONNAAOLU-UHFFFAOYSA-N 424.284 4.586 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@H]1CCC[C@H](C(F)(F)F)C1 438539754 IQXAXIZJSHFMCW-HOTGVXAUSA-N 416.443 4.640 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1c(-c2ccncc2)nc2ccccn12 439149580 CDAJMWPSRUZFFR-UHFFFAOYSA-N 407.817 4.519 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)cc(F)c1Br)c1cccc([N+](=O)[O-])c1 443516048 BACRDUPPYDWCSX-QMMMGPOBSA-N 400.179 4.518 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1c(F)cccc1Cl)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444078499 WMDHIJUWSXLXDJ-KSSFIOAISA-N 424.834 4.899 5 20 HJBD O=C(N[C@H](Cc1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444081664 FJMIJZUTLRHVJA-OAQYLSRUSA-N 418.443 4.711 5 20 HJBD CCO[C@H]1C[C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444086555 XNHBBKHKORNZGY-VXKWHMMOSA-N 404.510 4.539 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(Cc3cccc([N+](=O)[O-])c3C)n2)cc1 445276575 YQRCBQNOLXLGBM-AWEZNQCLSA-N 408.458 4.572 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(Cl)ccc1Cl 445329462 AGZNEGNILIZEEY-FPYGCLRLSA-N 419.224 4.636 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)c1sc(Br)cc1[N+](=O)[O-] 446079655 JYJVWURPDBUFLO-CQSZACIVSA-N 413.268 4.829 5 20 HJBD Cc1cccc(OCCCCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c1 460354204 IKRWYCDOVPITPG-OAQYLSRUSA-N 400.500 4.727 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)cc1Cl 462505888 DEAHFTWECDXUJE-HNNXBMFYSA-N 417.893 4.595 5 20 HJBD COc1cc(CN2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c([N+](=O)[O-])cc1F 464684557 GTVYLAOVNXAXKR-JTQLQIEISA-N 419.400 4.597 5 20 HJBD CCOc1cccc(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)c1OC(F)F 465052491 ZXPZNSXRDNURHK-UHFFFAOYSA-N 404.316 4.808 5 20 HJBD CCc1ccc([C@@H](NC2CCN(c3nccs3)CC2)c2ccc([N+](=O)[O-])cc2)o1 467434334 UUNCTIHTEDXGAQ-FQEVSTJZSA-N 412.515 4.555 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 470184879 IKYRUXWKYOTDDQ-LJLZWOEMSA-N 422.529 4.605 5 20 HJBD CCNc1ccc(C(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 472288932 WSSBPIUNWRGVNQ-FQEVSTJZSA-N 410.861 4.594 5 20 HJBD Cc1nc2ccccc2n1C1CCN(Cc2cc([N+](=O)[O-])ccc2OC(F)F)CC1 475497730 RHQUEJMPVBEDIS-UHFFFAOYSA-N 416.428 4.691 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(Cl)c2N2CCOCC2)cc1[N+](=O)[O-] 477611897 CKUJOCPCFJCMDY-UHFFFAOYSA-N 417.893 4.635 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nnc(CN2CCCC2)n1-c1ccccc1 484644519 QDAAWRIENOBPFF-KRWDZBQOSA-N 423.542 4.933 5 20 HJBD COc1ccc2cc(CN(C)C(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)ccc2c1 484746811 VLVNCCDDVCZBIE-UHFFFAOYSA-N 416.380 4.630 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@H]2c2ccccc2Cl)c(C)c([N+](=O)[O-])c1 486873437 LKKFZIGLMBDCPU-KRWDZBQOSA-N 417.849 4.712 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2n1 488195700 DEFDSKMDERTINK-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(CCc2ccccc2)Cc2ccncc2)cc1[N+](=O)[O-] 493081201 QQQUNOPBNIBBNH-LJQANCHMSA-N 418.497 4.814 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccon2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 502006584 KUNGNRBPHBSHPH-LLVKDONJSA-N 417.805 4.528 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)c2cccs2)cc1 502064687 WDWBBAWKQMUXKW-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCCc2cccc(Cl)c21 502777401 AMRFEORMJYKUTB-UHFFFAOYSA-N 414.767 4.782 5 20 HJBD CC[C@H](CSc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 504755548 QHCABBUKVSYVPQ-GFCCVEGCSA-N 409.305 4.658 5 20 HJBD O=C(Nc1nc(-c2c(F)cccc2F)cs1)c1cc2cccc([N+](=O)[O-])c2[nH]1 507346877 CYXBOYXGMRZWPH-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccsc2)CC1 514736370 LIUVPLGGZGOCOC-UHFFFAOYSA-N 409.305 4.747 5 20 HJBD Cc1ccc([C@H](CC(=O)OC(C)C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 516273070 OUFSCTNDVJARTR-SFHVURJKSA-N 419.865 4.761 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 517804903 NUAQUWSLDQUONW-UHFFFAOYSA-N 410.927 4.686 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H](Cc2ccccc2)C1 518513888 XSTNNUWYWYVPTJ-HNNXBMFYSA-N 405.401 4.683 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(OC(F)(F)F)c1 522573754 BGZJHNWJMMOMRO-UHFFFAOYSA-N 404.728 4.554 5 20 HJBD COc1ccc(Br)c(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 525346819 SWSFXTBMPQWCFK-UHFFFAOYSA-N 422.279 4.510 5 20 HJBD COc1cc([C@H](C)NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 531262594 KEUIEEULCCOESH-INIZCTEOSA-N 412.486 4.735 5 20 HJBD CCS(=O)(=O)Nc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 532890592 SRWZMSFUOZIHEJ-UHFFFAOYSA-N 417.512 4.697 5 20 HJBD CN1CCC([C@@H]2CCN(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)C2)CC1 533772391 QSYRKLNFGFQDMV-GOSISDBHSA-N 424.501 4.583 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)COc2ccc([N+](=O)[O-])cc2)c1C 534020381 OEFUXMXYCFJRPB-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)s1 535698006 FVNXWEXSWDSYCB-CYBMUJFWSA-N 407.455 4.722 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)NC(C)(C)C)c1 537089464 GLOYHWJANMCQBP-UHFFFAOYSA-N 415.515 4.876 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(-c2nc3ccccc3s2)o1 537179554 QZKHXQCATRJXQR-UHFFFAOYSA-N 423.450 4.793 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])CC1 539020343 JUBAKFJKZPFCNU-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(-c2cccc(C(F)(F)F)c2)oc1C 542003845 OISDSPJNLAZQQE-UHFFFAOYSA-N 421.331 4.838 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 542558115 BMRZRWYSKXVHFL-CYBMUJFWSA-N 413.380 4.589 5 20 HJBD O=C(Nc1ccn(-c2ccncc2)n1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544443908 GYKUFECCOJKNSC-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544453913 XFHWZGLOPPLCNV-RDJZCZTQSA-N 424.881 4.516 5 20 HJBD Cc1nnc([C@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])o1 545233176 DTWMILQYGDWCPP-JTQLQIEISA-N 418.862 4.582 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N[C@@H](c1ccc(Cl)cc1)c1cccs1 545384480 DJEUTDOQSQALMM-SFHVURJKSA-N 422.915 4.519 5 20 HJBD COc1c(C(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)cccc1[N+](=O)[O-] 553058244 NEJCEDQAVYRPPX-UHFFFAOYSA-N 408.376 4.675 5 20 HJBD O=C(NCC1(c2ccccn2)CCC1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 553572352 AVITZYYIVSSVOA-UHFFFAOYSA-N 419.506 4.993 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3ncnc4sc([N+](=O)[O-])cc34)C2)nc2ccccc21 561229728 YSPSFAXHJSYORZ-AWEZNQCLSA-N 422.514 4.914 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SCCOC)C2)cc1 568992442 JTMBOWIUYFHJBD-SFHVURJKSA-N 414.527 4.525 5 20 HJBD C[C@H](C(=O)NCc1nc(-c2cc3ccccc3o2)cs1)c1cccc([N+](=O)[O-])c1 571851439 YGPIYXPIBNICII-ZDUSSCGKSA-N 407.451 4.884 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@@H](c2nc3ccccc3o2)C1 603629594 AZBJJCOKDIYLSU-GDBMZVCRSA-N 419.441 4.727 5 20 HJBD O=C(NC[C@H](c1cccc(Cl)c1)N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 603739639 ISMRDHJSVXGART-GOSISDBHSA-N 413.861 4.561 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(NC(=O)NC2CC2)cc1 603762346 KFCUWDPMOBAGRB-LBPRGKRZSA-N 424.482 4.584 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2C)ccc1OCc1ccccc1 603986646 IKINRQQIHYRLPG-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD C[C@H](C[C@H]1CCCO1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608837835 OCBUCTGWDLNRNU-TZMCWYRMSA-N 409.280 4.665 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2cc([N+](=O)[O-])cc3c2OCOC3)o1 609018501 CQRIZDWCAAIKAG-QGZVFWFLSA-N 413.455 4.679 5 20 HJBD O=C(Nc1cccc(Sc2ccccc2)c1)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 609375383 XLGWOOHBVIEYIO-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccc2nc(O)[nH]c2c1 609620529 SFISXAGHIKVDDT-LLVKDONJSA-N 412.833 4.941 5 20 HJBD O=C(Nc1ccc(COC2CCCC2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610054499 MKAVDOGBMSGIOP-UHFFFAOYSA-N 423.513 4.909 5 20 HJBD O=C(CCc1ccc(Br)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610874838 XDFYRZVFEONFLY-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD COc1cc(CN2CCCc3sccc3C2)c([N+](=O)[O-])cc1OCC(F)(F)F 611271176 SBZKGFKWYKZGDJ-UHFFFAOYSA-N 416.421 4.554 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 611271483 CANWYOAOJCJHGV-QFIPXVFZSA-N 401.551 4.721 5 20 HJBD COc1cccc(CC2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 612335068 DKJXZOMPHODHPL-UHFFFAOYSA-N 422.403 4.717 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 612432089 CBBROEZSOKVCOZ-FQEVSTJZSA-N 408.408 4.754 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnn(Cc3ccccc3Cl)c2)cc(C(F)(F)F)c1 618730054 MFHRXFUJAAPLHF-UHFFFAOYSA-N 424.810 4.802 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(-n2nccc2C(F)(F)F)c1 727711542 JVCMJOSIPQMUHX-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc(CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c2ccc(F)cc2)o1 731181550 PHDJHCBABMZARR-UHFFFAOYSA-N 413.361 4.699 5 20 HJBD Cc1cc(CNc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 731988678 BABAQUGNJSFICF-UHFFFAOYSA-N 423.441 4.610 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3F)s2)cc1 733771770 AOAPBCTYBJOBQA-LLVKDONJSA-N 415.446 4.665 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 734803433 CQIJBHWHDUFADW-QGZVFWFLSA-N 421.316 4.596 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1cc(Br)sc1Br 734990319 RPAVTQVSJUUAFR-UHFFFAOYSA-N 421.066 4.538 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H](C)CN(C)c3ccccc32)CC1 741968943 KBXQAPMMVWEBFM-SFHVURJKSA-N 422.529 4.564 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 742362194 PIVMWXUTXYPAQI-UHFFFAOYSA-N 421.837 4.613 5 20 HJBD CNc1ccc(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 748016064 GGSWIFQQCBPNRU-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD O=C(CCC(=O)N1CCc2c[nH]nc2C1)c1ccc2ccc3cccc4ccc1c2c34 750786845 HUDQZIQQXTXGHW-UHFFFAOYSA-N 407.473 4.855 5 20 HJBD CCOc1cc(COC(=O)CCc2nc(C)c(-c3ccccc3)o2)ccc1[N+](=O)[O-] 755557013 XFXUPAPGIJLTOO-UHFFFAOYSA-N 410.426 4.633 5 20 HJBD CC(C)Oc1cc(O)ccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 762694348 HGUIFIRHXGASGR-UHFFFAOYSA-N 421.453 4.952 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 770184956 IREADTNGBHZJFF-IBGZPJMESA-N 409.467 4.598 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1CCC[C@@H](C(F)(F)F)C1 776140556 ZSGPMMDWJVDONB-JCKWVBRZSA-N 408.376 4.991 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@H]([C@@H]2CCOC2)C(F)(F)F)cc1[N+](=O)[O-] 778013187 MHOABCUHJCXWOO-CZUORRHYSA-N 421.437 4.857 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 786638005 AOFKOKBZKAMNQR-UHFFFAOYSA-N 413.846 4.580 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(-c2cccc(F)c2)on1 786776552 HYNRMJBRDPMFDC-LLVKDONJSA-N 420.780 4.553 5 20 HJBD CCOC(=O)/C(=C/C(C)=C/c1cccc([N+](=O)[O-])c1)c1nccn1Cc1ccccc1 787170508 YDGSDKPDEADABY-SDPONSEZSA-N 417.465 4.890 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C)[C@@H](C1)C2(Cl)Cl 791246430 FKLMGTWFYYYQQN-GDBMZVCRSA-N 403.331 4.751 5 20 HJBD COc1c(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)cccc1[N+](=O)[O-] 796307205 QFNYDDROCBMHII-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD COc1cc(Br)ccc1OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 809058295 WGMIVPZWRSTLNY-UHFFFAOYSA-N 424.250 4.672 5 20 HJBD COc1cc([C@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc(OC)c1OC 809970710 JLTCYKAFUWFNSN-JTQLQIEISA-N 415.273 4.778 5 20 HJBD C[C@@H](CCCCNC(=O)OC(C)(C)C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 816795415 CWOIENCAYJHQPF-ZDUSSCGKSA-N 421.519 4.623 5 20 HJBD Cc1cc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])cc(C)c1OC(F)F 915987812 LELHBSSCMXWPDX-SREVYHEPSA-N 413.380 4.679 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)sc1Cc1cccc(F)c1 917735559 VZGUMTHROQKDOC-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)c(F)c1 1116468170 DYPUCCXYKVRFOJ-VHSXEESVSA-N 400.237 4.719 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@@H](O)c2c(F)cccc2F)o1 1353546932 AOHXNMZEQARSHX-QGZVFWFLSA-N 408.788 4.610 5 20 HJBD CCC(=O)Nc1ccc(C2(NC(=O)C3(c4cccc([N+](=O)[O-])c4)CCC3)CCC2)cc1 1787669384 VOYZSVWDXXNGCG-UHFFFAOYSA-N 421.497 4.561 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)CSc3ccc([N+](=O)[O-])cc3)s2)cs1 25941895 OEEOGYZEYANPIO-UHFFFAOYSA-N 419.553 4.539 5 20 HJBD C[C@H](OC(=O)COc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 27093101 DXWRISQJHJSDGO-JTQLQIEISA-N 414.639 4.694 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3cccc(F)c3)s2)c1 29973799 IBENTKPJGPARSG-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H](C)c1nc2ccccc2s1 64781871 PQPMQTZKQNCSNA-VXGBXAGGSA-N 419.890 4.845 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)NC3CCCC3)cc2C)c1 65562708 VCCHDAJBVMQRTO-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD CC[C@H](NCc1cc(OC)c(OCC(F)(F)F)cc1[N+](=O)[O-])c1nc(C)cs1 236931114 QXXJQANIIWDDQD-LBPRGKRZSA-N 419.425 4.550 5 20 HJBD CNC(=O)c1ccc(N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)c([N+](=O)[O-])c1 301643900 OMSXGLFNALVUQK-CQSZACIVSA-N 410.499 4.584 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)Nc1ccc(Br)cc1[N+](=O)[O-] 302010022 AIONHXZFSXBSQK-QMMMGPOBSA-N 421.217 4.906 5 20 HJBD CC(C)CN(C(=O)[C@@H]1O[C@@H](C)C[C@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 410003292 YDKQPJUUNRBVPB-QWQRMKEZSA-N 403.504 4.521 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc2ccccc2[nH]1 426038770 ZMEPPJQEFXIASM-FQEVSTJZSA-N 407.474 4.542 5 20 HJBD CC[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nc(C)cs1 426350488 FWZLYJAITNAPJT-SFHVURJKSA-N 411.483 4.820 5 20 HJBD CCOc1cc(NC(=O)Nc2ccc(O)cc2OC(C)C)c([N+](=O)[O-])cc1OCC 432964445 HGPWJIBOZOMUSV-UHFFFAOYSA-N 419.434 4.529 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1c(F)cccc1F 436109728 YQPJTNAPYFWTSX-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 438428504 HNJJUFAUNUBNRH-RUCARUNLSA-N 403.429 4.739 5 20 HJBD CN(CCc1ccccc1O)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442889711 IEFPXPPQHXVEGY-UHFFFAOYSA-N 408.479 4.766 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444120079 CFIRTZADEPDUSY-KBPBESRZSA-N 416.493 4.706 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 445675665 NUQUHYMWDLIVSX-JOCHJYFZSA-N 413.477 4.957 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(Br)cc1OCCCO)c1ccc([N+](=O)[O-])cc1 462956888 JZUIOKIUZSFSEX-ZIAGYGMSSA-N 423.307 4.530 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 472706294 IAGUJIVKWXFCEN-UHFFFAOYSA-N 402.501 4.934 5 20 HJBD O=C(CCCCc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc(F)cc1 472799537 LWQVNFMOZQZZBT-UHFFFAOYSA-N 422.416 4.785 5 20 HJBD CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484408064 ONIKWHNARGLDHY-HNAYVOBHSA-N 418.877 4.664 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3ncc4n3CCCC4)CC2)nc1-c1ccc(F)cc1 485418676 VIBQNVIDOFHCME-UHFFFAOYSA-N 421.476 4.713 5 20 HJBD CC(=O)c1cc(N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)ccc1[N+](=O)[O-] 488472870 CCCJZCIQRBEEAJ-BLVKFPJESA-N 408.376 4.783 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cnn([C@@H](C)C3CC3)c2)cc1 490920958 ZEUZNYKMENHGPT-AWEZNQCLSA-N 422.441 4.816 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 495050627 VKGUIRDANNFYGJ-UHFFFAOYSA-N 422.510 4.635 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2csc([N+](=O)[O-])c2)cc1 502528077 IRECUJZTPNKVPC-SFHVURJKSA-N 400.431 4.518 5 20 HJBD COCCN(C(=O)C[C@@H]1CCC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 504375362 UZIRZSVFHGBLOQ-HZPDHXFCSA-N 417.531 4.914 5 20 HJBD CCOc1cc(NC(=O)c2nc(-c3ccccc3)cs2)c([N+](=O)[O-])cc1OCC 504732819 JKYXHADNWZZJKB-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@@H](c3nnc4n3CCCCC4)C2)o1 506669007 MCOLGJWVVAZSQV-QGZVFWFLSA-N 421.501 4.552 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1coc(C2CCOCC2)n1 509413165 FGHDLFKUTPUQAK-UHFFFAOYSA-N 409.398 4.521 5 20 HJBD CO[C@@H](CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CC1 511492195 XDCCXKRNCRWQRE-INIZCTEOSA-N 406.891 4.554 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2c(F)cccc2NC2CC2)cc1[N+](=O)[O-] 512598420 UOKBFCLTLRZQEW-UHFFFAOYSA-N 408.227 4.631 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2nc(-c3cccs3)nc3ccccc23)c1 515550902 VYBZBJBFRSRVLF-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD CCCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)[nH]c(C)c1C(C)=O 515598997 URBHAFIESIWHIG-UHFFFAOYSA-N 412.471 4.762 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2cccc(C(F)(F)F)c2)C(C)C)cc1[N+](=O)[O-] 521156070 SGHUALHNDNWNDC-UHFFFAOYSA-N 416.421 4.521 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 524256580 LIXCXGUIQOQHKI-SSEXGKCCSA-N 422.506 4.819 5 20 HJBD C[C@H](NCc1n[nH]cc1Br)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531968832 OAOZOLTWGXCHJF-JTQLQIEISA-N 407.293 4.660 5 20 HJBD Cc1c(CC(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 533505696 VLSGSBBPTUUFSO-KRWDZBQOSA-N 400.784 4.861 5 20 HJBD CCOc1ccccc1N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 535128852 FNFJLCHWPMWNOG-MRXNPFEDSA-N 420.469 4.838 5 20 HJBD Cc1cc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)ccc1OCC(F)(F)F 535396017 VFKZQGSENWJMMI-UHFFFAOYSA-N 407.348 4.507 5 20 HJBD Cc1ccc([C@H](C)NCc2cn(-c3ccc(Cl)cc3Cl)nn2)cc1[N+](=O)[O-] 535960511 SZTTYHRGVBTIGG-LBPRGKRZSA-N 406.273 4.642 5 20 HJBD Cc1cc(C)n(-c2ccccc2CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n1 537155324 HIKLEBUKXUZLND-UHFFFAOYSA-N 424.526 4.828 5 20 HJBD C[C@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccc(OC(F)F)cc1 540219270 HCMMFVRKPKXBLP-ZDUSSCGKSA-N 413.380 4.749 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 540413977 LVKPECVQGKXFPH-WDEREUQCSA-N 412.273 4.588 5 20 HJBD CN(C(=O)CCCOc1cccc(F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540450535 VIHMQAVVSDZBQN-UHFFFAOYSA-N 415.446 4.679 5 20 HJBD CCOCc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 545636582 KOBQSEOBPPGLLH-UHFFFAOYSA-N 404.220 4.611 5 20 HJBD COc1cccc(/C=C/c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)c1OC(F)F 545787196 UVRVISMLHGLDII-MDZDMXLPSA-N 417.368 4.657 5 20 HJBD Cc1ccc(-c2noc(-c3cccc(NC(=O)c4cccs4)c3)n2)cc1[N+](=O)[O-] 546273553 XTQAZDHZYDSIMT-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1cccc2c(Cc3noc(-c4ccc([N+](=O)[O-])cc4Br)n3)c[nH]c12 546420686 PHGSFZRNOHBPII-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)[C@H]1CCC(=O)c2ccccc21 551194530 JJNXZRHGPOMTAY-IBGZPJMESA-N 414.417 4.525 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)NC1CCC(c2ccc(C(C)(C)C)cc2)CC1 553294190 CSJWZWXHUZYUTO-UHFFFAOYSA-N 412.534 4.630 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2ccc([N+](=O)[O-])cc12 557631007 AROJBEDOFCATCV-QGZVFWFLSA-N 402.332 4.591 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 558597993 BIMZUWQBTCRRBI-LLVKDONJSA-N 400.328 4.807 5 20 HJBD COc1ccc(CN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)[C@@H](C)C2CC2)c(OC)c1 558771570 WEUOJVIKIRJULU-ZDUSSCGKSA-N 417.437 4.584 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 565132745 AGZCNWJWYVBMTF-LBPRGKRZSA-N 405.376 4.798 5 20 HJBD Cc1cc(Cc2noc(-c3ccccc3OCc3cscn3)n2)ccc1[N+](=O)[O-] 565916817 BNIMSMQANCQFDD-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD COc1cc(CNC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 569181841 OSPHJERVTOAIGN-QGZVFWFLSA-N 420.465 4.602 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(Oc2cccnc2)cc1 570004476 CTVOZZNMWJIFLG-QGZVFWFLSA-N 420.469 4.770 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 573662175 MWENJZVQZJIQEJ-IAGOWNOFSA-N 409.486 4.660 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCc2ccc(Br)cc21 577396493 VFPTULYGGCDTRC-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1cccc(CCCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)n1 579265405 IMZLJPXZSCGDHO-UHFFFAOYSA-N 407.495 4.812 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 589854880 JPUSZWJBQKAGNC-UHFFFAOYSA-N 406.442 4.517 5 20 HJBD Cc1c(NC(=O)NC[C@H](c2ccccc2Cl)N2CCCC2)cccc1[N+](=O)[O-] 603645561 AWSSDBKJHJQHCQ-LJQANCHMSA-N 402.882 4.515 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 604010173 PKBPRDCOBHTZAS-KRWDZBQOSA-N 421.419 4.621 5 20 HJBD CCN(Cc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1)C1CC1 604565586 IETRVRCZEQAGAA-UHFFFAOYSA-N 422.510 4.955 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@H](C)O1 609531499 QZQFLJUQDMGYDX-XJKSGUPXSA-N 404.850 4.680 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCS[C@@H](C)[C@H]1c1ccccc1 609819381 ICRNKJHCWUMFPM-DOXZYTNZSA-N 402.541 4.781 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 609865843 KHDZJKXMWNQSQE-ADLMAVQZSA-N 404.875 4.969 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](C)C[C@@H]1c1ccccc1F 610295717 RXWPIYFRWDNILF-IIYDPXPESA-N 406.841 4.764 5 20 HJBD O=C(Nc1ccc(OC2COC2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 614896907 MGZIBSMMUIHUNV-UHFFFAOYSA-N 414.483 4.659 5 20 HJBD COC(=O)c1csc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 619656225 DCUXEEIMCGWDEO-UHFFFAOYSA-N 414.464 4.846 5 20 HJBD O=[N+]([O-])c1cccc(-c2csc(NS(=O)(=O)/C=C\c3ccccc3Cl)n2)c1 727241954 NWQVMMDDMMVLMQ-HJWRWDBZSA-N 421.887 4.784 5 20 HJBD CC[C@H](C)[C@@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 733141169 ZIZNWIQRQBRERC-QWHCGFSZSA-N 403.866 4.665 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cccc(NC(=O)c2ccco2)c1 735643822 IBZRBLLHUSMHHE-UHFFFAOYSA-N 400.774 4.621 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H](O)c1ccc(Br)cc1 740970100 LLDKBXLULDLRIR-NRFANRHFSA-N 422.278 4.927 5 20 HJBD CC[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 742427583 YULCOVFBGHSGCK-ZDUSSCGKSA-N 404.822 4.808 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(NC(=O)C(C)(C)C)ccc1F 742502068 BCANVKBCKZUFMQ-UHFFFAOYSA-N 421.856 4.644 5 20 HJBD CSC(C)(C)CNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 743796833 DRIKELPWPJWBTC-UHFFFAOYSA-N 407.923 4.732 5 20 HJBD Cc1csc(COC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 744312335 KSDJNFZTJKSTTK-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CC(C)c1ccc(C(=O)[C@H](C)OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 744471068 NUCLOLMKODKYHL-KRWDZBQOSA-N 424.497 4.749 5 20 HJBD C[C@H](Oc1ccccc1)c1nc2ccccc2n1C[C@@H](O)c1cccc([N+](=O)[O-])c1 749663230 OELBROKGEDVSJW-KSFYIVLOSA-N 403.438 4.818 5 20 HJBD Cc1nn(CN(Cc2ccc(Cl)c(Cl)c2)C2CC2)c2ncc([N+](=O)[O-])cc12 749948660 YAPZRILWWBOCAS-UHFFFAOYSA-N 406.273 4.577 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764170469 YAQBDYWCFVYYKS-HUUCEWRRSA-N 407.511 4.512 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nc(-c2cccs2)no1 765522408 OADGVIZZFDXJOS-JSGCOSHPSA-N 401.444 4.579 5 20 HJBD CC[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1nc(C(F)(F)F)cs1 766375062 NFXBQJZAFKHGRO-OAHLLOKOSA-N 414.453 4.780 5 20 HJBD C[C@H](C(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 767139434 UJKJOQMLCQPHCR-JSGCOSHPSA-N 410.367 4.872 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C1(c2ccc(F)cc2F)CCC1 776933217 YTMPBFWAVRLANH-UHFFFAOYSA-N 414.364 4.693 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)c1ccccc1C(F)(F)F 789049807 FWRDMPXBQFPLFP-VIFPVBQESA-N 401.724 4.695 5 20 HJBD Cc1ccc(-c2nnc3n2CCCCC3)cc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790183443 NQIGIKRZJIFKKO-UHFFFAOYSA-N 423.448 4.588 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)c2ccccc2CCN1C(=O)c1cc([N+](=O)[O-])c(F)cc1N 801928983 MOKYZLBBXWQTTO-CMJOXMDJSA-N 419.456 4.535 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1cc(F)ccc1[N+](=O)[O-] 809260348 ZXTPFGCEQVDASY-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(Br)nc1 810239239 YDDALIWKVHFLMC-SECBINFHSA-N 404.186 4.622 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@@H]2COCC[C@@H]21 813250309 LYTSGHOTTIJIJK-RYRKJORJSA-N 421.280 4.546 5 20 HJBD CC(=O)c1ccc(N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])c1 813626870 APTDALYDLRAWRS-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl 815117778 PULCUKIYKYYPDC-MRXNPFEDSA-N 414.676 4.983 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)c1sc2cccc(C)c2c1Cl 875312226 SHQXZGSZAUMDII-UHFFFAOYSA-N 418.858 4.875 5 20 HJBD Cc1nc(SCC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 921133360 FYJDADCSHFKMFP-UHFFFAOYSA-N 423.903 4.876 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cccc(CN4CCCC4)c3)cc2[N+](=O)[O-])CC1 1115859822 XZZLTSQVFWRULS-UHFFFAOYSA-N 422.529 4.679 5 20 HJBD C[C@@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N1Cc2ccc([N+](=O)[O-])cc2C1 1340801487 PAZRNBMHTKWNBV-NSHDSACASA-N 404.348 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2c[nH]c3ccccc23)n1C1CC1 17882853 JUQNBBFRDBWXHY-UHFFFAOYSA-N 421.482 4.970 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 22184626 BGBOCJNBRREEIM-XCVCLJGOSA-N 419.359 4.614 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3nc(C)c(C)s3)cc2[N+](=O)[O-])n1 24042461 KIGQKARCDQCUGN-UHFFFAOYSA-N 406.514 4.837 5 20 HJBD COCCN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc2ccccc2s1 26972083 RYGDGUMIYCTVOT-UHFFFAOYSA-N 413.499 4.795 5 20 HJBD CCCOc1cc(C)ccc1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 55620632 BRIKZAIFKOGALL-UHFFFAOYSA-N 404.488 4.573 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccc(F)c(Cl)c2)cs1 72029450 IQFQRVJDXNFKGV-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD COC(=O)c1cc(C(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1 108455727 HGAZFEBUVFYNDB-KRWDZBQOSA-N 423.252 4.666 5 20 HJBD Cc1ccc(C[C@H]2CCCN(C(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)C2)cc1 116247825 VHGANMAHVCJGOZ-HXUWFJFHSA-N 407.514 4.598 5 20 HJBD COc1cc(NCC2(c3ccc(Br)cc3)CCOCC2)ccc1[N+](=O)[O-] 301442192 YJFSBDVGIMHFSW-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD CCc1ccc([C@@H](Nc2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 301471541 PJLZMQMGBFHJHN-JOCHJYFZSA-N 410.495 4.762 5 20 HJBD COc1ccccc1COC1CCN(c2ccc(Br)cc2[N+](=O)[O-])CC1 301926441 HKKHIMBLCMTTFK-UHFFFAOYSA-N 421.291 4.552 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCO[C@@H](c3ccccc3)C2)nc1OCc1ccccc1 302178707 PRMWNLKVYDCZQB-PZJWPPBQSA-N 405.454 4.901 5 20 HJBD Cn1cc([C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2ccc(F)cc2)cn1 302487270 ZDGYONKHQBEETJ-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303946233 ZNUJMOPSTYJWTD-CJNGLKHVSA-N 411.458 4.876 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410097525 CVEFUDUBRMLSAH-UHFFFAOYSA-N 402.369 4.776 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(-c3nc(C(C)C)no3)cc2)cc1[N+](=O)[O-] 429065787 QNGWQOJSFFYODD-CQSZACIVSA-N 409.446 4.959 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 431880918 IIGRUYTWULTDJK-GFCCVEGCSA-N 417.416 4.635 5 20 HJBD Cc1c(NC(=O)N2CC[C@H](Cc3ccc(Br)cc3)C2)cccc1[N+](=O)[O-] 432729131 GBKWREAPGKKQDZ-OAHLLOKOSA-N 418.291 4.762 5 20 HJBD CC1(C)C[C@@H](NCc2cccc(C(=O)NCc3ccco3)c2)c2cc([N+](=O)[O-])ccc21 433144948 OJMAXOHLPBAPBQ-JOCHJYFZSA-N 419.481 4.630 5 20 HJBD CC(C)COc1ccccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436133343 MQFVEGSRRIJAAG-UHFFFAOYSA-N 400.478 4.646 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437279110 WMDHIJUWSXLXDJ-RDTXWAMCSA-N 424.834 4.899 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(Sc3ccc(C)cc3)ns2)c1 440316821 PQMFZZMDRGOBAF-UHFFFAOYSA-N 418.525 4.880 5 20 HJBD Cc1c(Br)cccc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 443886961 FPAJFBHBBUXSFZ-KRWDZBQOSA-N 407.289 4.554 5 20 HJBD C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(Br)s1 444374883 NTVXUOSAAYFYIR-NSHDSACASA-N 424.320 4.510 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc2c(s1)CCOC2 446430781 GCAJXMWJXNARRN-UHFFFAOYSA-N 410.451 4.560 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2C)ccc1OC(F)F 446500106 VKVZDZMOBQDWHO-UHFFFAOYSA-N 409.389 4.567 5 20 HJBD Cc1sc(NS(=O)(=O)Cc2ccccc2Cl)nc1-c1cccc([N+](=O)[O-])c1 446818673 OTOTWAGNFHXXMO-UHFFFAOYSA-N 423.903 4.622 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)N1CCc2ccc([N+](=O)[O-])cc2C1 447411461 CNPSIPKEVKSNRE-HXUWFJFHSA-N 407.495 4.708 5 20 HJBD COCc1ccc([C@@H]2CCCN2c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])o1 447676272 QFWFGPLHHXPKBF-NSHDSACASA-N 421.322 4.725 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)c2cccc([N+](=O)[O-])c2C)n1 448454485 LHIMUMOTJOXHMU-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD CN(C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 460642550 KFMHAJVBMSGACG-XBXGTLAGSA-N 407.820 4.553 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)c1 460845176 HXTZOBNIBCCFSA-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccccc2NCc2ccc(Cl)cc2)c1[N+](=O)[O-] 462833949 NTIIMOQQUIKWKC-UHFFFAOYSA-N 413.865 4.788 5 20 HJBD COc1cc(C(=O)N2Cc3ccccc3C[C@H]2c2ccccc2)cc([N+](=O)[O-])c1OC 464214467 WYOGMWCQZLXTBK-FQEVSTJZSA-N 418.449 4.552 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCC1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465896684 IGCBSJOVYPNTKV-QFIPXVFZSA-N 409.486 4.689 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)C(C)(C)c1ccc(OCC)c(OCC)c1 466511585 ALAVQNLDAPIKPI-UHFFFAOYSA-N 416.474 4.707 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 466806430 UFFZNKRBTRESJR-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 473382841 BZFQFUJQYYBTCX-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD CCN(CC)C(=O)c1ccc(CNc2cc(C)c([N+](=O)[O-])cc2Br)cc1 473520455 MXDCGXUMFWFEPI-UHFFFAOYSA-N 420.307 4.760 5 20 HJBD COc1cccc(-c2nc(=NC(=O)c3cc4ccccc4c4cccnc34)s[nH]2)c1 476315251 ITLPLDAEYWNCCB-UHFFFAOYSA-N 412.474 4.589 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Nc1cc(F)c(F)cc1Cl 476437531 SFXPQSVQOQHZJA-UHFFFAOYSA-N 417.604 4.634 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2ccnc3cc(Cl)ccc23)c([N+](=O)[O-])c1 480327046 LXQOXLHUSOAKMQ-LLVKDONJSA-N 417.874 4.924 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486136355 SLBIMGOBNAGYPK-GFCCVEGCSA-N 416.783 4.607 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 490154950 MMVDXEMSEWVHRZ-HXUWFJFHSA-N 415.453 4.629 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1OC(F)F 497810987 DEQSYKUEDJMCPP-SECBINFHSA-N 404.291 4.706 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 498824949 LPBKNJAVTKTQGJ-UHFFFAOYSA-N 401.438 4.764 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 502848297 SZDKAZVJTZOTFH-NSHDSACASA-N 418.812 4.940 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC[C@@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 507353069 ODQSOYJMCNHOGX-VSZNYVQBSA-N 408.502 4.719 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)NC2CCCC2)cc1 509585271 PDERPYBMHIPMJO-UHFFFAOYSA-N 424.501 4.710 5 20 HJBD C[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 514164292 HPVDFDCYFQFJQO-KRWDZBQOSA-N 420.469 4.727 5 20 HJBD Cc1csc(Nc2cccc(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3Cl)c2)n1 517897171 RJYBUOUGWRVFMU-UHFFFAOYSA-N 424.891 4.558 5 20 HJBD COc1cc(C(=O)Nc2ccc3oc(CC(C)(C)C)nc3c2)c([N+](=O)[O-])cc1OC 518707014 LOOOEAWZRDZYGJ-UHFFFAOYSA-N 413.430 4.594 5 20 HJBD C[C@@H](C(=O)N[C@H]1C[C@H](c2ccc(F)c(Br)c2)C1)c1cccc([N+](=O)[O-])c1 519518743 VXMBFJLEDMPOPU-KCPJHIHWSA-N 421.266 4.662 5 20 HJBD CC(C)C[C@@H](C)Oc1cc(CNC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccn1 520375892 MHLITAOIBQABCP-CVEARBPZSA-N 417.531 4.600 5 20 HJBD COc1ccc(C(=O)Nc2cc(CC(C)(C)C)nn2-c2ccccc2)cc1[N+](=O)[O-] 522214111 SDFILIWXRBVBAQ-UHFFFAOYSA-N 408.458 4.630 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N3CCc4c3cccc4[N+](=O)[O-])C[C@H]3CC[C@H]2C3)c1 524113905 JTACZEJLUCZDJU-LLJLJFOGSA-N 406.482 4.542 5 20 HJBD COc1ccc2cccc(CC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c2c1 533603209 AYKUSRWRFALXSD-UHFFFAOYSA-N 402.353 4.539 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2O)cnn1-c1cccc(Cl)c1 535515256 BCIAZNLYCSSRCY-UHFFFAOYSA-N 400.822 4.515 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])n1[C@H]1CCC[C@@H](C)C1 536090146 GHRXYYUOUWNNKS-RISCZKNCSA-N 423.926 4.630 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1)CC(F)(F)F 536697231 HCGFGQFBFLSEMJ-HNNXBMFYSA-N 411.424 4.561 5 20 HJBD Cc1c(NC(=O)N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)cccc1[N+](=O)[O-] 541129105 HPISGNPGJDUZPW-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1F 541780611 QNEBOPGDNKGXNO-UHFFFAOYSA-N 409.417 4.772 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccc5nc(C(F)F)[nH]c5c4)n3)c2c1 545146343 AUACUFNBAMVGPW-UHFFFAOYSA-N 410.340 4.531 5 20 HJBD Cc1cc(C)cc(C(=O)N2CCC[C@@H](c3nc(-c4ccc(C)c([N+](=O)[O-])c4)no3)C2)c1 545577511 NUSLFBQKJCVTTF-GOSISDBHSA-N 420.469 4.590 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)c12 546965770 RTBPEEAWHLKKKF-UHFFFAOYSA-N 418.438 4.630 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)c1)C1CCC1 546978429 WJQRGARCRHDDLI-UHFFFAOYSA-N 403.398 4.532 5 20 HJBD CN(C)C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 549269153 KKBDQBPWBBJBNN-LJQANCHMSA-N 416.934 4.764 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N(CC)[C@H](c2ccc(C)cc2)c2cccnc2)c1 549294689 GKTVTBFMGWCOSA-HSZRJFAPSA-N 419.481 4.949 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 549544971 RYXBKFJDGZFPMS-CQSZACIVSA-N 410.270 4.592 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](c2cncc(Br)c2)C2CC2)cc1[N+](=O)[O-] 551818714 RPLLPQUEKJEJNF-BUXKBTBVSA-N 420.307 4.953 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](OCC2CC2)c2ccc(Cl)cc2)c1 552680917 JVPOVDCXTYFXTE-HXUWFJFHSA-N 418.877 4.545 5 20 HJBD C[C@H](C(=O)Nc1nc2c(Br)cccc2s1)c1cccc([N+](=O)[O-])c1 553407801 ZKZHYCLRXYFKHY-VIFPVBQESA-N 406.261 4.709 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@H](n4cc(Cl)cn4)C3)o2)c(Cl)c1 571934065 NCJYOBPXYBZCDR-CQSZACIVSA-N 407.257 4.805 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCSc3ncccc32)cc1[N+](=O)[O-] 573415458 ZMBUYJKRDHHDNO-UHFFFAOYSA-N 403.529 4.881 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)[C@H]1C[C@H]1C 573716209 RSIHATFELMJGOG-XIKOKIGWSA-N 409.467 4.823 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)[C@@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 579067680 YQKZPYYVRXUQGK-HNNXBMFYSA-N 405.454 4.504 5 20 HJBD COc1cc(C(=O)N[C@H](CC(C)C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 585689397 GSFOHPJQGLLLIM-OAHLLOKOSA-N 408.401 4.722 5 20 HJBD CN(Cc1c(Cl)cccc1Cl)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 603525598 QVVWCBFJKKZUQO-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD Cc1ccc(S[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)nc1 603726028 OFKSIAOALGBTDT-LBPRGKRZSA-N 400.485 4.541 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccccc1COc1ccccc1 603987363 MCYYENNQDIBSJY-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 604240682 KRTQWZOWOCJXAA-UHFFFAOYSA-N 417.469 4.690 5 20 HJBD Cc1c(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)sc2ccc(F)cc12 608870194 ZHGYOAWGPITHEO-UHFFFAOYSA-N 402.403 4.671 5 20 HJBD COc1ccc(OC)c(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1 608972572 WREXREXXANVDFU-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccccc2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 609009567 CTXKLNGOGLCSCB-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 609207442 BXXNYOQHRDVWKS-UHFFFAOYSA-N 409.471 4.508 5 20 HJBD COc1cc(CNCc2cc(C(C)C)no2)ccc1OCc1ccc([N+](=O)[O-])cc1 609626220 XLDJXRBGGFEUQN-UHFFFAOYSA-N 411.458 4.584 5 20 HJBD CC[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 609724629 FPNCEQJEBZGCLI-LLVKDONJSA-N 403.287 5.000 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 610038125 ZOCWOZDLVQOWEA-NSHDSACASA-N 403.232 4.937 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccccc1C(F)(F)F 610337622 WSKGXYQSNPBRQK-LLVKDONJSA-N 404.348 4.746 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccnc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 610594160 VWINSOUHRRPXHP-INIZCTEOSA-N 406.442 4.651 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)OCCCO2 611465955 AUPMDYJVSMUIBV-UHFFFAOYSA-N 402.472 4.901 5 20 HJBD CCC[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1cccc(C(F)(F)F)c1 726756629 BWWKBEOSOIUFNM-MRXNPFEDSA-N 414.355 4.789 5 20 HJBD O=C(NCc1nc2c(s1)CCCC2)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 726881050 KHDYUELSRDQFFH-UHFFFAOYSA-N 409.467 4.652 5 20 HJBD CC[C@H]1CCC[C@@H](OC(=O)c2cc(OC)c(OCc3cscn3)cc2[N+](=O)[O-])C1 734723786 VDULMWLOTVQNCT-DZGCQCFKSA-N 420.487 4.765 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734888887 AEZQICFEXSQYJK-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Oc1ccc(NC(=O)c2cccs2)cc1 735422082 UKCZBHVMTZNXIG-UHFFFAOYSA-N 416.842 4.710 5 20 HJBD C[C@@H](c1ccc(OC(F)(F)F)cc1)S(=O)(=O)Cc1cc([N+](=O)[O-])ccc1Cl 735562384 JWJZXVACIOQUCD-JTQLQIEISA-N 423.796 4.823 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 746166121 QTYSJIQEEJALJB-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CCc1nc(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)co1 753627454 YFMKYQLRXRIUGQ-UHFFFAOYSA-N 401.806 4.630 5 20 HJBD Cc1ccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1NC(=O)c1ccccc1 756996265 LJRGFMXTGGFKSH-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 765472124 NAENBBXHNVPCBV-YUMQZZPRSA-N 421.135 4.924 5 20 HJBD CC[C@@H](SC)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 767670206 LSBVHGRANRRCRS-IAGOWNOFSA-N 422.890 4.613 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 769992062 VBTCVKVNTUQIEV-HNNXBMFYSA-N 415.799 4.778 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1noc(-c2ccc(Cl)cc2)n1 770011250 OSIHAURYQUSJNV-SECBINFHSA-N 422.228 4.834 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 772816959 ZPPZGYFEYIYAIB-SFHVURJKSA-N 409.269 4.516 5 20 HJBD COc1ccccc1[C@@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 779067514 XBINAPVESSOELA-IRLDBZIGSA-N 412.873 4.669 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1Oc1ccccc1 781557844 WPOARJLQOHTIQA-UHFFFAOYSA-N 407.382 4.867 5 20 HJBD CCCOc1ccc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1Cl 781609632 NAEYSHXKUQVFQY-UHFFFAOYSA-N 407.810 4.517 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1nnc(-c2cccc(Cl)c2)o1 784436265 VSGZILXOELRULP-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD NC(=O)c1ccc(-c2nc(COc3cc(Cl)c(Cl)cc3[N+](=O)[O-])cs2)cc1 794496477 JPPYXMNIYNUOHQ-UHFFFAOYSA-N 424.265 4.703 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cs1 797345892 VSCVWEFXLXSSSE-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD Cc1ccc(C(=O)CCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 811218987 OVGDXSLQQWQUNX-UHFFFAOYSA-N 416.480 4.795 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(N2CCCCC2=O)cc1 811967483 YVCXVZUPEXLKFW-MJGOQNOKSA-N 423.513 4.558 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812833479 NTNMPSMBIPUVPL-KRWDZBQOSA-N 402.237 4.816 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H]2CCC[C@@H](OC)C2)cc1 915128276 COWMHVGQBSKFTO-MSOLQXFVSA-N 401.415 4.510 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)CCNc2ccccc2[N+](=O)[O-])c1 915152076 SBJJBZSVEKAIKX-UHFFFAOYSA-N 418.425 4.522 5 20 HJBD CCn1c(-c2ccccc2)nn(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1=S 919950960 ZXZDQUZRKCGNEF-UHFFFAOYSA-N 411.531 4.877 5 20 HJBD CCCN(C(=O)c1ccn(-c2ccccc2C(F)(F)F)n1)c1cccc([N+](=O)[O-])c1 1116909587 ZXELAPCCVIGZST-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(COc3ccccc3F)o2)ccc1NCc1ccccc1 1257693642 JYQAKQCTLJNZIR-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD CCc1ccccc1O[C@@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1322168609 GKCBXUDHKFHASF-HSZRJFAPSA-N 402.450 4.820 5 20 HJBD Cc1ccc(-n2c(SCc3ccccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 5896616 YTOGSNKJUVGNTP-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)c(OCC(C)C)c(OC)c1 15270903 BCQMGFRWBSBADI-UHFFFAOYSA-N 408.838 4.553 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2cccc(Cl)c2)nc1C 17334698 HWFXWRDOSBBSHV-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCn1c(SCC(=O)Nc2ccc(C)cc2F)nc2cc3ccccc3cc2c1=O 22178099 CTLUAUVIJKXJTH-UHFFFAOYSA-N 421.497 4.748 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2nc3ccccc3o2)CC1 25180848 SNKPWHJTUXIMQK-CQSZACIVSA-N 411.483 4.623 5 20 HJBD Cc1ccc(Cn2nccc2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 64897882 SCHOKFZRKWQIKO-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)CSc1ccc([N+](=O)[O-])cc1 109856186 DWKSYOZJDXBLTB-HXUWFJFHSA-N 422.510 4.756 5 20 HJBD CCOc1cccc2cc([C@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)oc12 216757378 CPEICFXUDFWLMN-LBPRGKRZSA-N 417.849 4.682 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NCc3ccc(OC)c([N+](=O)[O-])c3)s2)cc1 237477001 QEESSVWCGLISSD-ZDUSSCGKSA-N 413.499 4.895 5 20 HJBD CCc1cc(N2CCC([C@H](O)c3ccccc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301101939 KYTVIGUETXWLLB-HSZRJFAPSA-N 418.497 4.564 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1 410085633 IAVDIWKKNMZUQP-UHFFFAOYSA-N 418.384 4.720 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)c1[N+](=O)[O-] 433994918 VSLCBMQSFZALKF-HNNXBMFYSA-N 404.879 4.676 5 20 HJBD CCCNc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 434550313 VFVDBKSOZQJSNM-UHFFFAOYSA-N 421.447 4.711 5 20 HJBD O=C(Nc1cccc(OCc2ccccn2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436093776 VSAFSXQHOKUGKO-UHFFFAOYSA-N 421.456 4.908 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 436155241 PKJJKEYZOJYXDA-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437104084 CLIKKXURRFGNBX-UHFFFAOYSA-N 411.845 4.637 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438188809 JHBSERUOLMHQGE-SJORKVTESA-N 412.408 4.758 5 20 HJBD C[C@@H]1CCc2c(sc3nc([C@@H](C)N4CCc5ccc([N+](=O)[O-])cc5C4)nc(O)c23)C1 438523315 LRZXBVJWJBONQP-CHWSQXEVSA-N 424.526 4.549 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444113985 UOFYXSKXTWUPON-UKILVPOCSA-N 412.489 4.640 5 20 HJBD CCOc1cccc([C@H]2C[C@@H]2NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444287184 JLDMISYLFYWTBQ-CTNGQTDRSA-N 416.452 4.505 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C 446483859 FKEABHPUDKFCCC-PXAZEXFGSA-N 422.279 4.563 5 20 HJBD COc1cc(N2CCOC(C)(C)C2)ccc1N[C@H]1CCCc2ccc([N+](=O)[O-])cc21 447597465 GDZHCTIREKVYCG-FQEVSTJZSA-N 411.502 4.708 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460446380 HMDAKVGNOYXEDY-OAQYLSRUSA-N 409.530 4.599 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 462611233 GDURXSRALBXKSP-ZFJSRUIDSA-N 423.557 4.675 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCc2c(Br)ccc(Cl)c2C1 463728602 MLHASUYVTVFJLA-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1 464724112 QCWJKXIWYFFHKE-QFIPXVFZSA-N 417.465 4.657 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(Oc3ccccn3)c2)cc1SC 464890453 HNUBNUBTZFZNFE-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cccc(CS(=O)(=O)C(C)(C)C)c1 468586846 MFWBNEMLHZRPEN-WJDWOHSUSA-N 402.516 4.824 5 20 HJBD CCCOc1cc(NC(=O)c2ccc3oc(C)nc3c2)c([N+](=O)[O-])cc1OCCC 470757495 VUVAYNYANGNELM-UHFFFAOYSA-N 413.430 4.874 5 20 HJBD COc1ccc2c(c1)nc(CNCc1ccc(-c3ccc([N+](=O)[O-])cc3)s1)n2C 472154174 JVWAJUQBUAMBHQ-UHFFFAOYSA-N 408.483 4.508 5 20 HJBD COc1c(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)cccc1[N+](=O)[O-] 474893708 FNILCHPFIVXFMY-KRWDZBQOSA-N 402.859 4.838 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1c(Cl)cccc1[N+](=O)[O-] 475803521 KEKQGXUNXAFWLP-NSHDSACASA-N 414.676 4.564 5 20 HJBD O=C(NC1CC1)c1ccc(N[C@H]2CCCc3cc(OC(F)F)ccc32)c([N+](=O)[O-])c1 477587029 LIDSUTIYDFLNSI-KRWDZBQOSA-N 417.412 4.578 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c2ccc(F)cc2O1 479311929 GINTXGUBQDBPSO-OAHLLOKOSA-N 412.339 4.785 5 20 HJBD Cc1c(CNC(=O)N(Cc2ccccc2C(F)(F)F)C2CC2)cccc1[N+](=O)[O-] 480066666 ZFCXWMYHNVUNKQ-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD COc1c(C(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 483837303 GJRVWPHCJFFRAT-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2c(F)cc(F)cc2Br)cc1[N+](=O)[O-] 487236562 WIKXKGKGZZEGSV-VIFPVBQESA-N 414.206 4.827 5 20 HJBD CCOc1cc(CSc2nnc(COc3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 492310830 HLZHLEWRRKPSFW-UHFFFAOYSA-N 421.862 4.901 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)C(F)(F)F 494803022 BBESDZPSBCWBCW-CYBMUJFWSA-N 411.380 4.755 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)cc(OC)c1 498794251 WCGBDWASZCUASU-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)O[C@@H](C)C2 499629084 ZFYOBCVUVYKNRO-ZVZYQTTQSA-N 410.470 4.676 5 20 HJBD COCCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@@H](C)c1cccc(C(F)(F)F)c1 500321511 JPEQNAWVEAIFBJ-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD CC1(C)C[C@H](N[C@@H](Cn2ccccc2=O)c2ccccc2)c2cc([N+](=O)[O-])ccc21 503901149 HOZUUWALLYBZHM-VXKWHMMOSA-N 403.482 4.510 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)COc2c(Cl)cncc2[N+](=O)[O-])C(C)C)cc1 506600370 KUOHQJXXCIVEJR-IBGZPJMESA-N 405.882 4.659 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)c1ccc(NC2CC2)c([N+](=O)[O-])c1 509834539 NUSGPOFIGIJLHW-UHFFFAOYSA-N 419.466 4.798 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C(C)(C)C)cs1 518046874 ZFVORUSLMIQZQB-LLVKDONJSA-N 416.528 4.963 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])c2)cc1 519095256 MBLWIRQOZJTPCY-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])cc2Br)ccc1OC(F)F 520134678 SMTGNKHLQWHLDJ-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD O=C(Nc1ccccc1Oc1cccc(F)c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520636649 OPYGIRWAFKYHKT-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD CCOC(=O)c1ccnc(S[C@@H](C)c2ccc(OC(F)(F)F)cc2)c1[N+](=O)[O-] 523114599 WURKTZGFUYSITA-JTQLQIEISA-N 416.377 4.918 5 20 HJBD CC[C@H](C)[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 524554905 KEYNOLJYXUKSIV-BUXKBTBVSA-N 400.866 4.571 5 20 HJBD COC(=O)CCSc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 533736637 HLIPRQSMWOMFPM-UHFFFAOYSA-N 416.480 4.717 5 20 HJBD CCc1nn(C)c(NCc2ccc(Oc3ccccc3C(F)(F)F)nc2)c1[N+](=O)[O-] 536706184 IBGAFNWWMKJVCT-UHFFFAOYSA-N 421.379 4.709 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2n[nH]c(C)n2)cc1 539634873 ZJMXCVSNWOEQFR-UHFFFAOYSA-N 411.487 4.833 5 20 HJBD COc1cc(CN[C@@H](C)c2ccccc2OC(C)C)c([N+](=O)[O-])cc1OC(F)F 540325602 MIJSPPGUMUFANJ-ZDUSSCGKSA-N 410.417 4.843 5 20 HJBD COc1cc(CN[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OCC(F)F 541872320 UEEYEHGIEZTBNJ-QGZVFWFLSA-N 420.334 4.641 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542552069 UBVZNQWBKZHVRM-ZDUSSCGKSA-N 401.438 4.769 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C(C)(C)C)cs1 543512179 HCCVSLFUXFHTIK-CYBMUJFWSA-N 400.504 4.897 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1F 543541638 FTQWEJVTASLPJI-ZDUSSCGKSA-N 423.404 4.598 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1N1CCCC1 550257595 UYZBMWAIVFIFTD-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2cc(Br)cc(F)c2C1 553294007 SWRBPMBSAXADRK-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OC[C@@H]1CCCO1 556338028 DUROAPWUAANRTP-ZDUSSCGKSA-N 411.241 4.712 5 20 HJBD Cn1ccnc1[C@@H](NCc1cccc(Oc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 560514194 UOBZCVLRFWYFSQ-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cc(C(F)(F)F)ccc1Br 570321582 NAGFIUBTJHCZKX-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc(Cl)c1Br 578612426 YLTZOXQQVUJWSQ-UHFFFAOYSA-N 411.683 4.634 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1cccc([N+](=O)[O-])c1 578736448 PZHCPTQDXZTIFU-CQSZACIVSA-N 415.877 4.617 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 582643205 SYTJCVRAGIGBTA-HOTGVXAUSA-N 412.486 4.906 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@H]3c3ccc[nH]3)cc2[N+](=O)[O-])n1 604076756 QZUHNIBEOAMFHH-HNNXBMFYSA-N 414.512 4.816 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(OCc3ccccc3)cc2)cc1[N+](=O)[O-] 604080148 XKEZMBMWYRJYBU-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1ccc(OC(C)C)cc1C(F)(F)F 609219156 KKUBGGUNYXEASD-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1c(Cl)cccc1[N+](=O)[O-] 609503134 HFLXBRPDCQZZER-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD CCOCCCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791693 MNOFPVVUYAUKSE-UHFFFAOYSA-N 410.829 4.613 5 20 HJBD C[C@H](Sc1ncccc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609852112 CYTWIFNXLULKPS-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD CC(C)(C(=O)Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc([N+](=O)[O-])cc1 610037949 FFWVMHNXBCSXHZ-UHFFFAOYSA-N 410.499 4.721 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])C1(c2cccc(Br)c2)CCCC1 610237178 MRXAPFQQUTWREG-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD COc1cc(C(=O)Nc2ccc(COC(C)(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 610624697 VREFSOBRVVJGPV-UHFFFAOYSA-N 424.400 4.772 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](N[C@H]1CCC(F)(F)C1)c1ccccc1 610783465 VGUUZZGOJJUYQM-SUMWQHHRSA-N 409.820 4.705 5 20 HJBD O=C(Nc1ccc(-c2ncon2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611205644 WLPUGQGYIQOHFQ-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD CSc1cccc(C(=O)N(C)C[C@H]2CCCO[C@H]2c2ccccc2)c1[N+](=O)[O-] 614444685 HGXUDCPMZIULDV-UZLBHIALSA-N 400.500 4.557 5 20 HJBD O=C(OCc1cc(Cl)ccc1OCc1cccnc1)c1cc(F)cc([N+](=O)[O-])c1 731529421 ZZUUYQGWEOLFKJ-UHFFFAOYSA-N 416.792 4.718 5 20 HJBD CCCCc1nc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cs1 733432402 LGGZQXOOTFGOSD-UHFFFAOYSA-N 406.507 4.697 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1ncc(-c2ccc(F)cc2)o1 735011613 NYHIJZPJJKBJHU-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD CC(C)(C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1 739535146 SZCJBCHVCSHPQL-UHFFFAOYSA-N 419.934 4.718 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 747811627 WAQIGYNRYJSXBD-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(Cl)c1 750536073 OXWDBRSSDWHVDZ-NSHDSACASA-N 411.241 4.702 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 750539282 AONQMGKFLAECHQ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F)C(=O)Nc1cccc2ccccc12 754984654 DLVGBNKCHIHQHG-LLVKDONJSA-N 416.792 4.725 5 20 HJBD C[C@@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccsc1 755434444 PZHRWRMYOMQZAV-HXUWFJFHSA-N 420.556 4.576 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1C 758934011 AWAGMTPTXJFWAW-OAHLLOKOSA-N 420.425 4.521 5 20 HJBD C[C@@H](Oc1cccnc1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857365 HUQTZGOJYJNQET-CQSZACIVSA-N 404.382 4.972 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763462564 PBLXUPNRPYJKSC-VIFPVBQESA-N 421.400 4.935 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc(-c2ccc(Cl)cc2)no1 766233140 SSQPOUFWOVGOEC-NSHDSACASA-N 424.800 4.764 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC[C@@H]1NC(=O)OC(C)(C)C 769425976 RXMCACDLIVNVOV-BEFAXECRSA-N 415.490 4.590 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccc(Cl)cc1[N+](=O)[O-] 769631033 ALCWHLDVACHPCR-ALOPSCKCSA-N 409.914 4.658 5 20 HJBD C[C@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 773606428 SQCXFZOROYLKJV-VIFPVBQESA-N 423.244 4.992 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccc(N(C)C)cc2)CC1 775974990 MAWUYWAEUWVCGZ-UHFFFAOYSA-N 413.543 4.793 5 20 HJBD Cc1ccc(C(=O)CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])s1 776135787 IPWBTWHECBHVDU-OAQYLSRUSA-N 410.451 4.655 5 20 HJBD CC(C)c1cccc(NC(=O)COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 781258716 DTJVMLDZFYMQKO-UHFFFAOYSA-N 414.461 4.600 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 783318069 CUFQXKLIUVZQDI-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@@H]1CC=CCC1 788497480 NARWGKBNETVHDH-DNVCBOLYSA-N 414.845 4.828 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCCOCC(F)F 788540239 XUVOKARRWLCRGE-UHFFFAOYSA-N 409.432 4.945 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NCc2cnc(-c3ccc(Cl)cc3)s2)cc1 789373130 WJPHZACLJOAQKC-UHFFFAOYSA-N 404.904 4.858 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NCc1ccc(Br)c([N+](=O)[O-])c1 789891874 YFZGUCRYEOQJCN-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c1F 790865385 FDRFGBWKPUDLQY-JTQLQIEISA-N 417.384 4.537 5 20 HJBD CCc1cc2oc(=O)cc(CNC(C)(C)c3ccccc3[N+](=O)[O-])c2cc1Cl 795218358 GWAMUTVTXCOSLT-UHFFFAOYSA-N 400.862 4.942 5 20 HJBD Cc1nn(CN2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)c2ncc([N+](=O)[O-])cc12 795650420 BYXATYSZKLZGPD-HNNXBMFYSA-N 419.407 4.504 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1cccc(N2CCOC2=O)c1 797434770 XTTHTZSHWCIWRJ-AWEZNQCLSA-N 422.416 4.926 5 20 HJBD CCc1ccc(-n2nc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)c3c2CCC3)cc1 800145244 GBQFKXSWSGKSDQ-UHFFFAOYSA-N 408.433 4.531 5 20 HJBD Cc1c(Cc2noc([C@@H](NC(=O)OCc3ccccc3)C(C)C)n2)cccc1[N+](=O)[O-] 809436134 YGLBQHGFZXQUEB-FQEVSTJZSA-N 424.457 4.501 5 20 HJBD Cc1cc(Br)c2c(c1)CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)CC2 809916963 ACVOHCMQPIARSL-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD Cc1cccc(C(=O)Nc2ccccc2C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 897477218 LQECXQYZEAKDRI-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])cc2)co1 915841860 XUJFHWGBZOYKKC-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(C)c1 1319599284 OZFBNCVWKJJBCG-UHFFFAOYSA-N 414.483 4.977 5 20 HJBD Cc1cccc(Nc2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)s2)c1 6268027 CZQQKZSDTSDAJF-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD O=C(CSc1ccc2ccccc2n1)Nc1ccc([N+](=O)[O-])cc1Br 10962407 YOQLRUGCCWYXDB-UHFFFAOYSA-N 418.272 4.636 5 20 HJBD Cc1cc(C(=O)Nc2ccnn2Cc2cccc(Cl)c2Cl)ccc1[N+](=O)[O-] 15239671 CQXOYGWTQZXFLU-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)c2ccc(Br)cc2)c1 16196584 MGKAYMBRIMHYQL-JTQLQIEISA-N 424.272 4.507 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1cccc2ncccc12 25375333 ABLKLGAPJBPSCU-LBPRGKRZSA-N 421.434 4.542 5 20 HJBD C[C@H]1c2cccn2CCN1C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 30796585 INLPVRAZZWEQNP-HNNXBMFYSA-N 424.888 4.879 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)n1 44542703 WGOAXHRUCDLVRF-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD CCOc1ccc(C(=O)NCc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 46778134 KISMGHLVOCPDSJ-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1cccc(OC2CCCC2)c1 54802408 XCCQDAWIXIFDOZ-FOWTUZBSSA-N 403.438 4.570 5 20 HJBD Cc1sc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)nc1-c1ccc(Cl)cc1 56248259 ZMMRFWUGNWXHMX-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 58286034 YZRKWPZEJDYQHB-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(C/C=C/c1ccccc1)c1ccc2c(c1)OCCO2 60441631 DVAXSJUCDKUTCB-QPJJXVBHSA-N 422.462 4.788 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)C(C)C)cc1 61962628 MHSOYBYCMFAJGA-YCRPNKLZSA-N 411.502 4.869 5 20 HJBD Cc1ccsc1CN(C(=O)CCn1cc([N+](=O)[O-])cn1)c1ccc(F)c(Cl)c1 63572817 SVVXXGYOBTZXNR-UHFFFAOYSA-N 422.869 4.577 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1F 108631089 CCZTZWBOURQUCR-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Sc2ncc(-c3ccc(F)cc3)o2)c([N+](=O)[O-])c1 301229790 DYPGOERRQBBUOZ-UHFFFAOYSA-N 424.413 4.505 5 20 HJBD CC(=O)c1ccc(Nc2cccc(CS(=O)(=O)Cc3ccccc3)c2)c([N+](=O)[O-])c1 301314478 LJWGUUHXODJVBA-UHFFFAOYSA-N 424.478 4.656 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)c1 301464185 KNNOXJHKECQQFE-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2[nH]c(-c3cccc(F)c3)nc2c1 302941546 HWZHIUYRIOFBSW-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1nc2cc(C(F)(F)F)ccc2s1 409878256 VRTIGWAPQKHCIX-UHFFFAOYSA-N 423.416 4.815 5 20 HJBD COc1cccc(C(=O)NC2(c3ccc(C(F)(F)F)cc3)CCCC2)c1[N+](=O)[O-] 436048753 KNVINIWSOFZQEU-UHFFFAOYSA-N 408.376 4.822 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 436088406 MFIAUZGVCYSPAA-UHFFFAOYSA-N 422.431 4.677 5 20 HJBD O=C(Nc1ccc2c(c1)OCCO2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440416724 OVAGEMJNSPZETF-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 440472179 WJHJCMPUBWDKFB-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CCCN(C(=O)c1cccc(-n2nccc2C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 441463877 LVCVFJPSHXEAGP-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD CCN(Cc1c[nH]c2ccccc12)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 443382670 CZJGPRNQEZQDKG-UHFFFAOYSA-N 413.452 4.964 5 20 HJBD CC(C)(CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Cc1ccccc1 444030138 JZDANPXDXHWYGH-UHFFFAOYSA-N 402.469 4.819 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444097585 UYSJODJNQOMRCN-UHFFFAOYSA-N 402.425 4.862 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1C(F)(F)F 445248841 MEYULDSEWLDHHN-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H](C)c3ccc(Br)s3)n2)c1 445575028 OPOAAENDYADOCH-VIFPVBQESA-N 424.276 4.553 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCCc3ccccc3[N+](=O)[O-])c2C2CC2)cc1Cl 460502096 UXLLRYFBIANVOU-UHFFFAOYSA-N 424.888 4.592 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@@H]1CCCCO1 462485035 BLWJPJXIJQEFMC-ICSRJNTNSA-N 412.486 4.750 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464224464 BFXFXQHBVXHUSH-INIZCTEOSA-N 411.458 4.594 5 20 HJBD C[C@@H](NCc1ccc(S(C)(=O)=O)s1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 469310920 MXVAPKNLIADCFZ-GFCCVEGCSA-N 422.553 4.639 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2nn([C@H](C)c3ccccc3)cc2C)cc1[N+](=O)[O-] 471888252 SSUHUPOBTMORRY-IAGOWNOFSA-N 407.474 4.900 5 20 HJBD CC(C)c1cnc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)n1C 476525082 OFEPXOYTFIFHAM-SNVBAGLBSA-N 416.425 4.590 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21 477880237 PTDXCOOWVCEJBT-SGTLLEGYSA-N 422.453 4.871 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@H](C)c1cc2ccccc2o1)c1ccccc1[N+](=O)[O-] 482151892 UMOUTWUCJYZEAL-CRAIPNDOSA-N 424.453 4.644 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 484090077 YPMNGULPOMKNRU-HUUCEWRRSA-N 424.501 4.596 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 486696932 CZZYLDNARMVEAE-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD Cc1c(CN2C[C@H]3CCC[C@@]3(c3nc(-c4ccccc4)no3)C2)cccc1[N+](=O)[O-] 486838693 JGZSTAXHMZZQQV-AUSIDOKSSA-N 404.470 4.507 5 20 HJBD COc1ccc(CNC(=O)[C@@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 491232703 QZQLWTTUABTPGZ-CVEARBPZSA-N 423.494 4.579 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 491649239 VFYGXQQIMMVHNG-UHFFFAOYSA-N 415.449 4.927 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](C)c2c(C)nn(CC(F)(F)F)c2C)cc1[N+](=O)[O-] 495444031 FZNQDUSYGHSISK-VXGBXAGGSA-N 414.428 4.781 5 20 HJBD O=C(Nc1c(O)c(Cl)cc(Cl)c1Cl)c1cc2cccc([N+](=O)[O-])c2[nH]1 496879295 DPQCZFFZWOWCFG-UHFFFAOYSA-N 400.605 4.994 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OCc1cscn1 497878149 CNIMWTBMQYNMOQ-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3ccc([N+](=O)[O-])c(C)c3)n2)cc1C(F)(F)F 499629374 ZPBBXPOCMVCHPK-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD CCCN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)CC(=O)Nc1ccccc1 504190382 MUMHZIHHLUWRSS-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cc([N+](=O)[O-])c1 511475933 PUKPKFJWXRTDKK-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2cccc3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 511761145 WDYBOXQNPWBHDI-KRWDZBQOSA-N 406.364 4.628 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 512365488 ZHMUQNWIGBPPSN-UHFFFAOYSA-N 419.359 4.759 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)c2C(F)(F)F)cc1 514653222 GDYGTBNPMOBOOJ-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 518288844 YWJUGLRDVRTEFL-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 518447172 PTLBKGLTNHYBAB-MSOLQXFVSA-N 424.284 4.586 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] 518891092 KBXXXJABJIJIII-UHFFFAOYSA-N 417.893 4.864 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 520499548 TUOICIWRSXTQJT-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2ccccn2)cc1 523892191 FPOHLWHYAPNFHF-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)c1 524114977 QLIMZAOKWBZIDH-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@H](Oc1ccc(F)cc1Cl)c1ccccc1 524346211 AUULEDGTHISHSM-HXUWFJFHSA-N 414.820 4.824 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 533005519 QSABZVJPZLLNBF-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD Cc1cc(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)n1CC(F)(F)F 536466911 JBZWWMCCXVOBLS-UHFFFAOYSA-N 424.297 4.856 5 20 HJBD CN(C(=O)c1sccc1OC(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149428 NAPIMPVUQNNHGK-UHFFFAOYSA-N 411.411 4.658 5 20 HJBD CCOc1cc(Cc2noc(-c3ccc(C)c([N+](=O)[O-])c3)n2)ccc1OC(F)F 544233848 FVTGLGDNHBBWEF-UHFFFAOYSA-N 405.357 4.544 5 20 HJBD C[C@H](C(=O)NCc1ccccc1CN1CCCCCC1)c1ccc([N+](=O)[O-])cc1F 544559453 XCSMBESRWNRIGD-KRWDZBQOSA-N 413.493 4.530 5 20 HJBD Cc1noc2nc(C(C)C)cc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c12 545145555 JSDDMOUYGXKJCJ-UHFFFAOYSA-N 418.413 4.685 5 20 HJBD C[C@@H]1CCCN(c2ccc(-c3nc([C@@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])C1 547127110 MYDSLKOHYVTHKN-QVKFZJNVSA-N 420.469 4.543 5 20 HJBD COCC[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 551667118 AZGJIFYBGLAARD-SGTLLEGYSA-N 411.285 4.899 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2cccc(C(F)(F)F)c2)CC1 555980943 YKAAPKJPICIUTM-LBPRGKRZSA-N 410.417 4.900 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(C3(c4ccccc4)CCOCC3)no2)c1 556341176 QSCYWDUUEBHDOH-UHFFFAOYSA-N 419.359 4.760 5 20 HJBD CC(C)c1ccc(O[C@@H](C)CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 563612857 KHBSDMVCUFJZQC-HTAPYJJXSA-N 408.502 4.598 5 20 HJBD Cn1cnnc1Sc1ccc(-c2nc(C3CCC(C)(C)CC3)no2)cc1[N+](=O)[O-] 575094088 PBVYJMIFMOLVIS-UHFFFAOYSA-N 414.491 4.608 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cc1[N+](=O)[O-] 578575178 NNJUCKAVQUSVSN-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD CC[C@H](C)n1nc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cc1C 580638228 HOSTUCNPOBEZLW-HNNXBMFYSA-N 408.458 4.902 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC[C@H](c3nc4ccccc4s3)C2)c([N+](=O)[O-])c1 589795129 FPKJGBZQJWNLKB-INIZCTEOSA-N 424.526 4.727 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 603562767 HLFMSRUUGRUDBY-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD COc1ccc(C[C@H](NCCc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 603872699 FEDLDMGVWADMAI-DEOSSOPVSA-N 406.482 4.728 5 20 HJBD COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)c(Cl)c1 609371904 RTMOHSHJMHKVBM-UHFFFAOYSA-N 410.813 4.740 5 20 HJBD CCOC(=O)c1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1Cl 610875813 BEXUHZIQDCWAHV-UHFFFAOYSA-N 417.849 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c1 619582977 HPPPHWFIKHIDIB-GOSISDBHSA-N 407.470 4.560 5 20 HJBD O=C(Nc1nc2c(C(F)(F)F)cccc2s1)c1cc(F)c(F)cc1[N+](=O)[O-] 727706547 UNBUAFNDYGXRNX-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD O=C(Oc1ccc(Br)c(F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 732744007 SZGLENREYAGMJA-UHFFFAOYSA-N 423.219 4.839 5 20 HJBD CCc1ccc(OC(=O)c2nc(-c3cccs3)n(-c3ccccc3)n2)c([N+](=O)[O-])c1 734739400 JPMARNVBOWOLQR-UHFFFAOYSA-N 420.450 4.686 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c12 735445364 FKXJGIBYWRTOJW-UHFFFAOYSA-N 422.397 4.575 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CSc1ccc(C(F)(F)F)cn1 735820654 JNPOIGJWQXLPAX-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1sc2ncnc(SCC(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])c2c1C 749053000 AMJUPSACOQFJPI-NSHDSACASA-N 403.485 4.613 5 20 HJBD C[C@@H](SCc1cccc(OC(F)F)c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 750005356 NVARVFOGXNFYTH-SNVBAGLBSA-N 400.378 4.596 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)cc2o1 750824870 BGNOCEZJRJLMSD-UHFFFAOYSA-N 410.611 4.713 5 20 HJBD C[C@@H](OC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1ncc(-c2ccc(Cl)cc2)o1 751699227 LEWHOWPDHQIOKT-LLVKDONJSA-N 420.780 4.726 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)co2)cc1 754984448 AKHMXKIMBAZSQI-UHFFFAOYSA-N 420.780 4.798 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F)C(=O)Nc1cccc2ccccc12 754984657 DLVGBNKCHIHQHG-NSHDSACASA-N 416.792 4.725 5 20 HJBD C[C@@H]1c2c(F)cccc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755024735 HXOKEZTZLKBQMZ-SNVBAGLBSA-N 408.227 4.648 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)o2)cc1C 758912087 SYDJNFNFHMWAIJ-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 761428626 QQGVJGYWKKUXOO-OAHLLOKOSA-N 420.421 4.565 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(CCCOc3cccc4ccccc34)no2)cc([N+](=O)[O-])c1 761951352 XKAQJLLRMLTWAF-UHFFFAOYSA-N 420.381 4.718 5 20 HJBD COc1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC1CCCC1 776760991 JVJXPSGLYRRKSQ-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1cccc([N+](=O)[O-])c1Br 781675316 SLXJEWOOHJRKCM-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)OCCCC(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 783757528 WOLMUJFZILDRLI-UHFFFAOYSA-N 406.866 4.971 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1noc(C2CCCCC2)n1 790295431 GOKYPCPKRKZBFH-UHFFFAOYSA-N 400.204 4.506 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 791009764 AZRMAFPIGSNBIT-UHFFFAOYSA-N 404.781 4.807 5 20 HJBD CCC[C@](C)(CC)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796334738 IFHDGWPBMXWCMV-GTNSWQLSSA-N 404.385 4.700 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 797225228 DMGLHHRDNRGPPO-SBUREZEXSA-N 415.449 4.913 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)nc1 803057282 GUVFDHMIIBGQGT-QMMMGPOBSA-N 406.263 4.528 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@H](c3cccc(OC(F)(F)F)c3)C2)c([N+](=O)[O-])c1 808125843 CPMOVGYLXOYXMA-IBGZPJMESA-N 424.375 4.664 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 810076094 ULTYYNGWWHKOJJ-NRFANRHFSA-N 407.426 4.585 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cccs1 814774978 AQQMOPXJFVHANH-SFHVURJKSA-N 420.849 4.733 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)nc1Cl 816762760 FZVYSDWFSDURNU-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)c1[N+](=O)[O-] 817875323 NZIHBFNQQHTWJZ-MRVPVSSYSA-N 405.735 4.601 5 20 HJBD C[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1cccc2ncccc12 827005687 JAXXKVXFZAURMU-LLVKDONJSA-N 410.480 4.872 5 20 HJBD C[C@H](NC(=O)Nc1ccccc1C(=O)N(C)C1CCCCC1)c1cccc([N+](=O)[O-])c1 918039678 GUZAXRSHZMBXAX-INIZCTEOSA-N 424.501 4.882 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 1116163280 DFKPLUVSZIXTJV-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1cccc(N2CCC(NC(=O)c3cc4ccccc4c4cccnc34)CC2)c1 1318137658 ZTLAFLWNIIMKMQ-UHFFFAOYSA-N 411.505 4.795 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)o1 1324289486 ADDRZXRNTRLCQO-HXUWFJFHSA-N 402.878 4.899 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@H]3CC(c4ccc(Cl)cc4Cl)=NO3)o2)c1 1325769905 LSOUJHHGJJXARZ-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cnn(-c4ccccc4F)c3C(F)(F)F)o2)c1 1329135921 VILVXBIIGGVCOF-UHFFFAOYSA-N 419.294 4.655 5 20 HJBD Cc1ccc(SCCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6990807 ZOCKMJKBHJHHSP-CQSZACIVSA-N 413.455 4.740 5 20 HJBD COc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1NC(C)=O 26620842 YPKCIMLRCUHNJK-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(c3nc4ccccc4[nH]3)CC2)cc1[N+](=O)[O-] 27999284 MVJIRXKISLDAQN-UHFFFAOYSA-N 406.486 4.788 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1occc1-c1ccccc1 28024117 AAFMOWRLCXGJMK-UHFFFAOYSA-N 419.359 4.716 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50296268 ZCPRNZPWOCWHKG-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OC 107907008 WAWYVSPLLKHUCJ-GJZGRUSLSA-N 418.515 4.750 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c3c(C)noc3n2)c(C)o1 248337522 QDQJAEDIKLYQEA-UHFFFAOYSA-N 410.361 4.708 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Br)cc1C(F)(F)F 326272142 VSFHSUHWTKNMFV-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 410086315 WDMWTBYWPPWTHR-UHFFFAOYSA-N 402.410 4.793 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1ccc(Br)cc1[N+](=O)[O-] 432196359 OPLFWOWOLZASRU-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 437816288 BNPYFKAELKCLTM-BZNIZROVSA-N 409.364 4.950 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCN(c2ncc(C(F)(F)F)cc2Cl)CC1 441209710 ZDPRDCMYMZVDGI-GFCCVEGCSA-N 414.815 4.545 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1cccc(F)c1)C[C@H]1CCCO1 443960915 JEHVSJNNOVVJJB-GOSISDBHSA-N 401.438 4.564 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1F 444303862 VPJFRYMKXLWQKW-UHFFFAOYSA-N 408.404 4.999 5 20 HJBD COc1ccc(CNc2cc(C)c([N+](=O)[O-])cc2C(F)(F)F)c(OC)c1OC 461786799 RRSSSQOBLDPLSI-UHFFFAOYSA-N 400.353 4.560 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)ccc1F 463957628 FHUNKVXCDWAZGG-CYBMUJFWSA-N 413.409 4.592 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 470114961 ZCGRDQQXZVHKQG-UHFFFAOYSA-N 417.263 4.911 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc(C(F)(F)F)cs1 470566154 OMMDWTUWEHIPEL-PWSUYJOCSA-N 401.410 4.516 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cnn(-c2ccc(Cl)cc2Cl)c1 471161335 QRAYLIYWPYANKN-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C 475581736 KRLKHJXWZCNQJM-UHFFFAOYSA-N 404.536 4.649 5 20 HJBD CC(C)n1cc2c(n1)C[C@H](Nc1ccc(C(=O)c3ccccc3)cc1[N+](=O)[O-])CC2 481964531 LXZPXWPCVWXQHH-LJQANCHMSA-N 404.470 4.573 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc2ccccc12)C(F)(F)F 482414331 YIBUAXJJBFEIRW-SFHVURJKSA-N 403.360 4.851 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1N1CCCCC1 486772321 KVEABMKYWDFLIK-UHFFFAOYSA-N 422.407 4.926 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1SCCOC 486857529 ZEJHUCCZZAKKGR-INIZCTEOSA-N 422.934 4.738 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2csc(Cc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 488045185 CQUXHESZGSBLLQ-AWEZNQCLSA-N 413.474 4.510 5 20 HJBD COc1cc(CNc2ccc(F)cc2OC(F)F)c([N+](=O)[O-])cc1OCC(F)F 491023609 RKGVZYHCJXRJMD-UHFFFAOYSA-N 422.306 4.600 5 20 HJBD COc1cc(CCN[C@@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 492781932 FGNZNXANQWUDTN-NRFANRHFSA-N 406.482 4.728 5 20 HJBD COC1(C)CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 500743946 CZMVVSIQTJHXIB-UHFFFAOYSA-N 409.280 4.618 5 20 HJBD COC(=O)C(C)(C)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 500765959 PNQDCLWBCACMML-UHFFFAOYSA-N 411.483 4.781 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccccc2-c2ccccc2)c([N+](=O)[O-])cc1OC 506523051 KTZVJSXGPREHLE-UHFFFAOYSA-N 406.438 4.551 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC=C(c2ccccc2Cl)CC1 509759009 BFBIQUUQXSHGDI-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 510861883 QSHIHUXBCYBAJG-GFCCVEGCSA-N 409.389 4.866 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(-c4cccc(CN5CCCCC5)c4)no3)c[nH]c2c1 520300610 POTWPPCZMLWHRS-UHFFFAOYSA-N 403.442 4.779 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C[C@H](O)COc1cccc([N+](=O)[O-])c1 521816606 ZUHJJZUXWNCNLA-XLIONFOSSA-N 412.511 4.659 5 20 HJBD CCC1CCN(C(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)CC1 521989337 KJECYNKYEBPZGD-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD C[C@H](Cc1ccncc1)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 530913317 QZQCKAWTYXXKBA-GOSISDBHSA-N 413.481 4.563 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc2c1CN(c1ccccn1)C2 534519694 NJNCCIILGQYXDS-UHFFFAOYSA-N 409.833 4.807 5 20 HJBD O=C(Nc1cccc(CCN2CCCC2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537702248 TYBANJJETXPITM-UHFFFAOYSA-N 407.392 4.504 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 539354857 OXMJCHCNEYLRFX-KRWDZBQOSA-N 402.472 4.515 5 20 HJBD Cc1cccc(F)c1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 539544617 ZNFGGPULPSRPSN-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD CCOCc1nnc(NCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)o1 539693834 PGKGPQGTOCMHLN-UHFFFAOYSA-N 400.460 4.586 5 20 HJBD C[C@H](N[C@H](C)CCc1ccccc1[N+](=O)[O-])[C@@H](OC1CCOCC1)c1ccccc1 540149558 RXXOGEOZTUTAFU-YDIMBITNSA-N 412.530 4.831 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2F)C(C)C)cc1OC 542860351 WBFANJCJDKWTKA-IBGZPJMESA-N 424.856 4.922 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])cc1F 544423741 SWXMIGYJYLIUHB-SECBINFHSA-N 406.826 4.648 5 20 HJBD C[C@H](C(=O)Nc1cc(F)ccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 544447808 FHBSHGREOBHQPB-VIFPVBQESA-N 404.291 4.556 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@H](c2ncc[nH]2)C1 544501629 KEIIIYCKMCQSHE-INIZCTEOSA-N 414.531 4.620 5 20 HJBD C[C@@H](C1CCN(C)CC1)N(C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 544571094 XQOUIYUOTFOBQJ-INIZCTEOSA-N 412.490 4.581 5 20 HJBD CCn1c(SCc2nc(Cc3cccc([N+](=O)[O-])c3C)no2)nc2ccccc21 545783483 SUKHVBJVNWUXNM-UHFFFAOYSA-N 409.471 4.539 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3ccc(COCC(F)(F)F)cc3)no2)cc1 547013999 NYPIQZVBUDUAET-YHYXMXQVSA-N 405.332 4.894 5 20 HJBD CC(C)(NC(=O)CSCc1coc(-c2ccccc2)n1)c1ccccc1[N+](=O)[O-] 557974578 KDUFEIMBUWMETB-UHFFFAOYSA-N 411.483 4.535 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])cc1OC 572315822 YISJWUGNCKBEHV-ZDUSSCGKSA-N 412.417 4.785 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1 603517011 OKKAAUCEYINNSB-UHFFFAOYSA-N 419.481 4.939 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(NCc3ccc(F)cc3)s2)cc1 603947539 JCWUTBPONKEMJI-UHFFFAOYSA-N 420.491 4.759 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)Nc1ccc([N+](=O)[O-])cc1 603949846 JRJVRJTZRHCVHR-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])ccc1OCc1ccccc1 603986905 UVFTVKSJLAQZJT-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD O=C(Nc1nc(/C=C\c2ccccc2)cs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609757263 QVOFDAGBTFYJMW-SREVYHEPSA-N 417.450 4.660 5 20 HJBD CC(=O)c1csc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)c1 609816771 HIHPZZMUPVOEAA-UHFFFAOYSA-N 422.422 4.557 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cn2)cc1 610203591 LDORZVWUVAZQPC-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 611145974 LMVQBZSRMFHXJI-MRXNPFEDSA-N 402.454 4.506 5 20 HJBD CCc1ccc([C@@H](N[C@@H]2CCOc3cc(OC)ccc32)c2ccc([N+](=O)[O-])cc2)o1 612409750 AZDJQJHLKULMIQ-OFNKIYASSA-N 408.454 4.962 5 20 HJBD O=C(Nc1ccc2c(c1)OCCCO2)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618630578 DCXDMUSUGZHXMY-UHFFFAOYSA-N 420.421 4.587 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1OC1CCCC1 728498438 LRABGGPPMVRSQT-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)CCC1 733737834 PVRHVEQBHSVHIZ-UHFFFAOYSA-N 416.478 4.846 5 20 HJBD O=C(Cc1ccc(Cl)cc1Br)N1CCCc2ccc([N+](=O)[O-])cc21 736018185 IYYLVSSJMWSVIO-UHFFFAOYSA-N 409.667 4.533 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1Br 736528183 QMTCRHCHNUTMBE-DGCLKSJQSA-N 407.239 4.515 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2)c1 743210474 NOPNOGUOONMGPL-NRFANRHFSA-N 422.412 4.888 5 20 HJBD CSc1ccc(C(=O)Oc2cccc(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 744249271 OZHGGUMXDNDVPR-UHFFFAOYSA-N 404.444 4.883 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCC(F)(F)C(F)F)cc2[N+](=O)[O-])n1 744498258 JHVCRGDCEPYRPV-UHFFFAOYSA-N 410.370 4.568 5 20 HJBD CCc1ccc(C(=O)[C@@H](C)OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 747960785 BRBWQPOUHNEWHC-CYBMUJFWSA-N 410.451 4.709 5 20 HJBD CC(C)[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 750444834 KGBVUMVRDBLNCI-GOSISDBHSA-N 415.833 4.781 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1cc([N+](=O)[O-])ccc1Br 751095891 ORYJWPAEERVSPX-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC(=O)[C@@H]1C[C@H]1C1CC1)c1ccccc1 751742320 LPZUGHXXEOCRIN-FRQCXROJSA-N 414.845 4.517 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 754372121 OSIAGWJZRKKAMM-LLVKDONJSA-N 407.289 4.746 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755765507 XEMSZPHFKYZFJH-GOSISDBHSA-N 416.886 4.686 5 20 HJBD Cc1cccc(Cl)c1NC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 757678652 OPOWUKZSYNJTPN-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 758285203 HZJGBZOONRHYPN-LLVKDONJSA-N 409.305 4.960 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1Cc1nc2cc([N+](=O)[O-])ccc2o1 759774819 YTMKNNWMXCTERM-INIZCTEOSA-N 417.897 4.887 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])n1 760363900 GMSUJKSDYURTEI-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1O)c1cccc([N+](=O)[O-])c1Br 760851636 AVUMMRWIPSXZFW-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2ccccc2F)o1)c1ccc([N+](=O)[O-])cc1F 762989059 LLSQPRSXQRHJDO-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1csc(-c2ccccn2)n1 763805857 JQJOVXVYJHLBJR-UHFFFAOYSA-N 401.469 4.582 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2Cc3ccccc3[C@@H](C)C2)c1=S 764861269 WFIPDZRUXAXPRS-HNNXBMFYSA-N 409.515 4.586 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(COC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1 766230250 GVQHDTKLSCMAIB-UHFFFAOYSA-N 423.425 4.847 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)c(Br)c1 770672238 JFQVBOFAYIGALJ-UHFFFAOYSA-N 422.235 4.751 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3csc(COc4ccc(F)cc4)n3)n2)s1 771224554 NXBNJVLYZYCBGP-UHFFFAOYSA-N 404.404 4.548 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2c(Cl)nc3ccccn23)no1 771334646 WGAXYVVBXWXFAM-SECBINFHSA-N 420.212 4.739 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc(Cl)cc(F)c1Br 786326136 RVYKBPLZKVJAPH-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD C[C@@H](CCC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1 791173335 SIHXEIQCBBDSCY-AWEZNQCLSA-N 417.303 4.826 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC1(c2nc[nH]n2)CCC1 797758115 NZRWFJUAITTXMJ-UHFFFAOYSA-N 422.488 4.861 5 20 HJBD O=C(NCc1ccc(CN2CCCCC2)cc1)Nc1cccc([N+](=O)[O-])c1Cl 809920321 QVBMHKPUHNNKGW-UHFFFAOYSA-N 402.882 4.556 5 20 HJBD CON(Cc1ccc(Cl)cc1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 812798207 GADMXFWGOJNFQN-UHFFFAOYSA-N 403.847 4.576 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1ccccc1)CC1CCOCC1 812991961 RWGQZQKWTVDOMU-UHFFFAOYSA-N 423.296 4.971 5 20 HJBD CN(Cc1ncc(-c2ccccc2)[nH]1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813062571 CDUGEMICJCHJCJ-UHFFFAOYSA-N 405.241 4.564 5 20 HJBD C[C@@H](N[C@H](c1cc(F)cc(Br)c1)C1CC1)c1ccc(N)c([N+](=O)[O-])c1 815617044 DDEAZSZPBBHLGW-MGNBDDOMSA-N 408.271 4.881 5 20 HJBD COc1cc(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)ccc1OCCC(C)C 816880493 MEUAPDVDKOWXER-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3Br)no2)ccc1N1CCCC1 904964074 HBAPDALPAPBSHH-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD CO[C@H]1CCC[C@@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 912591617 NUIMVWPHBRFMTB-UONOGXRCSA-N 408.501 4.625 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1ccco1)c1ccc(Cl)cc1 914521241 BRIOTVCUXHRHSV-UHFFFAOYSA-N 401.762 4.596 5 20 HJBD Cc1ccc(-c2nc(/C=C3/N=C(c4ccc([N+](=O)[O-])c(C)c4)OC3=O)cs2)cc1 918725880 HJMSIUSMGIDIAU-LICLKQGHSA-N 405.435 4.680 5 20 HJBD C[C@H](Oc1cccc(Br)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2F)o1 1117191688 NJQAHIXLSCZMLI-VIFPVBQESA-N 408.183 4.686 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)o2)c1 1118416364 QDMHFTVZPJQREM-UHFFFAOYSA-N 418.247 4.912 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 1321123429 LYUKEJWGSNHQED-LLVKDONJSA-N 418.793 4.963 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(C3CC3)nc3ccc(F)cc23)o1 1322205183 KISCLXQSJHRTME-UHFFFAOYSA-N 406.373 4.789 5 20 HJBD Cc1ccc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc1NC(=O)c1ccccc1 1341253067 KXDORFADNFJPAG-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD CCn1c(S[C@@H](C)C(=O)N(C)c2ccccc2)nc2cc3ccccc3cc2c1=O 7577868 VQBPGPXVXBHDNU-INIZCTEOSA-N 417.534 4.713 5 20 HJBD C[C@@H](OC(=O)CC(c1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 7645696 VWKQISZWZJKJON-QGZVFWFLSA-N 418.449 4.687 5 20 HJBD Cc1ccc(Nc2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)cc1 7758416 DNMGWWZEZVTETO-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCc1nc(-c2cccc(Br)c2)no1 19046916 VGDVTZDNDDYJEK-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD C[C@@H](OC(=O)c1cc2ccc(F)cc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 26221820 OMRLOLGDLXTVCM-SECBINFHSA-N 422.821 4.786 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 28677427 STPQWAHQKJVKRG-ZCFIWIBFSA-N 423.661 4.801 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C3CCC(C)CC3)C3CC3)cc2)c(C)c1[N+](=O)[O-] 45415623 ISEWUKHQSWJOIY-UHFFFAOYSA-N 410.518 4.640 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1 48096893 YPRYDZIIIXZGQJ-UHFFFAOYSA-N 418.453 4.535 5 20 HJBD COc1ccccc1CN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C1CC1 50333993 WBHMIUNHOMXGHQ-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCCCC2)c(Cl)c1 59584983 JZCIKQASKYYWLP-UHFFFAOYSA-N 405.907 4.969 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n(C(C)(C)C)n1 110554965 KUBGCPSYYOQMMN-UHFFFAOYSA-N 413.522 4.696 5 20 HJBD O=C(Cc1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1)N1CCCc2ccccc21 238116832 PKCMUAGUUOOUTB-UHFFFAOYSA-N 401.466 4.729 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nn(-c2ccccc2)c(=S)s1 247977983 DRNODBWTNAVTEN-UHFFFAOYSA-N 418.525 4.611 5 20 HJBD CC(=O)c1ccc(N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)c([N+](=O)[O-])c1 301284968 NYXBKXCHUKQGNV-AWEZNQCLSA-N 404.426 4.618 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(F)c(F)c(F)c3F)n2)cc1[N+](=O)[O-] 302920554 IXJRPJBUBGRVJS-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 429880801 SLMCYIRXTORWCA-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)c1[N+](=O)[O-] 434189502 NORAKQFCZWNCKI-UHFFFAOYSA-N 408.462 4.537 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444119717 BHKZFNGBKCIUDE-LSDHHAIUSA-N 416.493 4.706 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 445681891 FUMZPDCSEZZCPM-SFHVURJKSA-N 403.276 4.572 5 20 HJBD C[C@@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N1CCc2ccc([N+](=O)[O-])cc2C1 446733178 ALTKSGCKCKTPOT-LBPRGKRZSA-N 418.375 4.783 5 20 HJBD CC(C)[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1cccnc1 447680887 SWTISKFBUVQBTM-LBPRGKRZSA-N 404.339 4.891 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 462572902 NYXODACQCGTRRP-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(COc2ccc(C)cc2)n1 464722422 FVJOJZSCXRKCKL-UHFFFAOYSA-N 411.483 4.682 5 20 HJBD C[C@@H](O)C[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 468049246 SJMCCFMXJWIGBN-CJNGLKHVSA-N 420.918 4.775 5 20 HJBD COc1ccc2c(c1)CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@H](c1ccccc1)C2 469453801 CPLQDKPGNWCOQQ-DEOSSOPVSA-N 416.477 4.862 5 20 HJBD COC(=O)[C@H](Cc1ccc(C)cc1)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 477044747 OJOCBZWBJYRCCG-IBGZPJMESA-N 412.467 4.576 5 20 HJBD C[C@H](NCCc1nnc2n1CCCCC2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480214772 CTWPQLFCZOKMFZ-HNNXBMFYSA-N 411.531 4.535 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 483256329 CMLVYPZBAMUYNZ-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD O=C(Nc1cc(C2CCOCC2)[nH]n1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487952591 IWOIHOYINXMEAQ-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD O=C(Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Oc2ccccc2)cc1 488824923 JZEQODDXMPKALY-UHFFFAOYSA-N 400.394 4.529 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(F)ccc2n1C 489868818 FPSPGJHGFVGXSV-UHFFFAOYSA-N 402.451 4.621 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc([S@](C)=O)c(F)c2)cc1[N+](=O)[O-] 495298580 CLMLYECXIWIHRD-NDEPHWFRSA-N 424.519 4.862 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 498545246 UABITUOLPPNQJW-SNVBAGLBSA-N 413.257 4.752 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1 498991480 VAJRMMMFCAWSMG-LSDHHAIUSA-N 415.515 4.610 5 20 HJBD COc1cc(C(=O)NC[C@@H](C)SC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 502097607 YITKRJLSLMUPQV-LLVKDONJSA-N 410.879 4.530 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H](c3ccccc3F)C2)c([N+](=O)[O-])cc1OCC 503715824 IUURNSLMFABZOW-CQSZACIVSA-N 417.437 4.553 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccncc2)c(Cl)c1 504844359 YBDOKMAORHJIHS-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCCC[C@H]2O)cc1 505206485 ZUHQNPQEWYZSKX-MOPGFXCFSA-N 416.499 4.641 5 20 HJBD C[C@H](NC(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc([N+](=O)[O-])c1 509654089 WPUNYMNFLOULAA-NSHDSACASA-N 418.425 4.803 5 20 HJBD O=C(c1cc(NCc2ccccc2OC2CCCC2)ccc1[N+](=O)[O-])N1CCCC1 510709993 UVEYVJCEWLMQLO-UHFFFAOYSA-N 409.486 4.764 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)ccc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 510771367 VOVGVYNFOOZMQN-JTQLQIEISA-N 401.316 4.558 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1Br 514559334 WONJQXCQNWKAKN-SNVBAGLBSA-N 419.231 4.603 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(-c2cncs2)n1 515910672 YYFFVDQOXZHDPP-UHFFFAOYSA-N 415.500 4.653 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cnc(C(C)(C)C)nc2)cc1[N+](=O)[O-])c1ccccn1 516398275 UFQHHYIAPDFVFP-CQSZACIVSA-N 420.473 4.503 5 20 HJBD C[C@H](C(=O)N[C@H]1C[C@H](c2ccc(F)c(Br)c2)C1)c1cccc([N+](=O)[O-])c1 519518742 VXMBFJLEDMPOPU-CQDKDKBSSA-N 421.266 4.662 5 20 HJBD O=C(CSc1nccn1-c1ccc(C(F)(F)F)cc1)Nc1cccc([N+](=O)[O-])c1 520361169 LEOVGGAMKBZMHG-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 520435430 SKSYNPDGUMNICY-DJJJIMSYSA-N 406.866 4.538 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)n1 523559219 LNNYTVNFTNJPIQ-UHFFFAOYSA-N 407.474 4.542 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Br)cc1F 523878325 LXMHHTAIFASPQZ-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cn2C)nc1-c1ccccc1 525207871 ZECRXJCSAZMTCA-KRWDZBQOSA-N 408.458 4.689 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccccc3OCc3ccncc3)CC2)cc1 537630899 LDLIASYPUDXDHK-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD C[C@@H](CCO)C1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCC1 538360868 PUEBZBXSRZECQS-INIZCTEOSA-N 414.527 4.665 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(C(C)(C)C)nn2-c2ccccn2)cc1[N+](=O)[O-] 542726598 DKNSBRIVUZKEMR-OAHLLOKOSA-N 422.489 4.664 5 20 HJBD COc1ccc(C(C)(C)C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1OC 542928317 TXXTZMUYOGORRD-UHFFFAOYSA-N 412.364 4.547 5 20 HJBD COc1ccc(NC(=O)N(C)[C@@H](C)c2ccccc2Br)cc1[N+](=O)[O-] 542959114 ODEDOXHJERFDKZ-NSHDSACASA-N 408.252 4.591 5 20 HJBD CCC[C@@H](NS(=O)(=O)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 544713857 PABVGUVYMXUHSP-MRXNPFEDSA-N 416.421 4.574 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(Cc2coc(-c3ccc(F)cc3)n2)no1 545595824 ITNUHKLKEPJHBT-XBXARRHUSA-N 410.336 4.672 5 20 HJBD CCOCc1ccc(-c2noc(CSCc3ccc(OC)c([N+](=O)[O-])c3)n2)cc1 545633531 IXHDIBNZHXRLJA-UHFFFAOYSA-N 415.471 4.623 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3nc4ccccc4n3C(F)F)no2)c(Cl)c1 546322971 UYVPOEVDYYWZOS-UHFFFAOYSA-N 405.748 4.634 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(c2cccc(F)c2F)CC1 556736472 LYHRDTYMKQWNIE-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD CC(C)(NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1)c1ccccc1[N+](=O)[O-] 557977521 RXZWLGRIVZEYRC-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD Cc1nc(-c2ccccc2)c(-c2nc(CCNc3ccccc3[N+](=O)[O-])no2)s1 561889940 ZWUFARJVOISRLV-UHFFFAOYSA-N 407.455 4.731 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccccc2Cn2ccnc2)s1 568657050 FXWALHYNMZGZMC-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 575149174 LFCSXYKVGSZCCE-CYBMUJFWSA-N 420.391 4.727 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CC2(CCC2)[C@H]1[C@H]1CCCO1 577665671 CATBFQYOPKRYCP-NHCUHLMSSA-N 423.469 4.953 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3cccc(Cl)c3)no2)ccc1[N+](=O)[O-] 584494264 CATGEBJDFLUZIL-QGZVFWFLSA-N 412.833 4.584 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603733412 WOBVAADPCKUVMJ-UHFFFAOYSA-N 424.253 4.687 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccccc2[N+](=O)[O-])n1 603756424 NTKVEXNDIVFTFG-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1ccc(Br)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 604442967 YJWNUUQXULNFCP-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)NCc1cccc([N+](=O)[O-])c1 604474968 FZNZTENTNRAEGN-UHFFFAOYSA-N 419.441 4.869 5 20 HJBD Cc1c(Br)cccc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 608871866 HSGRNFWMKOHELO-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CSc1ccc(C(=O)N(CCc2ccccc2)Cc2cccnc2)cc1[N+](=O)[O-] 608880099 VDXCIOLMQPRTCI-UHFFFAOYSA-N 407.495 4.597 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CN[C@H]3CCN4CCC[C@H]4C3)cc2F)c(Cl)c1 609616143 WZFRQZWVSFOWOV-HOTGVXAUSA-N 419.884 4.896 5 20 HJBD Cc1sc(C(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc1[N+](=O)[O-] 609836182 RZIARPGLZGFCST-HNNXBMFYSA-N 416.890 4.986 5 20 HJBD Cn1c(CCCNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)nc2ccccc21 609919273 WROPPVSSXOBKLR-UHFFFAOYSA-N 406.511 4.932 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2)oc1C 610041724 UBUOEMSHYYZLIQ-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(CCc1nc(-c2ccoc2)no1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610046256 QXFOLWYGZPTRPY-UHFFFAOYSA-N 420.381 4.601 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1NS(C)(=O)=O 610910195 KSKAFFFEKKBFTE-UHFFFAOYSA-N 417.512 4.615 5 20 HJBD COc1ccccc1C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 612489842 ZYIGURQYYLDXEZ-FQEVSTJZSA-N 409.486 4.658 5 20 HJBD CCC[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccccn1 618651653 BTGCMOMGQXAXPG-NRFANRHFSA-N 405.454 4.840 5 20 HJBD CC(C)(C)c1nc(CC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cs1 728165991 UAHGVNJNFCWNIJ-UHFFFAOYSA-N 407.923 4.988 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(Cl)cc([N+](=O)[O-])c1 735990515 UPDQDAORZMPHGK-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)N[C@@H]2CCOc3ccccc32)c2ccccc2)cc1 743061189 FHUJOKJWGJKHNY-OAQYLSRUSA-N 419.506 5.000 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)OCc1cccc([N+](=O)[O-])c1 743644920 MLXXXLCTPXNSMC-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD O=C(OCc1cc(Br)cs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744134906 GWQYSQIZMTUQFK-UHFFFAOYSA-N 410.167 4.795 5 20 HJBD CC(C)c1ccc(C(=O)[C@@H](C)OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 744471069 NUCLOLMKODKYHL-QGZVFWFLSA-N 424.497 4.749 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)CC[C@H]1c1ccccc1 746336827 IDUITSXOCUWVHA-FUHWJXTLSA-N 411.458 4.776 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)ccc1F 750539398 CWUVALNGPOPSLS-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CCc1ccc(C(C)(C)C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750573707 BJSMGQYPGMLNPT-AWEZNQCLSA-N 409.442 4.789 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 753035205 FICRNHUTASQCDQ-UTKZUKDTSA-N 423.469 4.503 5 20 HJBD CC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1cc(F)ccc1F 754978957 WDTZDWSGKQPVSP-CYBMUJFWSA-N 417.181 4.656 5 20 HJBD Cc1cnc(COC(=O)c2ccc(Br)c(Cl)c2F)c(C)c1[N+](=O)[O-] 766950576 LKMKMZRIUQCJMN-UHFFFAOYSA-N 417.618 4.519 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 769944270 XPMNUEJSEQKANM-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cc3cc([N+](=O)[O-])ccc3o1)CC2 775294919 IEYQTIAVJLIAPD-UHFFFAOYSA-N 423.425 4.891 5 20 HJBD CSc1cccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1[N+](=O)[O-] 776800566 RKPOMKSDFXKMAC-UHFFFAOYSA-N 421.862 4.935 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@@H](O)c1ccccc1 777416019 JKKONBZMKUYVES-DNVCBOLYSA-N 402.516 4.729 5 20 HJBD CCCn1c(C)cc(C(=O)COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1C 781261859 ZGPSSGYLWCRXCS-UHFFFAOYSA-N 416.477 4.549 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1 791575025 TWIZXUIPBLXTPM-UHFFFAOYSA-N 401.419 4.593 5 20 HJBD CO[C@@H](COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 801830385 UCIPBZAZPAEONU-AOMKIAJQSA-N 421.453 4.707 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)nc1 803066159 MKIMUKVUUQNQRW-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H](O)c1ccccc1 808832581 QGKQNVJNNGYVHC-QVKFZJNVSA-N 407.426 4.631 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC[C@]2(C)[C@@H](C1)C2(Cl)Cl 812212221 SXJSNSJMMOKZIZ-CRAIPNDOSA-N 424.332 4.529 5 20 HJBD O=C(CCC(=O)c1ccc(F)cc1F)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815194764 GNFODAJLLXOZAW-UHFFFAOYSA-N 417.286 4.598 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815586647 NYFHQCNDEKSIRR-UHFFFAOYSA-N 412.324 4.524 5 20 HJBD CCONC(=O)c1c2c(nc3ccccc13)/C(=C\c1ccc([N+](=O)[O-])cc1)CCC2 831035074 QZFXGUFATQHWKR-PEZBUJJGSA-N 403.438 4.701 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(N3CCCC3)cc2)CC1 912655579 KCBBBIUCHJOHQF-UHFFFAOYSA-N 408.502 4.684 5 20 HJBD C[C@@H](OC(=O)Cc1csc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 919369333 XYHCVXXJAREPPY-LLVKDONJSA-N 418.858 4.576 5 20 HJBD Cn1cc(C(=O)N2CC(C)(C)c3c(Cl)cccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 1120728090 APUGXLJMXYRSIE-UHFFFAOYSA-N 410.861 4.587 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCc3nnc(C)n3C)cc2[N+](=O)[O-])c1 1318368480 UJUXKVPAZPEQRZ-UHFFFAOYSA-N 409.490 4.546 5 20 HJBD Cc1c(NCc2ccc([N+](=O)[O-])cc2Br)cccc1C(=O)N1CCCC1 1320693217 WJWBUDGOOUIKGL-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@H]1c1nnc(-c2ccc3ccccc3c2)o1 1341599344 OYIUOLXSTZCGGL-INIZCTEOSA-N 420.450 4.837 5 20 HJBD COc1ccc2cc(CNC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc2c1 14900226 LCJAMRHGNPYLDS-UHFFFAOYSA-N 422.484 4.633 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1C[C@@H]1CCCO1 15503581 IPNNBZOJCBPDQY-JTSKRJEESA-N 419.437 4.600 5 20 HJBD COc1ccc(OCCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 15615513 LFUMDMCNXLRLBX-UHFFFAOYSA-N 424.478 4.563 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc(SC)ccc3[N+](=O)[O-])cs2)cc1 16196821 IATZUVQPEHPREV-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD COc1ccc([C@@H](CNC(=O)c2ccc([N+](=O)[O-])s2)c2c[nH]c3ccccc23)cc1 18074578 JQSGXCBYFQGIHT-QGZVFWFLSA-N 421.478 4.708 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 25871965 BSIUTNKVPYJBEX-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD O=C(Nc1cccc(COCCOc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 55445030 DHIKCPVWVQTPPW-UHFFFAOYSA-N 410.401 4.582 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c(C)c1 59017331 AAWVJICLSBJADT-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccco1)c1ccccc1 64782563 DRIGBTLMZKOYRR-AWEZNQCLSA-N 400.818 4.842 5 20 HJBD COc1ccc(C(=O)Nc2ncc(Cc3ccc(C)c(Cl)c3)s2)cc1[N+](=O)[O-] 71837892 ZRSAFPRCUGNHGT-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1ccc(CNCC2(c3cccc(C(F)(F)F)c3)CCOCC2)cc1[N+](=O)[O-] 237764345 ZXMVYBKTLZSWGP-UHFFFAOYSA-N 408.420 4.760 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)oc12 248377651 HIIQUNDBDIUCTL-OAHLLOKOSA-N 411.458 4.809 5 20 HJBD COc1cccc(OC)c1OC1CCN(c2c(C)cc([N+](=O)[O-])cc2Cl)CC1 302832310 RJQORDILKFWOIC-UHFFFAOYSA-N 406.866 4.622 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303163728 AHMWPHLRKPHCLK-CPUCHLNUSA-N 416.547 4.723 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)c1 303985090 HLMJZTGRZALKQB-INIZCTEOSA-N 411.458 4.973 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1ccc([N+](=O)[O-])cc1 425927887 VCDZADBTIVEXQW-LBPRGKRZSA-N 401.875 4.593 5 20 HJBD O=C(c1[nH]c2ccccc2c1Br)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 428710848 PFBYWIQCHJRRID-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CC(C)(NCc1nnc(-c2c(Cl)cccc2Cl)o1)c1ccccc1[N+](=O)[O-] 429233356 MJELOYTZKLEPSW-UHFFFAOYSA-N 407.257 4.977 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC(c2ccncc2)CC1 430413552 HLAIWENQULIJPL-UHFFFAOYSA-N 416.481 4.622 5 20 HJBD COc1cccc(C(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)c1[N+](=O)[O-] 435527537 SGQLIRZLPSTTDA-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435950475 RGLATIWKIAVQEG-UHFFFAOYSA-N 410.395 4.972 5 20 HJBD COc1ccc(-c2ncc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)s2)cc1 437120424 XUBTWYMTHOSJSJ-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CCCN(C(=O)Cc1c(C)nn(-c2ccc(F)cc2)c1C)c1cccc([N+](=O)[O-])c1 441473023 JUCBLPZUVCJCPU-UHFFFAOYSA-N 410.449 4.522 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@H]([C@@H]2CCOC2)C1 441541950 FGQQDBOOSITREI-JKSUJKDBSA-N 416.861 4.539 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444113984 UOFYXSKXTWUPON-NOZRDPDXSA-N 412.489 4.640 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c(Cl)c1 444512397 GPMKIHNVCCFASR-UHFFFAOYSA-N 401.806 4.542 5 20 HJBD C[C@@H](C(=O)Nc1ccnc(Oc2ccc(F)cc2F)c1)c1ccc([N+](=O)[O-])cc1F 448446612 KTQSCEVOZYDJTM-LLVKDONJSA-N 417.343 4.942 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2S(=O)(=O)C2CCCC2)cc1[N+](=O)[O-] 464572719 SDYZKDNJVCCAQN-UHFFFAOYSA-N 416.499 4.687 5 20 HJBD Cc1ccc([C@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(S(C)(=O)=O)c2)cc1 467858418 ITPAEZKIUHAFPH-HXOBKFHXSA-N 424.522 4.747 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H]1Cc1ccccc1 472183581 SXGJUJLOBCUICJ-NRFANRHFSA-N 401.466 4.664 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 475653827 WVOPMDPSIACZAZ-ROUUACIJSA-N 405.564 4.577 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 477224737 VCDROMKMOJVMHH-OAHLLOKOSA-N 412.273 4.764 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCc2cccc([N+](=O)[O-])c2C)c1 480475037 XDNOGEUVWCSDAL-UHFFFAOYSA-N 408.502 4.820 5 20 HJBD Cc1c(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cccc1N1CCNC1=O 487185782 JDDXHSIGPDGODF-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD O=C(Nc1c(F)cc(F)cc1-c1ccccc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 488295939 PTWNYYUYLOGVCQ-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD Cc1cc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc(C)c1Br 492537315 OLEKLEBUYLRPSL-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCN3CCCC[C@H]23)ccc1Oc1ccccc1Cl 509615113 CRNZNLDQUORGGJ-QZTJIDSGSA-N 401.894 4.757 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 519145767 KYZHXKIHQLHLKR-HNNXBMFYSA-N 420.469 4.651 5 20 HJBD C[C@@H](NC(=O)N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cccc([N+](=O)[O-])c1 519910800 QZVXZNJFFGVHIF-XIKOKIGWSA-N 405.401 4.634 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(-c2nccs2)cc1 520443381 MOCRTUVGLLNENM-LLVKDONJSA-N 403.847 4.778 5 20 HJBD CC[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C)cs1 520627526 PNDSMIZVYHGXNV-NSHDSACASA-N 419.450 4.738 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc3nc[nH]c23)cc1 522871881 UPQZHGFFQMUFQE-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)Cc1cccs1 525532194 DEPBKXRYAIUHFB-JKSUJKDBSA-N 424.526 4.833 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Br)cc2)C2CCC2)c1 525660445 WYSGYQSMEKWFBL-SFHVURJKSA-N 403.276 4.937 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 530727699 JLIIAVAOJRTSOR-KBPBESRZSA-N 420.307 4.652 5 20 HJBD C[C@H](CNC(=O)c1cc2ccccc2c2cccnc12)c1nc(-c2ccccc2)no1 534832993 WTSGZCMOQXMDJU-MRXNPFEDSA-N 408.461 4.972 5 20 HJBD Cc1ccc(-c2nc(C[S@](=O)Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)co2)cc1 535506214 CSDAJOFIFLWJBN-PMERELPUSA-N 423.450 4.662 5 20 HJBD C[S@@](=O)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1F 535835210 NEDGLGRMIXHXSG-HHHXNRCGSA-N 408.838 4.997 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1CCC(F)(F)F 537592245 KDSYGJYRYAIVLT-UHFFFAOYSA-N 400.784 4.716 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])C(C)C)cc1 537967209 YLFXAVJFNWKDET-HXUWFJFHSA-N 405.454 4.793 5 20 HJBD COc1ccccc1[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)C1 538255496 XXDZKNGFFYFYSM-HNNXBMFYSA-N 400.500 4.734 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](OC1CCOCC1)c1ccccc1 540032355 AGSPPZKJFHQZIW-XOBRGWDASA-N 422.428 4.934 5 20 HJBD CN(C(=O)CCCOc1ccccc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451409 HXTLOIXPNSMUGI-UHFFFAOYSA-N 415.446 4.679 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NCCOc3ccccc3[N+](=O)[O-])s2)cc1 541640424 VBFKYMZDXSPEER-CQSZACIVSA-N 413.499 4.765 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)n1 543840964 YIKFSRPCCLUWJA-UHFFFAOYSA-N 404.637 4.584 5 20 HJBD C/C(=C\c1nc(Cc2nc3ccccc3n2C(F)F)no1)c1ccc([N+](=O)[O-])cc1 545046492 QGNUMKQEZCQYMW-ZRDIBKRKSA-N 411.368 4.874 5 20 HJBD Cc1c(Br)cc(-c2nc(-c3cccc4cnccc34)no2)cc1[N+](=O)[O-] 545792126 AQLDGYHBYKHIML-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)s2)cc1 550459738 DOCXWHKXXRSRTJ-UHFFFAOYSA-N 422.388 4.617 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1c(Cl)cccc1[N+](=O)[O-] 552440330 XWIOKLAJGDENKL-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 568705660 DMDQKOISJHGSMA-OFJJUDJNSA-N 408.479 4.645 5 20 HJBD Cc1nc2cc(Nc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])ccc2n1C 573057532 CVUSBEULGCTMTG-UHFFFAOYSA-N 401.295 4.583 5 20 HJBD Cn1cc(CNCc2ccc(OC3CCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 573344841 GXBYXYBSPYTRJC-UHFFFAOYSA-N 406.486 4.607 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)ccc1F 582436635 CAZAOPUWCTUVDO-ZIAGYGMSSA-N 413.449 4.671 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Br)cc2)cc1)c1ccc([N+](=O)[O-])[nH]1 604040585 KJKCVOKVFVQJHK-UHFFFAOYSA-N 402.204 4.730 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3)ccn2)cc1 604073296 OPKPAKKUFCKTKI-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD C[C@@H](c1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1)N1CCOCC1 609183044 LAKBPEGCSOTWBF-INIZCTEOSA-N 411.502 4.538 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1OCC1CC1 610122975 XKBRWVLBFMHRAK-UHFFFAOYSA-N 423.391 4.843 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 611195313 NOGLGEPQMPIFSE-MYJAWHEDSA-N 423.313 4.715 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCO[C@@H](c2ccccc2)C1 611199345 CABZAYSTMBTPTD-HXUWFJFHSA-N 412.511 4.843 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(OCc2ccc(F)cc2)CC1 611261788 AQFLNCZGNMQFTA-UHFFFAOYSA-N 414.458 4.770 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)c(F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 617515113 QYYQREHLBWEREC-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)nc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727710661 WPIOWOWPLGXPTH-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD COc1ccc(Cl)cc1CC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166378 FZBRLEUSAVZGAB-UHFFFAOYSA-N 409.269 4.896 5 20 HJBD C[C@H](NC(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 729573432 RVZNRYVUAMHEPT-ZDUSSCGKSA-N 400.435 4.825 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])ccc1F 730487146 ORTXQNCEJLVQRP-UHFFFAOYSA-N 408.357 4.577 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H](C)c2ccco2)c2ccccc2)c([N+](=O)[O-])c1 745130752 ALJLPZTWKOXHDW-YWZLYKJASA-N 408.410 4.523 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 754958314 NRVKYFVEAPFXAH-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@H](O)c1cccs1 755754030 DHIXCTXYFDPLCR-SFHVURJKSA-N 416.886 4.686 5 20 HJBD COc1ccc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c(Br)c1O 761009124 DXNMNDYVJDLZBX-UXBLZVDNSA-N 424.638 4.685 5 20 HJBD CC(=O)OC1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 769426082 LWIJUMIIWPTSAI-UHFFFAOYSA-N 404.850 4.568 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCC[C@H](C(F)(F)F)C1 773399811 CPEGZBSLHVEAAQ-MNOVXSKESA-N 410.186 4.769 5 20 HJBD CCN1C/C(=C\c2ccc([N+](=O)[O-])c(Cl)c2)c2nc3ccccc3c(C(=O)O)c2C1 774122246 VTSYEYOBBCQSTR-NTEUORMPSA-N 423.856 4.871 5 20 HJBD O=C(Nc1cc(Br)ccc1Cl)C(F)(F)c1ccc([N+](=O)[O-])cc1 777982741 SUWDMLWRSWVLKZ-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 778893594 VVKQCBKTIJKFSD-UHFFFAOYSA-N 404.325 4.517 5 20 HJBD Cc1cccc([C@@H]2CCCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1 781645204 BIAMGSOWGBBQMV-FQEVSTJZSA-N 411.458 4.888 5 20 HJBD COCc1nc(COC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cs1 784446646 QVOLJYYTRUELNR-UHFFFAOYSA-N 408.501 4.589 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(N)c(Cl)c1 785922503 BODVPBAWUISGAY-UHFFFAOYSA-N 419.062 4.807 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccco3)n2-c2ccccc2)c([N+](=O)[O-])c1 789728512 VFJWNZPWNMRUSP-UHFFFAOYSA-N 423.410 4.636 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1c(F)cccc1[N+](=O)[O-] 790746138 NHZZNVUULTYLII-UHFFFAOYSA-N 418.421 4.757 5 20 HJBD Cc1nc(SCC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c2c(C)c(C)sc2n1 813241714 BAQTXEZYNMELLB-UHFFFAOYSA-N 422.919 4.909 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OCC(F)(F)F)cc1 813291159 BUUZIXXQSDZGKJ-UHFFFAOYSA-N 411.336 4.520 5 20 HJBD Cc1cc(F)ccc1C1CCN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)CC1 816787993 OYYCCRPCUOORJG-UHFFFAOYSA-N 415.421 4.587 5 20 HJBD CCN(c1ccccc1)S(=O)(=O)c1ccc(SCc2ccco2)c([N+](=O)[O-])c1 912730410 IDFNMKBSZKMAGX-UHFFFAOYSA-N 418.496 4.695 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 914512142 JQZXMGJZUUOUJI-LLVKDONJSA-N 411.336 4.745 5 20 HJBD C[C@H](NC(=O)OCc1ccccc1)C(=O)OCc1nc2ccccc2c2ccccc12 925381475 CYULLQQTTQERPC-KRWDZBQOSA-N 414.461 4.746 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 1116221530 HWJMQVFAOAYTHW-UHFFFAOYSA-N 407.382 4.871 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Oc2ccc(Cl)cn2)cc1 1327510492 OUNTUILGVZRFAF-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3cc4c(s3)CCC4)o2)c(Br)c1 1348894551 YXNLLWWQMFSYNC-UHFFFAOYSA-N 406.261 4.548 5 20 HJBD CC(C)CC(=O)Nc1ccc(C2(NC(=O)CCc3cccc([N+](=O)[O-])c3)CCC2)cc1 1787662673 LIUGEMRDHNTKGC-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD COc1cc(C(=O)NCCC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 7346256 NDHBVNDKLSIQQT-UHFFFAOYSA-N 420.465 4.564 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 10235710 MZRHTGSRHFYJJY-UHFFFAOYSA-N 407.904 4.939 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc(F)c(F)c2F)cc1[N+](=O)[O-])c1ccccn1 64672068 NUAMHEUJYUGAPZ-LLVKDONJSA-N 416.359 4.833 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cc(Cl)ccc1[N+](=O)[O-] 78607085 TUFKXSAXWHWLPB-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1ccc(CNCC2(c3cccc(Br)c3)CCOCC2)cc1[N+](=O)[O-] 237764250 FBRNQOOAAFBYJA-UHFFFAOYSA-N 419.319 4.504 5 20 HJBD Cc1oc(-c2cccs2)nc1CSCCC(=O)Nc1ccccc1[N+](=O)[O-] 409833289 AOPBOWLAFJCVGE-UHFFFAOYSA-N 403.485 4.882 5 20 HJBD Cc1ccccc1CN(C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C1CC1 426286649 QLLWFPMPUAZFAN-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD Cc1ccc([C@@H](CC(=O)O)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)o1 426770376 RFXXBEVFSYAUOE-GOSISDBHSA-N 409.442 4.570 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cnccc2-c2ccccc2)cc1[N+](=O)[O-] 428461011 OGNSWPBHWXYHAW-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1ccc(CSCC(=O)Nc2cnccc2-c2ccccc2)cc1[N+](=O)[O-] 428466891 WODOPAFHDWIACK-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@H](OCCCc2ccccc2)C1 430457348 FSYIGUOQWWOCLC-IBGZPJMESA-N 410.495 4.673 5 20 HJBD COc1c(C)cc(CN(C)C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1C 436343672 NUMQDLBIIAOHRP-UHFFFAOYSA-N 418.468 4.718 5 20 HJBD CC(C)(CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)CC(=O)c1ccccc1 441628284 PKGVSZZZFZPOTC-UHFFFAOYSA-N 422.403 4.919 5 20 HJBD Cc1ccccc1[C@H]([C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N(C)C 443005615 WTDZBZZAVDHCQF-KKSFZXQISA-N 410.543 4.808 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(CSc4ccccc4)cc3)n2)n1C 445609136 GRQSEXUXOORYPE-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD Cc1cc(NC(=O)N(C)[C@H]2CCOc3ccc(Br)cc32)ccc1[N+](=O)[O-] 446263818 BZJUVGWULVCNKK-INIZCTEOSA-N 420.263 4.653 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 447185010 OWVSQCVQBNUTJN-MRXNPFEDSA-N 421.478 4.649 5 20 HJBD CC(C)c1nccn1Cc1cccc(N[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1 447572562 CPPLBPZDGGSKGC-UXHICEINSA-N 408.506 4.755 5 20 HJBD COc1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Br)c1 460681340 QQYGLZMDHGGSED-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 463972747 WSRLMCYTGKEWOA-GOSISDBHSA-N 407.829 4.610 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1NC(=O)CCN(C)C 466457134 JQZNAPLFANHASB-UHFFFAOYSA-N 422.485 4.666 5 20 HJBD O=C(NCc1ccncc1OCC(F)(F)F)c1cc2ccccc2c2cccnc12 466651496 OEJYOOGIYMDQIF-UHFFFAOYSA-N 411.383 4.654 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(NC(=O)c2ccccc2)c1C 470838728 BJVSTJLIRBLJNJ-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)cc1 475558603 GXWJYZFKCNEDHC-AWEZNQCLSA-N 409.446 4.707 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(Br)cn1 479524592 IBSKCPSZCMFQSA-TZMCWYRMSA-N 410.337 4.974 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC(C)(C)Cc2oc(C)cc21 480538226 GNLIOJHWYABBFY-KRWDZBQOSA-N 418.515 4.678 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccnc(OCc2ccccc2)c1 480567933 PVXRNHANXFIONC-UHFFFAOYSA-N 423.494 4.611 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485321697 PYGCSAYCCJRESK-GOSISDBHSA-N 401.463 4.645 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1coc(-c2ccccc2)n1 485387951 WCIQQSRJDZOALF-UHFFFAOYSA-N 411.483 4.928 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)c3cccc(SC)c3[N+](=O)[O-])cn2)cc1 486218704 RSZNJCPVUCPKID-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc3c(c2)nc(O)n3C2CCCC2)cc1[N+](=O)[O-] 487983734 WWSMJUAZEJLXDE-CQSZACIVSA-N 408.458 4.565 5 20 HJBD C[C@H]1CN(c2ncc(Cl)cc2[N+](=O)[O-])C[C@@H](c2cccc(C(F)(F)F)c2)O1 488688180 SDEOHHQGMDICIU-BONVTDFDSA-N 401.772 4.628 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1C(=O)Nc1cc(-c2cccc(C(F)(F)F)c2)nn1C 489986629 VBKIAQQVMGONQO-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)[C@@H]3OCCc4sccc43)o2)c([N+](=O)[O-])c1 492191398 ANHMUOLCCBHVKN-ASSNKEHSSA-N 414.483 4.717 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(Cc2ccccc2)CC2CCOCC2)cc1[N+](=O)[O-] 493184933 XMMHNSCIFNLTKX-GOSISDBHSA-N 411.502 4.603 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)s1 498265717 BLXWVJCXNOWQMZ-AWEZNQCLSA-N 419.466 4.789 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 499728660 XLTYFPXZAQPRID-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Sc3ccc(Cl)cc3)cc2)c1[N+](=O)[O-] 511394504 OIIWTZJBJOKHBP-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD Cc1c(COc2ccc(C(=O)N3CCc4sccc4C3)cc2)cccc1[N+](=O)[O-] 520200795 WBKSNPBWXSADKI-UHFFFAOYSA-N 408.479 4.742 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccc(F)cc1)CC1CC1 522331371 CTADFKKZHPIBPN-UHFFFAOYSA-N 411.458 4.910 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)C(C)C 522580484 ASQPXTFFUNJDAF-UHFFFAOYSA-N 411.483 4.819 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCSC[C@@H]1c1ccccc1 524533094 MHAVDUIWDNUDIF-GOSISDBHSA-N 411.508 4.649 5 20 HJBD COc1cc(C(=O)Nc2ccc(SC3CCCC3)cc2)cc([N+](=O)[O-])c1OC 532503612 WFFGKHFALBZRNQ-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD CC(=O)Nc1ccc(C(F)(F)F)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534793703 QYPIAAFIKRRGRS-UHFFFAOYSA-N 420.347 4.839 5 20 HJBD CC(C)Cc1nnc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 537489889 XWMJKKYDMRYPNE-UHFFFAOYSA-N 418.457 4.641 5 20 HJBD C[C@H](C(=O)N[C@@](C)(c1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 541599900 PQVASSINSDQVSC-BTDLBPIBSA-N 402.319 4.570 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2C)C1 541877638 PJHBJVNJSUSGRC-LJQANCHMSA-N 400.500 4.619 5 20 HJBD Cn1c([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccccc2)nc2ccccc21 542763126 KKUDKOZJFHCSTE-FQEVSTJZSA-N 419.416 4.532 5 20 HJBD Cc1cc(Cc2noc(Cc3csc(Cc4ccccc4)n3)n2)ccc1[N+](=O)[O-] 546557311 JPYKDMPZHSLRBZ-UHFFFAOYSA-N 406.467 4.515 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 547241618 OTQVRZWMRGSLJY-UHFFFAOYSA-N 416.449 4.534 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCCSc2ccccc21 550253126 CZYZESKPOXEANE-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD C[C@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)c1ccc(C(F)(F)F)cc1 556889242 AYIOQXQZOGCCNE-KUHUBIRLSA-N 418.419 4.830 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC=C(c3ccco3)C2)cc1[N+](=O)[O-])c1ccccn1 557078825 GWYNGQABVVNDCL-INIZCTEOSA-N 418.453 4.685 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(C)c(F)c3)s2)c1 558758567 CLDLMFXELAULTL-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1ccc(Cl)cc1[N+](=O)[O-] 564752498 LVCNXHZPOBIWRP-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD CCc1ccc([C@H](NCc2ccccc2Cn2ccnc2)c2ccc([N+](=O)[O-])cc2)o1 565505491 QLWDXJAIYXJJRU-XMMPIXPASA-N 416.481 4.874 5 20 HJBD Cc1cc(OCc2nc(-c3ccccc3CN3CCCCC3)no2)ccc1[N+](=O)[O-] 571718566 QFFLWKJNKOWTFT-UHFFFAOYSA-N 408.458 4.518 5 20 HJBD COc1ccc(CSCC(=O)NCc2cc(Cl)sc2Cl)cc1[N+](=O)[O-] 578799739 YTISTBMRYFPAPW-UHFFFAOYSA-N 421.327 4.521 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2OCC(F)F)c1 582810522 OWODLPILEBHTBI-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 590768628 SQYDDTUYXFCQIF-UHFFFAOYSA-N 424.638 4.753 5 20 HJBD CCc1nc2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cc2nc1CC 603638618 AYHMGABJXRXJEK-UHFFFAOYSA-N 416.384 4.517 5 20 HJBD CCCN(Cc1ccc(OC)cc1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 604517389 IYFLAFYAUROJAM-UHFFFAOYSA-N 411.483 4.779 5 20 HJBD CCOC(=O)c1ccnc(NCc2ccc(-c3nc4ccccc4s3)o2)c1[N+](=O)[O-] 609960858 HBKLPPZFMUXUOK-UHFFFAOYSA-N 424.438 4.648 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)cc1 610187249 AKUABSWRSXKJHJ-BUXKBTBVSA-N 406.866 4.783 5 20 HJBD Cn1c(C(=O)NC[C@H](c2ccccc2Cl)c2c[nH]c3ccccc23)ccc1[N+](=O)[O-] 612566753 IVKWHWNLQXIBSN-MRXNPFEDSA-N 422.872 4.630 5 20 HJBD C[C@H](C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1cccc([N+](=O)[O-])c1 617702952 FVAXPKNHOCVVAH-AWEZNQCLSA-N 407.401 4.728 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccs1 726516498 NFSJWKYILOWQER-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1cc(C(=O)Nc2cc(-c3ccccc3Br)no2)ccc1[N+](=O)[O-] 734358901 YXZMZMWCRCMBHR-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COc1cc(C(=O)N[C@H](C)CCSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 736061222 RZYQBUCNJRUHRN-GFCCVEGCSA-N 424.906 4.921 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744622176 PHVDURHKPIEGET-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nc(-c2ccc(Cl)cc2)no1 746069311 RLCMTAWFZMZRRM-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD Cc1cc(C)c2c(c1)c(C)cc1nnc(SC[C@H](O)c3cccc([N+](=O)[O-])c3)n12 749640513 PBNBXPUUQJHEKP-SFHVURJKSA-N 408.483 4.542 5 20 HJBD C[C@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(C(F)(F)F)c1 751666101 YYYIQCSTTSMTJY-LLVKDONJSA-N 408.332 4.992 5 20 HJBD Cc1ccc(O[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(Br)c1 752981103 NSYPUQWZZQEPBF-JTQLQIEISA-N 413.655 4.725 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)c1cc(F)cc([N+](=O)[O-])c1Br 754987483 HBKBNBPGCDQRHG-UHFFFAOYSA-N 419.206 4.686 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 755064968 NWCXPCHSQNBUQT-OLZOCXBDSA-N 422.840 4.678 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)c1[N+](=O)[O-] 758488657 TXMXYMHLGHOCIO-GDLZYMKVSA-N 412.442 4.602 5 20 HJBD O=C(Oc1ccc(-c2cscn2)cc1)c1cccc([N+](=O)[O-])c1Br 758582395 SCUUKCDYCBRSTO-UHFFFAOYSA-N 405.229 4.700 5 20 HJBD Cc1ccc(C[C@@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)cc1 766018604 LMXZJJLNLKZMKY-RUZDIDTESA-N 416.525 4.841 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c1 767126768 ZDXVUHKCRGVIJF-ZDUSSCGKSA-N 410.417 4.965 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC[C@H]1NC(=O)OC(C)(C)C 769425983 RXMCACDLIVNVOV-HNAYVOBHSA-N 415.490 4.590 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770329988 FSLPJKDQYRQLKH-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@@H](O)c1cccnc1 772723325 KGKQOGAZOXDSEE-XMMPIXPASA-N 422.484 4.792 5 20 HJBD Cc1c([C@@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)nnn1-c1ccc(Cl)cc1 773038065 ZXLJHHGKMUHVQR-LLVKDONJSA-N 406.273 4.642 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H](c2ccc(F)cc2)c2ccccc2Cl)cc1 779060081 CRIWUXHEJQSFCU-LEWJYISDSA-N 400.837 4.800 5 20 HJBD C[C@@H](NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F)c1nc(-c2ccccc2)cs1 782535208 HYKKTXZAEWDLIZ-CYBMUJFWSA-N 414.462 4.537 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)cc1[N+](=O)[O-] 791399505 PMXLBLZWZMWHED-UHFFFAOYSA-N 411.380 4.508 5 20 HJBD CC(C)(C)[C@H](NC(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1cccs1 795245021 JTNCTTWPCDQEBE-MRXNPFEDSA-N 400.859 4.731 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 798783671 JUESORVDPWRORF-WCQYABFASA-N 424.375 4.596 5 20 HJBD Nc1ccc([N+](=O)[O-])c(N2CCc3c(cccc3NC(=O)Nc3ccccc3F)C2)c1 800851218 HCELZFGFZNCJPE-UHFFFAOYSA-N 421.432 4.523 5 20 HJBD CCOC(=O)/C(=C\c1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccnc(F)c1 812247548 PVBHNBHZEHQVIP-BOPFTXTBSA-N 412.373 4.501 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)c(F)cc2Cl)cccc1[N+](=O)[O-] 815503127 IOLUGELEIYBVCJ-SECBINFHSA-N 415.204 4.533 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccc(-n2cccn2)c(Cl)c1 864027318 AJUOICSVOLUWSD-UHFFFAOYSA-N 407.655 4.809 5 20 HJBD O=C(OCc1csc(COc2ccc(Cl)cc2)n1)c1ccccc1[N+](=O)[O-] 877022110 PCHKUFZKYZXEFZ-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)/C=C\c3ccc([N+](=O)[O-])cc3)CC2)cc1 915604683 PAQPSEBDQALKSQ-GHXNOFRVSA-N 420.509 4.928 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 915828541 PAQASTVOFRSLDH-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc(C(F)(F)F)c1 917262969 HSJZFPDGSFCEOI-SNVBAGLBSA-N 422.315 4.544 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=S)Nc1ccc([N+](=O)[O-])cc1 920076672 KYPQXNSMSQIQBF-UHFFFAOYSA-N 408.321 4.965 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1117640911 YDUUEEYOFOAQFZ-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1c(I)cccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 1342049726 KQJXVSBHDHTOFS-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD O=C(OCc1sccc1Br)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1346314751 WHHJADNMHJYZRS-UHFFFAOYSA-N 410.167 4.795 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)n2)cc1 7921899 FCIFAKZHPZGHKJ-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD COc1cc(COC(=O)c2ccccc2C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 12489753 XIKCXQURHISPEQ-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 28301519 FDQJUSACIRHFGG-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 42213015 NQPGVQBZOVDCQD-UHFFFAOYSA-N 418.755 4.759 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCCC[C@@H]1c1ccncc1 47865988 VHWUYVSVECTJIS-MRXNPFEDSA-N 406.389 4.740 5 20 HJBD CCCC(=O)Nc1cccc(CNc2cc(CC)nc(-c3ccc([N+](=O)[O-])cc3)n2)c1 64914475 VOKOMCRJBXSORZ-UHFFFAOYSA-N 419.485 4.965 5 20 HJBD COc1ccc(-c2nnc(SCc3ccccc3[N+](=O)[O-])n2Cc2ccco2)cc1 97569060 AGIYXPOWLJNJSE-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C(Nc1ccc(CNc2ncc([N+](=O)[O-])cc2Cl)cc1)c1ccc(F)cc1 301297885 YTFLNJAFWWLYIM-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(Cc3ccc(F)c(F)c3)CC2)cc1OC(F)F 301358695 LICOCAWJHPKWJF-UHFFFAOYSA-N 413.371 4.551 5 20 HJBD Cc1nc(-c2ccncc2)nc(Oc2ccc([N+](=O)[O-])cc2Br)c1C 301390194 YWUMSYSGZFXRDG-UHFFFAOYSA-N 401.220 4.618 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 430949190 MYIAQYVKLNNCLD-LLVKDONJSA-N 409.305 4.960 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)c1[N+](=O)[O-] 435726639 ADSFVEKCTZZWLC-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(-c2ccc(Cl)cc2)o1 436272779 HVMRUMDSDOXQRU-UHFFFAOYSA-N 402.741 4.863 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CCC[C@H]2c2ccc(C)cc2)cc1OC 443900060 VAGXUDBPDXSXCG-HKUYNNGSSA-N 412.486 4.719 5 20 HJBD COc1cc(C(=O)NCC2(CC(C)C)CCCC2)c([N+](=O)[O-])cc1OC(F)F 446302195 JQYKBCJZQKFTQW-UHFFFAOYSA-N 400.422 4.541 5 20 HJBD CCSCc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])no1 446434401 ZBOQXKZZWHXJGR-UHFFFAOYSA-N 413.455 4.667 5 20 HJBD Cc1c(CNc2ccc(CCn3cc(Br)cn3)cc2)cccc1[N+](=O)[O-] 462230969 IEKNIWZXINMNAM-UHFFFAOYSA-N 415.291 4.717 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCN(c3nccc4occc34)CC2)s1 462785004 MABLTLMZUGIJAA-UHFFFAOYSA-N 420.494 4.787 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1OC 464705977 AUVDDJNLARKDRH-UHFFFAOYSA-N 424.375 4.688 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1cc(F)cc(Br)c1 466302600 IHQWHAIYTRZLAO-SFHVURJKSA-N 421.266 4.793 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3cccc([N+](=O)[O-])c3N)s2)cc1 468742870 FQNBXWLSKXYHIC-AWEZNQCLSA-N 410.499 4.751 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 470139215 ZKHDVNLHASIOJQ-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(c1ccccc1)C1Cc2ccccc2C1 470770360 BHJYXBQAYYXHTN-UHFFFAOYSA-N 402.450 4.564 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 480817266 IEHVXFQYMXEVNN-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 481628762 RMOFMYCXWRRFKW-UHFFFAOYSA-N 402.882 4.521 5 20 HJBD CC[C@H]1CCc2ccccc2N1C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 482824291 UKLRKIXGUNCUGI-ZDUSSCGKSA-N 406.385 4.576 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc(C)c(C)o3)c2)cc1SC 483475397 ZPOMHFQRZOPZLO-UHFFFAOYSA-N 413.455 4.849 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)N1CCc2ccc([N+](=O)[O-])cc2C1 485248413 VFWSYHAQHXWQNO-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCO2)c1[N+](=O)[O-] 486239550 LLEZSXKMZKJDFG-ZDUSSCGKSA-N 422.890 4.780 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)o1 488041161 HHZAFSMOVWUCAS-OAHLLOKOSA-N 410.426 4.575 5 20 HJBD Cn1c(-c2csc(COc3ccc(Cl)cc3)n2)nc2cc([N+](=O)[O-])ccc21 498101818 OQXBMRODKHVNEK-UHFFFAOYSA-N 400.847 4.837 5 20 HJBD Cc1cccc(-c2cncc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2)c1 498139950 GZDORORKODKZTR-QFIPXVFZSA-N 405.479 4.853 5 20 HJBD O=C(c1cccc(CSCc2c(F)cc([N+](=O)[O-])cc2F)c1)N1CCCCC1 507083585 VYXNOXCVOZZVIM-UHFFFAOYSA-N 406.454 4.933 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515782891 ZWIQOQWHZLPJBF-HZPDHXFCSA-N 415.490 4.904 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 521443430 MAKANSUDPKTFKP-DLBZAZTESA-N 424.501 4.801 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnn(Cc2ccccc2)c1 522670977 NYPHXIAYJHPMTO-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD CN(Cc1ccc2c(c1)OCO2)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522708800 JLDZNDMNBMFJEB-UHFFFAOYSA-N 421.409 4.780 5 20 HJBD COc1cc(C(=O)N2CCSC[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 523401590 OEWJSHMZDNMBPT-GFCCVEGCSA-N 422.890 4.627 5 20 HJBD Cc1ccc([C@@H](C)NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 530967806 MZUXRMLRGWOSOX-CQSZACIVSA-N 404.392 4.959 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 537625498 JMLNVRQQDFAYAC-OAHLLOKOSA-N 414.462 4.603 5 20 HJBD C[C@H](NCc1ncc(-c2ccccc2Br)o1)c1cccc([N+](=O)[O-])c1 540380520 UPUZPMAMZKTYAT-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc([S@](C)=O)cc1 540743376 QPJRECWQMGJIOD-SUMNFNSASA-N 420.556 4.715 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccccc1COC1CCOCC1 541997359 LDCBPZODJKINPC-UHFFFAOYSA-N 424.375 4.562 5 20 HJBD C[C@H](C(=O)NCc1cccc(COCc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 543473690 JVZHBDDNDJGNHC-KRWDZBQOSA-N 422.456 4.871 5 20 HJBD C[C@H](C(=O)Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1ccc([N+](=O)[O-])cc1F 544420939 NAESUTZWVWKIRE-NSHDSACASA-N 422.338 4.681 5 20 HJBD CN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 544621548 CSMKLIYSCUPCFZ-KBXCAEBGSA-N 407.829 4.771 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 545053115 DPCPYQBHZLYXHW-MRXNPFEDSA-N 400.822 4.569 5 20 HJBD CC(C)C(=O)Nc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccn1 550856355 RWGNSHFHEZKECP-UHFFFAOYSA-N 420.425 4.629 5 20 HJBD Cc1cc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1OC(C)C 551725640 NYELNRKFIDUAPI-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(-n2cccn2)c(F)c1 555618937 SNJDRFYWLWAWQC-LBPRGKRZSA-N 408.355 4.789 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCCC[C@@H]3c3ncc(-c4ccccc4)[nH]3)ncnc2s1 557663174 WYWFSUSCYNZBIH-MRXNPFEDSA-N 406.471 4.721 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1cc([N+](=O)[O-])ccc1OC(F)F 557724436 SVDGYXREUCCUCU-UHFFFAOYSA-N 415.324 4.996 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 558850795 YTIBNXPCTKMCSR-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD COc1ccc2nc(-c3noc(-c4ccc5[nH]c6ccccc6c(=O)c5c4)n3)ccc2c1 566002703 XYKCFDWGPAQYIU-UHFFFAOYSA-N 420.428 4.955 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 566373551 KILJNHPJYRXJIJ-LPHOPBHVSA-N 410.392 4.687 5 20 HJBD CC(=O)c1cc(Br)cc(F)c1OCc1c(F)cc([N+](=O)[O-])cc1F 579983617 FESJCXRWYQXQJU-UHFFFAOYSA-N 404.138 4.556 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@](C)(CC)NC(=O)c2ccccc2)no1 581574831 OQIKDWOFCBJZEF-UZUQRXQVSA-N 422.485 4.769 5 20 HJBD CSc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1Cl 584068607 SNNLWLSQZPXCOC-UHFFFAOYSA-N 421.906 4.845 5 20 HJBD CCCN(Cc1ccc(C)cc1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 585704380 ORBLGEQOGIRMIC-UHFFFAOYSA-N 408.401 4.566 5 20 HJBD C[C@H]1c2cc3c(cc2C2(CCCC2)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1)OCCO3 590943043 UVUXNANTMZGZMV-HNNXBMFYSA-N 423.469 4.787 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H]1c1ccsc1 603671861 BVLLGQIGYBTZOM-OAHLLOKOSA-N 413.421 4.841 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603738615 GETUSQOUOPLNAH-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 603986625 DDELRWUHFKBTLB-INIZCTEOSA-N 406.438 4.673 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2C)ccc1OCc1ccccc1 603986645 IKINRQQIHYRLPG-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 604288677 NZOIZQHBPAURPI-YUMQZZPRSA-N 419.709 4.843 5 20 HJBD CN(C)[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1Cl 609598516 ZFEONPRSNOMISI-LJQANCHMSA-N 416.934 4.764 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1ccc(F)cc1)C1CC1 609726339 GSEOFRWDRSAWFG-UHFFFAOYSA-N 411.458 4.705 5 20 HJBD COc1cc(OC)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1OC 609755771 RTOLOIFSSQFEKX-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccccc2C(F)(F)F)cs1 609789027 DDQJDRNIPKWFKT-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD CC(=O)c1ccc(OCCCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 609859266 VQJIYAXORUQZRF-UHFFFAOYSA-N 410.470 4.932 5 20 HJBD C[C@@H](NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)c1ccccc1-c1ccc(Cl)cc1 610051458 JUUJGFGEKPWJGR-CQSZACIVSA-N 412.877 4.724 5 20 HJBD CCN(C(=O)NCCCNc1ccc(C)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 610102293 QZCUQXLAZVTPKR-KRWDZBQOSA-N 414.506 4.507 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@H](Cc3ccc(Cl)cc3)c3ccccn3)nc2c1 611939209 PCXQCERAXULABG-GOSISDBHSA-N 408.845 4.858 5 20 HJBD CCC(=O)N1CCC[C@@H](C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)C1 614516926 JTCYTPPXLWJVBT-QGZVFWFLSA-N 414.509 4.536 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nc4ccccc4[nH]3)cc2)c1 728162225 DTRMFDVCSDJUTH-UHFFFAOYSA-N 420.450 4.883 5 20 HJBD O=C(O[C@H](c1ccccc1)c1cccnc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 728418550 OFFCXVHSLYKGMJ-JOCHJYFZSA-N 403.438 4.536 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1c(C)nn(-c2ccc(Cl)cc2)c1Cl 729681439 BUOLZEJLYFBHOJ-UHFFFAOYSA-N 421.284 4.694 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccco2)c1 734330970 XZOGCCZUKXPTAV-CYBMUJFWSA-N 412.423 4.526 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(C2SCCS2)cc1 739589813 RRHXROJPSVPNEA-UHFFFAOYSA-N 402.541 4.826 5 20 HJBD COc1ccc(-n2c(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])nc3ccccc32)cc1 741988304 KGUCIMGGRMWNTO-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cc(Br)ccc1NC1CC1 746436261 PGTAVEDGAJHPSZ-KMKOMSMNSA-N 402.248 4.584 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cccc(Cl)c1[N+](=O)[O-] 750203484 IOXKQJOQPOTFEP-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H]1CCc1ccccc1 754988857 KHUAONXRTKQOCE-HNNXBMFYSA-N 421.266 4.734 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)cc1[N+](=O)[O-] 758908132 BXQAOPOWRIZBMG-CYBMUJFWSA-N 413.455 4.902 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761871259 IQCCEHIVQCGLBN-RDTXWAMCSA-N 412.467 4.581 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCO2)c1F 764436586 HFCWBUQNXAQCJJ-AWEZNQCLSA-N 408.813 4.506 5 20 HJBD C[C@H](C(=O)Oc1cccc(CNC(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 774488085 BWJLXLOJGRBVOD-INIZCTEOSA-N 419.437 4.626 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])OCc1nc(-c2ccccc2Cl)cs1 774972650 NAURXFVTLAAIOB-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD Cc1csc(-c2ccc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])cc2)n1 781642186 XMJPVHSRRXZRDD-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD CCn1c(COc2ccc(Cl)cc2)nnc1SCc1ccc([N+](=O)[O-])c(F)c1 789943147 QAGSXVHEHUDWBV-UHFFFAOYSA-N 422.869 4.870 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c(C)c2c1 790552808 CDYDDDJOKVHERW-NSHDSACASA-N 420.824 4.870 5 20 HJBD Cc1cc2sc(NC(=O)c3cccc([N+](=O)[O-])c3Br)nc2cc1F 795974636 FVOZGSOLDPJCHN-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 797277620 GXFUDDXSJNQWNU-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CC(=O)Nc1sc(NCc2ccc(Cl)c([N+](=O)[O-])c2)nc1-c1ccccc1 799761813 GCUFPUUNGYAKOE-UHFFFAOYSA-N 402.863 4.942 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 801089291 IFVQQFBWNMZXGI-UHFFFAOYSA-N 421.409 4.610 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1NC1CCN(Cc2coc(-c3ccccc3)n2)CC1 804665708 IGYUHJFMQRQGGX-UHFFFAOYSA-N 420.469 4.529 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 809971541 GWCPQKGAXHXIIA-LLVKDONJSA-N 410.257 4.709 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(Br)nc1 810239245 YDDALIWKVHFLMC-VIFPVBQESA-N 404.186 4.622 5 20 HJBD Cn1ccnc1[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(F)c1 811471193 ITTWRPIXALGZFV-INIZCTEOSA-N 409.248 4.653 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 811481023 MMJXCBCDENPSSJ-UHFFFAOYSA-N 418.321 4.827 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c1 812814349 VLEQORZHBANXCE-UHFFFAOYSA-N 408.886 4.753 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cc(Br)cc2cccnc12 813245496 SSSNGBLPJGWTMQ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(C(F)(F)F)nc1Cl 816763699 ADYWWELMIBYNQQ-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)c1cccc(OCc2ccccn2)c1 816892046 NNRPQGFFHXIWQG-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1Cl 817862563 KVPGJYWZCVYMAC-ZJUUUORDSA-N 401.772 4.643 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1ccc(F)cc1 914468445 XSJQWXCOVOGBRZ-UHFFFAOYSA-N 404.348 4.574 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1nc2ccc(SC(F)F)cc2s1 914707851 BYLBQWGWMLMRDA-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccc(Oc2cccc(F)c2)cc1 917845441 YBMVOHZWQODQJX-UHFFFAOYSA-N 406.373 4.515 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(CN3CCCC3)c2)CC1 1115875768 ZGIOVYKFRXUHMI-UHFFFAOYSA-N 422.529 4.679 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(Cl)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 1116468609 MRDAWYYAWHAEFS-SECBINFHSA-N 404.772 4.848 5 20 HJBD O=[N+]([O-])c1ccc(CN2CC=C(c3c[nH]c4ncccc34)CC2)c(Br)c1 1116651299 NHIPAXVOOBEJJA-UHFFFAOYSA-N 413.275 4.523 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C1CCCCC1 1322124239 UPMYHJXSRLCJEZ-UHFFFAOYSA-N 422.485 4.547 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2c(F)cccc2Cl)C1 1322443664 FJIDREZSGQWEPV-GFCCVEGCSA-N 408.882 4.569 5 20 HJBD Cc1ccc(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cc1[N+](=O)[O-] 1322831792 NWYQKOFOAFRRNQ-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1328549414 RAIJEHDRLNIQMK-IFXJQAMLSA-N 400.862 4.762 5 20 HJBD Cc1ccc(-c2nc(CC(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 10902243 JGKBTJYAAQEJOQ-UHFFFAOYSA-N 415.902 4.881 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)CSc2ccc3ccccc3c2)cccc1[N+](=O)[O-] 22813789 DHVFVYOFQITISC-HNNXBMFYSA-N 424.478 4.719 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)n2)cc1C 29146104 AVBSJOGCDXUNOK-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CCOc1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3F)cs2)cc1OC 46877423 AZDVLEAUCXSFID-UHFFFAOYSA-N 404.419 4.844 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 60801361 PRWXVKSOSWKKMJ-UHFFFAOYSA-N 422.460 4.723 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)ccc1[N+](=O)[O-] 71966993 HCQPGYJBSUZFMP-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 108160989 ZKJJSIXUWIHQAT-UHFFFAOYSA-N 404.426 4.939 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(CCc1ccccc1)c1ccccc1 115994155 VNHLNCMBYFRAFH-UHFFFAOYSA-N 412.449 4.670 5 20 HJBD CC(=O)c1ccc(N2CCC[C@H]2c2c(C)nn(CC(C)C)c2Cl)c([N+](=O)[O-])c1 301641031 MEXFIUPTUKAJRR-KRWDZBQOSA-N 404.898 4.953 5 20 HJBD Cc1cc(Oc2ccc(Br)c(C=O)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301815690 GTPQZHIXJONQOR-UHFFFAOYSA-N 414.215 4.728 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)cc1 426244996 SEVFFDBVKCYUCZ-ZDUSSCGKSA-N 418.291 4.793 5 20 HJBD O=c1ccn(CCOc2cccc([N+](=O)[O-])c2)c2ccc(Oc3ccccc3)cc12 429306081 LFBZNQSFENXBKN-UHFFFAOYSA-N 402.406 4.781 5 20 HJBD O=C(Nc1cccc(NC2CCCC2)c1)c1ccc(Br)cc1[N+](=O)[O-] 431941315 ORZDCNXWGOEDLE-UHFFFAOYSA-N 404.264 4.964 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)c2)o1 432987287 CRWRSOXCMLNJRN-UHFFFAOYSA-N 409.446 4.537 5 20 HJBD O=C(NCCc1ccc(Cl)cc1Cl)c1sc(Br)cc1[N+](=O)[O-] 444824810 BVQMBFGRCMHCRM-UHFFFAOYSA-N 424.103 4.698 5 20 HJBD CCCOc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c(C)c1 445986726 XNFDBRGWONNVOL-UHFFFAOYSA-N 407.264 4.636 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1ccc(-n3ccc(C(F)(F)F)n3)cc1)CCC2 447527301 DQLCUMLOGRKZDW-LJQANCHMSA-N 416.403 4.967 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)Nc2nccs2)cc1 462441349 XCDBMZLTOSZGMR-OAHLLOKOSA-N 424.482 4.511 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](c2ccccc2)C1 463095134 VICCQSBZUGMTKX-PXNSSMCTSA-N 414.527 4.993 5 20 HJBD COc1cc(COc2cccc(NC(=O)c3cccs3)c2)c([N+](=O)[O-])cc1F 464093372 PCLMEVILGFRMPC-UHFFFAOYSA-N 402.403 4.635 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)NCCc1ccccc1[N+](=O)[O-] 465749158 HCWQVEVBBPQJQX-HNNXBMFYSA-N 410.499 4.630 5 20 HJBD CN(C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CCC1 465976852 YNQSJQFJBNCLQO-UHFFFAOYSA-N 407.829 4.874 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(N3CCCC[C@@H]3C)cc2C)cc1[N+](=O)[O-] 468331282 ZXMUKALINMMOHW-INIZCTEOSA-N 412.490 4.612 5 20 HJBD Cc1nc(Sc2nnc(COc3ccccc3Cl)n2C2CC2)ccc1[N+](=O)[O-] 471621896 XZTQXWYAXHSTLJ-UHFFFAOYSA-N 417.878 4.608 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 472019133 XVSWMEVOHOKDPV-GFCCVEGCSA-N 401.806 4.618 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 478251758 OHJLOBAIMPILSZ-GFCCVEGCSA-N 404.850 4.920 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@@H](C)c2ccncc2)c([N+](=O)[O-])cc1OCCC 480004015 ZQNLPKRIKZEICC-HNNXBMFYSA-N 416.478 4.792 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1ccc(OCC(F)(F)F)cc1 485234355 UIILCWJICFOHJO-ZDUSSCGKSA-N 417.405 4.520 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCN(CCc4ccccc4)C3)o2)cc1 488455247 BWRWUMBQHAVDJJ-HXUWFJFHSA-N 405.498 4.509 5 20 HJBD CCOC(=O)COc1ccc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 495080783 RPIUIGBAURZEPK-JCMHNJIXSA-N 404.422 4.521 5 20 HJBD CC(=O)Nc1ccc(C)c2c1CCCN2C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 499414304 JSXPDJIPIBNCCS-UHFFFAOYSA-N 409.486 4.752 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2cccnc2)nc1 503616138 HKKJEVRDOWRQGX-UHFFFAOYSA-N 410.455 4.932 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 504579346 MCRISUWVXBKHEM-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@H]1/C=C\c1ccccc1 505393278 BFSSRTVDDWBMFF-JJEJIETFSA-N 401.260 4.675 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1 508533784 VTWJPRIYUWXSFI-UHFFFAOYSA-N 407.474 4.739 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(CN2CCCCC2)cc1 509404976 CNNJDIFCFUKIFO-UHFFFAOYSA-N 424.545 4.701 5 20 HJBD Cc1ncc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 509879813 VAQZGSVFYCOKFG-UHFFFAOYSA-N 403.847 4.735 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c(C)c2c1 511477848 VVCFELZFVDQHBH-HNNXBMFYSA-N 411.458 4.603 5 20 HJBD COc1cc(-c2noc(-c3cccc(OC)c3[N+](=O)[O-])n2)cc(Cl)c1OC(C)C 514631404 NLGPLEZHLDDFOI-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD CC(C)c1ccccc1N(C(=O)Cn1ccc([N+](=O)[O-])n1)c1nc2ccccc2s1 515598976 RRNOYILBVGBXBC-UHFFFAOYSA-N 421.482 4.889 5 20 HJBD C[C@@H](NC(=O)c1cn(-c2ccccc2)nc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 515681443 VRXVDITZCDQSSZ-QGZVFWFLSA-N 412.449 4.939 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC2(c3ccc(Br)cc3)CCC2)c1 520012919 XGIAQVVJANAUGK-UHFFFAOYSA-N 421.316 4.888 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1cccc(Cl)c1Cl 520322438 QVYZYOGNOMUDTD-NEPJUHHUSA-N 423.252 4.805 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 522893766 OTWGLLZLFFGMJB-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ncc(-c2ccccc2)o1 523545255 SDOMRTIGQIIPTB-QGZVFWFLSA-N 423.472 4.687 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1C[C@H]2CCCN2c2ccccc21 524145724 LZWDMVQGVOPFOM-LJQANCHMSA-N 414.465 4.968 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1cccc(Cl)c1Cl 525113952 LNJVELLXAMIOTF-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2Sc2nccn2C)c1 525152873 ZCGBGGIPVNGLKH-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD Cc1nnc(-c2cccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)c2)o1 531579539 UZPOTVRSUIVWDQ-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc(C)c([N+](=O)[O-])c2)cs1 533687715 IJNNSVXVJNJYDF-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534985067 XBZDXENDVJMJSZ-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD Cc1cc(C)n(-c2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2F)n1 536099613 SLSADEZJHWOWDZ-UHFFFAOYSA-N 420.448 4.549 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](NCCc1cccc([N+](=O)[O-])c1)c1ccccc1 536210576 SAQKCNYUPYVCAU-QHCPKHFHSA-N 419.481 4.506 5 20 HJBD C[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@@H](C)c1nc(-c2ccccc2)cs1 536855446 ABPSFDGPUYOYKI-GJZGRUSLSA-N 410.499 4.786 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](c1ccc(F)cc1F)C(C)(C)C 537123488 VEXACSABFQTLPE-HXUWFJFHSA-N 421.444 4.587 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 539668032 NUPKPIQOTLAHLG-SFHVURJKSA-N 416.499 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1cc(C(F)(F)F)ccc1Cl 540204032 ICWSAISAFMNRHN-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD CCCc1c(NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 541454114 HVRDBSOPSNCBRG-UHFFFAOYSA-N 413.409 4.525 5 20 HJBD Cn1cc(-c2ccc(F)c(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c2)cn1 542011602 ZUEVVMMGYMLIJB-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4ccc5[nH]ccc5c4)no3)cc2)c(C)c1[N+](=O)[O-] 545028045 MTGQFXPDVTYMSI-UHFFFAOYSA-N 414.425 4.655 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(CSCc2ccccc2)no1 545743575 OMTIPQVBRIDLSJ-UHFFFAOYSA-N 405.863 4.686 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 545810811 MCPJMOFTAMWEIY-IAQFLPNQSA-N 416.231 4.647 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)c1 546493993 JBAMQQOGNWAWTC-AWEZNQCLSA-N 422.224 4.786 5 20 HJBD C[C@H](C(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 546537233 NWIXBVUZDWIUSY-NSHDSACASA-N 422.338 4.681 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cc3nc(C(C)C)no3)cc2)c1 547300602 KVMHFXMIYMGYFC-UHFFFAOYSA-N 412.471 4.666 5 20 HJBD CCS[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 557012970 AWWRIFCRLGGMQU-CYBMUJFWSA-N 402.476 4.684 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 557759202 MGCHQBSBFMMIGC-CXAGYDPISA-N 408.376 4.524 5 20 HJBD CC(C)c1ccc(O[C@H](C)CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 563612859 KHBSDMVCUFJZQC-VGOFRKELSA-N 408.502 4.598 5 20 HJBD CCc1c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cnn1-c1cccc(Cl)c1 570128547 DAXOQFWPJOUUNB-UHFFFAOYSA-N 424.848 4.658 5 20 HJBD COc1cc(Cc2noc(CCSc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 577448840 GNWQTAQBQCVGFY-UHFFFAOYSA-N 405.863 4.565 5 20 HJBD O=C(CN1CCCC[C@@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])cc1Br 580089785 PCMKPQBTGWSIHM-GOSISDBHSA-N 418.291 4.523 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1[N+](=O)[O-] 582747221 IWUCIFULIZVOKL-PZJWPPBQSA-N 404.532 4.517 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cc(Cl)ccc2O[C@H]2CCOC2)no1 582991012 ZIWXZIGZQPCOCQ-WBMJQRKESA-N 415.833 4.618 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)c1 590644433 KTJLGBBGHRVLOX-JTQLQIEISA-N 406.414 4.553 5 20 HJBD COc1ccc([C@@H](C)N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 603455918 GHEQWAYMOZBOLS-QGZVFWFLSA-N 411.502 4.673 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(CCc1ccccc1)c1ccccc1 604463935 WGZABIXWWYRGLE-UHFFFAOYSA-N 412.449 4.670 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccc(F)cc2)no1 608784595 PJKZTPNNDFUVRA-UHFFFAOYSA-N 412.421 4.794 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccccc1)c1ccccn1 610038276 LBYJRTWTOCNSPD-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD COCCOc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611201355 WZCAYWZQYFKZQB-UHFFFAOYSA-N 416.499 4.907 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C(C)C)c1C 730643483 RBXOIFJNMHSYJB-GOSISDBHSA-N 404.850 4.685 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)s1 744359613 IVQBXMFRXMWBJN-LLVKDONJSA-N 409.895 4.859 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746851585 WEJBRDHJEKWSKC-VBHSOAQHSA-N 408.376 4.604 5 20 HJBD C[C@@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1Oc1ccccc1 751231636 UVBHWNYPKLNOAN-MRXNPFEDSA-N 416.437 4.614 5 20 HJBD O=c1oc2cc(Oc3ncnc4cccc([N+](=O)[O-])c34)ccc2c2c1CCCCC2 753993309 HGCCVNYWUATZER-UHFFFAOYSA-N 403.394 4.706 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 754374190 ULRKOCADCCRLPJ-NSHDSACASA-N 407.289 4.746 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756790431 SAUUUXOHGHDEMZ-UHFFFAOYSA-N 409.895 4.532 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc[nH]1)c1ccc(N(C)C)cc1 765093075 MKGQYYMTMYLLCJ-LJQANCHMSA-N 420.513 4.791 5 20 HJBD COc1cc(COC(=O)c2nc(C)sc2-c2ccccc2)c([N+](=O)[O-])cc1F 766219312 AEWDJDSYGBJPCO-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD CS[C@H](C)CC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 766916031 AYESUXRQASRYND-KZULUSFZSA-N 422.890 4.613 5 20 HJBD C[C@](NC(=O)c1cc([N+](=O)[O-])ccc1Br)(c1ccccc1)C(F)(F)F 780021053 BZDRXXPSNFMXQP-HNNXBMFYSA-N 417.181 4.565 5 20 HJBD CCCOC(=O)[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 781534762 OCAMQMCUKPIFPZ-HSZRJFAPSA-N 415.445 4.533 5 20 HJBD CC(=C\c1cccc([N+](=O)[O-])c1)/C=C(/Cl)c1nc(O)c2ccc(C(=O)O)cc2n1 787169969 SLRCPZFSAAOHPE-RZTHPJPFSA-N 411.801 4.625 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)ccc1NC(=O)OC(C)(C)C 790276712 UAKWSLQROFIVSD-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(Cl)n1 790987046 YYNYIIIFPBPKNP-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)cc1F 803643982 RDRSAAOYCLRPAG-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)ccc1[N+](=O)[O-] 806395549 UFRXFDVNCLHRLQ-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374025 XHJOFFLELVVDMO-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(c1cnnc(-c2ccccc2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 812947007 HDSAYMVHFLYKKW-UHFFFAOYSA-N 408.845 4.762 5 20 HJBD CCn1ncc2c(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc(-c3ccccc3)nc21 813245360 RGSNNVMIBUTGSL-UHFFFAOYSA-N 421.844 4.932 5 20 HJBD CN(C)c1nc(CNC(C)(C)c2ccccc2[N+](=O)[O-])c(Cl)n1-c1ccccc1 814178500 SCYWUMRFIVSPQE-UHFFFAOYSA-N 413.909 4.525 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 816062049 FZZLTWIJLGJSJG-HNNXBMFYSA-N 405.288 4.678 5 20 HJBD Cc1ccc(SCCC(=O)O[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1C 856692615 CFUBYEBRFCMGLL-INIZCTEOSA-N 416.499 4.573 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 875021657 NJFYBINFQQCIKM-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914506704 DDTJEURCAJXPKW-NSHDSACASA-N 411.336 4.663 5 20 HJBD Cc1ccc(-n2nc(C(C)(C)C)cc2NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)cc1 915285181 KAFZGUXFZAOKLG-UHFFFAOYSA-N 423.429 4.547 5 20 HJBD COc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3csc([N+](=O)[O-])c3)s2)cc1 917145982 QUVLSAPCOKKMND-WUXMJOGZSA-N 422.875 4.506 5 20 HJBD O=C(CCc1nc2ccccc2s1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 1263290357 MPQVSHKITNXHEB-UHFFFAOYSA-N 409.423 4.536 5 20 HJBD CC(C)c1ccc(-n2cnnc2SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc1 1322038859 BSAXNDHHACMEGV-UHFFFAOYSA-N 422.470 4.641 5 20 HJBD C[C@H](OC(=O)c1sccc1-c1ccccc1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 10986209 ZRUNFUWTVBLXAY-LBPRGKRZSA-N 414.414 4.646 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 45799927 LDGXVLMDUSOZHI-JOCHJYFZSA-N 412.489 4.527 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1)c1ccccc1 65157946 IMALSPILTQYRDY-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD COc1cc(CNC(C)(C)c2nccs2)ccc1OCc1ccc([N+](=O)[O-])cc1 237102280 YPUWTKCVQMYTJA-UHFFFAOYSA-N 413.499 4.664 5 20 HJBD Cc1c(Cl)cccc1-n1c(Sc2ncccc2[N+](=O)[O-])nnc1-c1ccncc1 301109201 VURVZAYBRRLBCT-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD O=C(c1cc(N2CCc3cc(-c4ccccc4)oc3C2)ccc1[N+](=O)[O-])N1CCCC1 301451332 ADENKPGFBNQXRT-UHFFFAOYSA-N 417.465 4.654 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3ccncc3)n2Cc2ccccc2)c1 301574971 PZDZMPMSBVTEPH-UHFFFAOYSA-N 403.467 4.756 5 20 HJBD CCC(=O)c1ccc(OCCCCC(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1 409572400 YWUNYUWVINOFBI-UHFFFAOYSA-N 410.470 4.716 5 20 HJBD CCc1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cnn1CCC(C)C 427204348 MVVXHXKOKFJLAH-UHFFFAOYSA-N 413.503 4.776 5 20 HJBD O=C(c1c[nH]c2ccc(Br)cc12)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437474911 OJWRLJLOFJQTII-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CO[C@@H](C)c1nc(CNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cs1 438968897 CTHYLPUUIJDIJU-ZDUSSCGKSA-N 423.560 4.827 5 20 HJBD CN(C)CCC1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 442714544 OELOQDYOUSIGFI-UHFFFAOYSA-N 413.543 4.550 5 20 HJBD CCc1[nH]nc(C(=O)N2CCC[C@H]2c2nc(-c3ccccc3)c(C(C)C)o2)c1[N+](=O)[O-] 444255709 DBNUBHCFHRYJPD-INIZCTEOSA-N 423.473 4.636 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc(F)c(F)c(F)c1F 446425807 MAKVFYBZJLFPMY-UHFFFAOYSA-N 420.318 4.983 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])NCC1(c2cccc(C(F)(F)F)c2)CCC1 461211823 OAJLXPYGDQAIHV-UHFFFAOYSA-N 422.403 4.621 5 20 HJBD CNC(=O)c1ccc(NCc2ccc(Oc3ccccc3F)c(F)c2)c([N+](=O)[O-])c1 462906062 KDMOGMGOFYUHIE-UHFFFAOYSA-N 413.380 4.637 5 20 HJBD COc1cc(CN[C@@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC(F)F 467273231 NOEHHUHQDOCKGO-LBPRGKRZSA-N 406.385 4.844 5 20 HJBD Cc1ccc(F)cc1-c1nnc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)s1 469891729 SYEZWISIQMLRAG-NSHDSACASA-N 418.475 4.680 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)C/C(C)=C\c1cccc([N+](=O)[O-])c1 470174460 SZBKKIXSRIBQLO-PTNGSMBKSA-N 421.419 4.978 5 20 HJBD Cc1nn(CCC(=O)N2CCCC[C@H]2c2cccc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 470195499 UNBBNJYXJAQCQB-KRWDZBQOSA-N 424.423 4.571 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2ccccc2SC)c([N+](=O)[O-])cc1OCC 471174261 VTTPLOMPRFWBSR-UHFFFAOYSA-N 419.503 4.778 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 471910641 LSYFCIHHEKBTMM-LJAQVGFWSA-N 414.527 4.991 5 20 HJBD CC(=O)Nc1ncccc1NC(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 474211897 CZPABKGMVPSXNH-UHFFFAOYSA-N 422.466 4.660 5 20 HJBD CC(C)=CCN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 479478212 FPUORUUTQGNPQK-SFHVURJKSA-N 418.291 4.593 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 480543752 SNWSHQJLCOXEEG-UHFFFAOYSA-N 401.488 4.619 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 481587963 UUGBZBBBSZNKHY-UHFFFAOYSA-N 408.336 4.531 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCC(C)CC2)cs1 481953850 STKKZXJZUPMSSK-UHFFFAOYSA-N 420.560 4.648 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cc(CSCCO)ccn2)cc1[N+](=O)[O-] 482626129 YFRLSCKCQFGJFL-UHFFFAOYSA-N 424.526 4.595 5 20 HJBD CCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1Cl 482741096 LUMIGSNOWQAPGV-LBPRGKRZSA-N 416.840 4.878 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H](C(=O)N(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483133395 HWDJFEZMJWKGDM-ARFHVFGLSA-N 401.532 4.621 5 20 HJBD CC(C)n1nc2c(c1NC(=O)c1cc(OCc3ccccc3)ccc1[N+](=O)[O-])CCC2 485758556 MIRJGBYVKVKZJQ-UHFFFAOYSA-N 420.469 4.692 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C(=O)OC(C)C)ccc2Cl)c1[N+](=O)[O-] 486239748 DBLMZZGVDYMEMY-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccccn2)Cc2ccccc2C)cc1[N+](=O)[O-] 486257522 YJWJGBFPLDSPPN-UHFFFAOYSA-N 407.495 4.863 5 20 HJBD CC1CCN(c2nnc(SCc3c(Cl)cccc3[N+](=O)[O-])n2C2CC2)CC1 488747881 OVKUAVMLZFUODB-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD Cc1cc(C)c(-c2nnc(SCC(=O)Nc3c(C)cccc3[N+](=O)[O-])o2)c(C)c1 488786728 QKTIRBQGHBWXMZ-UHFFFAOYSA-N 412.471 4.609 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nnc(CCC2CCCCC2)o1 488867523 XSXYHIQQRDZSGB-UHFFFAOYSA-N 404.492 4.530 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H]1c1cccc(Br)c1 489845140 VTLCGBWDKMMPSU-SFHVURJKSA-N 414.259 4.816 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(C)c2ncccc12 489982193 NQJZCJWQTCNOQR-UHFFFAOYSA-N 411.483 4.751 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC[C@H](C(F)(F)F)c2ccccc21 492291866 MXAJEBQNJKALSO-INIZCTEOSA-N 419.403 4.891 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1 498305362 VWTOGOZQFBOVTH-UHFFFAOYSA-N 420.450 4.918 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC[C@@H]2CCCO2)cc1 504073545 GPKBRKBYFSGPRL-SFHVURJKSA-N 416.499 4.907 5 20 HJBD O=C(c1cc(N2CC[C@@](F)(c3cccc(Cl)c3)C2)ccc1[N+](=O)[O-])N1CCCC1 509527621 OIQNZJJXXMRCOF-NRFANRHFSA-N 417.868 4.559 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@H](c3cccc(OC(F)(F)F)c3)C3CC3)nc2c1 511564228 PUTYDCNJMNMSSW-SFHVURJKSA-N 407.348 4.876 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)cc2)cc1 517456980 FVMGKELBBYZXCO-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD CC(C)c1ccc(CNc2cc(-c3ccc(Cl)cc3)nn2CCO)cc1[N+](=O)[O-] 517551550 MKASCGNPHNHVGS-UHFFFAOYSA-N 414.893 4.840 5 20 HJBD O=C(NCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 520365589 UPXVOSCRRYNBLD-UHFFFAOYSA-N 404.451 4.552 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3cccc(NC(C)=O)c3)o2)c([N+](=O)[O-])c1 520950684 COWCZSIVMGNVAK-AWEZNQCLSA-N 409.442 4.673 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)CNc2ccccc2[N+](=O)[O-])cc1 525087008 GYQPJBDZUGIHQE-KRWDZBQOSA-N 419.481 4.902 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nnc(C)s3)cc2C)c1 525656365 OXGIIZWFHOVYED-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD COc1ccc(CC(=O)N2C[C@H](C)C[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 532539213 QBMYNTLUTUMENA-CXAGYDPISA-N 422.403 4.774 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](CO)c3c(F)cccc3F)o2)c(Cl)c1 538399477 GHMHIGONMVGZPX-KRWDZBQOSA-N 408.788 4.610 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)nn1-c1cccc(Cl)c1 538536224 TXMXPFGRQNELTK-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](c2ccccn2)c2ccccc2OC)cc1[N+](=O)[O-] 539052698 OYEAVMOHSKDRMP-HJPURHCSSA-N 407.470 4.837 5 20 HJBD CC(C)[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Cl)cc1F 541988501 DLCGSPKDTKGVEU-SFHVURJKSA-N 404.829 4.924 5 20 HJBD COc1ccc(-c2ccc(CNCc3cn(C)nc3-c3ccccc3)o2)c([N+](=O)[O-])c1 542947916 KYZOJERRKZYVLG-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3nc4ccccc4n3C(F)F)no2)cc1 545056617 FUFIQKUYYWYDPC-UHFFFAOYSA-N 417.397 4.606 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 552270389 OHTNZRDHGYZUFC-MRXNPFEDSA-N 422.403 4.571 5 20 HJBD CSc1cccc(-c2nc(-c3ccc(NC(=O)NC(C)C)cc3)no2)c1[N+](=O)[O-] 563918641 PFWAZIIPKQYIAH-UHFFFAOYSA-N 413.459 4.564 5 20 HJBD Cc1ccc([C@H](C)Nc2ccc3c(c2)N(CC(F)F)C(=O)CS3)cc1[N+](=O)[O-] 566753022 LCECTGRAAMBTSW-LBPRGKRZSA-N 407.442 4.780 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1cncc(Br)c1)C1CC1 569397290 DXOKULPFLCASRN-QGZVFWFLSA-N 419.279 4.588 5 20 HJBD COc1ccc(CN(C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)[C@H](C)C2CC2)c(OC)c1 578680285 JEVQOWVCZQNSSQ-CQSZACIVSA-N 423.469 4.534 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])c1 584309224 VZNHKTVPICLDGI-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC(C(=O)c3ccccc3)CC2)c([N+](=O)[O-])c1 590108281 YBJGRPXUZPLBGO-UHFFFAOYSA-N 414.461 4.925 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(F)cc1)c1ccccc1Cl 604076695 ICTLSYABUDSTFV-HXUWFJFHSA-N 413.836 4.976 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 604533698 VBFIOXSZIXCRJN-UHFFFAOYSA-N 422.466 4.735 5 20 HJBD Nc1c(C(=O)Nc2cccc(Oc3ccnc4ccccc34)c2)cc(F)cc1[N+](=O)[O-] 609665187 BTSCYMJAXVMVBR-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD CC(=O)Nc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccc1C 609763319 YWSKRFSBQXOZMV-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(OCc4ccccc4F)CC3)co2)cc1 611260170 VRZVXQOPFDQSIU-UHFFFAOYSA-N 411.433 4.570 5 20 HJBD CC(C)(C(=O)N1CCC(c2ccc(F)c(F)c2)CC1)c1ccc([N+](=O)[O-])cc1F 612997985 PTYAXIYPEWDRPX-UHFFFAOYSA-N 406.404 4.696 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)OCc3ccccc3)c2)c1 729964663 KOKJFAVNXDTXFB-UHFFFAOYSA-N 422.462 4.926 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 730110158 ZAZIYDZMKQBRRP-MRXNPFEDSA-N 415.490 4.592 5 20 HJBD O=C(OCc1csc(C2CCCCC2)n1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735352968 QVGWTJMKPWMARI-UHFFFAOYSA-N 413.455 4.710 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735601100 HPUAADGGWALTCJ-UHFFFAOYSA-N 408.410 4.573 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1ccc([N+](=O)[O-])cc1Br 739579450 FWUFQUYYDIDTGQ-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD O=C(NCc1ccccc1COc1ccccc1Cl)c1cc(F)ccc1[N+](=O)[O-] 741713421 FHOKEDUWFJUNBM-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD O=[N+]([O-])c1cn(CN2CC=C(c3cccc4ccccc34)CC2)nc1-c1ccncc1 742146442 DOHPUQZATGOWMC-UHFFFAOYSA-N 411.465 4.753 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 742361124 LZDOPMHUCXLXHL-AWEZNQCLSA-N 415.446 4.656 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3ccc(Cl)s3)CC2)ccc1[N+](=O)[O-] 744205270 WVYUMTSFSNVNHM-UHFFFAOYSA-N 410.879 4.534 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3cccc([N+](=O)[O-])c3Cl)cs2)cc1 752974695 CBBIYGDEUIWIED-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD CCCOc1cc(NC(=O)c2sc(Cl)nc2C)c([N+](=O)[O-])cc1OCCC 754617839 UHZLYIQRCSRLAD-UHFFFAOYSA-N 413.883 4.843 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 757209519 MTSSFSIBZCRUHB-UHFFFAOYSA-N 421.881 4.512 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cccc([N+](=O)[O-])c1Br 758418616 AYDUPFCZYJDQLJ-YPMHNXCESA-N 407.239 4.515 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1ccccc1Oc1cccnc1 758736938 CQIHYINQQLRQMM-UHFFFAOYSA-N 403.394 4.549 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)c1cccc([N+](=O)[O-])c1Br 759087782 ONGSGUTVIFCGHK-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)ccc21 760776420 IBMFDJITSZZECH-UHFFFAOYSA-N 419.485 4.602 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761010353 ISERUYDCWDWFNR-VIFPVBQESA-N 408.197 4.870 5 20 HJBD Cc1nc([C@H](C)OC(=O)COc2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 763920531 SSMHBNAGBLMZNU-ZDUSSCGKSA-N 416.817 4.895 5 20 HJBD O=C(Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1)c1cc([N+](=O)[O-])ccc1F 770382063 QOJLESKKDNIDGP-UHFFFAOYSA-N 418.253 4.517 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2F)on1 776131971 CETRRNPPPJCRMA-NRFANRHFSA-N 419.368 4.730 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135759 RSUWIEBEOGYFBB-IBGZPJMESA-N 411.483 4.900 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CSc2nnc(-c3ccco3)n2C2CCCCC2)cc1 776270918 GJSJBLIZRHUQBM-QGZVFWFLSA-N 414.487 4.777 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C2CC2)cs1 781184689 NRFJFDGWPWVHIV-JTQLQIEISA-N 401.469 4.970 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)Nc1c(F)c(F)c(F)c(F)c1F 788504622 QUACTXBWZJPUCE-YFKPBYRVSA-N 410.295 4.549 5 20 HJBD CCc1c(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cnn1-c1cccc(C(F)(F)F)c1 791583282 BIYIGKYPOCQGFZ-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CC(C)O[C@@H](COC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1 795239463 ICJHFMRQVGZGQN-QFIPXVFZSA-N 412.486 4.521 5 20 HJBD CC(C)([C@H](OC(=O)[C@H]1CCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 796834273 SVJREVJMCQOWRR-WCQYABFASA-N 406.223 4.524 5 20 HJBD CO[C@@H](COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 801830388 UCIPBZAZPAEONU-ZHRRBRCNSA-N 421.453 4.707 5 20 HJBD CC[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(OC)c(OC)c1 813250669 QQZFAVPSROKGOY-AWEZNQCLSA-N 413.257 4.800 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 813286305 WCLMSTYBYJPBQT-UHFFFAOYSA-N 417.231 5.000 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(F)c1Br 814508392 CGHSSQPREIUVIK-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1Br)C(=O)OCc1ccc([N+](=O)[O-])cc1 887560613 ZLSXQDWUPLLDGW-SNVBAGLBSA-N 414.639 4.521 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)cc1[N+](=O)[O-] 915368661 RVKRRPFVHXQLCQ-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1C(=O)N(C)C1CCCCC1 918040168 NOLXHDLEKYRWMU-UHFFFAOYSA-N 424.501 4.663 5 20 HJBD CCN(Cc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2C)c1)C(=O)OC(C)(C)C 918103808 KXRPLTCQRGUPQQ-UHFFFAOYSA-N 413.474 4.913 5 20 HJBD Cc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cccc1C(=O)Nc1ccccc1 1116149038 ZKTYQJSJLYZTSK-UHFFFAOYSA-N 416.437 4.703 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1117189571 BLDIYOLWHJQTAE-JTQLQIEISA-N 406.211 4.893 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 1318608276 QJVLDHFKCZHFDB-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1ccc2c(c1)c(C(=O)N(C)CCc1ccccc1[N+](=O)[O-])c(C)n2C1CC1 1326168680 NAQQDBGAACUDHZ-UHFFFAOYSA-N 407.470 4.516 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3ccc(Br)s3)o2)c(Cl)c1 1345544126 NFLOGBAZJKWHQS-UHFFFAOYSA-N 400.641 4.713 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H](c2ccccc2)C2CC2)c([N+](=O)[O-])c1Br 14301233 WKOTVHHTDKKMQR-HNNXBMFYSA-N 422.235 4.837 5 20 HJBD O=C(NCCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 26302714 JMFZSSGMVKTJDX-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD CCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)c1cccc(OC)c1 50312076 GYIXSCOGTQVMGN-CQSZACIVSA-N 411.483 4.950 5 20 HJBD Cc1cc(NCc2ccc(N3CCCCCC3)nc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60859713 AWIQWIOWQVEFCL-UHFFFAOYSA-N 418.501 4.748 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC(COc2ccccc2)CC1 65309267 HJSJTUMSAVTPLD-UHFFFAOYSA-N 423.494 4.650 5 20 HJBD O=C(Nc1nc2c(s1)CCCCC2)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 215711298 IYCGVFMCPFHFQE-UHFFFAOYSA-N 400.485 4.696 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1NCc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2C)cc1 302186929 UPFNOLMHZWYORI-OAHLLOKOSA-N 422.445 4.695 5 20 HJBD CC(C)[C@@H](C)N(CC(F)(F)F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303321977 METWNJJNEAPYNY-OAHLLOKOSA-N 404.432 4.590 5 20 HJBD C[C@H](NC(=O)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1)c1cccc([N+](=O)[O-])c1 409821632 NCUGEPAXOKENGE-LHSJRXKWSA-N 412.392 4.871 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cc2ccccc2s1 410309215 RRSVDVRBBLZGDJ-ZDUSSCGKSA-N 406.467 4.701 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1ccc([N+](=O)[O-])cc1Cl 432630873 LGZYCVZCUAIPSE-AWEZNQCLSA-N 414.874 4.820 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 433925159 SXIYYINONWXTAO-UHFFFAOYSA-N 417.853 4.650 5 20 HJBD COc1cccc(C(=O)NC[C@H]2CC3c4ccccc4C2c2ccccc23)c1[N+](=O)[O-] 435530507 XQODEOBCLJJVAS-UWFASSMRSA-N 414.461 4.631 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Cc1ccccc1 444035499 WKURQGIHOMONJC-UHFFFAOYSA-N 420.459 4.958 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](n3cccn3)Cc3ccccc32)cc1[N+](=O)[O-] 464422828 SXACKQHDKABRLU-GOSISDBHSA-N 404.470 4.533 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1)c1ccc(F)cc1[N+](=O)[O-] 466308906 QWPSYLYLRJILCC-UHFFFAOYSA-N 408.364 4.592 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@H](C)CCc1ccccc1[N+](=O)[O-] 468558804 FCNVVXVKRFZSMN-OAHLLOKOSA-N 419.909 4.505 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N(CC)Cc1cccc(-c2ccncc2)c1 470985132 RBGYFOKGHUHFRH-UHFFFAOYSA-N 405.454 4.718 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 471441192 DELUVBYDJLDBDB-JTQLQIEISA-N 411.241 4.900 5 20 HJBD COc1ccc(CS[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1Cl 478633675 UEYIPKIKMWEQBD-LLVKDONJSA-N 410.879 4.526 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(Oc3cnccn3)c2)nc1OCc1ccccc1 480572490 DCZGHQVGXYHMLQ-UHFFFAOYSA-N 416.393 4.943 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)cnn1-c1cccc(C(F)(F)F)c1 482747239 OVBVYEWNQIFUAP-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCOc2cc(Cl)c(Cl)cc21 484656628 SOYBUBUKOIQDKF-UHFFFAOYSA-N 422.268 4.541 5 20 HJBD O=C(CCc1ccc(OC(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486103609 IHLQITDESPHQEZ-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](c1ccc(F)cc1)C(F)(F)F 489087917 KZFXCCMYWSEWEJ-PSLIRLAXSA-N 420.746 4.574 5 20 HJBD CCN(Cc1ccccn1)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 489357175 MBEDGKIMPKTIDN-OAQYLSRUSA-N 424.888 4.845 5 20 HJBD C[C@@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(C(F)(F)F)cc1 490395893 GLZXYCWDKWSLNP-NSHDSACASA-N 419.363 4.531 5 20 HJBD O=C(c1cc2ccc(Br)cc2[nH]1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497593368 APHSCFYXHLKRAX-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CCOc1cc2c(cc1CN[C@@H](C)c1ccc(OCC)c([N+](=O)[O-])c1)O[C@@H](C)C2 504900295 VIBKMYDXDGRAFX-GJZGRUSLSA-N 400.475 4.566 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(Cl)c(OCC)c(OC)c2)cc1[N+](=O)[O-] 504912670 ZGPILQUYZGBLQW-CYBMUJFWSA-N 408.882 4.905 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(Cl)c(OCC)c(OC)c2)cc1[N+](=O)[O-] 504912671 ZGPILQUYZGBLQW-ZDUSSCGKSA-N 408.882 4.905 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 505273149 JWIWSKNHKHRLHU-UHFFFAOYSA-N 419.485 4.892 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H](CC(F)(F)F)c1ccc(F)cc1 509266858 ZOAZQBWRCIFWJZ-OAHLLOKOSA-N 402.369 4.636 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(CCc1ccccc1)Cc1ccncc1 510644994 JWCUERGHMYCHLY-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(F)c(Br)cc2F)cc1[N+](=O)[O-] 511074797 FTEWJEUNYYABHS-SECBINFHSA-N 414.206 4.827 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 511922319 KEKTVRMOMXEFIX-LLVKDONJSA-N 415.298 4.816 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(F)cc2OC)cc1 512309859 SUNOTPVHMNUTTH-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 514065953 HVYZZPYDBHJUKY-LBPRGKRZSA-N 409.280 4.665 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 519145768 KYZHXKIHQLHLKR-OAHLLOKOSA-N 420.469 4.651 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc(-c2cccc(OC(F)(F)F)c2)s1 519553698 AXHASBPPQCPUSQ-UHFFFAOYSA-N 424.360 4.573 5 20 HJBD COc1cc(Cl)c(Br)cc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 519559744 MRPNZUMSGMPEQX-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD Cc1nn(CCC(=O)NCC2(c3ccc(C(C)C)cc3)CCCC2)c(C)c1[N+](=O)[O-] 520806755 NUVSCIDHFXJFET-UHFFFAOYSA-N 412.534 4.550 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 521089771 XTZQMHNGIMFSAQ-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1OCC(F)(F)F 530976879 GDWCWHYZQFHWBS-JTQLQIEISA-N 418.368 4.794 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccccn1)c1ccc(Cl)cc1 534736228 NNKWMAAPRNXIHS-UHFFFAOYSA-N 420.856 4.900 5 20 HJBD COc1ccc(C[C@H](C)CN(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 536411459 YFZLODPTUPMTQP-ZDUSSCGKSA-N 410.392 4.573 5 20 HJBD C[C@H](NC(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1cccc([N+](=O)[O-])c1 538624811 NAOWUEAITRRBKW-ZDUSSCGKSA-N 403.398 4.681 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1OC 539265428 DHQMMCSDQPGSPJ-OAHLLOKOSA-N 411.458 4.550 5 20 HJBD CC[C@@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc([N+](=O)[O-])cc1Cl 543860094 NTEWRCUKUHYODR-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 544911610 MJKFJEHITLWUNR-UHFFFAOYSA-N 414.393 4.946 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 545963465 NKMCDGJJUZPVMS-UHFFFAOYSA-N 405.292 4.684 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4cc(Cl)ccc4Cl)no3)c2c1 546438961 KKASROCGJZVXNQ-UHFFFAOYSA-N 417.208 4.796 5 20 HJBD CCOc1ccc(CNC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1Cl 551671072 UWZJYHHMLQIWPO-UHFFFAOYSA-N 402.756 4.596 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(OC(F)F)c1 559047146 DJCXCPNZAMTQHZ-SECBINFHSA-N 404.291 4.706 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(C(N)=O)c(Cl)cc2Cl)cc1[N+](=O)[O-] 559234537 DZDBMWCNTVNTAS-UHFFFAOYSA-N 410.257 4.550 5 20 HJBD Cn1ccnc1[C@H](NCc1cccc(Oc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 560514193 UOBZCVLRFWYFSQ-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 562482865 XCBGVSXYRVRVLL-LBPRGKRZSA-N 417.824 4.857 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc(C)c(C)c2)C2CC2)cc1SC 565335711 QQHYEKQAQIYRKT-UHFFFAOYSA-N 400.500 4.747 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1csc(-c2ccoc2)n1 569718333 JTNYXYWEDIKEGF-UHFFFAOYSA-N 419.418 4.795 5 20 HJBD CCN(CC)Cc1ccc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 572044655 HGOAKGSDULBLBS-UHFFFAOYSA-N 405.458 4.559 5 20 HJBD Cc1cc(O)nc2cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)ccc12 572154950 VFSKWLOXFHUDPN-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 583223073 CRKPOLQRNKRXEZ-JOCHJYFZSA-N 400.478 4.913 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)c2ccccc12 583286618 XFBFIPWIGQVLMA-GFCCVEGCSA-N 401.825 4.830 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3cccc(OCc4cccnc4)c3)no2)c1 609475443 GQQHTHVSSZSEEI-KTKRTIGZSA-N 400.394 4.789 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1cccc2ccccc12 609961766 DXJMAGSEZBKARY-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD CCc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)on1 610154450 GCJFTCFOAOLLNG-UHFFFAOYSA-N 417.874 4.880 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccccc2-c2ccccc2)cc([N+](=O)[O-])c1OC 610173894 XZUCFFDOYYFSPX-UHFFFAOYSA-N 406.438 4.551 5 20 HJBD CSc1cccc(C(=O)N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)c1[N+](=O)[O-] 614444684 HGXUDCPMZIULDV-OXQOHEQNSA-N 400.500 4.557 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCc1cccc([N+](=O)[O-])c1 619549487 OWMZDCLFJCETCX-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CC(=O)N(C)c1ccc(Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 727431008 JOGLJWBFHILQGY-UHFFFAOYSA-N 418.453 4.882 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(cccc2NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C1 734107279 GSNXMPVWDJAAOT-FMIVXFBMSA-N 423.469 4.540 5 20 HJBD Cc1nc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)nn1-c1ccccc1C(C)C 734781818 CQGCAGBUAWHCOI-UHFFFAOYSA-N 414.849 4.618 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccn(-c2cccc(C(F)(F)F)c2)n1 737543101 SBCNDKKINFNJCE-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CS[C@@H]1CC[C@@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 742740951 QFGLXWLFJXPNOO-HZPDHXFCSA-N 419.934 4.874 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1C(=O)c1cccs1 746057584 FWSGLYWPZDMAMJ-UHFFFAOYSA-N 401.827 4.898 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1ccccc1)c1ccccn1 751072061 JXOYRIIFFIFZAE-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC2(CCC2)Oc2ccccc21 757824796 VQMNPAYUETWNFM-NRFANRHFSA-N 424.500 4.717 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 759934048 UPGKIGPZHDYWEH-STQMWFEESA-N 418.500 4.625 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 766572617 NAGCICXBEMOJGF-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD Cc1c(CC(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)cccc1[N+](=O)[O-] 766587226 OHJFCWZMIHTJLI-GOSISDBHSA-N 418.497 4.701 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1SCCc2ccccc21 776220271 KAMFKFKAHBMPED-LEWJYISDSA-N 406.463 4.653 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CCO2)cc1 779229371 BCDHOEOUGMWUEV-QAPCUYQASA-N 407.369 4.582 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cc(-c2ccccn2)nc2ccccc12 785443520 YKVHPGVHGOVDJH-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786345580 QRTMJYDBWNZAFY-ZMYBRWDISA-N 402.447 4.667 5 20 HJBD C[C@@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1cccc(F)c1 791174485 LXWXUCHDVXCELR-LBPRGKRZSA-N 421.266 4.575 5 20 HJBD CC(C)n1c([C@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nc2ccccc21 792873508 SRFWDWYLYIQMQS-LJQANCHMSA-N 409.446 4.771 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1 794495246 QIXCSCOUJUTFGG-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)sc1C(F)(F)F 799379342 ISHOUUPVTYVHBK-UHFFFAOYSA-N 414.409 4.621 5 20 HJBD CC(C)(CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)CC(=O)c1ccccc1 799568730 UVPVCLWFDRSTLK-UHFFFAOYSA-N 422.437 4.982 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2NC1=O 809971970 ATCZHBVPWOOSFR-HWPZZCPQSA-N 424.284 4.862 5 20 HJBD Cc1c(COC(=O)c2ccc(N(C)C(=O)OC(C)(C)C)s2)cccc1[N+](=O)[O-] 811289705 UPOFYAWXVLOYCJ-UHFFFAOYSA-N 406.460 4.693 5 20 HJBD Cc1cc(C)n(-c2ccccc2CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 811484029 UXPJJIWIVIOVMV-UHFFFAOYSA-N 405.285 4.994 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2-c2nc(COc3ccccc3F)no2)CC1 904728516 KXJTXSZTCXGTDQ-UHFFFAOYSA-N 412.421 4.599 5 20 HJBD C[C@@H](NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)c1cccc([N+](=O)[O-])c1 917701996 SLABTWBMWYOVBZ-IGDFAFLASA-N 407.308 4.506 5 20 HJBD Cc1ccc([C@H](NC(=O)COc2cc(Cl)ccc2[N+](=O)[O-])c2cccs2)cc1 920993198 WZBJHCSGDPOZBG-FQEVSTJZSA-N 416.886 4.903 5 20 HJBD CCOC(=O)c1cc(-c2nnc(Cc3ccc4ccccc4c3)o2)cc([N+](=O)[O-])c1 1117239353 IQLYGTFQJYWIBZ-UHFFFAOYSA-N 403.394 4.566 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 11227052 IPLSRGBUWQKLGR-GFCCVEGCSA-N 404.469 4.844 5 20 HJBD C[C@@H](Sc1ccccc1F)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 11435166 WNLWLSPWVYHJOR-LLVKDONJSA-N 403.460 4.977 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1-n1cccc1 11492247 MVJKFDBIKINZKP-HNNXBMFYSA-N 418.409 4.662 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@H]3c3nc4ccccc4s3)n2)cc1 47406739 MKIFBGOYZUIDSY-INIZCTEOSA-N 407.455 4.592 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])c1nc2scc(-c3ccccc3)c2c(=O)[nH]1 65693172 HTSOFGGCKWDNRN-LLVKDONJSA-N 410.480 4.808 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1C 65854248 DTIHSGLHKXERSI-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD Cc1cccc(C(=O)N2CCCC2)c1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 97284405 YKYLIMFLRUYJAB-UHFFFAOYSA-N 409.486 4.689 5 20 HJBD C[C@H](c1ccccn1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 195662045 NOKUDEVYSACYQS-QGZVFWFLSA-N 408.527 4.597 5 20 HJBD CC(C)N1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 236603200 XYRANVIWJWDYBM-UHFFFAOYSA-N 422.323 4.580 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccncc3)n2CC(F)(F)F)c(Cl)c1 301073280 GAMNUNFLJVZDGU-UHFFFAOYSA-N 415.784 4.615 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1ccc([N+](=O)[O-])cc1F 409621580 JQDQQXZLAXPQNJ-UHFFFAOYSA-N 400.431 4.647 5 20 HJBD O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)c1cc2ccccc2c2cccnc12 427371496 CNZMVVITRZPFMW-UHFFFAOYSA-N 424.460 4.618 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc21 430610929 MRCBRUWMDQABKX-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD COc1cc(C(=O)N2c3ccccc3S[C@H](C)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 430636531 JNVGXFBCQSRRRY-GHMZBOCLSA-N 424.425 4.734 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CC[C@@H](CSc2ccccc2)C1 431502197 LQIWRMSWBNQOKY-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD CN(C)c1ccc2cc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc2n1 436316674 JENREATWWATPRW-UHFFFAOYSA-N 408.461 4.548 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 437120519 APPHHVKUKHYPJU-CQSZACIVSA-N 410.499 4.859 5 20 HJBD O=C(Nc1nc2c(s1)COCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440479500 ONOLVNZJMIOWQX-UHFFFAOYSA-N 413.480 4.528 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440941338 JKNGEUCFKOULOI-UHFFFAOYSA-N 405.435 4.758 5 20 HJBD CCc1noc(CC)c1CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441052489 IHLNWXLBZPYWAU-UHFFFAOYSA-N 411.483 4.789 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@@H](c2ccco2)C1 441122272 WJBAXOVJBZJHBH-HXUWFJFHSA-N 409.398 4.586 5 20 HJBD C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444244658 XAYBWILENKXSTJ-RHSMWYFYSA-N 418.443 4.978 5 20 HJBD COc1ccc(C(C)(C)c2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc1OC 445691167 JWTXSPSVDWKTBQ-LBPRGKRZSA-N 415.421 4.612 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H]([C@@H](O)C(F)(F)F)C3)o2)c(Cl)c1 446976405 WKLGSFKZPXXZKV-APPDUMDISA-N 418.799 4.643 5 20 HJBD COC(C)(C)C[C@@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 460256571 AGMXRNYJVJLGMW-CYBMUJFWSA-N 406.866 4.974 5 20 HJBD O=C(Nc1ccc(Oc2ncnc3cc(F)c(F)cc23)cc1)c1cccc([N+](=O)[O-])c1 461443471 ANKHTBPFILGEEZ-UHFFFAOYSA-N 422.347 4.861 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)CCOc2ccccc2[N+](=O)[O-])cc1 461911919 VGBPKNJDRGEWRQ-UHFFFAOYSA-N 408.454 4.537 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cccnc2C)cc1[N+](=O)[O-] 465294687 JOUCSRBIMVYQRW-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD CC(C)CCOc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 465338423 CUPJKRSHDQAURG-UHFFFAOYSA-N 408.458 4.673 5 20 HJBD C[C@@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 466329245 QCGYAKCZSKKOGG-CQSZACIVSA-N 412.392 4.943 5 20 HJBD C[C@@H](NC(=O)Nc1cc(Br)c(F)cc1F)c1cccc([N+](=O)[O-])c1 466660649 YAVGQRCQCGZUJH-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD CC(C)(NC(=O)NCC1(CCOCc2ccccc2)CC1)c1ccc([N+](=O)[O-])cc1 474148381 WOGPUSVCUFSHHQ-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)Nc1cccc2c1CN(c1nccs1)C2 475549766 GBVOMUXOLXUCEM-UHFFFAOYSA-N 409.471 4.832 5 20 HJBD CCCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@H](c1ccc(F)cc1)c1cccnc1 475763845 ZKKKUPAALLKSSY-OAQYLSRUSA-N 423.444 4.779 5 20 HJBD COc1ccc(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)c(OCc2ccccc2)c1 480529409 SWAPXGGWKDCMKC-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccc(F)c2)C(C)(C)C)cc1OC 484280142 HONWNKQIWUKVCZ-UHFFFAOYSA-N 404.438 4.582 5 20 HJBD COC(=O)c1cc(NC(=O)[C@@H](C)c2ccc3cc(OC)ccc3c2)c(C)c([N+](=O)[O-])c1 485958310 QYKBVTSMKPKEOJ-ZDUSSCGKSA-N 422.437 4.594 5 20 HJBD CSc1cccc(C(=O)NC(C)(C)c2ccccc2Br)c1[N+](=O)[O-] 486440696 ZLMLATGMDLLWLT-UHFFFAOYSA-N 409.305 4.744 5 20 HJBD Cc1cc(C)c(-c2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)o2)c(C)c1 488806756 NWCNBUOWZKQDKU-CQSZACIVSA-N 412.471 4.689 5 20 HJBD CCSc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)s1 491548677 DVIVRLFRHXDRGZ-UHFFFAOYSA-N 402.457 4.603 5 20 HJBD CC(C)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 497858671 AAELOZRHYFCMPS-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1cc(Cl)ccc1Cl 511092213 HMYCTINYJIGCMV-UHFFFAOYSA-N 406.172 4.554 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 511873950 DERYEGUDIPCFAE-RTBURBONSA-N 421.419 4.502 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)c2)cn1 513348070 HYQVDBQZLBDXQA-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 515904449 GJBLLDRUSJWTCL-GDBMZVCRSA-N 410.499 4.855 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)C(F)F)nc1)Nc1ccc([N+](=O)[O-])cc1Cl 517079991 LYMYVSRMFWNHQO-UHFFFAOYSA-N 422.722 4.566 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)c1ccc([N+](=O)[O-])cc1F 519883812 MOUCUVRASBUUJX-UHFFFAOYSA-N 413.218 4.686 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)n1 520477962 QYWXUQRGXOJHNM-UHFFFAOYSA-N 409.446 4.704 5 20 HJBD Cn1cccc1[C@@H]1CCCN1C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 524518557 HUJSHPRRIBYQAI-NRFANRHFSA-N 404.470 4.523 5 20 HJBD Cc1nc(-c2ccccc2)ccc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525595832 GLMXENIMTMDFDF-JOCHJYFZSA-N 405.479 4.853 5 20 HJBD COc1cc(-c2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc(OC)c1OC 532261611 XPTZYTQMPZIQRP-LLVKDONJSA-N 417.443 4.524 5 20 HJBD CC(C)c1nc2n(n1)CCC[C@@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 532295246 QOJOPAOMXSZUJS-IBGZPJMESA-N 420.517 4.702 5 20 HJBD COc1cc(C(=O)N(C)CC(C)(C)OC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 532343290 FRKKVQHZJHZGHK-UHFFFAOYSA-N 422.865 4.546 5 20 HJBD COc1cc(C(=O)Nc2cnc(-c3ccccc3)s2)c([N+](=O)[O-])cc1OC(F)F 535076750 STXIWWWJUHCMMS-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CCOc1ccccc1-c1nnc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 536300719 UBNQFJZOXPMVIJ-UHFFFAOYSA-N 424.438 4.991 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@@H](C)C3(CCC3)C2)cc1[N+](=O)[O-])c1ccccn1 540029360 BQEQKVIHPUZXFS-IAGOWNOFSA-N 408.502 4.815 5 20 HJBD CC(C)CN(C(=O)[C@H]1C[C@H](C)O[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452749 GOYHCAYLPGLALL-MUJYYYPQSA-N 417.531 4.911 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1Br 543480569 BSPYVCSBANFECW-GOEBONIOSA-N 424.270 4.556 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1F 543690680 BRLSNXIFUAHEBQ-UHFFFAOYSA-N 414.206 4.690 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1ccc([N+](=O)[O-])cc1Cl 543861403 ODXRKTDCDMXMSU-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD O=C(NCCc1c[nH]c2ncccc12)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544548027 XQJFLJXUYLMAJT-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3-n3cccn3)no2)cc(C(F)(F)F)c1 546371247 LTFIWZCXGZHHGT-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc1 547264719 LBAAOFYFKIKDAI-CQSZACIVSA-N 424.482 4.562 5 20 HJBD CN(C(=O)Cc1csc(-c2cccc(C(F)(F)F)c2)n1)c1cccc([N+](=O)[O-])c1 549796244 ZBHVUFIMDCLRQE-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 554931252 SJWOQXIBAVBNSB-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cn1ccc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc2c1=O 556413453 YJLJBDHTRVJOSQ-UHFFFAOYSA-N 409.829 4.972 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)cn1 561136002 XYHMLAFDIPYBQR-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](CC(F)(F)F)c1ccccc1 564608060 OXQPMKOVGBNAQD-GOSISDBHSA-N 420.391 4.612 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Oc1ccc(-n2c(C)nnc2-c2ccccc2C)cc1 566935796 IJUPPYMJMJLQJR-UHFFFAOYSA-N 418.457 4.776 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cnc3c(c2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 567268221 QYSDTUZEUIPZSY-HNNXBMFYSA-N 417.469 4.689 5 20 HJBD CSc1cccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C)c1[N+](=O)[O-] 568325305 YVSURCTUMLZTHG-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 569286142 LWGJZJDQEKCCOV-SNVBAGLBSA-N 416.833 4.819 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](N[C@@H](c1cncc(Br)c1)C1CC1)CCC2 570668843 JXQZOIOISNAEPV-RTBURBONSA-N 402.292 4.871 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)ccn2)cc1 577437272 VPXVAWHFIBAWRY-INIZCTEOSA-N 421.453 4.601 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1OC 603731244 IWPNGMGBPXPXRJ-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 608965681 CBVMGBYDWWMNKT-GOSISDBHSA-N 420.252 4.597 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccc(Cl)cc2Cl)cc1SC 609125732 CNNCYGQISKPKNU-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2nc(C(C)(C)C)cs2)cc1SC 609303774 GHPIKXYXDPNIDB-SNVBAGLBSA-N 409.533 4.570 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609506676 IVPPYLUPAFIBDL-VQIMIIECSA-N 416.481 4.623 5 20 HJBD Cc1ccc(C[C@H](NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c2ccccn2)cc1 609652896 KERDOAIELUSGKV-QFIPXVFZSA-N 416.481 4.586 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609857027 QZBVBDVDVRTEPO-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610038742 CDDVINMSXFKQRX-UHFFFAOYSA-N 423.282 4.823 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cn2)cc1 610186076 BELPAMOKWQZAQE-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@@H](C(F)(F)F)CC[C@@H]3C)cc2[N+](=O)[O-])CC1 611549492 UNUDUXWIIXARRF-HOCLYGCPSA-N 413.440 4.634 5 20 HJBD O=C(CCc1nnc(-c2cc3ccccc3o2)o1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711006 AYBQIBRKWWTOHR-UHFFFAOYSA-N 412.789 4.616 5 20 HJBD CSc1ccc(C(=O)OCc2ccc(OCc3cccnc3)cc2)cc1[N+](=O)[O-] 733378457 NQMRRDYNXWAXRE-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cn2)c1C 734395013 DLRWWAKBGBPNGA-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 736160019 JNSPBEOXGRLGTG-SECBINFHSA-N 414.201 4.524 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1N1CCCC1 739500859 ZZLMPQRADUTAOE-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1nc(Cc2ccccc2)sc1[C@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] 739592701 XGOBREPLHLBNOB-HNNXBMFYSA-N 412.467 4.634 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 742652263 BEPHWYWICDAIBI-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 744369998 UFQYPYOZEOHGNG-MJGOQNOKSA-N 411.458 4.837 5 20 HJBD CCCc1nc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1ccccc1Cl 748938039 HBDWQRBOBHQDQA-ZDUSSCGKSA-N 414.849 4.699 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 749983883 SNMOPNLHYRSMAL-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD COC(=O)c1cc(C(=O)N(c2ccc3[nH]ccc3c2)[C@@H](C)CC(C)C)cc([N+](=O)[O-])c1 750827374 YPXOJMUMTKJXBU-HNNXBMFYSA-N 423.469 4.944 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])OCc1ccc2ccccc2n1 750911418 ZQGILZIEECKVOA-UHFFFAOYSA-N 419.359 4.707 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc(SC(C)(C)C)cc2)cc1[N+](=O)[O-] 752136018 LGCPNLUCUTZSBN-CQSZACIVSA-N 415.515 4.610 5 20 HJBD C[C@@H](C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 755065276 OYSHYLXFKGQTID-OAHLLOKOSA-N 422.412 4.833 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CCO2)cc1 757525001 JOGCTBVXLIMKLH-ZTNFWEORSA-N 401.484 4.847 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)n2)nc1 762388856 IKOFNOCTYOQMJX-UHFFFAOYSA-N 422.470 4.783 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1OC 764243413 NNSXSXBVQDQWOI-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD CON(C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@H]1CCCOc2ccccc21 764673157 MECZHTISNPMKKZ-INIZCTEOSA-N 410.348 4.531 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNc2cc(Cl)c(C(=O)OC)cc2[N+](=O)[O-])c1 765470755 YBSOTXITPIZBIU-GFCCVEGCSA-N 419.865 4.632 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766222227 GJHQKBZICPRIQZ-GJZGRUSLSA-N 421.453 4.841 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)/C(=C\c1ccc(F)cc1)c1cccs1 769621355 GSLDMWFKPBLKMB-YBEGLDIGSA-N 422.441 4.529 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 769925316 MJIGVFOMKLDPLH-ZDUSSCGKSA-N 414.849 4.714 5 20 HJBD O=C(NCc1ccc(COCC(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770004794 XIJDTFSQKUCHQH-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD O=C(OCc1noc(C2CCCCC2)n1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 773150606 BXLFCWCIXWVRNG-UHFFFAOYSA-N 414.443 4.506 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 774517431 INMNZXHQXPCAQC-OAHLLOKOSA-N 407.511 4.513 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775927672 GTQJRDOJKKBEQB-MEDUHNTESA-N 413.817 4.632 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1CCC[C@H](C(F)(F)F)C1 776140554 ZSGPMMDWJVDONB-DHSIGJKJSA-N 408.376 4.991 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218727 UMTWAIVFOOZQKX-REIYTJMXSA-N 412.486 4.996 5 20 HJBD Cc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)nn1[C@H](C)C1CC1 776860493 KSDQDJDVKCXTGJ-CYBMUJFWSA-N 402.882 4.781 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778053085 XDUXWRCNTCVVNO-UHFFFAOYSA-N 401.394 4.872 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)Cc1cccs1 790473207 RRXYTZYGSXSVIC-UHFFFAOYSA-N 408.838 4.813 5 20 HJBD Cc1ccc([C@H](NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c2ccccn2)cc1 791957502 VMVBWICOMIUVLQ-NRFANRHFSA-N 413.836 4.539 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1csc(-c2cccc([N+](=O)[O-])c2)n1 795481515 NLHRHFOCJWHNGQ-UHFFFAOYSA-N 424.459 4.973 5 20 HJBD Cc1ccc([C@@H](O)c2ccccn2)cc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 808029345 XLKFRWDOAMTFOX-JOCHJYFZSA-N 405.454 4.756 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3sccn23)no1 809473081 JOHQKTPBZKVHMI-NSHDSACASA-N 403.851 4.744 5 20 HJBD COc1cc(C(=O)OCC[C@@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OC 816473311 HGLFDJZSVCEIRL-AWEZNQCLSA-N 413.348 4.505 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2N2CCCCC2)cc1[N+](=O)[O-] 912661668 UWDWJTSTHOKQJI-UHFFFAOYSA-N 418.837 4.707 5 20 HJBD Cc1ccc(OCCCOC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 914900896 OAXONKLZMNEDOZ-UHFFFAOYSA-N 415.489 4.784 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 915602394 FZQDIUBNUKTJJN-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD CC(C)Sc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 919501226 JWQFFHSXOCIDPU-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1 920268715 PMDDHUKEECGTND-OAHLLOKOSA-N 412.467 4.786 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cc2ccccc2s1 921194977 WSKFQACVLPWSOK-UHFFFAOYSA-N 422.466 4.910 5 20 HJBD O=C(NCc1ccc(Oc2ccc(F)cc2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318954698 OFQKWHODLVETFB-UHFFFAOYSA-N 407.401 4.752 5 20 HJBD C[C@H](Nc1ncnc2c(Cl)cc([N+](=O)[O-])cc12)c1ccc(NC(=O)C2CC2)cc1 1327271423 YXWYPTPSOVFZGL-NSHDSACASA-N 411.849 4.713 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@H](c2ccc(Cl)s2)C1 1337058056 SYAQBQYYBXGYRO-LBPRGKRZSA-N 402.259 4.569 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4[nH]3)C2)cc1[N+](=O)[O-] 1337204225 NCYVXLOCIKVINT-MRXNPFEDSA-N 406.486 4.788 5 20 HJBD Cc1noc(-c2ccc(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])cc2)n1 1343293089 SBQCRJBFFMFIQU-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nnc(-c4cc(F)ccc4Br)o3)cc2c1 1346627251 ZRRVTDZYGXXVDL-UHFFFAOYSA-N 404.151 4.960 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](Cc3ccccc3)c3ccccc3)n2)c1 46503175 ZBSVELOYFMSHKW-OAQYLSRUSA-N 400.438 4.718 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@@H](C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53003532 WMYKMCQVIQZOJR-IRXDYDNUSA-N 404.470 4.996 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 64722573 PAGLKKDSLCBDPG-GFCCVEGCSA-N 418.833 4.696 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cc2ccccc2o1 65859753 BAFUTUQMWQZUGJ-QHCPKHFHSA-N 401.422 4.659 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cc2ccncc2)cc1 138354520 MPKFBBLSZYFJPZ-CQSZACIVSA-N 411.845 4.640 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc([N+](=O)[O-])cc2Cl)nnc1[C@H]1CCCNC1 302277030 JDJWTCBIBCVFOU-JTQLQIEISA-N 416.334 4.692 5 20 HJBD COc1c(C(=O)Nc2cc(C(F)(F)F)ccc2Br)cccc1[N+](=O)[O-] 327856686 NQILFBGUSLBSCR-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)COc3ccc([N+](=O)[O-])c(C)c3)c2C(C)C)cc1 432388611 SOSFQRMGNJTSFQ-UHFFFAOYSA-N 408.458 4.538 5 20 HJBD COc1cccc(C(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)c1[N+](=O)[O-] 435867946 QMJFSVOGPNBHQE-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD O=C(N[C@H]1CC[C@H](Cc2ccccc2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 438688671 SVUPSCGRLFKMSA-MSOLQXFVSA-N 414.480 4.962 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cc2ccccc2s1 443390402 UEQJEDREVKFUNM-ZDUSSCGKSA-N 407.451 4.614 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 444080293 IDNPPHJPSGWNQM-OAHLLOKOSA-N 418.468 4.753 5 20 HJBD O=C(NCC1(Cc2ccccc2)CC1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444246031 YNJQQCVGORHNRH-UHFFFAOYSA-N 418.443 4.712 5 20 HJBD Nc1c(C(=O)N[C@H](CC(F)(F)F)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 444434371 JYPIHJIWEFXFPU-GFCCVEGCSA-N 421.297 4.619 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN3CCCC[C@H]3c3ncc(-c4cccc(F)c4)[nH]3)[nH]c2c1 444681935 XUUNRXLCBOJIMV-FQEVSTJZSA-N 420.448 4.728 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 445131298 DBIRNOURLUPTDU-REWPJTCUSA-N 418.880 4.595 5 20 HJBD O=C(Cc1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1)Nc1c(Cl)cccc1Cl 445364232 ICRDYMMCSAZAOC-BQYQJAHWSA-N 419.224 4.636 5 20 HJBD O=C(O)C/C(=C\c1cc(O)ccc1[N+](=O)[O-])c1nc2cc(C(F)(F)F)ccc2s1 448117695 AJDMGQMSQZJQNP-BJMVGYQFSA-N 424.356 4.944 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463166178 XZSKEMLWTJHNLB-ZDUSSCGKSA-N 400.740 4.521 5 20 HJBD C[C@H](N[C@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 467840289 UFFOTCQEKBDJFC-IFXJQAMLSA-N 421.419 4.628 5 20 HJBD C[C@@H](c1nccs1)N1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 468549937 XSRPJEXKRICIPD-HNNXBMFYSA-N 414.556 4.659 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc(OCC(C)C)c(OC)c1 470814839 WIONWOURNZUGIW-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1 473347502 RGPNQLILLKZNIL-UHFFFAOYSA-N 408.817 4.560 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)NCc1nc(C)c(-c2ccc(Cl)cc2)o1 473666421 RCBRSTSRBWWUJZ-UHFFFAOYSA-N 416.821 4.542 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c2cccnc2O1 475907189 FPDCJJJRYPFQDQ-CQSZACIVSA-N 413.499 4.700 5 20 HJBD COc1cc(OCC(=O)Nc2cc(Cl)ccc2-c2ccc(C)o2)ccc1[N+](=O)[O-] 477931287 MTACAYLRQPVBIQ-UHFFFAOYSA-N 416.817 4.843 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C 478791013 FNDXEEIAYUJFMU-HNNXBMFYSA-N 410.499 4.896 5 20 HJBD Cc1ccc([C@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccn2)cc1 480584017 UMDFXAARJVRLPR-QFIPXVFZSA-N 404.470 4.638 5 20 HJBD CC[C@@H](N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 482094338 CXEXZTMJSTYAED-VGOFRKELSA-N 410.518 4.653 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(Oc2ccncc2)c1 482725164 UBXJIYPBYSQCIB-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD Cc1ccccc1-c1nc(C)c(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)s1 483983956 RYESQFAWURBTPJ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD Cc1cc(OCc2nc(-c3ccc(OCc4cccnc4)cc3)no2)ccc1[N+](=O)[O-] 485358882 OMGVXNAFUDAYJW-UHFFFAOYSA-N 418.409 4.506 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)c1[N+](=O)[O-] 485742475 IYRLXJVKGRAQKB-JTQLQIEISA-N 406.414 4.553 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1C 486835376 VWVVRXREROFASY-UHFFFAOYSA-N 416.384 4.505 5 20 HJBD COc1cc(CNc2ccc3scnc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 486904089 RZTDTDHDQXSBSL-UHFFFAOYSA-N 413.377 4.766 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(NC(=O)C(C)(C)C)ccc2F)cc1[N+](=O)[O-] 488035695 PEBQPGWXAJNNNN-ZDUSSCGKSA-N 401.438 4.518 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccnc(Oc2ccccc2F)c1 488939251 MZUJCIIKPQHUKH-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1[C@H]2CC[C@H]1CC(N(C)C(=O)c1ccccc1)C2 492786856 ZRVBMPBQDCLPIJ-IHPCNDPISA-N 407.514 4.732 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccc(F)cc1)C1CCOCC1 494749300 NINAGZVRRFHMOE-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3cnc(C)s3)cc2[N+](=O)[O-])n1 502910001 TZCUSCSGVOCYNS-UHFFFAOYSA-N 420.541 4.548 5 20 HJBD COc1ccc(C[C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 503826219 HBNQEUIGEHPDKR-QFIPXVFZSA-N 421.453 4.718 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(C(N)=O)c(C)c3)cc2[N+](=O)[O-])cc1 504835689 SHYKQENIQYVUNF-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 505343021 DUMNZYQMGRSVMF-GOSISDBHSA-N 403.482 4.957 5 20 HJBD Cc1cc(N2CCC(C(=O)Nc3cccc(Br)c3)CC2)ccc1[N+](=O)[O-] 507030062 NVXYQFIBIUPLTQ-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2ccc([N+](=O)[O-])o2)c(Br)c1 508212069 ORWXBWDKRLEEHP-UHFFFAOYSA-N 419.231 4.694 5 20 HJBD CCc1sc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)nc1-c1ccccc1 515594318 OQVAATNXROLJEP-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccccc2Cc2ccccc2)c(Cl)c1 515626528 MWIVDJOJPUFOBM-UHFFFAOYSA-N 402.859 4.640 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])Nc1ccccc1OC(F)F 516785938 FGPHXSGDVQDXPD-UHFFFAOYSA-N 414.364 4.881 5 20 HJBD CC1CCN(c2ccc(C(=O)NCc3nc(C(C)(C)C)cs3)cc2[N+](=O)[O-])CC1 517841426 MXIOBHNRLCHENP-UHFFFAOYSA-N 416.547 4.515 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc2ccccc2s1 517897451 NKAXGLSLNDGSTD-UHFFFAOYSA-N 420.288 4.548 5 20 HJBD O=[N+]([O-])c1cccc(OC[C@@H](O)CNc2ccc(-c3nc4ccccc4s3)cc2)c1 519202973 IWDSXJGWRMDFAQ-SFHVURJKSA-N 421.478 4.723 5 20 HJBD C[C@@H](NC(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 520003891 CEEZZHCSTFWIGM-MRXNPFEDSA-N 424.501 4.674 5 20 HJBD CCc1noc(-c2ccc(CCNCc3ccc(-c4ccccc4[N+](=O)[O-])o3)cc2)n1 520215212 PIGQFSNHZQTEQT-UHFFFAOYSA-N 418.453 4.800 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(NCc3ccccc3)c(Cl)c2)cc1 521918246 CGLYKVCDWVYAAQ-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD O=c1c(Cl)c(N[C@H]2CCC[C@@H]2c2ccccc2)cnn1-c1ccc([N+](=O)[O-])cc1 521964012 OIBKOCFDPQDXMK-MSOLQXFVSA-N 410.861 4.542 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@@H](C(C)(C)C)CC3)cc2)c(C)c1[N+](=O)[O-] 522521112 WTHYNZODAURGHZ-HXUWFJFHSA-N 412.534 4.745 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cl)c2SCC(F)F)c1 522961423 SVOKNNXDQNAEGZ-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccccc2)Cc2ccccc2)cc1[N+](=O)[O-] 524597979 BFCJIVKZNPFWGW-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD Cc1ccc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cc1NC(=O)Nc1ccccc1 524913838 BLFQLQVNSDOXOU-UHFFFAOYSA-N 416.437 4.750 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@H]1c1cccc(F)c1F 525519465 UMOKIZUYECTISI-UONOGXRCSA-N 401.394 4.739 5 20 HJBD C[C@H](C(=O)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1)c1cccc([N+](=O)[O-])c1 526011738 HZYVZXCZFTXCBF-KKSFZXQISA-N 404.470 4.842 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2cccs2)c1)c1cccc([N+](=O)[O-])c1 532825840 JQGLQAKNIZXZSG-UHFFFAOYSA-N 415.858 4.839 5 20 HJBD CC(C)Cn1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1C(F)F 535419343 CPBNNDFNEFLFEP-UHFFFAOYSA-N 421.429 4.761 5 20 HJBD CCn1c(Cc2ccccc2)nnc1SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535503241 FXBSDVXRQZNQIM-UHFFFAOYSA-N 421.482 4.744 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1cccs1 535671880 LIOJSACYYAJXHX-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 538227689 BWPUMNBBJOUGEE-LSDHHAIUSA-N 415.465 4.509 5 20 HJBD CN(C(=O)[C@H]1C[C@]12CCCc1ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251050 BXPLOHLXVVHTBW-JPYJTQIMSA-N 419.506 4.975 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(C(=O)N(C)C)c1 538558372 AVTRYCKUGJFWLY-UHFFFAOYSA-N 415.515 4.750 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCC(=O)Nc3cc([N+](=O)[O-])ccc3C)cc2)cs1 540351297 GACHTQFXVQETTG-CQSZACIVSA-N 410.499 4.624 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](C[C@H]1CCOC1)c1ccccc1 543153783 NQQYIWYHLCGJJM-PBHICJAKSA-N 420.918 4.624 5 20 HJBD CCCc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n(C)n1 543455548 VVVDCBRNUBPCGM-UHFFFAOYSA-N 402.520 4.815 5 20 HJBD Cc1c(CN[C@H](C)c2nc(-c3ccc(Br)cc3)no2)cccc1[N+](=O)[O-] 543549046 YJUBIOZAVFKZJG-GFCCVEGCSA-N 417.263 4.567 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 544402926 WECURIARQYRWPI-YCRPNKLZSA-N 400.450 4.990 5 20 HJBD Cc1ccc(OC2CCN(C(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)cc1C 544422832 IYXDWRZZWSHXFK-MRXNPFEDSA-N 400.450 4.524 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CCc2nc3cc(Cl)ccc3s2)n1 546948297 JBKJOQUTTUGJKO-UHFFFAOYSA-N 400.847 4.617 5 20 HJBD CCc1cnccc1-c1noc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 547154296 ZHOQAFRBBAIPQH-CQSZACIVSA-N 416.441 4.837 5 20 HJBD Cc1ccc(CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c(OC(C)(C)C)c1 552268348 FZHHFZQJMDFZRN-NRFANRHFSA-N 408.502 4.693 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc([N+](=O)[O-])cc2C)cs1 559252163 YFBNTYSRMYOSJP-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1ccc(-c2nnc3n2CCCCC3)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 568347588 SYQCYSHZNSJAIZ-INIZCTEOSA-N 419.485 4.630 5 20 HJBD C[C@H](C(=O)Nc1ccccc1O[C@@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 579513984 PZMCDEGOVRBKEJ-QWRGUYRKSA-N 400.328 4.806 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1 590662571 RQIRZJWPGMXMPI-OAQYLSRUSA-N 423.513 4.817 5 20 HJBD Cc1c(NC(=O)c2ccccc2OCc2cn3cccc(C)c3n2)cccc1[N+](=O)[O-] 591335567 JFTYRSKVJGVFQM-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1cccc2ccccc12)c1ccccc1[N+](=O)[O-] 603461545 OHJCEJZYUBJKFB-FQEVSTJZSA-N 421.453 4.953 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 603519300 PORSJDCPXIJSCJ-UHFFFAOYSA-N 424.476 4.648 5 20 HJBD O=C(CCc1cccc(Br)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638781 GWQOTGUYIBYZMZ-UHFFFAOYSA-N 415.190 4.530 5 20 HJBD COc1ccc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1Cc1ccccc1 604087055 NTFHMDFSIWPXDT-UHFFFAOYSA-N 421.453 4.525 5 20 HJBD CS[C@H]1CCC[C@@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 609759145 DGAYIXCNTWZRSS-KGLIPLIRSA-N 423.585 4.915 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609860391 SJFPTILMCIPZMK-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c1 609912681 NCYFXRXRXBWEFO-UHFFFAOYSA-N 410.377 4.686 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(COC(C)(C)C)cc2)c([N+](=O)[O-])cc1OC 610624579 IKZAQEFYQADTGQ-UHFFFAOYSA-N 402.447 4.570 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2sc(Br)cc2C1 613188620 JMLUERBDTSUOOB-CYBMUJFWSA-N 423.332 4.572 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ccnc(Cl)c2)n1 726198322 LWVSGPOHQPBVBP-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD CCOc1cc(NC(=O)NC(C)(C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC 728685040 DAFGGTFGENCCJC-UHFFFAOYSA-N 405.426 4.588 5 20 HJBD Cc1cc(C)c(OC(=O)c2cccc(C(=O)N(C)c3ccccc3)c2)c([N+](=O)[O-])c1 729652286 YCNMUVXOMRQBGJ-UHFFFAOYSA-N 404.422 4.707 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])ccn2)CC1 733760510 FLMXALVNMNOWEI-UHFFFAOYSA-N 403.866 4.531 5 20 HJBD Cc1ccc(-c2nc(COC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cs2)o1 735147553 FGGMHPHCUHCXKF-UHFFFAOYSA-N 422.846 4.785 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCCCc1cccc(C(F)(F)F)c1 742396872 HYHJFKVQLUCUTF-UHFFFAOYSA-N 409.364 4.615 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 742890750 WVSHAFSNYSRJMX-XIEDVDOYSA-N 411.458 4.832 5 20 HJBD CN(c1ccc2ccccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 746592412 XKSDRXUEUQZXME-UHFFFAOYSA-N 410.373 4.592 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cccc(Cl)c1[N+](=O)[O-] 749984001 VJARLBAEDWJTEF-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 752384397 HUNNLGVQNLFPAC-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])n1C)c1ncc(-c2ccc(Cl)cc2Cl)o1 753334698 HJAOSCBJOQBLHM-SECBINFHSA-N 410.213 4.813 5 20 HJBD Cc1cc(C(N)=O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754112684 DXLKVLYFRJBMDI-UHFFFAOYSA-N 413.499 4.537 5 20 HJBD O=C(Nc1ccc(F)cc1-n1cccc1)c1cccc([N+](=O)[O-])c1Br 759044707 XQMDBGPBVWIVHZ-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(Cc3cccc4ccccc34)no2)C1 761566344 CSDCMAGPTQCEPW-HXUWFJFHSA-N 400.394 4.588 5 20 HJBD C[C@H](Sc1nc2ccccc2s1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 762584791 FPWVFHQKWIPDDB-ZDUSSCGKSA-N 413.524 4.876 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)OC(C)(C)C 766243122 ZUQGDZOWZYFDFI-WBVHZDCISA-N 420.510 4.511 5 20 HJBD O=C(N1CCC[C@H](c2nc3ccccc3s2)C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778220567 YMEBSMPRRFIGTG-ZDUSSCGKSA-N 417.437 4.703 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780590359 UAXSQOBGRZYARU-UHFFFAOYSA-N 417.490 4.707 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 780637360 MSZBJOCAOATVKZ-UHFFFAOYSA-N 407.511 4.656 5 20 HJBD CCCc1noc2nc(CC)cc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)c12 799875039 JYPKZBKVCHINOM-UHFFFAOYSA-N 416.865 4.572 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-n2cccn2)c(F)c1 818369906 KFDPUTOQBJWITG-JTQLQIEISA-N 423.231 4.717 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 916148821 AXBQJLOVOSAYPT-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD Cc1cccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1NC(=O)c1ccccc1F 918324721 TUDCVACYGDZAMI-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCc3cccc([N+](=O)[O-])c3)ccc2OC)cc1 1318585777 MZONKKKTJZOSTQ-UHFFFAOYSA-N 407.426 4.601 5 20 HJBD CCN(CC)[C@@H](C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 1318868028 BQYUEXUSMIKXMN-LJQANCHMSA-N 421.419 4.539 5 20 HJBD C[C@@H](OC(=O)C1(Sc2ccccc2)CC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1320071087 DTWYXNMOJXFOCK-CYBMUJFWSA-N 411.439 4.574 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)nc2ccccc12 1321707242 XAHYJXIJDHLMFQ-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 11221669 WUNWIFTZILPGIM-UHFFFAOYSA-N 421.453 4.518 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 22793386 VVQOHJQQMQALPH-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(-c3nccs3)c2)cc1[N+](=O)[O-] 47408667 KPUWACLCERNGBH-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)oc1C 54675087 UKALIDWDHXPTPX-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD Cn1c([C@@H](NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2)nc2ccccc21 64372746 DXSVZNZORCGCHB-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD CC(C)[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2[nH]1 64870911 RPJGHWMKXKDDOW-SFHVURJKSA-N 421.482 4.722 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Sc3ccccc3Cl)cc2)c1[N+](=O)[O-] 195697831 ZNDFMMLPFYHTLA-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD COc1cc(CNCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)ccc1C 237257909 HAXJIBZGHIZLOU-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(-c2cccc(F)c2)no1 237575267 PBFCDXDNIPFNCR-ZDUSSCGKSA-N 408.389 4.895 5 20 HJBD CNC(=O)c1ccc(N[C@@H](c2ccc(Cl)cc2)c2ccsc2)c([N+](=O)[O-])c1 301237019 DMFGNWABEMNCAV-SFHVURJKSA-N 401.875 4.871 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1ccc([N+](=O)[O-])cc1Cl 326671612 DRJDGGMCZCIANZ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2oc3ccccc3c2C)cc1[N+](=O)[O-] 409471860 ZZAOFRLVQPDTHA-CQSZACIVSA-N 414.483 4.769 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2c(C)cccc2o1 409594187 LHOUIBURXBVKAX-UHFFFAOYSA-N 413.499 4.909 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(F)c2)C(C)(C)C)cc1SC 427885393 LVXYVULOLBKQJA-GOSISDBHSA-N 406.479 4.982 5 20 HJBD Cc1noc(C[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccccc2)n1 428657089 SOODSPSWZSYSKK-IBGZPJMESA-N 404.426 4.620 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)COc3ccc([N+](=O)[O-])c(C)c3)cc2)cs1 430326354 VGQNWRKSWGSEAT-AWEZNQCLSA-N 411.483 4.591 5 20 HJBD CC(C)(NC(=O)Nc1ccccc1OC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 431396304 LVQCLIXRQVSGCV-SFHVURJKSA-N 413.474 4.600 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCC 431785561 OYPBKWBMVILQBM-HNNXBMFYSA-N 415.490 4.688 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 437019225 NPRDZFCILZKKPR-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2)n1 441109257 FIPVCSYITBZFPJ-UHFFFAOYSA-N 421.457 4.928 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 443961540 QENDZBFQHDDHHR-UHFFFAOYSA-N 416.909 4.651 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccccc2)c2ccc3ccccc3c2)c1[N+](=O)[O-] 444271056 SPUZBDMEPXMVEF-HXUWFJFHSA-N 400.438 4.553 5 20 HJBD COc1cc(SC)ccc1NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-] 447036292 DNPNSSRYCBDLGA-UHFFFAOYSA-N 414.430 4.590 5 20 HJBD Cc1cc2c(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)ncnc2s1 447332620 GXGOEOMUNLPMCL-VIFPVBQESA-N 408.892 4.681 5 20 HJBD C[C@H](O)C[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccccc1 447672248 PDIKTWXTKYTGDN-AAEUAGOBSA-N 419.350 4.611 5 20 HJBD CC[C@@H](CNC(=O)c1cc(C)ccc1[N+](=O)[O-])Cc1cccc(Br)c1 460147644 JDLOKFUCFLYXBL-CQSZACIVSA-N 405.292 4.664 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)cc(Br)cc1F 462614404 MARRWAGBZWTJEA-LLVKDONJSA-N 413.218 4.843 5 20 HJBD CC(C)(C(=O)Nc1cccc([N+](=O)[O-])c1)c1csc(-c2cc(F)ccc2F)n1 463126861 MEPNWQPWUDDLSC-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD Cn1ccnc1Sc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463336193 SDMVAIYTICVVQB-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1C 467147426 BYGAJJPXSGLSJZ-UHFFFAOYSA-N 404.854 4.617 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC2CC2)c(F)c1 469448473 PSFNBIICXGVUFH-GFCCVEGCSA-N 406.841 4.596 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)C(F)(F)F)c1 482050214 STQKWAOYZNSFKR-SFHVURJKSA-N 407.392 4.881 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)cn2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 484290286 LKPGTHHRMCZUTN-UHFFFAOYSA-N 417.780 4.836 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486092647 FXQKVIKZJXIERO-OALUTQOASA-N 404.388 4.908 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 487979072 HXHXMENOMPFKQB-JCURWCKSSA-N 403.276 4.647 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489879523 DJBHODMLCSHZHC-HZPDHXFCSA-N 415.490 4.822 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494220083 OBZMNNYFFWDWBD-UHFFFAOYSA-N 410.474 4.776 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3[nH]ccc3c2)cc1[N+](=O)[O-])c1ccccn1 497877048 URBUEFBFLDNVSB-CQSZACIVSA-N 401.426 4.897 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(OCC(F)F)c1 503006306 WIFHHUKKIBBRIW-UHFFFAOYSA-N 410.442 4.671 5 20 HJBD CCSc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 504167542 TTXXZIFVKPVFAV-UHFFFAOYSA-N 400.378 4.900 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c(C)c(OC)c1 504264824 KIANEMDNUGIEQQ-UHFFFAOYSA-N 424.403 4.537 5 20 HJBD CC[C@H]1C[C@@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 504531314 ARNKSXMCHZMWLL-HOTGVXAUSA-N 417.531 4.913 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 506688156 HLNWZISKXGIZTC-JTQLQIEISA-N 402.344 4.676 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CCc4c(Cl)cccc43)cc2)c(C)c1[N+](=O)[O-] 509125898 SKLFGCHYYAZEPP-HXUWFJFHSA-N 424.888 4.527 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2cccnc2)n1C1CC1 511053640 WENJXECHHDFOBN-UHFFFAOYSA-N 411.487 4.663 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 517540986 UQUQKHULBXTTAV-OAQYLSRUSA-N 404.495 4.936 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 517625487 VEZMTZGKZQLGSL-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD O=C(NCCc1cc(Br)ccc1F)Nc1ccc([N+](=O)[O-])cc1Cl 518917677 GOFLPLXNSASYBN-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD COc1ccccc1Oc1ccc(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cc1 521119045 VDZRBNNBSJVOTN-UHFFFAOYSA-N 419.437 4.893 5 20 HJBD CC(C)(C(=O)Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])cc1 523494280 XDFYIPUXAKONOW-UHFFFAOYSA-N 414.527 4.923 5 20 HJBD O=[N+]([O-])c1ccc(N2CCN([C@H](c3ccccc3)c3ccccc3Cl)CC2)nc1 524161823 WQYOYRMZECGHLW-JOCHJYFZSA-N 408.889 4.555 5 20 HJBD Cc1csc(N(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)n1 524834570 PPLZOAKOJPKKPL-UHFFFAOYSA-N 417.434 4.666 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC3(c4cccc(Cl)c4)CC3)cc2)c(C)c1[N+](=O)[O-] 525358584 ZVEIPYVHUKPEAM-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525796810 OQUKATUWZUTSQH-PMACEKPBSA-N 404.470 4.579 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCN(c4cccc(Cl)c4)C3)cs2)c1 531191417 YJBQDDHRDBUYJH-MRXNPFEDSA-N 414.918 4.740 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cc3ccccc3)ccc2O)cc1OC 534711013 UEZPFJFONGRRQA-UHFFFAOYSA-N 422.437 4.551 5 20 HJBD Cc1cc(N2CCO[C@@H](c3ccc(OC(F)F)cc3)C2)c2cccc([N+](=O)[O-])c2n1 539550109 VHHKQAZSCDOWRM-LJQANCHMSA-N 415.396 4.631 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1c(Cl)cccc1[N+](=O)[O-] 540058830 OYYFFVHAOPXVSI-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1Br)c1ccc([N+](=O)[O-])cc1F 543457633 PXVHLWWPTZPZST-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543459370 RWOFCSXWKSDBQB-UHOSZYNNSA-N 404.532 4.565 5 20 HJBD Cc1ccc(NC(=O)N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 543913873 KTVOPBIILOBUPG-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 544047936 AANLJDOMPRGRKZ-UHFFFAOYSA-N 414.874 4.744 5 20 HJBD C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 545422110 RNZUHGUMTWQSFS-CQSZACIVSA-N 400.862 4.648 5 20 HJBD C[C@H](Cc1ccc(Br)cc1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 545528560 UCYDNVKQXFHILR-GFCCVEGCSA-N 402.248 4.677 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(Cc4nc5cc(Cl)ccc5s4)no3)c[nH]c2c1 545594638 HURYULNEVMAEJA-UHFFFAOYSA-N 411.830 4.980 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc(-c2cc(Br)c(C)c([N+](=O)[O-])c2)n1 545802821 BWEYYZYDXQKSSV-OAHLLOKOSA-N 422.210 4.591 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(-c3cnc(-c4ccccc4)nc3)no2)c1 547082771 CPNLABBOMQQOII-UHFFFAOYSA-N 424.214 4.531 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3cc4ccccc4o3)no2)c(Br)c1 551179158 UZEUXNAZZWDHHO-UHFFFAOYSA-N 400.188 4.744 5 20 HJBD Cc1nc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1-c1ccccc1 555111168 KJAFOSIYTQWTTJ-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CN1CCC(Oc2ccc(CNCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)cc2)CC1 560070034 JMPZBPUYJBNXDQ-UHFFFAOYSA-N 421.497 4.618 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2C(F)(F)F)cc([N+](=O)[O-])c1OC 568127840 UUZJJWFHEPLQID-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD CC(C)c1nc(Cc2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cs1 576620971 LYMQODXLPOPLEA-UHFFFAOYSA-N 402.479 4.902 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N(C)Cc2sccc2C)c([N+](=O)[O-])c1 600028665 DGNKUBIVUNGQEQ-UHFFFAOYSA-N 424.478 4.619 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nnc(SCc2ccc(Cl)cc2)s1 600856634 PBTZIGJNMCKHHY-UHFFFAOYSA-N 420.903 4.573 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccnn3[C@@H](C)CC(C)C)cc2)c(C)c1[N+](=O)[O-] 603960616 LQACSNAXFHWZFF-HNNXBMFYSA-N 424.505 4.512 5 20 HJBD O=C(c1[nH]c2ccc(Br)cc2c1Cl)N1CCc2ccc([N+](=O)[O-])cc21 604136689 FTAKWXMEYZVYBD-UHFFFAOYSA-N 420.650 4.695 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c(OC)c1)C(c1ccccc1)c1ccccc1 604456641 HLSHKNXGEVHYOI-UHFFFAOYSA-N 420.465 4.620 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cc(Cl)ccc1SC(C)C 609221055 MKFGGIKLPGMPAC-UHFFFAOYSA-N 409.895 4.808 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 609853567 NAKWJWAYURVUOS-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD CC(C)(C(=O)NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc([N+](=O)[O-])cc1 610063922 NEPSNRBYLCKARS-UHFFFAOYSA-N 414.465 4.732 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ncc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 610247099 VJNSLRKFJVZKHV-JTQLQIEISA-N 403.851 4.525 5 20 HJBD Cc1cc(C)c(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(Cl)c1 610319049 HLVVFXGZDXTNHH-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1C 612031622 BAHCQMQEIKKWRN-UHFFFAOYSA-N 407.879 4.554 5 20 HJBD CCOc1ccc2c(c1)[C@H](NCc1ccc(-c3ccc(OC)cc3[N+](=O)[O-])o1)CCO2 614101002 IQEOQACNXYTXDY-HXUWFJFHSA-N 424.453 4.876 5 20 HJBD COc1c(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)cccc1[N+](=O)[O-] 619435641 RPJCLRLWMAHYCY-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 729657445 IGTWJNFQXRTTEV-UHFFFAOYSA-N 400.435 4.626 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc(N(C)C)cc1 735308397 VYDJOMKVUMBFHF-MRXNPFEDSA-N 420.469 4.892 5 20 HJBD O=C(OCc1cc(Cl)cc2cccnc12)c1ccc([N+](=O)[O-])cc1Br 740411400 ZVVWTTMPZCHVMZ-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD CS[C@@H]1CC[C@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 742383247 LBDZFRLDEPGKIF-GHTZIAJQSA-N 408.527 4.821 5 20 HJBD O=C(Oc1ccc(OC(F)(F)F)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 744333491 WRTFOUDTARRSLN-UHFFFAOYSA-N 410.329 4.836 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1ccncc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747220412 FHONSKKVMZJDAO-SFHVURJKSA-N 402.328 4.955 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)c1ccc2c(c1)CCC2 747961881 LTVXYFQPCBUCQF-ZDUSSCGKSA-N 422.462 4.635 5 20 HJBD Cc1c(C(=O)N2CC[C@@H](C)Sc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748612324 MXKJDSFFZGIEPL-LLVKDONJSA-N 401.444 4.651 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 751272918 SLSBORUONPTZDF-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD C[C@@H](C(=O)Oc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 754138121 ZUCPENDZLYCVCA-CQSZACIVSA-N 408.385 4.695 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755068269 UBOXPWFQZXQXNH-ZIAGYGMSSA-N 416.449 4.533 5 20 HJBD Cc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c(Br)n1 756620035 ZMDKYPJKORCTBM-UHFFFAOYSA-N 424.682 4.771 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cnc(Cl)c(Br)c2)cc1[N+](=O)[O-] 767901112 AOBOSQDMRWOKCY-VIFPVBQESA-N 413.659 4.597 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)C[C@@H]1CCCO[C@@H]1c1ccccc1 769211689 LMBWBUMBCVLTGC-FXAWDEMLSA-N 419.319 4.957 5 20 HJBD O=C(CCCOc1ccc(F)cc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135826 SDJNAMGDCWFWFL-QFIPXVFZSA-N 410.401 4.621 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(Cc3ccc(F)cc3)s2)ccc1Br 777515131 HBVAFIRXHBYKCH-UHFFFAOYSA-N 423.267 4.551 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)CC2)n1 778269548 NBGXHCOCKFQGKN-UHFFFAOYSA-N 423.485 4.847 5 20 HJBD O=C(OCc1coc(-c2cccs2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259686 MSEDGRWHOOWIGL-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 782678199 ZUGBCKYZGWWCLI-UHFFFAOYSA-N 421.409 4.604 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(N2CCCCC2)c1 785742182 OKVSMLANXYUNOE-UHFFFAOYSA-N 422.407 4.926 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790904858 KHGIBBRBBBUPBS-QGZVFWFLSA-N 406.841 4.692 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 804196862 BRBZPRIWHWKZKM-LBPRGKRZSA-N 414.330 4.912 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccccc21 811709177 OYMHQUDMMXXPBO-UHFFFAOYSA-N 414.487 4.553 5 20 HJBD Cc1c(OC(=O)C2CCN(c3noc4ccc(Cl)cc34)CC2)cccc1[N+](=O)[O-] 815914181 RABCRVZXJGLWPH-UHFFFAOYSA-N 415.833 4.520 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1Cc2ccc(Br)cc2C1 816123314 CBJQLZYXNLARSA-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD Cc1ccc(CNc2cccc(Oc3ncc(Br)cn3)c2)cc1[N+](=O)[O-] 864009472 VDUBGPCGFOYWBZ-UHFFFAOYSA-N 415.247 4.860 5 20 HJBD O=c1nc(/C(Cl)=C/c2csc([N+](=O)[O-])c2)[nH]c2cc(C(F)(F)F)ccc12 917142546 HSTMHITXLGKMCK-KMKOMSMNSA-N 401.753 4.649 5 20 HJBD O=[N+]([O-])c1ccc2c(cnn2CN2CCC[C@@H]2c2cccc(Br)c2)c1 917483296 PWYXBUAGVPJYMT-QGZVFWFLSA-N 401.264 4.502 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 918110849 VIMZPHPPDLDZJK-UHFFFAOYSA-N 421.419 4.876 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1F 1318213384 BLWTXMQDEOASEX-UHFFFAOYSA-N 411.336 4.611 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)cc1Cl 2664573 GMVAHCAKGHLVDY-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)C1CCCC1 5507582 JZXRQSLZPLLUFU-GOSISDBHSA-N 402.834 4.661 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1 6336484 QLNBKLNSUMZPJT-UHFFFAOYSA-N 401.488 4.944 5 20 HJBD CC(C)[C@@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1 11509204 UZKAEEFVOFWBLZ-GOSISDBHSA-N 401.513 4.810 5 20 HJBD Cc1ccc(NC(=O)c2cc(-c3ccc(F)cc3)nc3onc(C)c23)cc1[N+](=O)[O-] 14207114 IXOXKHZRAPCNPN-UHFFFAOYSA-N 406.373 4.806 5 20 HJBD C[C@H](OC(=O)Cc1ccc2ccccc2c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17726656 JDHNWYZSWFCZJE-AWEZNQCLSA-N 403.394 4.645 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52927407 FYSBPEUZUJCPNW-HNNXBMFYSA-N 406.442 4.814 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 55390973 NRVYGFMTLXXFEE-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccccc1)c1ccccn1 64898792 ZXAMZNZVOJBMKN-UHFFFAOYSA-N 416.462 4.960 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)cc([N+](=O)[O-])c1 195694557 SYOGHZFBYYWZNR-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD COC(=O)c1cnc(Oc2cc(Cl)c(Br)cc2Cl)c([N+](=O)[O-])c1 302778306 GYDLAJHENFWNMM-UHFFFAOYSA-N 422.018 4.638 5 20 HJBD CC(C)(NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(F)c(F)c1 426261300 PSNZDVGBDCVSBR-UHFFFAOYSA-N 417.334 4.626 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426284384 XDIHZFNPLJCGOI-JTQLQIEISA-N 417.334 4.794 5 20 HJBD CC[C@@]1(CO)CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 428524877 XFKBKWTWPDATPJ-OAQYLSRUSA-N 418.877 4.665 5 20 HJBD O=[N+]([O-])c1ccc(Sc2cccs2)c(NCc2nnc3n2CCCCC3)c1 432709486 SEJDQPOKOJOJFW-UHFFFAOYSA-N 401.517 4.738 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCOCC(F)(F)C(F)F)o2)c(Cl)c1 434788293 UGBBEEBPDUVPPJ-UHFFFAOYSA-N 410.751 4.515 5 20 HJBD CCOc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1OC 435822003 KVVVMCPKBUSXLA-UHFFFAOYSA-N 424.403 4.619 5 20 HJBD Cc1cccc2[nH]cc(CCNC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c12 436323065 PUJMADHOZBCOBH-UHFFFAOYSA-N 413.452 4.583 5 20 HJBD CC[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(C)c1 444166170 JXVYHOCWQRIPPP-INIZCTEOSA-N 418.468 4.716 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])nn1C(C)(C)C 446434830 PMHVWDXEXUGQJJ-UHFFFAOYSA-N 408.458 4.686 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1sc(Br)cc1[N+](=O)[O-] 447316592 ZFOFANAYQDWKNZ-UHFFFAOYSA-N 418.681 4.934 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)c1 462844242 YEPWVQMWWBKAJT-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)c1ccc(F)c(Br)c1 463133791 ZTLXYWVZEJWIQQ-SNVBAGLBSA-N 411.227 4.637 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCN(C(=O)Nc2cccc(C(F)(F)F)c2)CC1 463207800 VCYWFETYBIIGTH-CQSZACIVSA-N 422.407 4.524 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463625381 QWRXVOITUVYSCW-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H]1C[C@H](c2ccccc2F)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 464284179 AAWRINFWYJIOKT-SUMWQHHRSA-N 406.841 4.766 5 20 HJBD O=C(c1ccccc1OC1CCCCC1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634145 RVMHRIMJXHWATK-UHFFFAOYSA-N 424.497 4.990 5 20 HJBD COc1ccc(CNC(=O)N(Cc2c(F)cccc2F)C2CCCC2)cc1[N+](=O)[O-] 465712787 CWQXTYJRCBDQAA-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1OCC 466570871 YDHIVWTVRSOQQO-CYBMUJFWSA-N 421.881 4.606 5 20 HJBD COc1cc(COc2ccc(C(C)=O)cc2Cl)c([N+](=O)[O-])cc1OCC(F)F 468983938 OZCOGRXAVLKELR-UHFFFAOYSA-N 415.776 4.682 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)c(C(=O)N(C)C(C)C)c1 470448687 TYMMGKAESKGKPF-GOSISDBHSA-N 411.502 4.591 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3ccco3)cc2F)c([N+](=O)[O-])cc1OC 470542035 MUVNYXMHAWXWCL-UHFFFAOYSA-N 400.362 4.654 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 476129968 BLLOLXLPFLDZJC-SJLPKXTDSA-N 424.284 4.640 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 478243132 YQXHWQNKHZIJCS-UONOGXRCSA-N 416.302 4.501 5 20 HJBD COC(=O)c1ccc(-c2ccc(CNCc3ccc([N+](=O)[O-])cc3Cl)o2)cc1 482502314 KNRWMCRRDAXNGF-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)cc2)n[nH]1 488668374 MXVUJWCHCBQIMZ-UHFFFAOYSA-N 411.487 4.689 5 20 HJBD C[C@H](NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cccc([N+](=O)[O-])c1 490797961 PBFGASZEXGZFHV-GTNSWQLSSA-N 406.364 4.740 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498514771 PXHMHEHUZKHZKD-HNNXBMFYSA-N 401.894 4.684 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2scnc2c1 505941348 AMACIURJJCATGZ-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc(-c2ccco2)n1 507302761 NMBNZEWRDAFXNC-UHFFFAOYSA-N 403.485 4.743 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 509311619 TWKJYFPVSWTAIC-LBPRGKRZSA-N 416.275 4.590 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])CC(=O)Nc1ccccc1Oc1ccccc1 511110167 WPCFFLCIEIRXJA-UHFFFAOYSA-N 405.454 4.500 5 20 HJBD COc1cc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)ccc1NC(C)=O 513055066 WVODYYHSAVXHFY-UHFFFAOYSA-N 420.469 4.942 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CN1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 513105977 FOKJBTXULUPMTN-VDNIUXDYSA-N 408.433 4.677 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](c3c[nH]c4ccccc34)C(F)(F)F)n2)c1 513163772 BWKJUOPXFLOCFI-QGZVFWFLSA-N 417.347 4.519 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(OC(F)(F)[C@H](F)C(F)(F)F)cc2)cc1 513642815 LFUZOZMNUHPMKT-OAHLLOKOSA-N 408.298 4.757 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c2ccccc2O1 520367388 TYOMYEHSCGHFGA-INIZCTEOSA-N 403.438 4.635 5 20 HJBD C/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC(=O)OC)cc1 521940471 PKOOJIDODSCEPD-ARJAWSKDSA-N 400.456 4.535 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)Cc3ccc(C(N)=O)cc3[N+](=O)[O-])s2)cc1 532966696 KVWOMPVHKAQLBI-OAHLLOKOSA-N 424.526 4.627 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2cn3cccc(C)c3n2)cc1 537212597 RVBBINQDGOSPNU-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD CN(C(=O)c1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1)c1ccccc1 544933898 BOHVYNHGYHLMFI-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD CC(F)(F)c1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 551034334 QBTXSYUYWGCDJJ-UHFFFAOYSA-N 402.319 4.911 5 20 HJBD C[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 551825381 GAYZYHPLKJPUNC-XIKOKIGWSA-N 408.376 4.589 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc1OC 552727750 MRZTZYQIBBFGKG-UHFFFAOYSA-N 423.397 4.802 5 20 HJBD O=[N+]([O-])c1cnc(N[C@@H](CCO)c2ccc(Cl)c(Cl)c2)c(Br)c1 557735843 SOKNDGHVRKQDGX-ZDUSSCGKSA-N 421.078 4.595 5 20 HJBD CC(C)(C)[C@H](CCO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 558575648 SNZAWJLIUHNHNA-KRWDZBQOSA-N 411.296 4.504 5 20 HJBD C[C@@H](C(=O)Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1cccc([N+](=O)[O-])c1 559425396 YIIIUFDDVFFEJK-FZKQIMNGSA-N 408.483 4.657 5 20 HJBD Cc1sc(NC(=O)COc2cccc([N+](=O)[O-])c2)nc1-c1cccc(Cl)c1 560332367 AAMACARTZWYUAV-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2cc(Cl)ccc2O[C@@H]2CCOC2)no1 562236130 BKJVXDNROVAKAE-SBJJXXPASA-N 413.817 4.636 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(CCc3ccccc3O2)C1 563922367 MEDNNJWZANRUBM-QFIPXVFZSA-N 412.511 4.705 5 20 HJBD C[C@@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 568448544 PLZNKIWOKFVEOM-OAHLLOKOSA-N 407.474 4.681 5 20 HJBD Cc1ccc(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c(OC(C)(C)C)c1 568671663 JSAYDJYEXPEDMB-NRFANRHFSA-N 408.502 4.693 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(C)cc2C)no1 574365675 YERGRGUVZDZNSW-KRWDZBQOSA-N 408.458 4.512 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(F)c2ccccc12 583401975 KGCGZZOBFSGUPZ-AWEZNQCLSA-N 418.428 4.779 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c3ncccc23)c([N+](=O)[O-])cc1OC(F)F 603447461 QWPUUCTXGXUKOF-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD Cc1ccc2nc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])sc2c1 603727126 OIAWZWDWRIPESM-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD Cc1cccc2sc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])nc12 603730929 RCEWCGAJRNTIEA-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3ccc(Cl)cc3[N+](=O)[O-])cn2)cc1 603979276 OCWYFCALNULSRE-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCOc1ccc([C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OCC 604102419 XJHDSAXYEOMIMA-NRFANRHFSA-N 415.490 4.589 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc(NC)c([N+](=O)[O-])c2)cc1 609577322 UKAYLHMIWZWWBX-HSZRJFAPSA-N 418.497 4.846 5 20 HJBD CC(=O)c1cccc(O[C@@H](C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609761752 HNFSRHCAMPPYPK-INIZCTEOSA-N 420.421 4.996 5 20 HJBD CCc1nc2ccc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)cc2nc1CC 610234034 ZOUBEYKXSBZTIO-JOCHJYFZSA-N 422.510 4.551 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CCCN(c3nc4ccccc4s3)C2)n1 612935433 NANLJDFYZPTWKN-AWEZNQCLSA-N 421.482 4.947 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3nc4c(s3)C[C@@H](c3ccccc3)CC4)c2c1 613430320 JEWNLLCFCVOYGX-HNNXBMFYSA-N 417.494 4.879 5 20 HJBD Cc1cc(N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)c(Br)cc1[N+](=O)[O-] 616059960 FSMWQLZTNNNAQO-YUMQZZPRSA-N 421.135 4.843 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3cccc(Br)c3)no2)c(F)c1 618964560 WXTGVYNPBKCEHK-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CSc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 728167656 WVWTYMGTVUOZRJ-UHFFFAOYSA-N 421.862 4.935 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 731537068 ZSXNIQXYHFBIOF-LJQANCHMSA-N 403.866 4.534 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])cc1)c1ccccc1 731625037 LBMJXDOHLPMILX-MRXNPFEDSA-N 419.437 4.598 5 20 HJBD Cc1ccc(-c2nc(COC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])co2)cc1 735150095 XMHOETQWTNJNKK-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445064 DXVYGQSXTNGWDK-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)c2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 745311199 SKSKUEDLTWCKJK-WCQYABFASA-N 424.375 4.596 5 20 HJBD C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ncc(C(C)(C)C)o1 747688004 MNDNYDKSMQAVAU-OAHLLOKOSA-N 404.466 4.745 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754982232 GWQDSFUSEVJXTM-UHFFFAOYSA-N 408.813 4.580 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl 757228376 DIRHILAAGLISIJ-UHFFFAOYSA-N 418.881 4.863 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1Br 758036417 XWWIITOWYQQTLJ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1nn(CCc2nc(-c3ccc(SC(C)(C)C)cc3)no2)c(C)c1[N+](=O)[O-] 762349838 WBGOYXCGZXQYNC-UHFFFAOYSA-N 401.492 4.591 5 20 HJBD C[C@@]1(c2noc(-c3ccc(NCc4ccccc4Cl)c([N+](=O)[O-])c3)n2)CCCO1 763332083 HMXDBBUBTRFNBB-FQEVSTJZSA-N 414.849 4.936 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 778599720 YSCAMSQVXOIQMN-GJZGRUSLSA-N 407.511 4.512 5 20 HJBD O=[N+]([O-])c1ccc(CCc2nc(-c3c(Cl)cccc3Cl)no2)c([N+](=O)[O-])c1 781610278 SBMVTWPHISRHBD-UHFFFAOYSA-N 409.185 4.645 5 20 HJBD CC(C)(C)c1ncc(/C=C\C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 785382258 WRAZLFLJFKESRX-PLNGDYQASA-N 413.421 4.697 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 792121380 VYVTVULZIURYDA-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(-c3ccccc3F)o2)ccc1Br 800830447 WVTNQHKPZPTCOA-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cs2)cc1 803458577 JENWTKPVGCCXRN-UHFFFAOYSA-N 416.430 4.743 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@H](O)c1ccccc1 804413552 UADVKFJAQZUCNL-NRFANRHFSA-N 410.857 4.624 5 20 HJBD COc1ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1OC1CCCC1 806196001 IPFHLDDLCMTBFM-UHFFFAOYSA-N 413.474 4.631 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809919527 BHGBCQQIJQMVBU-UHFFFAOYSA-N 414.245 4.961 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])nc1 810669114 LWRCKVJCRLCYAP-KRWDZBQOSA-N 421.291 4.921 5 20 HJBD Cc1nc2ccc(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2nc1C 811218833 LXZXVGOERODZGI-UHFFFAOYSA-N 420.450 4.635 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1nc(C2CC2)n(-c2ccccc2Cl)n1 813243869 CDMPZKKBECQDAH-UHFFFAOYSA-N 418.240 4.612 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1F 913225864 MEEGOCRHYMYOPF-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD CC(C)(C)c1nc(COC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cs1 917258351 QLABVUKBNYEPJF-UHFFFAOYSA-N 418.393 4.647 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)OCc1cccc([N+](=O)[O-])c1C 917272947 FENAENJIOMFUCP-UHFFFAOYSA-N 413.861 4.645 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)ccc1F 919210198 GTPZITPHOAHFJN-NSHDSACASA-N 411.345 4.757 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2n[nH]c(C(C)C)c2Br)n1 1116613087 WQIKWPGIFJSOHW-UHFFFAOYSA-N 406.240 4.538 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nnc(-c3ccc(COc4ccccc4)o3)o2)cc1 1118357286 RLDDHMZZDRIOTM-UHFFFAOYSA-N 413.336 4.957 5 20 HJBD COc1cccc(NCc2c(Br)cccc2[N+](=O)[O-])c1Br 1120244575 VMXGKIZCUCZWHW-UHFFFAOYSA-N 416.069 4.741 5 20 HJBD Cc1ccc([C@H](NCc2ccc([N+](=O)[O-])cc2Br)c2ccccn2)cc1 1321460065 DRIFUGFICOHSJR-FQEVSTJZSA-N 412.287 4.940 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@@H]3CCCC[C@@]32c2ccccc2)c(C)c([N+](=O)[O-])c1 1321884555 VLENBNBJHBBCIW-FDDCHVKYSA-N 423.469 4.623 5 20 HJBD Cc1cc(-c2nnc(CCOc3ccc(Cl)cc3[N+](=O)[O-])o2)c2ccccc2n1 1349934322 FAXHZVQQXQHZGJ-UHFFFAOYSA-N 410.817 4.776 5 20 HJBD COc1ccc2cc(CN(C)C(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)ccc2c1 18212959 JERJAGCNPIDIOB-UHFFFAOYSA-N 419.481 4.629 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc12 18234540 CPJQIGVHBZXEHR-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD CC(=O)N(c1cccc(Cl)c1)c1nc(COc2cccc([N+](=O)[O-])c2)cs1 21712715 WDGWHSXCVQFJAM-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 23926833 DYPCTIUNIVGINW-UHFFFAOYSA-N 415.540 4.926 5 20 HJBD CC[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 23988989 ODFPTPVVLKFWES-CHWSQXEVSA-N 420.849 4.501 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(F)cc2)C1 29117133 SVYAQRLLTXCFCW-QFIPXVFZSA-N 421.428 4.691 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 58271056 VOQIOQQFAGWQNA-UHFFFAOYSA-N 413.817 4.721 5 20 HJBD Cc1c(CNCc2ccc(Br)c(Br)c2)cccc1[N+](=O)[O-] 231884746 XOMMHMDLBJJZMP-UHFFFAOYSA-N 414.097 4.718 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)C1CC1 237434901 RYVTWTUCTXKFRX-LLVKDONJSA-N 422.359 4.678 5 20 HJBD O=C(Nc1ccc(CNc2cc(Br)ccc2[N+](=O)[O-])cc1)c1ccco1 301657227 IEMDJOHOIYBLRA-UHFFFAOYSA-N 416.231 4.815 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccc(Oc2cccc(F)c2)nc1 301707493 WFPHXEOOKFMQJB-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccccn1 301891277 UUPLCSXPNLQHMK-IBGZPJMESA-N 414.259 4.962 5 20 HJBD CC(C)N(Cc1cccc(F)c1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426282240 RBWCFAYPVLDIHG-UHFFFAOYSA-N 413.371 4.873 5 20 HJBD CC(C)(c1nc(-c2ccc(OCc3cccnc3)cc2)no1)c1ccccc1[N+](=O)[O-] 427627277 YYDXGDJSDDQYNQ-UHFFFAOYSA-N 416.437 4.945 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(C(=O)O)(c2ccccc2)CC1 431208056 IYSZKRFOYFLKJB-UHFFFAOYSA-N 410.473 4.647 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 434081734 STWXCZLLNCHTHC-UHFFFAOYSA-N 421.457 4.965 5 20 HJBD COc1cccc(C(=O)N[C@H](c2ccc(Br)cc2)C2CCC2)c1[N+](=O)[O-] 435570228 VQVMQJUIIZBBSA-KRWDZBQOSA-N 419.275 4.637 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 437117092 PZYCNZFQLBJXKT-CHWSQXEVSA-N 422.494 4.838 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccc(Br)cc1 437319299 DMKPCPAUNASNJW-MZPVMMEZSA-N 421.316 4.510 5 20 HJBD Cc1nc2ccc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)cc2s1 437596577 ZMGDXFDJFPNZTB-UHFFFAOYSA-N 412.471 4.588 5 20 HJBD C[C@@H]1CN(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)CC[C@H]1c1ccccc1 437811630 RXTYRYTVCWUVHC-CZUORRHYSA-N 405.401 4.854 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)c1ccccn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444298654 OYTCTVXYYZEJSG-XMMPIXPASA-N 423.447 4.735 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1 445273118 GZVCWUBTUMKKKU-ZDUSSCGKSA-N 419.441 4.821 5 20 HJBD CNC(=O)c1ccc(N2CC[C@@H](C)[C@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 446879226 LHVIXZVSEFLWPN-XIKOKIGWSA-N 407.392 4.561 5 20 HJBD CCOc1ccc(C2(CN[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)CCOCC2)cc1 447534865 AMWYZLHPFJWMGJ-HSZRJFAPSA-N 410.514 4.709 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@H]1C[C@@]12CCOC2 460764093 WGKDSSPMCQFSCG-DNVCBOLYSA-N 421.375 4.722 5 20 HJBD COc1cccc([C@H](Nc2ccc(C(N)=O)cc2[N+](=O)[O-])c2ccccc2Cl)c1 462888364 FRIXKPAOPJETTG-FQEVSTJZSA-N 411.845 4.557 5 20 HJBD Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)cc1NC(=O)c1ccco1 463134702 LTIMKFAZJNTESU-UHFFFAOYSA-N 416.393 4.549 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463322495 YNUBRMJPEIYJSS-UHFFFAOYSA-N 408.332 4.907 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1OC 463957896 KQNJXJPIPBFJMO-UHFFFAOYSA-N 407.854 4.594 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2)c1C(F)(F)F 464710323 KRTXFWKFHZQRAS-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467211048 GYBOGBDRWZGUEH-UHFFFAOYSA-N 402.665 4.897 5 20 HJBD COc1cc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 467655439 MLKSLKAKYMUXFT-UHFFFAOYSA-N 401.463 4.535 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@@H](C)c2ccccc2[N+](=O)[O-])CCOCC1 467834887 NPXQDJJMHRAOJE-HNNXBMFYSA-N 404.894 4.656 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1c[nH]nc1-c1ccccc1F 469217336 LFRGVKXFCWWEHN-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCCC[C@@H]1c1nc2ccccc2o1 475218298 GECLZVJTRGSHTO-GOSISDBHSA-N 406.442 4.678 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CCc1ccccc1)C(F)(F)F 479680673 XRGMVAVIKQGKJG-SUMWQHHRSA-N 412.433 4.755 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NC1CCN(Cc2ccc(Cl)c(Cl)c2)CC1 480015926 PYWMJQUIILTNEE-UHFFFAOYSA-N 412.321 4.503 5 20 HJBD Cc1ccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)cc1NC(=O)c1ccccc1F 481487182 APFFELRCKFJRMS-UHFFFAOYSA-N 421.428 4.533 5 20 HJBD Cc1csc(N(C(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)c2ccccc2)n1 484565492 PCKLMMMWQCZHMS-UHFFFAOYSA-N 405.439 4.524 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1Cl 484752232 FXXRLRHAWWVUOT-UHFFFAOYSA-N 401.271 4.892 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@@H]2c2ccccc2Cl)c(C)c([N+](=O)[O-])c1 486873441 LKKFZIGLMBDCPU-QGZVFWFLSA-N 417.849 4.712 5 20 HJBD CCc1ccc(C(=O)N(CC(=O)Nc2cccc(C)c2C)[C@H](C)CC)cc1[N+](=O)[O-] 489100216 VNUCUAHHTNLVHT-MRXNPFEDSA-N 411.502 4.653 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(-c2ncco2)c1 489230782 WIXIDUCYIFJWBR-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD C[C@H](NC(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1cccc([N+](=O)[O-])c1 490453831 YZVUSMPJKMMJLW-JTQLQIEISA-N 421.335 4.546 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl 493229971 OJHFSVIRGDTLJE-JOCHJYFZSA-N 410.857 4.899 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)NCCNc1ccc([N+](=O)[O-])cc1 496833435 UOOCKDHSTFBBJJ-UHFFFAOYSA-N 422.919 4.584 5 20 HJBD Cc1cccc(-c2cncc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2)c1 498139949 GZDORORKODKZTR-JOCHJYFZSA-N 405.479 4.853 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)c3c([N+](=O)[O-])cnn3C)cc2)c1 501726905 CVQWAQRQTILDJS-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H]2CCCC23CCOCC3)c2ccccc2)c([N+](=O)[O-])c1 503411567 HLUOFHVVCCYJHX-VXKWHMMOSA-N 423.513 4.522 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1/C=C/c1ccccc1 505367837 XMWTWSLXRMJBRI-LVWMNBHTSA-N 408.501 4.859 5 20 HJBD O=[N+]([O-])c1ccc(NCC2(c3ccc(Br)cc3)CCOCC2)c(F)c1 506752828 HFNHNWSYTZVCPP-UHFFFAOYSA-N 409.255 4.657 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(NCc2ccco2)s1 511049534 CYJVUIGJHQNFGD-UHFFFAOYSA-N 406.489 4.731 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)c(Br)cc1F)c1ccc([N+](=O)[O-])cc1 511122218 GCBXHRCNAYILEX-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 513571674 GJMKGZQRRVMHBA-SFHVURJKSA-N 407.495 4.874 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2Oc2cccc(F)c2)cc1[N+](=O)[O-] 516072476 PBJQUPRIHRXLGK-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 519108846 HWYNMJZMJMPQKJ-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1cccc(Cl)c1Cl 520322439 QVYZYOGNOMUDTD-NWDGAFQWSA-N 423.252 4.805 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)N(C)[C@H](C)c1ccc(Cl)c(Cl)c1 521774576 WNAOLHANIQIREL-LLVKDONJSA-N 403.287 4.592 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2Cl)cc1 523514868 FJEPMMYCPGDFNQ-SFHVURJKSA-N 402.859 4.838 5 20 HJBD Cc1ccc(C[C@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccccn2)cc1 524530431 LGBRUDMVNKTVOF-FQEVSTJZSA-N 401.422 4.758 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCC2CCCCC2)CC1 533290866 UERAEMFCRYMDFR-OAHLLOKOSA-N 423.941 4.637 5 20 HJBD C[C@@H](c1ncc(C(C)(C)C)o1)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536308069 XMBDYYKPQPTMHS-PSBQPLHCSA-N 403.460 4.545 5 20 HJBD COc1cccc([C@@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 538129332 MLGFWBCITMPDIA-HXOBKFHXSA-N 419.481 4.942 5 20 HJBD COc1ccccc1[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)C1 538255497 XXDZKNGFFYFYSM-OAHLLOKOSA-N 400.500 4.734 5 20 HJBD CCN(CC)CCCC1CCN([C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 541087662 YOBMOAIXNXGFAE-QGZVFWFLSA-N 415.538 4.540 5 20 HJBD C[C@@H](C(=O)N(CCc1ccccc1)Cc1ccncc1)c1ccc([N+](=O)[O-])cc1F 542532954 SORBKIQIGDMDOO-QGZVFWFLSA-N 407.445 4.504 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1F 543457711 PMZBOUNWXVMJKD-KGLIPLIRSA-N 417.506 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F 543495512 ZJRVKPYUHCRDMZ-KGLIPLIRSA-N 409.408 4.642 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCCCS1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 544037757 WBMVIRKLDCTSEF-QGZVFWFLSA-N 405.545 4.993 5 20 HJBD C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 544084994 DBADRWGAJBFLIB-INIZCTEOSA-N 423.494 4.538 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1=O 544934515 SUDWKFQNLCEJCK-HOCLYGCPSA-N 406.442 4.679 5 20 HJBD CCCOc1ccc(-c2noc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)n2)cc1OC 546934920 MLJSGRDBMLZAQP-UHFFFAOYSA-N 408.414 4.514 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1cn(-c2ccccc2)nc1-c1ccncc1 555339810 JJBTVIJVCRKPFK-UHFFFAOYSA-N 413.481 4.517 5 20 HJBD COc1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c(OC2CCCC2)c1 557629603 YADGJUAJENIXAO-UHFFFAOYSA-N 400.460 4.542 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 564442512 KWUCOYOKHYYNFX-LBPRGKRZSA-N 413.409 4.537 5 20 HJBD CSc1cccc(-c2nc(-c3cccc(NC(=O)c4ccco4)c3)no2)c1[N+](=O)[O-] 572759979 BWMDSUHRQDAIHO-UHFFFAOYSA-N 422.422 4.879 5 20 HJBD Cc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 574885626 NNJNZZJXQBQKSG-LLVKDONJSA-N 402.813 4.624 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@@H](C)Nc1ncnc2sc([N+](=O)[O-])cc12 576132194 LGYXONJLIWQNLC-YMTOWFKASA-N 423.292 4.550 5 20 HJBD COc1cc(CN[C@@H]2[C@H]3Cc4ccccc4[C@H]32)ccc1OCc1ccc([N+](=O)[O-])cc1 576148501 JGEWRNBATCJKRM-FTBPSBKWSA-N 416.477 4.610 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc3nc(O)n(C)c3c2)cc1[N+](=O)[O-] 600861497 WNSVIRZZRBTHCT-UHFFFAOYSA-N 403.442 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(OC(C)C)c2ccccc12 603624588 XOSSSBORQWURKY-UHFFFAOYSA-N 400.456 4.644 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1 603649236 SITBNJGCMXENFI-UHFFFAOYSA-N 418.425 4.584 5 20 HJBD CCN(Cc1ccc(OC)c(OC)c1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 604468806 GQZJPALFKQUXRP-UHFFFAOYSA-N 400.475 4.572 5 20 HJBD CSc1ccc(C(=O)Nc2ncccc2OCC2CCCCC2)cc1[N+](=O)[O-] 604536078 IKACZVVJUJCZMD-UHFFFAOYSA-N 401.488 4.923 5 20 HJBD CCN(Cc1ccoc1)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 608808423 WOEBNGCSGFTQSC-UHFFFAOYSA-N 403.485 4.766 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 609036521 HKJXUVPKMYBDFI-HXUWFJFHSA-N 423.513 4.817 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1cc([N+](=O)[O-])cc2c1OCCO2 609127803 RVUVDNYUPZBVNF-UHFFFAOYSA-N 412.348 4.564 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 609753637 FNWYRRMJTWKOPC-AWEZNQCLSA-N 403.276 4.515 5 20 HJBD O=[N+]([O-])c1ccccc1Nc1cccc(CS(=O)(=O)c2ccc(F)cc2F)c1 609764850 BBPLLHGXITZUJG-UHFFFAOYSA-N 404.394 4.591 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 609774064 RCJNAUPJCOHLAF-UHFFFAOYSA-N 405.454 4.846 5 20 HJBD O=C1[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CCN1C1CCCCC1 610803645 DGEXGCHUSVBJHF-IBGZPJMESA-N 417.893 4.531 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccccc1)c1cccs1 618964369 AYBCSNDHVOKJIH-LJQANCHMSA-N 402.472 4.793 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCc2sccc2[C@@H]1c1cccs1 619567135 UPCYCQNRYPEZCK-GOSISDBHSA-N 400.481 4.514 5 20 HJBD O=C(OCc1ccccc1)c1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 727326818 PWUPGYBWZLFKHE-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 727585251 AOSGNBJLJNDJGF-INIZCTEOSA-N 414.220 4.646 5 20 HJBD COc1cc(C(=O)OCC2CCOCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 732594727 FNJKNWQHPZLNAX-UHFFFAOYSA-N 421.833 4.633 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1cnc(-c2ccccc2)s1 735010721 ASBMOJHXIJPXLJ-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745587453 ZDICCOUYVFDWAA-QWHCGFSZSA-N 422.840 4.526 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cs1 747123929 KELFPDLESFCMAB-VIFPVBQESA-N 404.366 4.525 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1ccc(SC(F)F)cc1 749528961 QJCICWNDNVRGEE-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749915693 KNULMYSOWUSATP-UHFFFAOYSA-N 401.850 4.517 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2c(F)cccc2F)n1 751613550 DPUBUYNRAQQMOA-NSHDSACASA-N 420.393 4.752 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 759307299 BPKRGDPTVMZLJB-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1cc(Cc2noc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)n2)ccc1[N+](=O)[O-] 762300265 SYQSIZZMOUPIKG-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1SC 763812693 WXIWHQLSIJNFRC-LBPRGKRZSA-N 420.512 4.613 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(C)C)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 764108570 XHXYSVVZMZAZNZ-NSHDSACASA-N 401.444 4.922 5 20 HJBD Cc1cccc(C)c1CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 765671115 QXRZJGZFGYCUCI-KRWDZBQOSA-N 404.470 4.710 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCc2cn(C(C)C)nc21 781051941 DKULWBHZMSWAOL-QGZVFWFLSA-N 402.520 4.682 5 20 HJBD CC(C)(C)Oc1c(F)cc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1F 782520369 PSHPFEBIFCJTDN-UHFFFAOYSA-N 423.372 4.530 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)cc2)co1 785783989 SIMUXPWPPJZVQU-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cs2)c1 787937653 BUZOXUFHCRAIRL-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD CCc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 791157103 HTJKEHQGNCSPRL-UHFFFAOYSA-N 408.410 4.916 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1cc([N+](=O)[O-])ccc1Br 791995108 IJSBVODUCIKDPL-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 792900736 VSYPDTCGWCPYFT-UHFFFAOYSA-N 421.878 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Oc2ccc(NC(=O)c3ccccc3)cc2)c1 798316142 AYKZFZZSBDJYOE-UHFFFAOYSA-N 420.421 4.530 5 20 HJBD C[C@@H](OC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 803066020 JZGYDMATUOUDPY-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@@H](n2cccn2)Cc2ccccc21 813030774 PETJLRFGDADHBX-AWEZNQCLSA-N 417.252 4.542 5 20 HJBD CCOC(=O)c1ccc(F)c(C)c1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813152315 CCFHBKLCVKWZRT-UHFFFAOYSA-N 415.204 4.778 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)c1nnn(-c2cccc([N+](=O)[O-])c2)c1C 914734532 JBBCEGQUBLEDNI-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2ccccc2c1 916523096 CLPVPKPIZAGSER-LSDHHAIUSA-N 424.478 4.799 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2cn3c(n2)CCCC3)c1 917497650 UUTMUSSVOBHGHW-HNNXBMFYSA-N 422.510 4.914 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2c1 1116156734 VLTRPVAQTFPHIU-UHFFFAOYSA-N 410.433 4.669 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)cc([N+](=O)[O-])c1C 1116761232 XUYZJZRYCGSGBD-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD CCCOc1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cn1 1318420125 ZNVJUISWAUSSQL-UHFFFAOYSA-N 401.850 4.982 5 20 HJBD CC(C)(C)c1cc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)n(Cc2ccccc2)n1 1318811682 OASHHSAYWVPIGJ-UHFFFAOYSA-N 419.485 4.685 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCCCC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322125666 VLIDSXSQGHCUCE-UHFFFAOYSA-N 400.866 4.786 5 20 HJBD CC[C@H](c1ccccc1)c1nnc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)o1 1323012719 BTIVAGDTORKTIJ-HUUCEWRRSA-N 412.471 4.559 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@H](c2ccc(Cl)s2)C1 1327511898 CGNFZNKOABRPBG-NSHDSACASA-N 402.259 4.569 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC(Cc2c(F)cccc2Cl)C1 1340142119 OGKWUKJRYDOEMS-UHFFFAOYSA-N 404.850 4.917 5 20 HJBD O=C(COC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccccc1Oc1ccccc1 10760381 JEEAEGZBJVHIRC-NTCAYCPXSA-N 418.405 4.582 5 20 HJBD Cc1ccc(-c2nnc(SCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)o2)cc1C 44029320 UIYIRQVXBKAADH-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2ncc3n2CCCC3)cc1 44567450 ZCWAAVUWIXKDQH-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H](C)CC2)cc1[N+](=O)[O-] 62927044 HWALRGNEXJNDEZ-HNNXBMFYSA-N 412.511 4.810 5 20 HJBD C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2cccnc2)cc1 106313186 JNMMCTMAHRUWSM-INIZCTEOSA-N 423.494 4.538 5 20 HJBD COc1ccc(CN[C@H](C)c2c(C)noc2C)cc1OCc1ccc([N+](=O)[O-])cc1 237165435 VMDKHBSSLHNUHN-CQSZACIVSA-N 411.458 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc(Cn4ccnc4)c3)s2)cc1 238002685 MVAPVGCXCOCWNF-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc(C(C)=O)cc1[N+](=O)[O-] 301546237 FCCDMXAXZFQIJZ-UHFFFAOYSA-N 410.257 4.569 5 20 HJBD CS(=O)(=O)c1cccc(Oc2ccc(Nc3ncc(Cl)cc3[N+](=O)[O-])cc2)c1 301749276 SYVHVWDDMROQCK-UHFFFAOYSA-N 419.846 4.583 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])c(OC(F)F)c2)nnc1-c1ccccc1Cl 301938484 XDKWTZFRTUUIHP-UHFFFAOYSA-N 412.805 4.796 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303163735 AHMWPHLRKPHCLK-VBQJREDUSA-N 416.547 4.723 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)ccc1OC[C@@H]1CCCO1 303259227 DTPKQBDAYJUOKS-ZDUSSCGKSA-N 409.801 4.589 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@@H](c1ccc(F)cc1)c1cccnc1 427443531 VKOFFGVOZGCRGO-QFIPXVFZSA-N 423.444 4.779 5 20 HJBD CSC1(CN[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1 432519560 OTGULPVANPGIDM-SFHVURJKSA-N 419.934 4.803 5 20 HJBD CC(C)(NC(=O)Nc1cccc(-c2noc(C3CC3)n2)c1)c1ccc([N+](=O)[O-])cc1 434077050 MSWVZVADERVDII-UHFFFAOYSA-N 407.430 4.579 5 20 HJBD CCOCc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 440427098 NCBVSVZOXOVDCD-INIZCTEOSA-N 420.469 4.952 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 440522755 FFNDXQHHKOQWEY-GOSISDBHSA-N 423.538 4.794 5 20 HJBD O=[N+]([O-])c1ccc(OCc2ccnc(-c3ccc(Br)cc3)n2)c(F)c1 440842268 DSYUFVZWSBXUTO-UHFFFAOYSA-N 404.195 4.532 5 20 HJBD CCc1n[nH]c(C(=O)Nc2ccc(-c3nc4ccc(C)cc4s3)cc2)c1[N+](=O)[O-] 443915660 CEVWNFSCOOLISL-UHFFFAOYSA-N 407.455 4.718 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC 443948255 QMCXBJIEQNMVTP-HNNXBMFYSA-N 401.463 4.892 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(-n2nccc2C(F)(F)F)c1 444730121 NPVXKYCJFQPFFN-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 445022791 QOWDMGCIUAYWLP-ZDUSSCGKSA-N 413.503 4.532 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1sc(Br)cc1[N+](=O)[O-] 445252726 UUOYOIHIEUSOOD-UHFFFAOYSA-N 410.293 4.661 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445692590 QZIQMQJBUGITFX-NSHDSACASA-N 411.683 4.773 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)c1cc(C)c([N+](=O)[O-])cc1C(F)(F)F 461734376 ZJCORRXZSFTUIZ-UHFFFAOYSA-N 406.364 4.597 5 20 HJBD O=C(c1c(F)cc(C(F)(F)F)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 464404866 XIRSAHYNNHGZQZ-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(NCC1(C(F)F)CC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 471264937 FHKNXTAXABDQBL-UHFFFAOYSA-N 409.820 4.635 5 20 HJBD Nc1c(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)cccc1[N+](=O)[O-] 477671306 TXCXXVXHVDXTIL-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](c2ccc(F)cc2)C1 478242315 SHSDOFVQRDKUFE-CQSZACIVSA-N 422.406 4.728 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cnn1C(CC)CC 482703077 GPUOCXIMGFSYFB-UHFFFAOYSA-N 423.311 4.659 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N(c1ccccc1)[C@H]1CCOC1 485689319 CZNQKWYCXZNWEK-FQEVSTJZSA-N 418.449 4.610 5 20 HJBD COc1ccc2c(c1NC(=O)c1cc(OCc3ccccc3)ccc1[N+](=O)[O-])CCC2 485706314 STQCCMMJLDOTGD-UHFFFAOYSA-N 418.449 4.923 5 20 HJBD O=C(Nc1cccnc1)c1ccc(Oc2ccc([N+](=O)[O-])cc2Br)cc1 486486618 ITBXYVQSQZSYRO-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(Br)cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494247463 KETUNRCSEVZVTL-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1ccc(F)cc1)C1CCOCC1 494921572 JHURLEVFVZHBAW-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD CC(C)C[C@@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 495244727 WTUBXBFEJOXNKG-GOSISDBHSA-N 419.913 4.579 5 20 HJBD C[C@@H]1CCCCN1CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 499200376 ZCSTWZHCHFTIAZ-QGZVFWFLSA-N 413.543 4.740 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)cc1 499433869 GYUBVSZACOGCKF-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD Cc1oc(-c2ccccc2)nc1CC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 499515444 GOMUMSANTBWPAS-UHFFFAOYSA-N 405.332 4.758 5 20 HJBD C[C@H]1C[C@H](C)CN(C(C)(C)CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 500111501 QSQKVFFGUGQOLW-HOTGVXAUSA-N 402.564 4.564 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc(-c4ccco4)s3)n2)cc1[N+](=O)[O-] 504365200 GTGVCYBZYFYHDV-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD CCN(CC)[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 509803503 FFIXFYXUHVEEDL-SFHVURJKSA-N 409.408 4.944 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 510607822 YKYHSHYTNNVVFH-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)o1 511155156 SJUJMQXEYZUKQW-NRFANRHFSA-N 416.437 4.846 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 518603622 GFZTWZRVYZRIDA-OAHLLOKOSA-N 419.441 4.552 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 530855925 KEULQRJTTYZZMV-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(COCc2ccccc2)cc1 535386802 KGPUVCNLQAFTSB-UHFFFAOYSA-N 415.449 4.974 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(Cc2cccc(Cl)c2)s1 538775731 DOTYFEPRFJCNJS-UHFFFAOYSA-N 416.890 4.868 5 20 HJBD CCOc1cc(C(=O)Nc2sc(-c3ccccc3)nc2C)c([N+](=O)[O-])cc1OC 543133727 XCPNATDEFDNASV-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Sc2ncc(-c3ccc(Cl)cc3)o2)s1 543958463 JPMZSSCCKZYJBY-UHFFFAOYSA-N 416.889 4.519 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])CC1 544226929 DQEWFZCTIBBJAL-UHFFFAOYSA-N 407.923 4.622 5 20 HJBD CCCc1c(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cnn1-c1cc(C)ccn1 544889436 GVGDQBYCJFCSQN-MRXNPFEDSA-N 422.489 4.628 5 20 HJBD O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccc(Oc4ccccc4C(F)(F)F)nc3)no2)c1 545053979 AFNXKOPVJLQYOH-UHFFFAOYSA-N 417.303 4.846 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(C3(c4cccc(C(F)(F)F)c4)CCCC3)n2)nc1 545071012 POAZREGAAGSMNE-UHFFFAOYSA-N 418.375 4.843 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)n2)c1 545590903 FDIHSWDUYNHJOE-UHFFFAOYSA-N 421.457 4.507 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(OCC3CC3)nc2)no1 545682476 CQBVTLABQOOUJD-LLVKDONJSA-N 416.821 4.622 5 20 HJBD COc1ccc(COCc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 547092825 DOVUUVXLCHIGKS-CYBMUJFWSA-N 401.444 4.557 5 20 HJBD O=[N+]([O-])c1ccc(N2Cc3cnn(-c4ccccc4)c3C2)nc1-c1ccc(F)cc1 549684296 PZIXUPLSCCNQHM-UHFFFAOYSA-N 401.401 4.502 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cnc5c(F)ccc(F)c5c4)n3)c2c1 551031099 JRVKXRIHKBMZFY-UHFFFAOYSA-N 407.336 4.543 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccccc1F 551238654 PYMJTARFMJKLCY-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 553226103 ZSVDOQUWZYPNEO-BEFAXECRSA-N 400.500 4.695 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c2)cn1 557971406 WBZHMQUXTQNNHA-UHFFFAOYSA-N 405.454 4.542 5 20 HJBD Cc1cccc(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 561442995 AMNKTKYRCXWAON-DYVFJYSZSA-N 408.376 4.524 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C)ccc2OCc2ccccc2)cc1[N+](=O)[O-] 571965919 NPLDAODZXWOUQB-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)C3CCC(F)(F)CC3)cc2[N+](=O)[O-])C1 573037835 HEKGCYSWRFGRRF-GASCZTMLSA-N 409.477 4.727 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc(Cl)cc1[N+](=O)[O-] 603576326 OELWEZHVXKXLHG-MBTKJCJQSA-N 418.721 4.888 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(Cc3c(F)cccc3Cl)o2)cc1 608929876 YJMIZLBEVGVDDN-UHFFFAOYSA-N 409.826 4.532 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N(C)c2cccc([N+](=O)[O-])c2)o1 611090337 LVARCPWIDFOOBU-UHFFFAOYSA-N 402.410 4.626 5 20 HJBD COc1cccc2cc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)oc12 611400726 WZMCGMWAHXETGW-UHFFFAOYSA-N 409.423 4.750 5 20 HJBD COc1cc(OC)c(C2CCN(c3nc4ccccc4cc3[N+](=O)[O-])CC2)c(OC)c1 616053680 ZUDNSWGLNQRIFU-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2c(cnn2C(F)F)c1 676596355 YTFJAWXUFSLFOT-UHFFFAOYSA-N 418.403 4.538 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(C)cc2Br)cc1SC 728458965 HCVREPDRKLEUPU-UHFFFAOYSA-N 412.261 4.615 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)ccc1F 730452774 PMLOZYYYHYJWBW-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc(F)ccc1[N+](=O)[O-] 730502901 HXLHLJMYKUAQLH-JOCHJYFZSA-N 406.413 4.578 5 20 HJBD O=C(O)C(F)(F)Oc1ccc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 730730227 VGHORTDQRPTTSX-UYRXBGFRSA-N 412.348 4.635 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 731008207 XDDVOASQGZKYAI-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 731209969 HERRMYSBHLJKMU-STQMWFEESA-N 406.508 4.652 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 736160020 JNSPBEOXGRLGTG-VIFPVBQESA-N 414.201 4.524 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Br)cs2)o1 740410320 NKTCIQOREDHJJD-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)nc1-c1ccc2c(c1)CCC2 742806822 UBXWOQIXZFGQAG-UHFFFAOYSA-N 424.438 4.676 5 20 HJBD C[C@@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 744048511 JAOJCBDQKQLMMV-BEFAXECRSA-N 414.458 4.540 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@H](C)c1ccccc1C(F)(F)F 745221900 ISMVWSUGTJTKGA-LBPRGKRZSA-N 424.375 4.598 5 20 HJBD CC(C)(C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc2ccccc2c1 745415314 IPBOXCSKBGJLKQ-UHFFFAOYSA-N 417.421 4.819 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@]12CCCc1ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745720652 QMNZKNOZQRSXBO-HLNIAZEUSA-N 419.437 4.543 5 20 HJBD Cc1c(C(=O)N(Cc2cccnc2)c2ccc(F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748624417 QTJLFDDUJJQSEK-UHFFFAOYSA-N 424.388 4.501 5 20 HJBD CN(C(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)c1cn[nH]c1 754349026 JGAFCGDRJOZBBV-GDNBJRDFSA-N 419.466 4.521 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)c2)n1 758879377 VZQZHLFMDGMGFG-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CC[C@H](C)n1ncc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C1CC1 760625246 GWNHGQSMTLUPHJ-ZDUSSCGKSA-N 410.430 4.652 5 20 HJBD CCN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@@H](c1ccc(C)cc1)c1cccnc1 763471659 VXUHKZKDBGPXPY-NRFANRHFSA-N 411.408 4.828 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)c1F 764439241 ULUOXDLLLWIBSA-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1c(C(=O)N2C[C@H](c3ccccc3)c3ccccc32)cc([N+](=O)[O-])cc1[N+](=O)[O-] 767143752 ZIIVREAHQFOCJK-LJQANCHMSA-N 403.394 4.604 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 768481532 ZLEKLWBNEXBXLH-UHFFFAOYSA-N 415.515 4.754 5 20 HJBD C[C@@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cn(C)c2ccccc12 774290524 DDZXVYDLVBZBLW-MWTRTKDXSA-N 415.449 4.918 5 20 HJBD C[C@@H](SCc1ccccc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138722 RVUXVCHLHKCBBQ-IIBYNOLFSA-N 408.479 4.944 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2cccc(Oc3cccnc3)c2)cc1[N+](=O)[O-] 776864452 LMGIPYTVLAZNBA-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD COc1ccc(C(=O)N2CCCC2)cc1N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 788598221 MBWSGNILHYCCFD-HXUWFJFHSA-N 409.486 4.674 5 20 HJBD COc1cc([C@H](C[N+](=O)[O-])c2c[nH]c3ccccc23)ccc1OC(=O)OCC1CC1 790008789 PNFUAYIWSLFDSW-SFHVURJKSA-N 410.426 4.511 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NCc1nc2cc(Cl)ccc2s1 790546495 KFMPJODLWSEQNQ-UHFFFAOYSA-N 414.245 4.509 5 20 HJBD CCC[C@@](C)(CC)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796334736 IFHDGWPBMXWCMV-APPDUMDISA-N 404.385 4.700 5 20 HJBD C[C@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1OCCc2sccc21 797032332 RYJOIGWDQNRJNQ-LVXYXVKQSA-N 424.478 4.634 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 805372054 ZGFDGZHTKABDKX-NSHDSACASA-N 400.784 4.970 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 810017804 KRQSVRFNFQCMSB-NSHDSACASA-N 410.305 4.728 5 20 HJBD O=C(Cc1ccc(Cl)cc1Br)Nc1cccc([N+](=O)[O-])c1Cl 813246959 UFBUJEDWKDVIRE-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD COc1cc(C(=O)O[C@H](c2nc3ccccc3o2)C(F)(F)F)cc([N+](=O)[O-])c1C 816063684 QKEZKZJNTQIVAW-OAHLLOKOSA-N 410.304 4.513 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NCc2cc([N+](=O)[O-])ccc2OC(F)F)c1 863998838 UKCQKCNEKHVAMQ-UHFFFAOYSA-N 413.808 4.600 5 20 HJBD COC(=O)COc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc12 864018714 RFVUAQVBVSCCTG-UHFFFAOYSA-N 400.818 4.565 5 20 HJBD COc1cc([C@@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OC1CCCC1 916015310 VBOKRCPDRADWDC-CQSZACIVSA-N 415.515 4.972 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1NC(=O)c1ccc(Cl)cc1 920454683 VTKWPRGNTJUBKF-UHFFFAOYSA-N 423.856 4.773 5 20 HJBD O=C(Nc1ccc(SC(F)(F)F)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 1317884987 PZGCDEIXHHMQRX-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H](C)c2c(C)nn(CC(F)(F)F)c2C)n1 1320208083 SJBBTNIBPFJCOX-NSHDSACASA-N 423.395 4.789 5 20 HJBD CCOc1ccc(-c2nnc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1OC 1322210676 YBFNCLOZUIROSH-GFCCVEGCSA-N 401.444 4.905 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(COc2ccc(Cl)c3ccccc23)o1 1341397795 LJZWDRJICRDOKJ-UHFFFAOYSA-N 411.801 4.942 5 20 HJBD CSc1ccc(C(=O)OCc2csc(-c3ccc(F)cc3)n2)cc1[N+](=O)[O-] 5426146 GAMLTWNJKHTIBN-UHFFFAOYSA-N 404.444 4.936 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)C(C)C)cc1OCC 16709446 OMNVHRRYCMMCGN-NRFANRHFSA-N 416.474 4.528 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C(=O)Nc2ccccc2)c2ccccc2)c1 26007863 SEGXCRMXWZSVPV-FQEVSTJZSA-N 422.462 4.854 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 32676739 JAJHOFRVXBYZTN-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1c1ccc(Cl)cc1 45152779 DOEOULRJMCEEGS-IBGZPJMESA-N 413.905 4.828 5 20 HJBD Cc1ccsc1CN(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53012163 XHQJNVVPZLIQLV-OAHLLOKOSA-N 410.499 4.805 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 58952574 DPFRHQGIPHOCIQ-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C)cc2)cc1 72042728 FUURXPAITLBDOO-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C[C@@H](c2ccccc2)O1 109057163 XLSBUZVTJVISNE-SBUREZEXSA-N 418.449 4.989 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)NCc3cccc([N+](=O)[O-])c3)CC2)cc1 195719428 RRTRDSPVSSDGQI-UHFFFAOYSA-N 423.513 4.598 5 20 HJBD COc1cc(NC2CCN(c3cc(C)nc4c([N+](=O)[O-])cccc34)CC2)cc(OC)c1 301979245 AIHARLIOWIJZLI-UHFFFAOYSA-N 422.485 4.550 5 20 HJBD CC(C)CCSc1ccc(C(=O)NC[C@@H]2CCCN(C(C)C)C2)cc1[N+](=O)[O-] 302989759 MHULSDYDQPNWIL-KRWDZBQOSA-N 407.580 4.583 5 20 HJBD COc1cccc2sc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)nc12 303010685 JIGSBVIUSMIJDL-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)C1(c2cccc(C(F)(F)F)c2)CC1 303240939 JQTASNVNLJJHBA-UHFFFAOYSA-N 416.359 4.674 5 20 HJBD CCc1nc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)sc1C 409914669 FZUFMQYPQMKFAK-LBPRGKRZSA-N 411.487 4.743 5 20 HJBD Cc1cc(Br)c2c(c1)CN(C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)CC2 429331115 NNGOCGXTTFOMHS-UHFFFAOYSA-N 418.291 4.873 5 20 HJBD CC(C)Oc1ccc(N(C)C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 430546294 OUUKJOIXXFXDSK-UHFFFAOYSA-N 404.441 4.884 5 20 HJBD CCCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(C)cc1 432568989 NPETXXRCLYLBMJ-OAHLLOKOSA-N 410.449 4.923 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1Br)N1CCc2c1cccc2[N+](=O)[O-] 432651748 CEHXSCWFGNXHSO-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD COc1cccc(C(=O)c2ccc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cc2)c1 435830870 KKAFQSITBQTEJS-UHFFFAOYSA-N 404.422 4.704 5 20 HJBD COc1cccc(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)c1[N+](=O)[O-] 435857718 XFQSJEIWVJRVES-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437089736 CGHDJWMKHZQXTQ-MSOLQXFVSA-N 404.388 4.908 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCCn3ccnc3C)cc2[N+](=O)[O-])cc1 437504517 LJECWUCNXOHISV-UHFFFAOYSA-N 424.526 4.722 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)cc1OC(F)F 443894666 HXQTXHFOZSSORO-UHFFFAOYSA-N 408.401 4.504 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444334012 FARIBUFCPVLYBQ-INIZCTEOSA-N 402.519 4.900 5 20 HJBD Cc1ccc(-c2ccc(C(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c(F)c2)cc1 444634849 IXWPQMHMVSDJNQ-UHFFFAOYSA-N 404.401 4.516 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1noc(-c2ccccc2)n1 446053745 MOOKICYNVXMHTA-AWEZNQCLSA-N 414.490 4.990 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)cc1OC 446115303 AULIZQKWEOQUME-UHFFFAOYSA-N 418.471 4.535 5 20 HJBD O=C([C@H]1CC=CC[C@@H]1c1nc2ccccc2s1)N1CCc2ccc([N+](=O)[O-])cc2C1 446889758 ZPFUNCAGDMYISK-OALUTQOASA-N 419.506 4.839 5 20 HJBD C[C@@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 447674614 FIJQORKMZMARSI-RNCFNFMXSA-N 423.363 4.672 5 20 HJBD CCCn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1ccncc1 448109199 UBGTYYXJVXGHHC-UHFFFAOYSA-N 423.420 4.969 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(c2cnc3ccccc3c2)C(C)C)cc1SC 448460007 VAXFRBHTFYBUNJ-UHFFFAOYSA-N 411.483 4.929 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 462231799 GAWDKUVSLMWWDU-QGZVFWFLSA-N 421.419 4.690 5 20 HJBD CCN([C@@H](C)c1ccc(F)cc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462511547 NRXUJNZAMFYHLS-JTQLQIEISA-N 421.277 4.813 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1Cl 466291108 ZUHWZCONUHFOHJ-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD COc1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)cc1OCc1cccnc1 466447221 XOCIBAVMQRADKG-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(=Cc4ccc(F)c(F)c4)CC3)o2)cc1 469469929 CESUZFHWJRWDDR-UHFFFAOYSA-N 412.396 4.602 5 20 HJBD C[C@H](CCNC(=O)CCOc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470001570 XTEJIKSELFJEIE-CQSZACIVSA-N 410.392 4.693 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 474743529 QRCYUIGNTAPJBG-GJZGRUSLSA-N 412.877 4.698 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1cn(C)c2ccccc12 476152823 PFHWWTLODKOMCR-CQSZACIVSA-N 419.403 4.781 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccc(F)cc2Br)cc1[N+](=O)[O-] 479705061 YHQVOOGFVILQIB-GFCCVEGCSA-N 424.270 4.707 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)C2CCCC2)cc1SC 482985769 CCCNIRFOPOQONT-FQEVSTJZSA-N 400.500 4.987 5 20 HJBD CC(C)(C)Oc1cc(N2CCC([C@H]3C(=O)Nc4ccccc43)CC2)ccc1[N+](=O)[O-] 485166290 RMZCXCGHOVOLDR-OAQYLSRUSA-N 409.486 4.724 5 20 HJBD CCOc1cc(NC(=O)c2cnc(-c3ccsc3)s2)c([N+](=O)[O-])cc1OCC 486998548 QTTGVZGKGRYLPO-UHFFFAOYSA-N 419.484 4.830 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc([N+](=O)[O-])c1 498246715 XXNPKSFZDLDHOE-FQEVSTJZSA-N 408.433 4.800 5 20 HJBD Cc1c(NC(=O)N2Cc3cc(Br)ccc3C[C@@H]2C)cccc1[N+](=O)[O-] 499245194 LADXIJXWKILQFQ-NSHDSACASA-N 404.264 4.644 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccnc(OC3CCC(C)CC3)c2)c1 505569001 PQJOVIJWVYCDTD-UHFFFAOYSA-N 415.515 4.599 5 20 HJBD Cc1cccc([C@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C(F)(F)F)c1 511722130 PVSPASZBMPBZMA-AWEZNQCLSA-N 406.282 4.955 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nc2cc(Cl)ccc2n1C 514571841 CAVYGVFXUFMVGA-UHFFFAOYSA-N 404.879 4.564 5 20 HJBD C[C@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N1CCc2sccc2C1 515874182 LZORDSRTYUAMNF-HNNXBMFYSA-N 408.479 4.676 5 20 HJBD O=C(Nc1ccnn1Cc1c(Cl)cccc1Cl)c1cc(F)cc([N+](=O)[O-])c1 517557377 DCOQWLDXHDWJJX-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD Cn1ccnc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 517806350 MPXKICUALYZUDM-INIZCTEOSA-N 420.256 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)c2ccccc2Cl)c1 517874522 AQUVZVPTEIMEKX-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CC(C)[C@@H]1CCCN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 518054203 VJHISXDXFLDKSC-QFIPXVFZSA-N 418.497 4.637 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1NC(=O)CC(C)C 525139830 CDZAQFYOSQAXJX-UHFFFAOYSA-N 421.400 4.742 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)C(F)(F)F 525170978 HMCWTDXMDDYTHN-OAHLLOKOSA-N 419.450 4.972 5 20 HJBD C[C@@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(=O)c2ccccc2)c1 535600944 BFBSQVOIOJCKJU-QGZVFWFLSA-N 414.461 4.514 5 20 HJBD CCNc1ccc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)cc1[N+](=O)[O-] 536788097 AEEWYGMLUBXLIR-UHFFFAOYSA-N 421.501 4.971 5 20 HJBD CN(C(=O)COc1ccc(F)c(Cl)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151731 DPQCNIVMMJGNRL-UHFFFAOYSA-N 421.837 4.553 5 20 HJBD COCCCOC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1 537617364 GGKHJKQPEWIIOY-UHFFFAOYSA-N 408.882 4.526 5 20 HJBD CN(Cc1ccc([S@@](C)=O)cc1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 537621618 ATBBNFZJRXXCDL-HHHXNRCGSA-N 400.525 4.693 5 20 HJBD Cc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OCC1CCOCC1 537639373 FBQWEIVVVFJSMC-UHFFFAOYSA-N 412.486 4.886 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C3)cs1 537865386 MHVMWPBFIXUYSH-UHFFFAOYSA-N 414.512 4.987 5 20 HJBD CN(C(=O)c1cccc(OC(F)(F)F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251519 MKIXPHOXFYRORG-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD Cc1cc(C(=O)N(C)c2ccc([N+](=O)[O-])cc2)nn1-c1ccc(Cl)c(Cl)c1 538492719 IIEXZNFMGKDJCK-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N(Cc1ccco1)Cc1ccco1 539221392 TXQBWUVFPJKYEU-UHFFFAOYSA-N 403.394 4.685 5 20 HJBD CC(=O)Nc1cccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539348898 JCKBCSLKWQGWGU-ZDUSSCGKSA-N 401.488 4.545 5 20 HJBD CCN(CC)CCCC1CCN([C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 541087661 YOBMOAIXNXGFAE-KRWDZBQOSA-N 415.538 4.540 5 20 HJBD CCCc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 542438117 MVZBWCIWEZUVAR-AWEZNQCLSA-N 411.437 4.755 5 20 HJBD COc1cc(C)c([C@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)cc1OC 543436895 BRERJYGLLYXBJB-NSHDSACASA-N 410.268 4.559 5 20 HJBD COc1ccc(CSCc2nc(-c3cnc4ccccc4c3)no2)cc1[N+](=O)[O-] 546409141 RVEGIFDMKPSWRZ-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(OCc3ccccc3)c2)c1 558771384 XGIKAEOJVRRAON-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD C[C@@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1cccc([N+](=O)[O-])c1 561849620 GKZNNUJIGNLERM-CQSZACIVSA-N 415.291 4.970 5 20 HJBD COCCc1ccnc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 562867780 VTPSNFPZWIDZAD-UHFFFAOYSA-N 415.515 4.713 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2)n1 572545369 MSHUWGAWIOQZLU-UHFFFAOYSA-N 411.421 4.675 5 20 HJBD CN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1ccc(Cl)c(C(F)(F)F)c1 589094091 CAAXERABQUJNSX-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N4C[C@@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)cc2o1 603860836 ZPOZGJNHSIQSNA-OKILXGFUSA-N 408.458 4.779 5 20 HJBD CN(C)c1ccc(Cl)cc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 604469565 IBFBENWXWWMMBI-UHFFFAOYSA-N 417.771 4.508 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)s1 608944607 DJDIDCIZBQVZFS-SNVBAGLBSA-N 406.514 4.603 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2OC2CCC2)c([N+](=O)[O-])cc1OCC 609814293 XABWDYANAAUWGY-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1OCCC(C)C 727707737 FBVXACCXVCDYBO-ZDUSSCGKSA-N 422.428 4.798 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3Cl)cc2)nc1 733250721 HWQODTUWHMFKOT-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CN1CCC(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 733798666 YPJDNKGOIQXXRZ-UHFFFAOYSA-N 407.873 4.611 5 20 HJBD COc1ccc(C(=O)O[C@H](C)c2sc(Cc3ccccc3)nc2C)cc1[N+](=O)[O-] 739589970 AZGDDTCJBJJRSA-CQSZACIVSA-N 412.467 4.877 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@H](CC)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744201637 PROTZKBOGAHXIO-HXUWFJFHSA-N 412.486 4.699 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745074877 WTDOGYRLGSMHTQ-HZPDHXFCSA-N 412.486 4.956 5 20 HJBD CCC(CC)(NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(N)=O 746188614 DRKCIEGZZBTRGP-UHFFFAOYSA-N 414.505 4.707 5 20 HJBD COc1cc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1OCCC(C)C 749908729 LVAIVMPNFOIXMS-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 758418570 PUSZQZXECBXCSC-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2C[C@@H](C)[C@@H]2c2ccccc2)c1=S 765038715 OQUWUWRTGBPLEF-DNVCBOLYSA-N 409.515 4.660 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 766506417 QWADFJPTKHAVJG-LSDHHAIUSA-N 418.327 4.514 5 20 HJBD O=C(OC[C@@H]1CCO[C@@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 769340762 CHHVTYZHGVZQSP-QFBILLFUSA-N 410.451 4.653 5 20 HJBD COC(=O)c1ccccc1-c1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)o1 775955551 ATFVMXBNGZZMPY-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1coc(-c2ccc(Br)cc2F)n1 778895956 ZWWIPTXJZSYCEB-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccccc1C(=O)c1ccc(F)c(F)c1)CC2 783516510 BVVXQORBVOBRCP-UHFFFAOYSA-N 422.387 4.615 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](C)CSc3ccc(Cl)cc32)cc1[N+](=O)[O-] 784749906 CDGPLWSBBUIOJM-JTQLQIEISA-N 421.862 4.853 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 785617883 ZKBCVHNHSZMZRO-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])n1 790743873 ZSMKWQUCIJJZDR-LLVKDONJSA-N 419.796 4.593 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)F 807729431 ZSPTYIDKEYRKBP-UHFFFAOYSA-N 423.174 4.866 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 813246130 YTEFGFZPYXHJIF-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(CNCc2cc([N+](=O)[O-])ccc2Cl)cc1 842760506 ZRNRGIVSGKCIQU-UHFFFAOYSA-N 405.882 4.563 5 20 HJBD Cc1ccc(-n2ncc(N[C@@H](C)c3ccc([N+](=O)[O-])cc3)c(Cl)c2=O)cc1Cl 864019017 JTLXJGGCZTUTGN-LBPRGKRZSA-N 419.268 4.929 5 20 HJBD Cc1c(CNc2ccc3c(c2)CN(C(=O)OC(C)(C)C)CCO3)cccc1[N+](=O)[O-] 864040746 MROPLMAUCVNFIX-UHFFFAOYSA-N 413.474 4.645 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1ccc(Br)c([N+](=O)[O-])c1 915365535 CXNCIVPYXHRHLG-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cc(-c2cc(C(=O)Oc3cccc([N+](=O)[O-])c3C)c3c(C)noc3n2)c(C)o1 917050211 UUBUXOZZUAYDHF-UHFFFAOYSA-N 407.382 4.844 5 20 HJBD C[C@H](OC(=O)C[C@@H]1CCCC[C@@H]1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 920726307 HUCGUFXOZDYCCO-AVGNSLFASA-N 416.396 4.700 5 20 HJBD O=C(NCCCCOc1ccccc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1116684612 SAEQONHNQHMUGI-UHFFFAOYSA-N 422.431 4.549 5 20 HJBD Cn1cc(CNCCc2ccc(OC(C)(C)C)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 1254197156 QVBZXEWMXSZCLB-UHFFFAOYSA-N 408.502 4.505 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)ccc2C)c1 1318771600 WIDLIXKCJZKGTN-UHFFFAOYSA-N 404.426 4.947 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC(Nc3ccccc3Cl)CC2)cc1[N+](=O)[O-] 1322418567 NWSIAFGMGYRXBE-HNNXBMFYSA-N 416.909 4.904 5 20 HJBD Cc1c(C(=O)Nc2ccnn2Cc2cccc(Cl)c2Cl)cccc1[N+](=O)[O-] 15239719 GSWNUBXARZNYRY-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1nc2ccc(SC(F)F)cc2s1 15308757 LWMGBWSLTHCMRY-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD COc1ccc(NC(=O)CCN[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 26226535 IYGPLSRBHCUAIQ-NSHDSACASA-N 412.273 4.590 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c(OC)c1 43331500 GGWARKWOMZTRBU-MRXNPFEDSA-N 405.838 4.634 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCc2c(F)cc(F)cc21 50313774 AIMWRMKTKUGTEB-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OC(F)F 53884060 JUHBKIRTPFYPIL-UHFFFAOYSA-N 416.380 4.630 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 58490951 HXQWDSRVGGNTIJ-UHFFFAOYSA-N 412.877 4.507 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1C 64867493 MGISQWVNXWRWTI-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cnn(Cc2ccccc2Cl)c1 65864922 UEVSHHBVOYZNMC-UHFFFAOYSA-N 412.877 4.544 5 20 HJBD Cc1nc(S[C@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)n(C2CCCCC2)c1C 78768273 OISJVGRSPYZFGG-IBGZPJMESA-N 414.531 4.811 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3cc(=O)[nH]c4ccc([N+](=O)[O-])cc34)cc2)c1 78777357 RRPWFYRUZWWEAQ-UHFFFAOYSA-N 415.405 4.789 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1Br 220205574 BKKQGBBAHCTMLO-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N(Cc2ccc(Cl)c(Cl)c2)C2CC2)s1 302188330 QHYSXKKMRDWXLG-UHFFFAOYSA-N 421.327 4.536 5 20 HJBD COc1cc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1OCCC(C)C 303416963 BQIXBKWWKVUALT-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H]2CC3c4ccccc4C2c2ccccc23)c1 409939690 SQAHIHJVPKTJME-UQEGFRFESA-N 414.461 4.631 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4c(n3)CCCC4)cc2)c1 410076423 DEMCNTVHDWGKBB-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD Cc1cccc(C[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)c1 426675736 VRXBMUSJJSESFC-GOSISDBHSA-N 410.495 4.665 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2ncccc2Cl)CC1 429213525 UIYMCLLEKRAXQV-GFCCVEGCSA-N 423.300 4.503 5 20 HJBD COC(=O)c1cc([C@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)oc1C 431083252 LAGRNBLAFSJDNB-LBPRGKRZSA-N 402.428 4.932 5 20 HJBD CC(C)(C)OC1CC(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 432269211 JBHYLFBIJFHJRZ-UHFFFAOYSA-N 410.489 4.534 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)s1 440875860 PJQSGPMBOYTUHF-IUCAKERBSA-N 401.257 4.539 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441303724 QEVVUDFABRKCMO-JKSUJKDBSA-N 400.500 4.681 5 20 HJBD CCCN(C(=O)c1ccc(CNC(=O)c2cccs2)cc1)c1cccc([N+](=O)[O-])c1 441463260 JXKOWMZASGIVHS-UHFFFAOYSA-N 423.494 4.643 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)s1 444103597 CFSVJTIEGZZRMD-NSHDSACASA-N 424.320 4.854 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccc(N2CCCC2)c1 444250034 TUUGFODJZLPLNW-KRWDZBQOSA-N 411.505 4.773 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3nccc4ccccc34)no2)c(Br)c1 445525657 PGMISOXXITWDQD-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@H]3CCCOC3)no2)ccc1NCc1ccccc1Cl 445527145 DXFSBVDOBSGLBA-HNNXBMFYSA-N 414.849 4.804 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Nc1ccc(O[C@@H]2CCOC2)cc1 447676380 FEFKRFGSHZUDFB-GFCCVEGCSA-N 419.306 4.555 5 20 HJBD COc1ccc(CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccncc2)cc1 460368656 IIHWCNQPICTFBU-UHFFFAOYSA-N 403.394 4.592 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1nc2ccccc2n1CC 462508426 WGAOYCQEHADASM-AVRDEDQJSA-N 420.513 4.897 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CC[C@H](COCc3ccccc3)C2)c([N+](=O)[O-])c1 463079209 YEDGRHNTVHLNCK-FQEVSTJZSA-N 416.477 4.869 5 20 HJBD C[C@H](C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(Br)s1 463991909 CXWOFOFHEOPGGD-LBPRGKRZSA-N 411.321 4.661 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 464051818 HZRBEMQLHGBBNI-DYESRHJHSA-N 405.454 4.565 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1Cc2ccccc2C[C@@H]1c1ccccc1 464726260 RIASBTYOFFGBRS-JOCHJYFZSA-N 401.466 4.641 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(OCc2ccccc2F)cc1 468259209 CCISGJJZHFADBC-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cc(F)ccc3C)s2)c1 469882850 SOWXUQGWGHOWQW-UHFFFAOYSA-N 404.448 4.535 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 475862726 BUVXNRBHNUVMJI-FOIQADDNSA-N 420.868 4.556 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C1CC1 477236960 UXBSBKCXVFISEP-SNVBAGLBSA-N 407.268 4.655 5 20 HJBD CC[C@H](N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 482094337 CXEXZTMJSTYAED-JTSKRJEESA-N 410.518 4.653 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)c3cccc(SC)c3[N+](=O)[O-])oc12 485757456 NMIUQIUKTZEWSS-GFCCVEGCSA-N 400.456 4.953 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)c(NC(=O)c3ccncc3)c2)c1[N+](=O)[O-] 486233995 PIHCHCWXYGOQSP-UHFFFAOYSA-N 422.466 4.525 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(Cc3ccc(F)cc3)CC2)cc1SC 486631534 ULAPJKIUPPOCDQ-UHFFFAOYSA-N 418.490 4.559 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccccc1 489607029 SJXDNSGGIOWPEX-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1C(=O)N(C)C 489899474 LEXUPNVBSSNZIZ-UHFFFAOYSA-N 415.515 4.605 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 500873096 FKOHVOGHFAQLOC-AWEZNQCLSA-N 404.426 4.623 5 20 HJBD C[C@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1ccncc1F 509935002 QLAXONXUYWSERI-INIZCTEOSA-N 417.444 4.832 5 20 HJBD CC1(c2nc3ccccc3s2)CCN(Cc2nc3cc([N+](=O)[O-])ccc3o2)CC1 511127442 VOOGHZOKDWOKMW-UHFFFAOYSA-N 408.483 4.899 5 20 HJBD COc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1c(C)noc1C 513119907 GCGTWDAZJLMCKZ-CQSZACIVSA-N 411.458 4.638 5 20 HJBD COc1c(-c2nc([C@H](C)c3ccc(Br)cc3)no2)cccc1[N+](=O)[O-] 514970922 OFQYUNLHJMGEMW-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc(Cl)cc2[N+](=O)[O-])nnc1[C@H]1CCCNC1 518555312 UDPXKADZIVDJRK-JTQLQIEISA-N 416.334 4.692 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1 524571721 PITQYWGDAAANBB-UHFFFAOYSA-N 408.439 4.851 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 532488815 DFPSOVJDBNIISF-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)N1CCc2c(cccc2[N+](=O)[O-])C1 535301243 DWTUNGLENHODQE-UHFFFAOYSA-N 405.458 4.629 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 536481174 JWTFYLBWNXAOMA-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD COc1ccc(CN2CCC(OC(C)C)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 536646955 GDSPIFUBTRFOHR-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537124563 WVIMJCJCNIBYRN-LJQANCHMSA-N 410.474 4.921 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(Cn3cccn3)c2)c2ccc([N+](=O)[O-])cc2)o1 542480063 ZIRDABMCQAGJAV-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])cc1 548952538 PWQCMKLYZNLIBD-UHFFFAOYSA-N 413.474 4.508 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc3nc(C(F)F)sc3c2)cc([N+](=O)[O-])c1 552382826 HXRCZBULFJQELE-UHFFFAOYSA-N 421.381 4.571 5 20 HJBD Cc1cc(NC(=O)COc2ccc([N+](=O)[O-])c3cccnc23)ccc1-c1ccncc1 557462526 DQDQGIGQMCZMMX-UHFFFAOYSA-N 414.421 4.531 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 565501381 FCYARYJUNDDBOQ-QGZVFWFLSA-N 407.264 4.589 5 20 HJBD CCc1nc2ccccc2n1Cc1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 565506720 MTJXZHGQGSRKCL-UHFFFAOYSA-N 421.460 4.692 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 569537229 BIMHKYDTPYLMJO-INIZCTEOSA-N 421.453 4.601 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 579043923 PKJXDDOETYCYGK-UHFFFAOYSA-N 415.755 4.694 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1cccc([N+](=O)[O-])c1 581228398 BNDGPQDFDJMHBJ-NSHDSACASA-N 403.341 4.593 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)C(C)(C)c3ccccc3[N+](=O)[O-])CC2)c(C)c1 584328455 JSLZVEPOWVGSOS-UHFFFAOYSA-N 408.498 4.611 5 20 HJBD CN(C)Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 603575720 RIYJIYDZGSWQJL-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD COc1ccc(OCC(=O)N2CCC[C@H]2c2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 603902571 ZWDBLLGCQASREE-IBGZPJMESA-N 412.486 4.643 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608808062 NMYFFALWDHFGAA-JTQLQIEISA-N 400.765 4.564 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1(c2ccc(Cl)cc2)CC1 608948805 HXSRURULIQGZIR-GFCCVEGCSA-N 409.269 4.517 5 20 HJBD C[C@@](CO)(NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(C(F)(F)F)c1 609710587 BFFSUSPJHMVCSY-FQEVSTJZSA-N 420.387 4.871 5 20 HJBD O=C(CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NCCCc1ccccc1 609765157 MJBLJJFKISTCKC-UHFFFAOYSA-N 405.454 4.548 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1cccc(C(F)(F)F)c1 610036489 DTMXQYARLBAISR-SECBINFHSA-N 417.181 4.867 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(F)c(F)c2)CC1 613181507 BVVVANLJJAWEGW-INIZCTEOSA-N 402.441 4.848 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccc(C)nn2)cc1 613185104 JRRGBAWJYCFSSI-KRWDZBQOSA-N 406.442 4.693 5 20 HJBD O=C(O)c1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 617725488 YXTWAZBIXITYND-UHFFFAOYSA-N 404.419 4.719 5 20 HJBD O=C(NCc1ccccc1Sc1ccccc1)c1cc(F)c(F)cc1[N+](=O)[O-] 730510861 LKJHJBBRBXDEGO-UHFFFAOYSA-N 400.406 4.954 5 20 HJBD CC(C)c1ccc(-c2noc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1 730647048 UEACJULIYAUDIS-UHFFFAOYSA-N 401.806 4.779 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@H]2C[C@@H]2c2cccc3ccccc23)cccc1[N+](=O)[O-] 730881404 ZXGARGBPAVEZQS-BEVDRBHNSA-N 418.449 4.730 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nc2ccccc2n1C(F)F 733366392 QMRKDPBGEBTCHJ-JTQLQIEISA-N 400.341 4.739 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H](C)c3cccnc3)cc2[N+](=O)[O-])n1 733395992 WSGHCTJUFZJOCM-GFCCVEGCSA-N 401.469 4.824 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 734851456 AJAQIFCEFVUBMI-LJQANCHMSA-N 408.433 4.792 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1cc(Cl)cc([N+](=O)[O-])c1 736681785 ZVQQHJQLYFODAQ-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 737607895 BIANIKZGQPQQEJ-KGLIPLIRSA-N 403.276 4.684 5 20 HJBD CCc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cc1[N+](=O)[O-] 746511204 SYRNJVSWDHENFA-UHFFFAOYSA-N 403.410 4.896 5 20 HJBD Cc1c(C(=O)N(Cc2ccccn2)c2ccc(F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748623571 LELRBUWLKOLJQG-UHFFFAOYSA-N 424.388 4.501 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750887167 OZDDQZNHISEUDG-CYBMUJFWSA-N 412.671 4.629 5 20 HJBD CCc1cc(N2CCC[C@@H]2C[C@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 751119560 VGWIZPPGXONQLP-MOPGFXCFSA-N 424.526 4.768 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)c(C)c2c1 751642078 DKQJMENFFVUPLR-UHFFFAOYSA-N 422.393 4.669 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])ccc21 757645825 GDDLUVWPKIPYAJ-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD Cc1cc(F)cc(C(=O)Oc2ccc(NC(=O)c3ccsc3)cc2)c1[N+](=O)[O-] 758474243 OHHJDUUDUPTXQP-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760497430 JLVCAWSRPXGKCF-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(CSc2nc3ccccc3s2)n1 761968843 MFUMUROFGWXBDL-UHFFFAOYSA-N 413.484 4.534 5 20 HJBD C[C@H](c1nccs1)N1CCN(C(=O)c2c3ccccc3cc3ccccc23)CC1 766748664 AFVSMZASDMLVQR-QGZVFWFLSA-N 401.535 4.969 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c2c(C(C)C)noc2n1 770322078 FDFUMKHIHHLTCX-UHFFFAOYSA-N 419.235 4.578 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCC[C@H](C(F)(F)F)C1 773399812 CPEGZBSLHVEAAQ-QWRGUYRKSA-N 410.186 4.769 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)nc1 777034267 NXRGSONAVWJNBQ-UHFFFAOYSA-N 410.293 4.897 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(CNC(=O)OC(C)(C)C)cc2)c1[N+](=O)[O-] 777840009 KYVKDYINDSWBCO-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD C[C@@H](OC(=O)c1ccccc1CSC1=NCCS1)c1ccccc1[N+](=O)[O-] 781887599 DXALWUQNRMYMSK-CYBMUJFWSA-N 402.497 4.849 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 782230210 DYGCEJNJFQRSAN-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(NC(=O)c2ccncc2)cc1 783637910 LUVFQYBHQPZEEG-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccc2C(=O)N[C@@H](C)c2ccccc2)c1F 788124935 QXOIKHRLPGZIKC-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])ccc1OC(C)C 790529918 IRHUSTIUJCOOGY-LBPRGKRZSA-N 424.856 4.603 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]c2c1 797635107 CBBFAZQTICCQTP-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)cc1F 799409681 LSENPDIJFMEDNI-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD Cc1ccccc1[C@H](O)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799692479 JFVOFPNYOYFXFB-LJQANCHMSA-N 408.479 4.518 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)[C@@H](F)c1ccc(C(F)(F)F)cc1 802110578 YSRKHQPOFMLDCY-FMKPAKJESA-N 415.343 4.548 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)c1F 803459521 YKXNBVZVPGCUSG-AWEZNQCLSA-N 402.422 4.658 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 812926860 OOIJSKHYUPKKSY-UHFFFAOYSA-N 417.632 4.984 5 20 HJBD CC(C)(C)c1ccc([C@H](O)CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813483477 XSLLJLQNUXYWFS-MRXNPFEDSA-N 411.285 4.663 5 20 HJBD Cc1c(C(=O)N(CCc2ccc(F)cc2)C2CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914519761 QEFAPJCAFXVINF-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 920004439 KHYFREPVMHJCHP-UHFFFAOYSA-N 415.405 4.566 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1csc(Cc2ccc(Cl)cc2)n1 1317822543 SBVYBEKNEPSXHS-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1nc(-c2c[nH]c3ccccc23)cs1 1318124212 TWIKNFIHUCWGBJ-UHFFFAOYSA-N 417.450 4.793 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(-c3nnc(-c4ccc(Cl)nc4)o3)cc2[N+](=O)[O-])C1 1322557383 OFZHBOPSQFGODN-CHWSQXEVSA-N 413.865 4.843 5 20 HJBD O=C(CSc1ccc(F)c(F)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 5565188 PCSRYVYQUZPQDT-UHFFFAOYSA-N 407.423 4.727 5 20 HJBD Cc1ccc(OCC(=O)NCCC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 7462381 MLEPRDPENTUTFE-UHFFFAOYSA-N 404.466 4.620 5 20 HJBD O=C(Nc1ccc2c(c1)OCCCO2)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 15618932 BAZRTRNVDSVFMY-UHFFFAOYSA-N 419.437 4.621 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CN1CCN(c2ccccc2[N+](=O)[O-])CC1 16565548 BTZAOBQAHNTFPT-UHFFFAOYSA-N 424.545 4.602 5 20 HJBD CC(C)C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 16967486 MLOOZQOUVDPNNB-LJQANCHMSA-N 407.474 4.563 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Br)cc1F 16987494 VGVXMDOVBXBFPI-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n(CC(C)C)c1C 21432489 ZUMOPRJLWRLQCY-ZDUSSCGKSA-N 410.927 4.837 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])C1 48205325 AJQIYBPKEMTLAT-OAHLLOKOSA-N 406.442 4.738 5 20 HJBD O=C(NCCOC1CCCC1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 195571842 AFOFOPXYQAQJEO-UHFFFAOYSA-N 417.893 4.549 5 20 HJBD CCCn1nccc1NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 237006963 IDJJWCPRUHAOBA-UHFFFAOYSA-N 402.458 4.666 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCOc4c(Cl)cccc43)cs2)c1 237895210 NNBCKIMWKZUTEI-KRWDZBQOSA-N 401.875 4.985 5 20 HJBD O=[N+]([O-])c1ccccc1N1CCC[C@@H]1c1nc(-c2ccccc2Br)no1 302614672 CDLMPWSXIAWYID-MRXNPFEDSA-N 415.247 4.749 5 20 HJBD O=C(O)[C@H](CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)Cc1ccccc1 426659805 IVGUPMFYPYUXLX-GOSISDBHSA-N 410.495 4.747 5 20 HJBD CC1(C)C[C@H](NCc2ccc(NC(=O)c3cccnc3)cc2)c2cc([N+](=O)[O-])ccc21 433149333 CYGXBSWLXATMAJ-QFIPXVFZSA-N 416.481 4.754 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(-c3ccc4ccccc4c3)n2)c1[N+](=O)[O-] 433942599 QVLHLLBSXKHDAR-UHFFFAOYSA-N 401.426 4.909 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436141085 MJNIYMFJVKFKOR-GOSISDBHSA-N 422.843 4.727 5 20 HJBD CCC[C@](C)(O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440552305 FGUMPXKWUAHYKM-IBGZPJMESA-N 408.907 4.680 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)s1 440865956 YTYDSNCRRDSTIM-ZETCQYMHSA-N 421.219 4.511 5 20 HJBD Cc1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cnn1CCC(C)C 444236173 HZRXJOZUYCSXBT-UHFFFAOYSA-N 406.461 4.624 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444248481 UKPFZVAVNFQNJJ-XDHUDOTRSA-N 412.489 4.579 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 446005163 XFHSUADDFKYWTQ-PKOBYXMFSA-N 421.419 4.502 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3ccc([N+](=O)[O-])c(C)n3)C2)n1 447670700 SUDWBDBYFHUDEX-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD O=C(c1ccc(Oc2cccnc2)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460366966 UNJDZTROWFTJLQ-NRFANRHFSA-N 407.451 4.670 5 20 HJBD O=[N+]([O-])c1ccc(NCCOc2ncc(C(F)(F)F)cc2Cl)c2ncccc12 462883147 IUKSIXUFBBMDAL-UHFFFAOYSA-N 412.755 4.701 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 463916050 PPQLAXFLHZCMIQ-DJJJIMSYSA-N 407.873 4.571 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)nc1 464691492 ZCEXPWKZJNQPRL-UHFFFAOYSA-N 413.817 4.721 5 20 HJBD O=C(CCCc1nnc(-c2ccc(Cl)cc2Cl)o1)Nc1cccc([N+](=O)[O-])c1 468566716 UDRIWZCYXCUUDZ-UHFFFAOYSA-N 421.240 4.913 5 20 HJBD O=C(NCc1ccc[nH]1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 468824952 FSYKISZLLNBQGA-UHFFFAOYSA-N 410.480 4.614 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)nc1-c1ccc(Cl)cc1 479203311 CTXKFNBVEUHKAA-JTQLQIEISA-N 404.879 4.510 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(F)(F)F)cc2)cc1SC 481994056 TXQAEYVOHHMVJP-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD CCC[C@H](N[C@@H](C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(N2CCCC2)c1 482035549 ANOZGLKUFXUEKS-JTSKRJEESA-N 410.518 4.653 5 20 HJBD O=C1CCCN1Cc1ccccc1CNc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485397982 DPZOOPZJSYPCDP-UHFFFAOYSA-N 420.444 4.530 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1sc(Br)cc1[N+](=O)[O-] 486164733 LYUWHHLDWMIJFO-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD C[C@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488710232 DUVNTCYCOOIZEC-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD CCOc1cc(CN2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 492531013 FPPPSRGQXKPBFA-CYBMUJFWSA-N 415.437 4.848 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 493156374 OKQYAZIPZSVFPB-UHFFFAOYSA-N 423.513 4.518 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCCc3cc(OC(F)F)ccc32)c1 499971193 GXRWLFARAFZGQQ-INIZCTEOSA-N 408.426 4.726 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(-c3ccccc3Cl)[nH]c2c1 500301138 FRJYOZCCODGNOP-UHFFFAOYSA-N 407.817 4.626 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 500410862 VAFMEUFRAMBOSC-UHFFFAOYSA-N 403.394 4.581 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccnc(-c2ccccc2)c1 500426020 RJCCAZOGGGDEGI-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD Cc1c(C(=O)Nc2cccc(COCCOc3ccccc3)c2)cccc1[N+](=O)[O-] 502686016 IVHUJERZOCHYES-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD O=C(c1cc(NCc2ccnc(OC3CCCCC3)c2)ccc1[N+](=O)[O-])N1CCCC1 503575653 HXODUCHDBCIIES-UHFFFAOYSA-N 424.501 4.549 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2F)n1 506979792 RJSWYXVBGWJVAZ-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD COc1cc(OC)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Cl 510033416 HHXNDZCOMLTNSJ-UHFFFAOYSA-N 407.854 4.708 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccccc3COc3ccccc3)n2)cc1 511102247 QYVDWARAPHJVCD-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1CCCN(C2CCC2)C1 511291016 ULKYUHMCYZCXSV-IAGOWNOFSA-N 419.591 4.870 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 515797424 ZYDMUZHCGZJDSD-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD Cc1ccc(NC(=O)CC2(CC(=O)Nc3nccs3)CCCCC2)cc1[N+](=O)[O-] 518025632 LJVOIXRKKDENIG-UHFFFAOYSA-N 416.503 4.668 5 20 HJBD O=C(NC1CC1)c1ccc(NCCOc2ccccc2-c2ccccc2)c([N+](=O)[O-])c1 519980210 MOKXSNUQIGHTAF-UHFFFAOYSA-N 417.465 4.645 5 20 HJBD C[S@@](=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 523720324 AZYPHPVHNMIEJA-GDLZYMKVSA-N 410.451 4.518 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1ccc([N+](=O)[O-])cc1Br 525644815 QCVXRVKALCYLPO-IGCXYCKISA-N 403.276 4.764 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@@H](C)c2ccccc2[N+](=O)[O-])c1 525760761 HOOKHHFDABFDQG-INIZCTEOSA-N 421.453 4.794 5 20 HJBD O=C(Nc1ccccc1Oc1cc(F)cc(F)c1)c1cc2c(cc1[N+](=O)[O-])OCO2 532097222 HZNAHMUKZMTUCJ-UHFFFAOYSA-N 414.320 4.646 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)cc2)cs1 533884440 JCHIQAANGOCPSI-ZDUSSCGKSA-N 420.494 4.928 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CCN(Cc4ccccc4)C3)CC2)c2ncccc12 534973909 FYAOPEDPMDBSDV-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 536195496 WBLNHMUQEKAJQB-UHFFFAOYSA-N 408.458 4.550 5 20 HJBD CC[C@@H](N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539253887 IKDYLXYJEOUETG-DZGCQCFKSA-N 407.417 4.573 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)c1 540799302 FPSDDGAAMHBMQW-UHFFFAOYSA-N 406.423 4.772 5 20 HJBD COc1ccc(-c2csc(CNC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)c2)cc1 541580258 ZYWLHHAHFNKFMM-CYBMUJFWSA-N 414.458 4.891 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)Nc1cc(Cl)ccc1OC[C@H]1CCCO1 541783434 VWRAOHQKFNDBBM-CYBMUJFWSA-N 409.801 4.589 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(CSc3ccc(Cl)cc3)no2)c1 546944800 HYGZBVHCQZTPEM-UHFFFAOYSA-N 400.847 4.927 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4ccc5cc[nH]c5c4)no3)cc2)c(C)c1[N+](=O)[O-] 547046394 FSCKPYMEFYWUBH-UHFFFAOYSA-N 414.425 4.655 5 20 HJBD O=[N+]([O-])c1cccc(F)c1S(=O)(=O)N(Cc1ccc(Cl)cc1)c1ccccc1 554073282 XCOILJGTZSSTFY-UHFFFAOYSA-N 420.849 4.783 5 20 HJBD CC(C)c1ccc(O[C@H](C)CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 554372162 JJUPLVQQEFWQQN-VGOFRKELSA-N 408.502 4.598 5 20 HJBD Cn1ccnc1[C@@H](NCC[C@@H](c1ccccc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 556217600 NZBRTHCSJQAQCM-OALUTQOASA-N 418.419 4.744 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(c4cccc(F)c4F)CC3)o2)c1 571493927 GEGNWSUKBCBXNR-UHFFFAOYSA-N 413.424 4.950 5 20 HJBD C[C@H](C(=O)Nc1ccc2cccc(Br)c2n1)c1cccc([N+](=O)[O-])c1 577810260 XYBMIQNZGQPLJZ-NSHDSACASA-N 400.232 4.648 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(CCc3ccccn3)Cc3ccncc3)o2)cc1 578470817 USVWZKMERMQLGM-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc2NC1=O 584542763 HAPFPWSGGOZBCF-GTNSWQLSSA-N 423.391 4.574 5 20 HJBD C[C@@H]1CCC[C@H](NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 603508800 OFVYFUDWAVJPLD-XLIONFOSSA-N 418.497 4.685 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](C)c2ccccc2Cl)cc1[N+](=O)[O-] 603568644 AKNHBHBEJSRGGT-CYBMUJFWSA-N 408.907 4.710 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N(C)Cc2ccccc2[N+](=O)[O-])o1 603569785 SSPVUGFWMUTOGN-UHFFFAOYSA-N 416.437 4.621 5 20 HJBD C[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 608820227 TYZVRZIRLHFBFG-YOEHRIQHSA-N 417.893 4.548 5 20 HJBD O=C(N[C@@H]1CSc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609796195 RHCOYYKAVMKGNT-LJQANCHMSA-N 405.479 4.784 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(Oc2ccncc2)c1 610099189 JIHFLVJFLNJSEZ-UHFFFAOYSA-N 406.442 4.927 5 20 HJBD COc1cc(C(=O)N2CC[C@H](C)Sc3ccc(Cl)cc32)cc([N+](=O)[O-])c1OC 610171749 SBUJTJRMTAXHIM-NSHDSACASA-N 422.890 4.797 5 20 HJBD CC[C@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])C(C)(C)c1ccccc1 610177323 DKTUZQXTIYNTDA-IBGZPJMESA-N 402.516 4.812 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1c(Cl)cccc1Cl 726028169 HUZPVLBYVCTWGX-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD CCc1ccc(OC(=O)c2csc(COc3ccc(F)cc3)n2)c([N+](=O)[O-])c1 734737943 WPRDHZKHHBNPHM-UHFFFAOYSA-N 402.403 4.551 5 20 HJBD C[C@@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)[C@@H]1c1ccccc1 735646630 YSUDDBHMZIIQSB-SJCJKPOMSA-N 421.316 4.676 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCc1cc(Br)cs1 736158249 KKCFGQGRLNPVQR-UHFFFAOYSA-N 403.685 4.952 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1F 739632623 YAQDGUDKESSGHA-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD O=C(Oc1ccc(OC(F)(F)F)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 744331720 BQXLNGXZUUCBGK-UHFFFAOYSA-N 410.329 4.836 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)c2)[nH]c1C 749584044 VXFWZRBKWCGCCM-UHFFFAOYSA-N 419.485 4.844 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750187190 ZVFALINHBICHTI-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cc1F 752872625 YGQCMQOIWQUUEN-UHFFFAOYSA-N 405.401 4.513 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc(Br)c(F)cc1F 758389059 SUWYLAMPBLJVHE-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD Cc1cc(F)cc(C(=O)NC2CCN(c3ccc(C(C)(C)C)cc3)CC2)c1[N+](=O)[O-] 758449813 RQZONPKMNAEUQF-UHFFFAOYSA-N 413.493 4.739 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c(C)c1 766228495 FBDJZZLJYKWVBY-UHFFFAOYSA-N 421.453 4.644 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1cc2ccccc2c2cccnc12 772321645 BRMASVVTTILOIG-SJLPKXTDSA-N 419.525 4.906 5 20 HJBD CO[C@@H]1Cc2ccc(Nc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])cc2C1 774669769 FUNRVEKJFCBDFZ-GFCCVEGCSA-N 403.307 4.501 5 20 HJBD CCOC(=O)Nc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776137246 YSEZHTABATZJCI-FQEVSTJZSA-N 421.409 4.505 5 20 HJBD CCOc1ccc(N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1Br 777637502 ILSBIVAJJBCVJB-LBPRGKRZSA-N 422.279 4.504 5 20 HJBD Cc1ccc(OC(=O)c2coc(-c3ccc(Br)cc3F)n2)c([N+](=O)[O-])c1 779194279 LCWSOOUYDZVKOW-UHFFFAOYSA-N 421.178 4.679 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)nc1C 780030898 IBLBIYDAQPHLKU-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1F 780407030 DIAHBRBQNJAKOE-UHFFFAOYSA-N 403.457 4.917 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccc(OCc2ccncc2)cc1 785952238 QXZXBBDFOOCESC-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)C(=O)c2ccccc2Br)c1[N+](=O)[O-] 789102169 QSZXIOIJLQPFAA-JTQLQIEISA-N 424.272 4.507 5 20 HJBD CC(C)(C)C(=O)NC1CCC(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])CC1 789413159 RLWYQQWHPJQRCZ-UHFFFAOYSA-N 424.497 4.888 5 20 HJBD CC(C)(C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)C(F)F 791454972 MDNABKFITVQTFT-UHFFFAOYSA-N 403.337 4.565 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1 803060561 YYXLKHQLHHGYCH-WDEREUQCSA-N 400.378 4.794 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 804412633 WWZYGJJXNKTQJJ-HXOBKFHXSA-N 422.456 4.600 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812975076 YVSKQEJVOVTTOJ-KRWDZBQOSA-N 402.237 4.816 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813243382 OGINMOTTZRHAHT-UHFFFAOYSA-N 423.231 4.846 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 813292338 OXOZWHMMRGBPFG-JOCHJYFZSA-N 416.433 4.864 5 20 HJBD CSc1ccccc1CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 813306086 SOJHBDQUDJZIRO-UHFFFAOYSA-N 400.481 4.726 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 814331646 MQMILCYJOYKBEU-INIZCTEOSA-N 403.276 4.549 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 921065479 FLAIPLHXEHVKDZ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD C[C@@H](Sc1nc2ccccc2o1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 5628836 XUUGZCDKHPUCBE-SECBINFHSA-N 411.361 4.874 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c(F)c1 26580915 ZEXBCVTVQNZOMZ-AWEZNQCLSA-N 421.497 4.654 5 20 HJBD CCCOc1cc(NC(=O)Nc2cnn(C(C)(C)C)c2)c([N+](=O)[O-])cc1OCCC 62380136 OTAITCCAKJPCFY-UHFFFAOYSA-N 419.482 4.768 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1cc([N+](=O)[O-])ccc1OC 96980279 GNLHRDLTZJTCHQ-UHFFFAOYSA-N 416.865 4.719 5 20 HJBD O=C(CSc1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106189582 QDMPZYWROFBOBW-UHFFFAOYSA-N 418.824 4.931 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 248122966 NRAWAIYRTJNKOC-HNNXBMFYSA-N 406.432 4.653 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O 301377538 RZCJOZUDQNNHBP-QGZVFWFLSA-N 424.501 4.538 5 20 HJBD Cc1cc(N2CCC[C@H]2C[C@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301833366 SBLGBJRMPRQGDQ-ROUUACIJSA-N 410.499 4.514 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)COc3ccccc3[N+](=O)[O-])c(C)c2)c1 303322224 VGSPHHPUZWETHJ-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD O=[N+]([O-])c1cnc(N(Cc2ccccn2)Cc2ccc(Br)cc2F)s1 409728894 CAYHOXJCOZRQGB-UHFFFAOYSA-N 423.267 4.555 5 20 HJBD COc1ccc(CNC(=O)c2cn(Cc3ccccc3)c3ccccc23)cc1[N+](=O)[O-] 409948824 RHIQSWGFLDKGDS-UHFFFAOYSA-N 415.449 4.536 5 20 HJBD Cc1cc([C@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C(=O)O)ccc1Cl 426781922 DXDZPRWAACYKDR-KRWDZBQOSA-N 417.874 4.596 5 20 HJBD Cc1cc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)ccn1 432691603 ZUTFAODSACUMSO-CQSZACIVSA-N 402.414 4.583 5 20 HJBD CC(C)c1ccc(C(=O)N(C)CCCc2cc(-c3ccccc3)n[nH]2)cc1[N+](=O)[O-] 439408753 HARSFCKGBNUEGJ-UHFFFAOYSA-N 406.486 4.813 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 444902989 NATDEARLKNVANV-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)c12 445477761 BPRSWFQAIPWGNE-UHFFFAOYSA-N 418.438 4.630 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccc2c(c1)OCCCO2 446424242 BZPVXKDIDYQPJZ-UHFFFAOYSA-N 420.421 4.587 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC1(c2cccc(OC(F)(F)F)c2)CCOCC1 446830579 UJCMYXMBYMVOHL-XQRVVYSFSA-N 422.403 4.802 5 20 HJBD Cc1c(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cccc1[N+](=O)[O-] 463185891 RDYYPAFMNDIDGP-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CCC(Oc2ccccc2Cl)CC1 467227169 DYJABFKNNIWRGA-UHFFFAOYSA-N 424.284 4.655 5 20 HJBD C[C@@H](N[C@@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 467840291 UFFOTCQEKBDJFC-KUHUBIRLSA-N 421.419 4.628 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 467842436 YJDIQHLEHVLOBM-MQMHXKEQSA-N 418.280 4.988 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@@H](c4cccc(Cl)c4)C3)cs2)c1 468689957 WLWXLUTZERSRCE-LJQANCHMSA-N 415.902 4.945 5 20 HJBD CCOC(=O)COc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 477965739 QFWYEEPBTVYJRW-UHFFFAOYSA-N 400.434 4.582 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485491958 NYGXAVWRRSEKHN-KOLCDFICSA-N 413.268 4.576 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485614437 NGPUFTDROMLEEK-UCNVEGJOSA-N 417.465 4.647 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(OC)ccc1Br 487008748 GTZLAAYHNCUYCZ-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCc1ccc(C(=O)[C@H](C)Sc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)cc1 489449188 SXGRENSABKJGGA-AWEZNQCLSA-N 410.499 4.799 5 20 HJBD CC(C)c1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cnn1-c1ccccc1 490336093 OOPDBTXASDWWAX-UHFFFAOYSA-N 401.426 4.704 5 20 HJBD O=C(N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 493325341 XTPUBHSCFJEQSN-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD CC1(C)CN(C(=O)c2ccccc2[N+](=O)[O-])C[C@@H](c2cccc(C(F)(F)F)c2)O1 497851675 GNIBRAPIWUWPRZ-KRWDZBQOSA-N 408.376 4.606 5 20 HJBD CN(C)Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 500510760 OFNBSCIQVGJQJT-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3)s2)cc1 506316850 PRZCPSRAQOYMGR-CYBMUJFWSA-N 412.471 4.918 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 506651354 IGAIDGHVYMMXSL-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD COc1cc(CN2CCc3sccc3[C@H]2c2cccs2)c([N+](=O)[O-])cc1OC 506719437 NICLTUXUKKUBIV-FQEVSTJZSA-N 416.524 4.883 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] 507549247 JYFHTYJIFAVLNC-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)c([N+](=O)[O-])c1 508017910 SNPFCKLVFKNXGV-INIZCTEOSA-N 416.524 4.917 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 511485864 CMQNGRVXQSZMJU-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD O=C(Nc1nncn1-c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 512044988 DDNLEFQHPZZJRO-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD CCOc1ccccc1Nc1nnc(SCC(=O)c2cccc([N+](=O)[O-])c2)s1 514705717 FXTKYJCBVQJAKF-UHFFFAOYSA-N 416.484 4.564 5 20 HJBD CC(C)COCCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 517540780 GXXMVUXRPUENHD-UHFFFAOYSA-N 411.296 4.770 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccc(Br)c3)o2)c([N+](=O)[O-])c1 518277207 MKOAOBUJRWQRPD-UHFFFAOYSA-N 417.259 4.916 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ccc(OCc3cccnc3)cc2)no1 518343304 AVFRXALAMMZVLS-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C 519132409 TVEBCFPSWRLQPX-NSHDSACASA-N 415.446 4.665 5 20 HJBD C[C@H]1CCC[C@@H](OCc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)c2)C1 520141080 XVZIJUVEESFKEH-WMLDXEAASA-N 412.442 4.671 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CSC3CCOCC3)c2)c1 522478372 JLRPQSJVJAEIPV-UHFFFAOYSA-N 418.540 4.981 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)Cc3ccccc3C(F)(F)F)co2)cc1 534061718 XVTCCBCCKHQZLI-MUUNZHRXSA-N 410.373 4.718 5 20 HJBD Cc1ccc(-n2cnnc2SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)c1 534789791 LZGWIMWOUKWMJZ-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=C(c1csc([C@@H]2CCCO2)n1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 535211087 PUBGVMXCIRVZMI-IBGZPJMESA-N 409.467 4.750 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)CSc1ccccc1[N+](=O)[O-] 541289613 BINWQTQOBWPSIY-UHFFFAOYSA-N 412.496 4.575 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NCC(=O)Nc1c(C)cccc1[N+](=O)[O-] 543454631 GJHDIESNSLDTCD-SFHVURJKSA-N 409.511 4.785 5 20 HJBD Cc1[nH]nc2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC3CCCC3)cc12 543538869 CLURBUHFFSDKPK-UHFFFAOYSA-N 411.487 4.709 5 20 HJBD O=C(Nc1cc(-c2ccc(Cl)s2)[nH]n1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 543820196 LKSFEDVIMJFCSF-UHFFFAOYSA-N 414.777 4.554 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(-c2ncc[nH]2)c1 544054543 PZRDLIJRWPRJPF-UHFFFAOYSA-N 410.499 4.807 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 545809335 FBTLPWZSDOUQGX-OCKNTNFFSA-N 416.231 4.647 5 20 HJBD CN1CC[C@@H](c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)c2ccccc21 547061177 YIFIXBNZJSGKHE-GOSISDBHSA-N 422.488 4.558 5 20 HJBD COc1cc(Cc2noc(/C=C(\c3ccccc3)C(F)(F)F)n2)ccc1[N+](=O)[O-] 547177344 TZSKNYCLNBKZOO-SDNWHVSQSA-N 405.332 4.680 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)c1 549208080 HYZOACZVDVQSIN-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD COc1ccccc1C1(c2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)CC1 560027544 FDFMIEISCSTBCB-GFCCVEGCSA-N 415.833 4.860 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 561082819 MYGOKOMORWJVLF-JTQLQIEISA-N 418.343 4.657 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 561135774 JQJMYDLYLUHVGN-HBMCJLEFSA-N 405.498 4.603 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2cccnc2)cc1 571717117 RWKFYVXQYORMNV-UHFFFAOYSA-N 406.442 4.927 5 20 HJBD COc1cc(CN[C@@H]2c3ccccc3O[C@@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 584802655 GJQXFBQBCURYSH-GYCJOSAFSA-N 420.465 4.794 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@H](CO)Cc2ccccc21 590405478 GTFQYEPJUPLYCH-MRXNPFEDSA-N 420.490 4.557 5 20 HJBD Cn1c(S[C@@H]2CCCc3ccccc3C2=O)nc2cc3ccccc3cc2c1=O 597138452 YBKWZAOMKIBJHO-OAQYLSRUSA-N 400.503 4.767 5 20 HJBD O=C(CSc1ncc(-c2ccc(Cl)cc2)o1)N1CCc2ccc([N+](=O)[O-])cc21 598951937 WQRKJCOJLHJYKU-UHFFFAOYSA-N 415.858 4.585 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2OC)c2ccccc2)cc1 603623023 LZFOJSFOEOTHTJ-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD CCOC(=O)c1sc(N(C(=O)c2csc([N+](=O)[O-])c2)c2ccccc2)nc1C 603755840 FEMPYPXANPHGPH-UHFFFAOYSA-N 417.468 4.576 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nc2ccc(C(F)(F)F)cc2[nH]1 603938082 LVVUABAQFMUEHQ-UHFFFAOYSA-N 410.377 4.529 5 20 HJBD COc1ccc(CNC(=O)[C@@H](C)Sc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 604018533 SJLCRJRLMGOITA-SNVBAGLBSA-N 415.298 4.707 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 604295419 TUIQSKBGXPFBEQ-UHFFFAOYSA-N 408.458 4.554 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2cc(Cl)ccc12 604487543 PIGDRLYNGBUKTB-LBPRGKRZSA-N 403.891 4.569 5 20 HJBD CN(Cc1ccccc1)CC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 608963343 BFQWLFIZBUKUQF-UHFFFAOYSA-N 423.538 4.794 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 609754240 IFVLYHNILYIPIY-UKRRQHHQSA-N 405.292 4.803 5 20 HJBD COc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c(Br)c1 609858952 VRMXWKLTLTUQIA-UHFFFAOYSA-N 419.275 4.955 5 20 HJBD COc1ccc(N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C(C)C)cc1F 610043756 JTIQZKYOUXRKGG-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1ccc([N+](=O)[O-])cc1Br 610045434 HFFMPORPPKCCSJ-UHFFFAOYSA-N 409.255 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)CC(C)(C)C)ccc1Cl 610220876 BXYSNTMODSPGND-UHFFFAOYSA-N 419.865 4.884 5 20 HJBD CCCOc1cc(NC(=O)N[C@H](C)c2cnccc2C)c([N+](=O)[O-])cc1OCCC 611302166 VGBGQQOQPHOHDC-OAHLLOKOSA-N 416.478 4.759 5 20 HJBD Cc1ccc(Cl)c(OCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 611400693 DODKCFFSJJPIGM-UHFFFAOYSA-N 417.874 4.722 5 20 HJBD O=C(NCc1ccc(OCC(F)(F)F)nc1)c1cc2ccccc2c2cccnc12 613747321 LMFMREPEGQYASO-UHFFFAOYSA-N 411.383 4.654 5 20 HJBD O=C(Nc1cccc(CSC2CCCC2)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 740803156 TYCOJKMOROCLRT-UHFFFAOYSA-N 401.444 4.931 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC([C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 743842854 NCTYLHAWQMTGJH-LPHOPBHVSA-N 403.523 4.767 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 751152539 PBVOIENQUDAQJX-LJQANCHMSA-N 407.514 4.769 5 20 HJBD COc1cc(CSCc2ccc([N+](=O)[O-])cc2)c([N+](=O)[O-])cc1OC(F)F 753942131 CNNLUMVYKNNQAU-UHFFFAOYSA-N 400.359 4.546 5 20 HJBD COc1cccc([C@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccncc2)c1 754465735 QPWPLVUEAZLJDS-SPLOXXLWSA-N 410.401 4.574 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)n1 754984635 DJDXKCALTOXEMM-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)ccc1NC(=O)OC(C)(C)C 761344825 OYPGJWSMLMEUQD-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD Cc1cc(Br)cc2c1N(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)CCC2 763449009 BPCIMLKCKJNQSN-UHFFFAOYSA-N 411.202 4.537 5 20 HJBD CN(C)C(=O)Sc1cccc(COc2c(Br)cccc2[N+](=O)[O-])c1 769871582 IZQGJPMSUWRBQU-UHFFFAOYSA-N 411.277 4.710 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)s1 771224003 JGDIEMQTEMCWAW-LLVKDONJSA-N 411.226 4.879 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)c2nc(O)c3c(C)c(C)sc3n2)c1[N+](=O)[O-] 777074299 VMJPGHTVURMNLN-VIFPVBQESA-N 419.484 4.562 5 20 HJBD O=C(Nc1cc(Br)cnc1Cl)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 787949546 LIOCMCUULQJZFT-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ncccc2OCc2cccc(Cl)c2)c1F 788304679 GZZLMLKAPCXRIG-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD COc1ccc(-c2nc(COc3cc(F)c(Br)cc3[N+](=O)[O-])co2)cc1 790292488 MECJVFDGEOUEHY-UHFFFAOYSA-N 423.194 4.739 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])o2)cc1 790747238 WNKJODLCMXFEJY-NSHDSACASA-N 419.796 4.593 5 20 HJBD CC1(C)CC(=O)c2cc(OC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2O1 797166706 UZKVJHXXCFDSDS-UHFFFAOYSA-N 424.434 4.681 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCC(F)(F)F)c(F)c1 800497876 UTWQCWNNRNBEIR-NSHDSACASA-N 415.343 4.504 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 811805777 TXEQAKVQWBCQCX-GFCCVEGCSA-N 409.364 4.613 5 20 HJBD CC(C)(CCc1noc(-c2ccccc2OCc2ccccc2Cl)n1)[N+](=O)[O-] 812815096 YIFODZKWFKBYHV-UHFFFAOYSA-N 401.850 4.957 5 20 HJBD Cc1ccc(N(C)C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(Br)c1 813309920 HVXLIULSXVVFSO-GFCCVEGCSA-N 409.305 4.809 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2nnc(-c3ccc(Br)s3)o2)cc1 841086595 BNCRHMKVTNGFCP-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD CCOCCOc1ccc(Br)cc1NCc1cccc([N+](=O)[O-])c1C 864022441 ONYQUPYHQBYGPK-UHFFFAOYSA-N 409.280 4.693 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccco2)c(-c2ccco2)s1 880117338 MTTSMQSYPMUBKJ-UHFFFAOYSA-N 424.438 4.890 5 20 HJBD Cc1c(OC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)cccc1[N+](=O)[O-] 917048412 JLOFMTRVGPUVOB-UHFFFAOYSA-N 408.458 4.808 5 20 HJBD CCC/C=C/C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 919241885 DIBQXNRCTMCGON-CEQQRPIWSA-N 402.834 4.828 5 20 HJBD COc1cc(-c2nnc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)o2)cc(OC)c1OC 1117184714 GMUJUPKGDJDGTQ-UHFFFAOYSA-N 413.430 4.635 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(F)cc1OC(F)F 1320498461 GNTWSXNOVKZCCT-JTQLQIEISA-N 414.355 4.629 5 20 HJBD CC(C)(C)c1nn(-c2ccccc2)cc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1323965018 UCAKEIHQEDMCAY-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD CC(C)c1ccc(C(=O)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 10722961 JVLTYJVKFVIYLC-UHFFFAOYSA-N 413.473 4.704 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 14576043 AXMCONAFKSSVNO-UHFFFAOYSA-N 408.483 4.961 5 20 HJBD C[C@H]1CCCC[C@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15797015 SAJYDZXDOJYVPT-XJKSGUPXSA-N 413.440 4.525 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)c1cccs1 20751475 FJGUXKKRBQXXEB-QGZVFWFLSA-N 416.842 4.847 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(SCc3cccnc3)cc2)c1 31535050 JTYYMMGWPCDLAV-UHFFFAOYSA-N 423.494 4.998 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1COCc1ccccc1 46150392 SXIXEGCIVRIVCC-UHFFFAOYSA-N 419.481 4.653 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 53584334 BGQRSUGTHQHUMU-LBPRGKRZSA-N 417.512 4.603 5 20 HJBD CC(C)c1ccc(CNCc2cccc(C(=O)NCc3ccco3)c2)cc1[N+](=O)[O-] 236721404 JLHSDCMILSNVGU-UHFFFAOYSA-N 407.470 4.531 5 20 HJBD CCC[C@H](NC/C=C/c1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(N2CCCC2)c1 237028486 YLNKGHIIWDLORO-NJTIBFSMSA-N 422.529 4.605 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cnn(Cc3ccccc3)c2)s1 237963587 NQIYUALDOXZSBR-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](OC1CCOCC1)c1ccccc1 301768858 IWXGWWFGRNDIBS-HJPURHCSSA-N 407.470 4.880 5 20 HJBD CCOC(=O)c1cc(C(=O)N(CCc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1 303076752 ZCAKTTHYLDEBFQ-UHFFFAOYSA-N 418.449 4.661 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccnc(Oc3ccccc3F)c2)cc1[N+](=O)[O-] 426038368 XOGWFSHXJBMKSE-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cccc2ccccc12 427691797 ZHNXPAIBBMLIII-HNNXBMFYSA-N 401.422 4.552 5 20 HJBD COCCC1(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 428714441 SPSOVWXLQVSCCJ-UHFFFAOYSA-N 404.850 4.587 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(NC(=O)c3ccoc3)c2)cc1[N+](=O)[O-] 429067819 JQWSZLLOJVVUKG-CQSZACIVSA-N 408.414 4.631 5 20 HJBD CCN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 429954357 VGJJDLRELKITKQ-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD CCOC1(C)CCN(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 430105765 QADLQMJUOQCHLU-UHFFFAOYSA-N 420.513 4.839 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1C 432876240 ZYYSZPCULNBPFV-UHFFFAOYSA-N 420.513 4.642 5 20 HJBD O=c1c2ccccc2nc(SCc2nc3cc([N+](=O)[O-])ccc3o2)n1C1CCCC1 434805575 XLZWSFYNNBTOME-UHFFFAOYSA-N 422.466 4.853 5 20 HJBD COc1cccc(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)c1[N+](=O)[O-] 435815142 CSIOESVXNTUDIS-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD CSCc1ccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 438771041 AISDAPIKTLZTJB-UHFFFAOYSA-N 407.300 4.565 5 20 HJBD COc1cc(C(=O)Nc2cccc(CSC(C)C)c2C)c([N+](=O)[O-])cc1OC 460233698 QWMAPEQLDZVDRT-UHFFFAOYSA-N 404.488 4.814 5 20 HJBD C[C@@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 461401850 AKZNOFXZIRAQEE-ZDUSSCGKSA-N 408.376 4.528 5 20 HJBD C[C@@H](c1ccsc1)N1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 463053350 KQZOMSLYGJMFDA-HNNXBMFYSA-N 414.556 4.659 5 20 HJBD Cc1c(NC(=O)CCc2nc3cc(Br)ccc3s2)cccc1[N+](=O)[O-] 463218291 JKBGPOMIKMYBPL-UHFFFAOYSA-N 420.288 4.847 5 20 HJBD CC(C)(C)c1ccc(OCCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463432563 UZNSYEBEBLOYAN-UHFFFAOYSA-N 410.392 4.720 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(Cc2ccccc2)c2ccccc2)c2ncccc12 463697589 ALFRZTBHPSMKCJ-UHFFFAOYSA-N 419.462 4.539 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)Nc3cccc([N+](=O)[O-])c3)C2)nc2ccccc21 467232422 WYNWPUDAILSYPA-INIZCTEOSA-N 407.474 4.937 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(C)cc1)c1ccccn1 471386289 SDYBLJITXLEUSP-CVDCTZTESA-N 403.482 4.773 5 20 HJBD CC[C@@H](OC1CCCCC1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 471392835 XQICEJPGNOFALP-QGZVFWFLSA-N 402.413 4.614 5 20 HJBD C[C@@]1(O)CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 473596412 BSGHKVHFCIABAE-HXUWFJFHSA-N 420.918 4.777 5 20 HJBD COc1cc(C)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 478045823 LAWGIIBFEGSQTJ-CQSZACIVSA-N 424.432 4.871 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(OC(C)C)cc1 480844970 KYOOFFXTHLNULM-HNNXBMFYSA-N 418.515 4.612 5 20 HJBD C[C@H](c1ccc([S@@](C)=O)cc1)N(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485402329 IDHKAKGAZXULEE-IZPCHGGPSA-N 413.474 4.731 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c1[N+](=O)[O-] 485517237 OKUDPLBYXJMQFJ-AWEZNQCLSA-N 420.918 4.901 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(Cl)cccc3s2)cc1SC 486167890 PUNVUZMPIXZFMM-UHFFFAOYSA-N 409.876 4.841 5 20 HJBD C[C@H](CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(F)cc1F 486715888 HRHZBDRWACADPU-SNVBAGLBSA-N 402.319 4.702 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Oc2ccncc2)c1 486744692 MPULATGBXXBBRR-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(Cc3ccccc3)CCCC2)cc1SC 487240365 KSNBUVVOABILHZ-UHFFFAOYSA-N 414.527 4.858 5 20 HJBD O=C(CN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)N[C@H](c1ccccc1)c1cccs1 490830079 WFJWVKAVAGHWSU-HSZRJFAPSA-N 421.522 4.527 5 20 HJBD CCOc1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1Br 494061694 YNWKOAXCEZUAGE-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1OCc1cccnc1 507170586 ONXMFIJCLWQWNI-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD CCN(CC)[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 509814570 ZQACNBRVQSIONW-SFHVURJKSA-N 409.408 4.944 5 20 HJBD CCCN(C/C=C/c1ccccc1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 512547912 URWIYMKEEHSUHG-XYOKQWHBSA-N 407.514 4.625 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1cccc(C(F)(F)F)c1 522717170 MUCRHJADLOJCLE-UHFFFAOYSA-N 412.389 4.845 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)cc([N+](=O)[O-])c1 523827391 SUYDKEYDBZRUMI-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD COc1ccccc1[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccccc1Cl 525039641 PSTBDEJQWTZRGN-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C(C)C)nc2c1 535004264 QLINQPCFGSLAJD-UHFFFAOYSA-N 415.471 4.850 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(-c2ccc(OC)cc2)s1 537286723 LPIHMRXVQRHYQB-UHFFFAOYSA-N 412.467 4.661 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1cccc(Cl)c1 538271680 MXRRAEQXZSOUHQ-KRWDZBQOSA-N 408.907 4.866 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@H]1NCCc1nc(-c2ccccn2)cs1 540171399 VVYMBNZOPPIMKL-SJLPKXTDSA-N 408.527 4.657 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3ccc(Cl)cc3)nc2C)cc1[N+](=O)[O-] 541071201 UKNLASLHDAUZBY-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1F 541300048 ZWACHKKBSISXFK-UHFFFAOYSA-N 420.853 4.612 5 20 HJBD C[C@@H]1C[C@@H](c2cccnc2)N(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)C1 543484839 KREDFGMAGLQZLZ-PXAZEXFGSA-N 413.275 4.888 5 20 HJBD COc1cc(CNc2nnc(C(F)F)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 544709516 BNAQJLOEKZCPRA-UHFFFAOYSA-N 422.413 4.584 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(CSc4ccccc4)cc3)n2)nc1 545780142 OAUXTNLBZXLFAG-UHFFFAOYSA-N 404.451 4.923 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548954715 ORMYVEINQVJWIJ-SFHVURJKSA-N 410.518 4.988 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 552442480 YTAUDHWUXDCIAJ-BTYIYWSLSA-N 406.404 4.539 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](Oc4ccc(Cl)cc4)C3)o2)c1 559400929 SIXHMXORXIRVIQ-IBGZPJMESA-N 413.861 4.599 5 20 HJBD COc1cc(C(=O)N2CCC(c3ccc(Cl)cc3Cl)CC2)ccc1[N+](=O)[O-] 560932007 HJWQDCVQHFZZCQ-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCCn2c(cc3ccccc32)C1 574479298 MHTJUJQIMKDLIR-UHFFFAOYSA-N 407.473 4.682 5 20 HJBD O=[N+]([O-])c1cc2c(NC3C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)ncnc2s1 587165127 OYIRULPPIXGCDL-RNFRBKRXSA-N 414.331 4.921 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(=Cc2cccc(F)c2)CC1 604446017 VJTJMQTXZWSPRI-AWEZNQCLSA-N 418.852 4.861 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccccc2)ncn1C1CC1 604537389 QDCSMNREFZIPQV-CQSZACIVSA-N 408.483 4.913 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCCO1 608946417 NTNYAMXKTOCDOE-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 609009917 KLVHFWUPSZVTCN-UHFFFAOYSA-N 408.410 4.586 5 20 HJBD Cc1nc2ccccc2n1Cc1cc(NC(=O)c2ccc([N+](=O)[O-])[nH]2)ccc1Cl 609530831 IKBYWMLYDVXASB-UHFFFAOYSA-N 409.833 4.535 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609636016 FVNVGZYJSZKNKM-OAHLLOKOSA-N 405.401 4.744 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cc(F)ccc1SCC(F)F 609993010 BXPRYGUFKXDTST-UHFFFAOYSA-N 413.421 4.922 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)c(OCCC(C)C)c2)c([N+](=O)[O-])cc1OC 610053151 SLQWNCVPYDTHQQ-UHFFFAOYSA-N 402.447 4.598 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC[C@H](c3nc4ccccc4o3)C2)cc1[N+](=O)[O-] 610597023 MNULVAFUROFQDV-RDJZCZTQSA-N 408.458 4.695 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccccc1 610783463 VGUUZZGOJJUYQM-DYVFJYSZSA-N 409.820 4.705 5 20 HJBD C[C@H](C(=O)NC(C)(C)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1F 612457942 VYIQHVYADZBNFI-NSHDSACASA-N 409.255 4.651 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2nc(O)c3c(-c4ccc(C)s4)csc3n2)c1 617180571 NPKIOPWKQBITKA-UHFFFAOYSA-N 413.480 4.941 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444948 AOUDCVGPFMSDQY-UHFFFAOYSA-N 401.444 4.669 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 742024594 YJZVLHDWNFOPCP-MRXNPFEDSA-N 405.292 4.759 5 20 HJBD COC(=O)[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 744982403 FDQNARYRMGHEJD-CQSZACIVSA-N 418.616 4.626 5 20 HJBD C[C@@](O)(c1ccc(OC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)C(F)(F)F 747250862 OODHUPYHWATDRM-OAHLLOKOSA-N 423.265 4.603 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H](c1cccc(Br)c1)C(F)(F)F 750222243 FSCVFJBQQGHKGU-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1coc(-c2ccccc2)n1 751633801 HYHKIJRQMPXZKM-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD CC1(C)CN(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)[C@H]1c1ccccc1 755022484 NZTRFOUCIBWVAD-INIZCTEOSA-N 404.264 4.972 5 20 HJBD C[C@@H](C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 761679862 QIZHUUUPQCFMKA-CYBMUJFWSA-N 405.907 4.544 5 20 HJBD O=C(NOCC(F)(F)F)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 768274970 HFOKKZPZEWYIRW-UHFFFAOYSA-N 406.769 4.623 5 20 HJBD COCc1c(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)sc2cccc(F)c12 773984936 IGBFPILRZMQIKU-UHFFFAOYSA-N 400.431 4.606 5 20 HJBD CN(C(=O)C(F)(F)c1ccc(F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495203 CPSLLFKASDNEFJ-UHFFFAOYSA-N 407.373 4.612 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 775527712 UAXQSJRHNWKXEI-CQSZACIVSA-N 410.861 4.600 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1sc(-c3ccc(F)c(F)c3)nc1C)CC2 778074918 SLPIVMLKGVBCLZ-UHFFFAOYSA-N 415.421 4.816 5 20 HJBD C[C@@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1c[nH]c2ccccc12 787217891 SLPATQXUGQCZOF-AWEZNQCLSA-N 405.410 4.968 5 20 HJBD CCOC(=O)/C(=C/c1ccc(-c2nc3ccccc3s2)o1)c1ccc([N+](=O)[O-])cn1 791428050 MYQQNQCRLFVMRV-RVDMUPIBSA-N 421.434 4.963 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCc2cc(Cl)ccc2C1 792344744 KDRNHYUDSAVMPP-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809977506 YLPJYBHUGXZQRF-JTQLQIEISA-N 423.256 4.811 5 20 HJBD CCOc1ccc(C(=O)O[C@@H](c2nc3ccccc3o2)C(F)(F)F)cc1[N+](=O)[O-] 816061070 JJDNAPAWTANFAJ-HNNXBMFYSA-N 410.304 4.595 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1ccc(O[C@@H]2CCCC[C@H]2O)cc1 864036554 RUYKSAGOAZETFM-RTBURBONSA-N 410.392 4.908 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)CSc2ccc([N+](=O)[O-])cc2)C(C)C)c1C 876232089 ZZWZFWFMLVQBQU-FQEVSTJZSA-N 416.499 4.510 5 20 HJBD CO[C@@H]1CCC[C@@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 912591619 NUIMVWPHBRFMTB-ZIAGYGMSSA-N 408.501 4.625 5 20 HJBD O=C(Nc1ccc(-n2nccn2)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 915868810 UYXIPIXPEBKYAY-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NC1CCN(Cc2ccncc2)CC1 917085825 HLLGDJADIHTWRX-UHFFFAOYSA-N 414.815 4.739 5 20 HJBD O=C(COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)N[C@H](c1ccccc1)C1CCCC1 919214482 SCAOLXNGJCSMER-JOCHJYFZSA-N 422.437 4.546 5 20 HJBD COC(=O)N(C)c1ccc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])cc1 919965119 OMFVEZNLNXBCSX-UHFFFAOYSA-N 405.410 4.772 5 20 HJBD O=C(CN1Cc2ccc([N+](=O)[O-])cc2C1)Nc1c(Cl)cc(Cl)cc1Cl 1253346112 JUVZBJSJZFQIFS-UHFFFAOYSA-N 400.649 4.509 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(Br)o2)c2ccc([N+](=O)[O-])cc2)o1 1255460425 GQBSOWDNORNQQQ-SFHVURJKSA-N 405.248 4.985 5 20 HJBD Cc1cccc(-c2nnc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c1 7179872 VVHDDVTVUMOQKG-LBPRGKRZSA-N 409.427 4.857 5 20 HJBD CC(C)[C@@H](NC(=O)c1cccs1)C(=O)OCc1nc2ccccc2c2ccccc12 17177242 CNRHVZRCATYJJG-JOCHJYFZSA-N 418.518 4.947 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 25958592 NEKAZYRMHKLEKF-GFCCVEGCSA-N 416.380 4.849 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(COCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 56400050 WJPCVTNZKCGZJB-GOSISDBHSA-N 419.481 4.872 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1cc([N+](=O)[O-])ccc1N1CCCCC1 71930387 ZFQZDUXUJNKNLW-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccc(NC(=O)NC2CC2)cc1 301349148 IEFFACKHTFRZKH-NSHDSACASA-N 406.389 4.653 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC(n2ccc(C(F)(F)F)n2)CC1 302065890 SLILIJUAMBWYSM-UHFFFAOYSA-N 408.302 4.670 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1Cl 303337524 VLTDLNLTXRHFGS-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccccc2Cl)n1 427378807 YBOXNRGXUQVINV-QGZVFWFLSA-N 419.268 4.637 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1 432675765 LZTGAMFNBADHQH-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1c(CC(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 438911145 DGNKQECLQGTJKJ-UHFFFAOYSA-N 421.497 4.972 5 20 HJBD O=C(c1ccc(Cn2cccn2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440602439 YMSWXVUKIZOUFL-UHFFFAOYSA-N 412.449 4.687 5 20 HJBD O=C(NCc1ccc(C(F)F)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444314065 IMCJMYONBIHVMQ-UHFFFAOYSA-N 414.358 4.827 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NCc1noc(Cc2ccc(Br)cc2)n1 444398560 GOQUBXDADNQAPI-UHFFFAOYSA-N 417.263 4.560 5 20 HJBD O=C(Nc1ccc(F)c(C(F)(F)F)c1)c1sc(Br)cc1[N+](=O)[O-] 446027619 FWVYAFIGVXUVIN-UHFFFAOYSA-N 413.146 4.829 5 20 HJBD COc1cccc(Oc2ncccc2CNC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1 463960967 ZHJAMJLRCLCFGC-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD CC[C@]1(C)CCCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 464603524 PGRGPYOIVMNLPX-GOSISDBHSA-N 411.289 4.740 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2C(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)cc1 465032735 XLLNLYMRJDSPBJ-IBGZPJMESA-N 424.497 4.570 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)c1cccc(C(=O)c2ccccc2)c1 466510359 QBFJCGDPDKZHEV-MRXNPFEDSA-N 418.449 4.967 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Br)cc2cccnc12 466521409 YEBYOMCAVHCHCQ-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCO1 466778648 XENKJPFBYRDYIJ-MRXNPFEDSA-N 404.850 4.682 5 20 HJBD Cn1cc(CN2CCCc3c([nH]c4c(F)cccc34)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 467548256 LSWTYVTVGLUFTK-UHFFFAOYSA-N 419.460 4.564 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCCSc2ccc(F)cc21 471239145 ZTHQLJUQVSXNHE-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD O=C(Nc1ccc(NC2CCOCC2)cc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475222213 BOUBUOYPNYEADO-UHFFFAOYSA-N 424.379 4.849 5 20 HJBD Cc1c(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)csc1Br 476677333 OSVZQKDDYBYZAW-HNNXBMFYSA-N 413.318 4.615 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)CC1 477362708 PFMXLIABUCVGDI-HNNXBMFYSA-N 424.526 4.597 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-c2ncc3n2CCCC3)cc1 481500547 YMNQQUBABVYVFR-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cc1[N+](=O)[O-] 483442577 KKZWVNBFPRVDBZ-HXOBKFHXSA-N 424.522 4.747 5 20 HJBD Cc1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)cn1 485872459 RJYASKPXTFYULR-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CCOCc1cccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 486549269 SUGQGYBDNLDBRS-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 490237965 CUNBKZMLEXVLFZ-UHFFFAOYSA-N 406.432 4.583 5 20 HJBD CCOc1cc(CNc2ccc(CC(=O)Nc3ccccc3F)cc2)ccc1[N+](=O)[O-] 492509456 HALHSQUBGLBQNT-UHFFFAOYSA-N 423.444 4.926 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 494007511 SBPWAYHTPNJUKI-HNNXBMFYSA-N 414.531 4.958 5 20 HJBD Cc1oc(-c2cccs2)nc1CC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 499510312 NTBGQQITLUHFSG-UHFFFAOYSA-N 411.361 4.820 5 20 HJBD CCOc1cc(NC(=O)N[C@@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OCC 504055547 QTKSLUOUJKIZHA-CQSZACIVSA-N 417.487 4.751 5 20 HJBD CN(Cc1ccccc1NCc1c(F)cc([N+](=O)[O-])cc1F)C(=O)c1cccs1 506283023 UQMCZGAKKAIAPL-UHFFFAOYSA-N 417.437 4.819 5 20 HJBD C[C@H](C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)OC[C@@H]1C)c1cccc([N+](=O)[O-])c1 515494694 WTCNBOUBEYTELT-CKFHNAJUSA-N 422.403 4.706 5 20 HJBD O=C(NCc1ccco1)c1cccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 515606151 RXDQZMMQRUIEEE-UHFFFAOYSA-N 419.359 4.749 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(OCC(C)C)c3)cc2)c(C)c1[N+](=O)[O-] 518279730 CNZBPOBNJOOCBA-UHFFFAOYSA-N 422.485 4.744 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(CC(=O)N2CCCCC2)c2ccccc2)cc1[N+](=O)[O-] 518883864 YLMIQPKHXBUWQM-UHFFFAOYSA-N 423.513 4.552 5 20 HJBD Cc1cc(Br)ccc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520387025 SZPSMKNEGJHRAR-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD COc1ccc(C(=O)Nc2cccc(Cl)c2SCC(F)F)cc1[N+](=O)[O-] 522956840 KYTFHFDSZINNIE-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD COc1ccc(C2(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])CCCC2)cc1 524244934 AWKYCGUKOITMRO-AWEZNQCLSA-N 418.877 4.610 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1Br 524257178 SXSLNSGYCUBPRV-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NCc1ccc(Oc2ccccc2F)c(F)c1 525815099 JKBACHXBODKYDX-UHFFFAOYSA-N 413.380 4.665 5 20 HJBD CCc1csc([C@@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)n1 532938309 JDLYIGMESCABNE-MRXNPFEDSA-N 412.515 4.691 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)NCCc1cccc([N+](=O)[O-])c1 535488495 DTJAITMRWODKEL-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD O=C(Nc1ccc(CCO)cc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542650244 NFIZTVSJBHXNRP-UHFFFAOYSA-N 400.500 4.664 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1 545054751 XSRNRTAZHRJUNI-CLTKARDFSA-N 419.796 4.633 5 20 HJBD Cc1cccc(-c2cncc(-c3nc(CCNc4ccccc4[N+](=O)[O-])no3)c2)c1 546375836 CNULEEYTKDVKMC-UHFFFAOYSA-N 401.426 4.670 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(OC2CCCCC2)cc1)c1cccc([N+](=O)[O-])c1 552250817 BPIXHEFNLQMEBM-HSZRJFAPSA-N 420.513 4.919 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 553738595 ZVCFJZYHTFQTEG-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2noc3cc(F)ccc23)CC1 558582516 RUTOMANMBRCMEO-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD CC(C)OC(=O)C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1 559149556 IXIXLLDDRXJPJG-GOSISDBHSA-N 410.392 4.786 5 20 HJBD C[C@H](Nc1ccc2c(c1)N(C(=O)Cc1ccccc1)CC2)c1ccccc1[N+](=O)[O-] 559900546 UYCYYGKPLVYSCC-KRWDZBQOSA-N 401.466 4.900 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(Cl)c1OCC(F)F 567395481 PONLMRPSSNBIIA-UHFFFAOYSA-N 400.765 4.543 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 576124065 UZOJWPMUPOAGBW-CQSZACIVSA-N 416.437 4.889 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Br)cc2)no1 581911533 ZGMYLEKZAUIWBR-RYUDHWBXSA-N 417.263 4.819 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc2cc([N+](=O)[O-])ccc2o1 603738270 SPQWDXAOLMKWGN-URLYPYJESA-N 424.297 4.980 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1)c1cccs1 604536111 YPONZZCOEJMSDF-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCC3(c4cccc(C(F)(F)F)c4)CC3)cc2N1 608974789 KMJWXDOELLUWCT-UHFFFAOYSA-N 405.376 4.642 5 20 HJBD O=C(Nc1cc(F)ccc1Oc1ccccc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609022812 OMQFDHRBYJHCPS-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 609036519 HKJXUVPKMYBDFI-FQEVSTJZSA-N 423.513 4.817 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)N(Cc1ccc2ccccc2c1)C1CC1 609470970 XYOGPZAFAZKRRZ-UHFFFAOYSA-N 405.454 4.501 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CSc2ccccc21 609791327 GPLPFJRNBOADQX-GFCCVEGCSA-N 414.430 4.669 5 20 HJBD COc1cccc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1OC1CCCC1 609860494 KSQYOUSEDUAMEG-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1ccc([N+](=O)[O-])cc1Br 610041319 FCVHWUQSQJNOFU-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610963567 VPLGHTQJPNRQOC-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD CC(C)(CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccncc1 611261609 YBIFRSKPSSNFNN-UHFFFAOYSA-N 406.442 4.881 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2cccs2)CC1 611543349 QBTZAMNFHROKPG-UHFFFAOYSA-N 405.545 4.557 5 20 HJBD CC[C@H](CC(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 729017524 PRBVFUQTCCPRSH-UONOGXRCSA-N 404.850 4.702 5 20 HJBD CN(C(=O)c1cccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1)c1ccccc1 734897619 XNSFRBHWVATKER-UHFFFAOYSA-N 424.840 4.882 5 20 HJBD CCCN(CC(=O)Nc1ccc(F)c(F)c1F)Cc1cc([N+](=O)[O-])ccc1Cl 735491659 FZHQQRBSTSNFMK-UHFFFAOYSA-N 415.799 4.516 5 20 HJBD COc1ccc(SCCC(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1OC 740826744 RZTHXZHBTWGKEM-UHFFFAOYSA-N 410.879 4.695 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)ccc2n1 741937980 SJRKDPPEOHBNQP-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccc(Cl)cc2[nH]1 742663710 PZXQKBYYZQYVKV-QMMMGPOBSA-N 412.755 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1Oc1ccccc1 742995708 SURVIINMLLONLK-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747123196 DZPYFHDENLUYBN-UHFFFAOYSA-N 424.375 4.841 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)[C@H]1CCc2ccccc2C1 748630552 NXFLVKZCQCKOMD-SFHVURJKSA-N 411.458 4.530 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1cccc(Cl)c1[N+](=O)[O-] 749982325 AUVKRJWZOLHONL-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 751644073 PCINFKILXZGPQX-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD Cc1csc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1Br 753650340 IPVFQIRSDCODSL-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD CC(C)n1c([C@H]2CCCN2c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nc2ccccc21 756128312 PMGPBUDPSDHYAB-LJQANCHMSA-N 419.485 4.752 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757091126 NNVLORSQSXXQFV-FQEVSTJZSA-N 421.478 4.514 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(O)(c2ccccc2)CC1 760709310 XPRUKBKMXZPOFV-UHFFFAOYSA-N 414.527 4.656 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1cc(F)c([N+](=O)[O-])cc1F 763467747 WYBNMBAJOWIKNB-UHFFFAOYSA-N 420.318 4.983 5 20 HJBD O=C(O[C@@H]1COc2cc(Cl)ccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 774527466 QLRKSFJUAUGEFR-MRXNPFEDSA-N 402.815 4.662 5 20 HJBD COc1ccccc1/C(C)=C/C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140540 ZNABHCTWHMKDHO-LKVFMFGUSA-N 404.422 4.735 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 779162335 NKKQLZOWULLCGS-DOTOQJQBSA-N 415.490 4.590 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2ccc(C)nc2)cc1[N+](=O)[O-] 783410034 WTYKVLKBWSEEEY-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784525545 FVMLPXOKLJXSEK-CQSZACIVSA-N 417.387 4.654 5 20 HJBD Cc1cnc(COC(=O)[C@@H](C)c2cccc(C(=O)c3ccccc3)c2)c(C)c1[N+](=O)[O-] 789441271 PYKYUGYZWXQQJI-INIZCTEOSA-N 418.449 4.685 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790545332 CIIHWSKGDFOHHA-UHFFFAOYSA-N 424.856 4.510 5 20 HJBD Cn1c2ccccc2c2cc(C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)sc21 790641823 JYEIHGJUKWDHPP-UHFFFAOYSA-N 423.450 4.715 5 20 HJBD COc1cc(C(=O)Oc2cccc(-c3nccs3)c2)c([N+](=O)[O-])cc1OC(F)F 790877726 RTJNUAQJXHPFNU-UHFFFAOYSA-N 422.365 4.548 5 20 HJBD COc1cc(C(=O)OCC(=O)C2(C)CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 795388707 XRPBIOYCQHFUQQ-UHFFFAOYSA-N 419.817 4.575 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(C(F)(F)F)cc1 798783233 FTASANUTLYJDAR-NSHDSACASA-N 421.331 4.511 5 20 HJBD O=C(Nc1ccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cc1)c1ccc(F)cc1 804399711 DIBSQUVBUVCRNL-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)CSc2ccccc2[N+](=O)[O-])c(C)c1 913219556 DDBXCMHODPWQMR-UHFFFAOYSA-N 416.499 4.574 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1csc(Cc2ccccc2)n1 915370885 KPBWRXUJVIMXAV-AWEZNQCLSA-N 414.508 4.866 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])CC1 918683880 HSXKPIFQBHASLD-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cn2)CC1)c1ccccc1[N+](=O)[O-] 920267352 GHDUHXBDCWYWKO-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ccc(OC(F)F)cc1 920778674 QISMAEITKBOZAR-MNOVXSKESA-N 415.776 4.921 5 20 HJBD O=C(CN1Cc2ccc([N+](=O)[O-])cc2C1)Nc1ccccc1Sc1ccccc1 1319939503 PPBVFAMNYZCUTC-UHFFFAOYSA-N 405.479 4.700 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(N3CCCCC[C@H]3C[C@@H](O)c3ccco3)c2c1 1325490007 TXSQEWOBCHFPIK-SUMWQHHRSA-N 416.865 4.657 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c(Br)c1 1325791013 PMEAILQSANTICM-IFXJQAMLSA-N 405.292 4.615 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] 1325801389 XWTNUKOMUOCEAK-AYHJJNSGSA-N 413.905 4.564 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 1338707940 CAEIWYUBGOIWJN-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CCOc1ccc(NC(=O)CSC2=Nc3ccccc3CS2)c([N+](=O)[O-])c1 22021994 UJXWMJOXZLLASN-UHFFFAOYSA-N 403.485 4.600 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 22101008 MREYASRBRCYQKG-UHFFFAOYSA-N 410.926 4.918 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)N1c2ccccc2Sc2ccccc21 22470223 WEUAYRFIDUYTLO-AWEZNQCLSA-N 420.446 4.970 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 56196530 WXNPCVZHVFKOKF-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD Cc1cc(C(=O)N(Cc2nnc(-c3ccccc3Cl)o2)C(C)C)cc([N+](=O)[O-])c1 147250097 ROYJVHRLAHHLJA-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD CCN1CCC(n2nccc2NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 236834532 DCXVSCHFGKNCHQ-UHFFFAOYSA-N 411.531 4.789 5 20 HJBD CC(C)C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 302799566 QFIKJRXRQBAKBC-LJQANCHMSA-N 421.501 4.589 5 20 HJBD CCc1ccc(OCc2csc(-c3ccc(OC)c(OC)c3)n2)c([N+](=O)[O-])c1 303671650 YUSFIHJACOGTAJ-UHFFFAOYSA-N 400.456 4.877 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@@H]3c3ccc(F)cc3F)o2)cc1 432021011 RQZRYTZBDMEVBY-GOSISDBHSA-N 400.385 4.650 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 432182247 LLNMIOVXMHMMCP-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD CN(Cc1cc(F)cc(F)c1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 434341677 MOOHABSUTCJCPW-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD Cc1cc(C)c(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 436064245 MBKDDMYULPNJNI-UHFFFAOYSA-N 402.469 4.718 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436141547 NUXRGFVLWCMPOQ-UHFFFAOYSA-N 415.449 4.640 5 20 HJBD CN(C(=O)CCc1nc2cc(Br)ccc2s1)c1ccc([N+](=O)[O-])cc1 443833403 LLYFGRSFXIOHID-UHFFFAOYSA-N 420.288 4.563 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)s1 443883732 UZHLPRCFIFYKSH-SNVBAGLBSA-N 418.500 4.833 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@H]3c3ccc(C(F)(F)F)cc3)n2)c1 445583838 OVMQZQULXZEVHP-JKSUJKDBSA-N 419.359 4.867 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)c1ccc([N+](=O)[O-])cc1F 460647327 HOWIUBCVQOWRMX-UHFFFAOYSA-N 402.328 4.734 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463114357 RXOPGGIBIKVTES-CYBMUJFWSA-N 400.353 4.720 5 20 HJBD COc1cc(C(=O)NC[C@H]2CCCS2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 465000281 IUBJUCOEVXAZGS-CQSZACIVSA-N 422.890 4.675 5 20 HJBD CCCCN(C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2)[C@@H](CC)c1ccccc1 465128951 JPDKRTVCLRKFIW-IBGZPJMESA-N 412.486 4.689 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 470117037 YAGZMABPCCCUCD-MRXNPFEDSA-N 403.801 4.633 5 20 HJBD Cc1cccc(CN(CC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])Cc2ccccn2)c1 471168271 HCSIHSGCQWUECF-UHFFFAOYSA-N 424.888 4.593 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccn1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 471900320 DTFQSZKINSTRCR-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(Nc1ccc(-c2csc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])c(O)c1 477694491 WWHZYFDNFDYGPI-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485561335 LRVPJQIVCWLZEQ-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)C(C)C)ccc1C 488596170 VNARTQZJGVQFSN-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOC2(CCCCC2)C1 489222614 CZMDCWPADYLUCX-INIZCTEOSA-N 406.548 4.955 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 490151847 KOXMZLIZCOGDDE-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 494004785 SWHQYRCBVCLANN-CVEARBPZSA-N 412.795 4.943 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3cc(Oc4ccc(Cl)cc4)ccc3n2)nc1 502776830 JLOXHLMVLIMUJL-UHFFFAOYSA-N 408.801 4.675 5 20 HJBD Cc1ccccc1[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H]1CCC[C@@H](C)C1 503314792 JFELFDREAWUUKJ-LDBYXDLTSA-N 409.486 4.526 5 20 HJBD Cc1noc2ncc(NC(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)cc12 505894891 VTILYICNJVEFDO-UHFFFAOYSA-N 400.460 4.830 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(-c3ccsc3)nn2-c2ccccc2)c1[N+](=O)[O-] 506280008 FRDIRYULDSYBJM-UHFFFAOYSA-N 422.470 4.608 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Br)c2cccnc12)c1ccc([N+](=O)[O-])cc1F 511936048 UZBXNOTZOKHGEO-SNVBAGLBSA-N 418.222 4.787 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(OC(F)F)cc2F)cc1[N+](=O)[O-] 512441619 IZEQJDWHJBQAAW-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD CCCOc1cc(NC(=O)c2cnn(-c3ccccc3)c2)c([N+](=O)[O-])cc1OCCC 514721149 JTCHTTHOECNSMI-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD CCOc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 515739989 BCUKUFOHJPHVII-UHFFFAOYSA-N 418.368 4.882 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(-c2cnc(C3CC3)o2)cc1 517915320 YNEKGVVSVZNDGK-UHFFFAOYSA-N 419.846 4.581 5 20 HJBD CCc1cccc2c1N(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 520191595 MMWJBHNDCILZIL-INIZCTEOSA-N 416.481 4.928 5 20 HJBD C[C@@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 520245168 SDDVTIMVKVXGII-ZDUSSCGKSA-N 418.375 4.932 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)NCc4cccc([N+](=O)[O-])c4)CC3)c[nH]c12 524341680 YFOUXEWPZJYEBX-UHFFFAOYSA-N 406.486 4.728 5 20 HJBD O=C(NCc1nc(C(F)(F)F)cs1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 524874307 XQFPYIGQCIMCCE-UHFFFAOYSA-N 423.372 4.792 5 20 HJBD CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](C)c1ccccc1Br 525653402 ONDKSFBFULPNDR-CQSZACIVSA-N 405.292 4.900 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 531656293 ZNJSDVGTBUEUJC-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2Cn2cnc3ccccc32)cc1[N+](=O)[O-] 534462736 UJVYDBWMAJEJOC-KRWDZBQOSA-N 406.486 4.547 5 20 HJBD CC(C)Oc1ccc(NC(=O)NCCc2cccc([N+](=O)[O-])c2)c(C(F)(F)F)c1 535491123 QIQQAGIMJSDJTO-UHFFFAOYSA-N 411.380 4.765 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)c(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 537076171 SUNPWVVKSZXZFE-JTQLQIEISA-N 401.772 4.556 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 538345884 UDGWKLZLYLINMU-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD COCCn1ccc2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc21 540004421 DKSAUGWHOHPPMG-UHFFFAOYSA-N 410.474 4.638 5 20 HJBD O=C(N[C@@H]1CCC[C@H]2OCC[C@H]12)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543459362 RWOFCSXWKSDBQB-BHIYHBOVSA-N 404.532 4.565 5 20 HJBD COc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1OC(C)C 545455131 ZTYAZGTUSUMDNL-UHFFFAOYSA-N 416.477 4.589 5 20 HJBD CCc1cccc(CC)c1NC(=O)c1cc(I)cc([N+](=O)[O-])c1 556712996 QNDGKUFFNIJZMT-UHFFFAOYSA-N 424.238 4.577 5 20 HJBD COc1cc(CNCc2cnc(-c3ccc(Cl)cc3)s2)c([N+](=O)[O-])cc1OC 560071324 SRQLLSNVBYOIDG-UHFFFAOYSA-N 419.890 4.679 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 581628479 BWLXTHLUGDEFDF-ZDUSSCGKSA-N 411.437 4.684 5 20 HJBD O=C(CSc1nnc(-c2ccccc2)c2ccccc12)Nc1ccccc1[N+](=O)[O-] 594669460 YORGGMKFZZAWRM-UHFFFAOYSA-N 416.462 4.936 5 20 HJBD C[C@@H]1CN(Cc2ccccc2NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C[C@@H](C)O1 600854411 NZKMGYAPBSMBQN-HUUCEWRRSA-N 423.435 4.835 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Sc2nc3ccccc3[nH]2)cc1 603469421 FYCOXYLECPNZEV-UHFFFAOYSA-N 404.451 4.804 5 20 HJBD CCN(CCc1cccs1)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 603524630 PTCSEVLHQUKPDH-UHFFFAOYSA-N 401.532 4.597 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 603780570 YNQATIZQAFIGSP-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD COc1cc(CN[C@@H]2CCc3c(O)cccc32)ccc1OCc1ccc([N+](=O)[O-])cc1 609223780 ZQTJIDADNJFUNR-OAQYLSRUSA-N 420.465 4.665 5 20 HJBD CCc1ccc(OCC(=O)N2CCCCC[C@H]2c2ccc(OC)cc2)c([N+](=O)[O-])c1 609650579 DYSOKVXRYYDYJK-FQEVSTJZSA-N 412.486 4.689 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)Cc2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1 609709585 AEYFQPAYAHYXOX-LJAQVGFWSA-N 409.467 4.688 5 20 HJBD COc1ccc([C@H](NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1 609722287 QIHSVSVIGJYWID-HXUWFJFHSA-N 406.866 4.539 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1c[nH]c2ccc(Br)cc12 610070605 OIMMTOUGQTWLOY-UHFFFAOYSA-N 416.275 4.591 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)c(F)c1 610223825 MEZMGENKZBUHGA-NSHDSACASA-N 402.319 4.568 5 20 HJBD CC(C)CN(C(=O)c1ccn(C(F)F)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611441062 HCCCUNLYUJCWST-UHFFFAOYSA-N 421.429 4.613 5 20 HJBD Cc1c(Br)cccc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 726977397 TYLCLQMFBOKIRP-SNVBAGLBSA-N 412.671 4.758 5 20 HJBD COCCn1c(C)c(C)c2cc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)ccc21 728460778 UNMAZBHRFBSWRZ-UHFFFAOYSA-N 401.850 4.718 5 20 HJBD O=C(NC[C@@](O)(c1ccccc1)C(F)(F)F)c1cc2ccccc2c2cccnc12 728969734 OGZRNAWKKBQDLA-JOCHJYFZSA-N 410.395 4.568 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 729241288 FLJMEFJKFPMJTL-INIZCTEOSA-N 412.833 4.666 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@@H](c1ccc(Br)cc1)C(F)(F)F 731146449 HMEJTSWDNJCKKJ-HNNXBMFYSA-N 418.165 4.747 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 734851455 AJAQIFCEFVUBMI-IBGZPJMESA-N 408.433 4.792 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc2c(cnn2Cc2ccccc2)c1 736157755 FVHXRUSMKDKPMZ-UHFFFAOYSA-N 421.844 4.602 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(C)n([C@@H](C)c3ccccc3)c2C)cc([N+](=O)[O-])c1 736197777 WXCGXYHQZLIEFF-HNNXBMFYSA-N 421.453 4.661 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Oc1cccc(C(F)(F)F)c1 742264544 CYTNRADESSXWHZ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C(F)(F)F 742497138 QNCUNWBBVFNDIE-VIFPVBQESA-N 416.739 4.604 5 20 HJBD C/C(=C/C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(C(F)(F)F)c1 744357565 VJNUFBSGYYYQHX-WQLSENKSSA-N 422.359 4.817 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](c1ccccc1)c1cc2ccccc2o1 745760895 XWFDWZATJPMDSO-QHCPKHFHSA-N 420.396 4.765 5 20 HJBD NC(=O)[C@H]1CCCN1Cc1cccc(NC(=O)c2c3ccccc3cc3ccccc23)c1 746513521 NMCIEQUNPPOAGG-XMMPIXPASA-N 423.516 4.695 5 20 HJBD CC(C)(C)CC(=O)N1CCC[C@@H]1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 748489717 GSDMMLDBXVYPRF-GOSISDBHSA-N 410.470 4.594 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])o2)cc1C 758947938 UGFZSZWSFTYLJX-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc(C2CC2)no1 761180534 TYOZEDRPVJGFFA-LLVKDONJSA-N 403.460 4.808 5 20 HJBD O=C(CCCc1cccc(Br)c1)OCc1nc2cc([N+](=O)[O-])ccc2o1 761425780 ZDWADEZTFQYQMY-UHFFFAOYSA-N 419.231 4.565 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 762073372 ZUAHFPNNHOTZFO-SNVBAGLBSA-N 404.766 4.655 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1cccc(Cl)c1Cl 763716907 MUXFIKBCUCNHEG-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccccc2CS(=O)(=O)c2ccccc2)ccc1Cl 764615975 OTPCTAJTEGZNQG-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@H](O)c3ccccc3)CC2)nc1OCc1ccccc1 764999337 DOIHKCZOYIKCGG-HSZRJFAPSA-N 419.481 4.519 5 20 HJBD Cc1cc([C@@H](N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)C2CC2)ccc1F 776032513 WKRMOXQKOANQHK-BUXKBTBVSA-N 405.857 4.764 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](S[C@@H]1CCCOC1)c1ccccc1 779830517 WSKLXSJGOPMMDO-QAPCUYQASA-N 406.891 4.840 5 20 HJBD C[C@H](Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc(N)c([N+](=O)[O-])c1 788737213 QUIIOSNYRHOAGX-HNNXBMFYSA-N 403.442 4.577 5 20 HJBD C[C@@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 790743450 YCYZSEDJWQBPEI-LLVKDONJSA-N 404.781 4.889 5 20 HJBD CCc1c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1C(CC)CC 791592123 XGDAKWPINIKUHG-UHFFFAOYSA-N 412.446 4.727 5 20 HJBD Cn1cc(COC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c(C(F)F)n1 799553424 PWYKJRSLTMFZME-UHFFFAOYSA-N 419.409 4.774 5 20 HJBD CCc1ccccc1[C@@H]1C[C@H]1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 801882751 QULQMZFKMOYTMJ-ZNZDAUKMSA-N 407.426 4.615 5 20 HJBD C[C@H](OC(=O)c1sccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 803772064 YHUAFCUNLNEZLU-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(CNC(=O)OC(C)(C)C)c2)n1 809405037 NAKBKRYJPULTIZ-UHFFFAOYSA-N 410.430 4.645 5 20 HJBD CC(C)(CCc1noc(-c2ccc(Oc3ccc(C(F)(F)F)cn3)cc2)n1)[N+](=O)[O-] 812813796 NLGOVOJDZKXUMT-UHFFFAOYSA-N 422.363 4.931 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ncc1Br)c1ccc(F)c2ccccc12 813830534 GNPDZOYJYRMPPJ-SNVBAGLBSA-N 418.222 4.536 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc(C(C)(C)C)cc1 864244913 UUNMWBCWYABAEI-UHFFFAOYSA-N 422.485 4.993 5 20 HJBD CCC[C@H](C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 866539848 QIMQJNAPMJEWEX-SCLBCKFNSA-N 404.850 4.907 5 20 HJBD CC(C)[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc2c(c1)CCC2 877121049 XBKMMHNWBVNBRL-IBGZPJMESA-N 416.861 4.557 5 20 HJBD CCCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@@H](C)c1ccccc1OC 914509294 XLSOJFBNKHZZSS-ZDUSSCGKSA-N 421.291 4.979 5 20 HJBD C[C@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1cccc(C(F)(F)F)c1 1323961992 GINRUPVTTVCOLP-GFCCVEGCSA-N 407.348 4.922 5 20 HJBD O=C(Nc1nc(-c2c[nH]c3ccccc23)cs1)c1c[nH]c2cccc([N+](=O)[O-])c12 1324578176 STCDMEWDDORBKP-UHFFFAOYSA-N 403.423 4.933 5 20 HJBD Cc1c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cnn1C1CCCC1 1343332730 YMESUPBZXIFEIK-OAQYLSRUSA-N 406.442 4.556 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)cc1 5474203 YBXDGLZFZXHGKY-GFCCVEGCSA-N 409.427 4.857 5 20 HJBD O=C(COC(=O)c1ccc2ccccc2c1)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 10733009 BSNRHCVZCVGEAS-UHFFFAOYSA-N 418.327 4.562 5 20 HJBD O=C(CN1CCC[C@@H]1c1nc2ccccc2s1)Nc1ccc(Cl)cc1[N+](=O)[O-] 15351502 MIHOMLPYQPDDNS-OAHLLOKOSA-N 416.890 4.634 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)s1 39478238 MKXPGYKLPHPLEH-UHFFFAOYSA-N 417.535 4.839 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1ccc([N+](=O)[O-])cc1Cl 44566739 KVKNLNOWJBWBGH-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 63446697 GLPAWAYDVFQNQA-UHFFFAOYSA-N 413.453 4.918 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 303546362 VKPXQVXLDRKGAF-UHFFFAOYSA-N 424.476 4.814 5 20 HJBD COc1ccc(NC(=O)c2cc(C(F)(F)F)ccc2Br)c([N+](=O)[O-])c1 392714515 DYUVODPDINDDTH-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1C(F)(F)F)C1CC1 409528249 JYQLAVAIQWUETA-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(Cl)c(F)c1 426723247 LEIHCUOXCWIKTK-GOSISDBHSA-N 404.781 4.563 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCCc1ccccc1[N+](=O)[O-] 430686657 NPIDXWTUNBNPLG-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCSc1ccnc2cc(Cl)ccc12 433399802 KMVSDVKHKRQCKM-UHFFFAOYSA-N 415.902 4.937 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 433962286 YUSGTUJMKYQBAV-YMTYPPQLSA-N 420.444 4.588 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@]2(O)CCC[C@H](C(F)(F)F)C2)s1 436405788 GOZVPYYAYKSULG-SCLBCKFNSA-N 414.449 4.897 5 20 HJBD O=C(c1csc(CCc2ccccc2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437104351 FDBKNZRATCDYST-UHFFFAOYSA-N 407.495 4.641 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437248192 DQKZNPUGRLJFLV-SFHVURJKSA-N 407.392 4.657 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2)n1 437765453 OVZSFCFMAINGOC-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD CC(=O)c1ccc(OCc2ncc(-c3cccc(Br)c3)o2)c([N+](=O)[O-])c1 440815635 JYRDRGABWFQJQX-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccncc3OCC(F)F)s2)cc1 441343492 BKTNDBSBWPZMEG-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD CN(C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(OCc2ccccc2)cc1 444475030 JGXXLXNELKUPSR-UHFFFAOYSA-N 401.422 4.932 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1c(C)[nH]c2ccc(Br)cc12 444730014 GVWSAMCHMCZXMG-UHFFFAOYSA-N 416.275 4.945 5 20 HJBD Cc1cc(C)c(C[C@H](c2nc(-c3c([N+](=O)[O-])nc(C)n3C)no2)C(F)(F)F)c(C)c1 445616628 RDNQIFZGZKZNJX-CQSZACIVSA-N 423.395 4.501 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(-c2nccs2)c1 445818564 KSXMEYLCAWQOQD-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cccc(NC(=O)C2CCCC2)c1 462441234 ORDKYAJTHZGDGI-IBGZPJMESA-N 423.513 4.609 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 462988327 IFEGTQUHGJDWDU-QRWLVFNGSA-N 420.868 4.556 5 20 HJBD COc1cc(CSc2nnc(C[C@@H](C)c3ccccc3)o2)c([N+](=O)[O-])cc1F 463415114 TUKPGHYLJLTBLX-GFCCVEGCSA-N 403.435 4.764 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCCC[C@H]3C)cc2C)c([N+](=O)[O-])cc1F 464085470 ABEJOQSQCGXYHN-OAHLLOKOSA-N 415.465 4.678 5 20 HJBD COc1ccc([N+](=O)[O-])cc1O[C@@H](C)c1nc(-c2cccc(C(F)(F)F)c2)no1 465020506 FLEONLFQRFNFGO-JTQLQIEISA-N 409.320 4.812 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1Cl 466298104 MLVAVAOZUACBES-GFCCVEGCSA-N 419.840 4.654 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cc2cc(Br)ccc2[nH]1 467371519 WNGWEFUWAVWXEQ-UHFFFAOYSA-N 417.263 4.777 5 20 HJBD CN(CCC(=O)OC(C)(C)C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 467577686 HODMVMRYGDLVTM-UHFFFAOYSA-N 415.446 4.583 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 470154045 YRSVDNNACTWKCF-INIZCTEOSA-N 414.220 4.646 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 472838523 ZARSHFBJBIOCJM-CYBMUJFWSA-N 418.375 4.980 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 474758482 NWQRYXFBDZIAIH-UHFFFAOYSA-N 408.458 4.656 5 20 HJBD CC(C)=CCN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 479478211 FPUORUUTQGNPQK-GOSISDBHSA-N 418.291 4.593 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nnc(-c2ccncc2)n1CC(F)(F)F 484516298 PQXIKCFBWMBWCF-LBPRGKRZSA-N 423.420 4.972 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cnc(-c2ccsc2)s1 486731217 OSWDTSUUBMPCOK-UHFFFAOYSA-N 413.402 4.729 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 487456208 RNBHAPQRDHHDES-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD CCSc1cccc(CNc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)c1 488677181 QWOCQGMWDKQUGA-UHFFFAOYSA-N 416.890 4.518 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)CNc2ccccc2[N+](=O)[O-])cc1 496614184 XWIOYMOWBZWOCY-XMMPIXPASA-N 418.497 4.602 5 20 HJBD Cc1ccc([C@@H](C)N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 503274337 IYWCQZRIJJJAET-CQSZACIVSA-N 410.392 4.986 5 20 HJBD CCCN(C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)[C@@H](C)CC(=O)Nc1ccccc1 504717352 SAYWWSQJERQBBQ-KRWDZBQOSA-N 412.490 4.873 5 20 HJBD O=C(CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2ccccc21 507097367 GDQHPLLEZGRYNB-UHFFFAOYSA-N 423.494 4.853 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@H]2NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 509569318 CJAZPLWSDQPELE-GOSISDBHSA-N 411.502 4.543 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)sc2C)cc1 511032546 WTQXAGSNKCRHDN-UHFFFAOYSA-N 423.454 4.554 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3F)n2)cc1 518178013 AUBDJRPLGBRTLK-UHFFFAOYSA-N 401.419 4.899 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C(=O)NCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 530966086 GANDOXVPTZQRPZ-JPYJTQIMSA-N 403.482 4.612 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc3oc4ccccc4c3c2)cc1[N+](=O)[O-] 530975285 UPNZAGOQJHNRRS-AWEZNQCLSA-N 417.421 4.619 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](Oc2cccc(Cl)c2)C1 535453006 BNYODQKHMDAKHF-AWEZNQCLSA-N 414.767 4.561 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 535491611 HRQJZZNTSHKBDQ-OAHLLOKOSA-N 418.453 4.926 5 20 HJBD COc1ccc(NC(=O)c2ccc(SCc3csc(C)n3)cc2)cc1[N+](=O)[O-] 541070585 KBBSPWSUIZYANO-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 542590687 NHCJTMKVBJFLTN-FUHWJXTLSA-N 414.458 4.941 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ncc(-c2ccccc2)o1 544468032 GIGLDIDPHDBFIQ-OLZOCXBDSA-N 414.849 4.581 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1=O 544934514 SUDWKFQNLCEJCK-GOEBONIOSA-N 406.442 4.679 5 20 HJBD C[C@@H](c1nc(Cc2ccc(OC(F)(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 547021425 ZXDLNUUMPRHXTH-SNVBAGLBSA-N 411.311 4.758 5 20 HJBD CCc1nc(C)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 548294453 FZYNKXKQGBLKLQ-LBPRGKRZSA-N 411.487 4.743 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(Oc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1F 557932788 HWTIAALRTRCUGK-LSDHHAIUSA-N 409.417 4.902 5 20 HJBD COc1cccc(C(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 583100256 JSJJFYJJZLSNIF-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(C(F)(F)F)cn3)n2)cc1[N+](=O)[O-] 593170536 SFHHRJXJZZMBIN-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccccc1Oc1ccccc1 600864274 CKYXLFIBSILWNA-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)cc1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 603177747 DMOWNLYYPNDDIF-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@@H](c4cc5ccccc5[nH]4)C3)o2)cc1 603889906 DOIMSTYZINSQHX-QGZVFWFLSA-N 403.442 4.506 5 20 HJBD C[C@H](NC(=O)NCc1ccc(CN2CCCC[C@H]2C)cc1)c1cccc([N+](=O)[O-])c1 604129866 DCZVQEDVORGPDS-MSOLQXFVSA-N 410.518 4.530 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc(Cl)cc([N+](=O)[O-])c1 609855133 ISRHEKLPBNRBAO-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1ccc(NCCCNC(=O)N[C@H](C)c2nc(C(C)(C)C)cs2)c([N+](=O)[O-])c1 610090632 BOPLFINUVSXSMK-CQSZACIVSA-N 419.551 4.520 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610186756 MOPKKBIUPGOLQM-NBCNXNJRSA-N 416.481 4.524 5 20 HJBD CC(C)(CCNC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 610349158 RFLZVDWITHWRLE-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD CC(C)[C@H](CC(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 728639683 SDXFFSRHNFQQAV-INIZCTEOSA-N 404.850 4.560 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c2c1 730487382 SZCVAOXNHBEOOX-UHFFFAOYSA-N 402.385 4.905 5 20 HJBD Cc1ccc(OC(=O)[C@H]2CCCN(C(=O)c3cccc4ccccc34)C2)c([N+](=O)[O-])c1 732630633 HXVVXSVACPLQGK-SFHVURJKSA-N 418.449 4.514 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(COc2ccc(F)cc2)n1 736159467 UIKORUBGVOTVAM-UHFFFAOYSA-N 421.837 4.984 5 20 HJBD CC(C)n1c([C@@H]2CCCN(c3nc4ccccn4c3[N+](=O)[O-])C2)nc2ccccc21 736602378 LOLIUGXKVQUAEZ-MRXNPFEDSA-N 404.474 4.557 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc(Cl)cc([N+](=O)[O-])c1 736865027 BLYVYLQJFUGFHT-IBGZPJMESA-N 410.813 4.785 5 20 HJBD CCOC(=O)c1ccnc(Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 737406132 MHCFTXLEPJDGCZ-HXUWFJFHSA-N 421.453 4.576 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Br)c2[nH]c(C)c(C)c2c1 751107029 HMRPZYRCMQKPAK-UHFFFAOYSA-N 416.275 4.694 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Nc1cccc(-c2nc(C)c(C)o2)c1 761891176 FVHMRUUFDUUWGD-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 762468992 SYBTVGICODNNEX-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764216809 XORVUOBNKZGHLZ-UHFFFAOYSA-N 405.407 4.929 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)c1 768334969 QTNVULFURYNILC-UHFFFAOYSA-N 410.455 4.791 5 20 HJBD O=C(Nc1ccc(NC[C@@H]2CCCO2)c(F)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770009131 JDBSGRHGNOTYBR-ZDUSSCGKSA-N 408.817 4.622 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)ccc1Br 770289618 FZGBDSVBASWDJB-CZUORRHYSA-N 411.321 4.507 5 20 HJBD COc1ccc2oc(C(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)c(C)c2c1 770317745 KUDCVYRGRJUTHF-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCc2ncccc21 770670869 JKJZDIXHFFTUHI-UHFFFAOYSA-N 411.870 4.997 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775458207 KMVFFQUZDFJCEI-QWRGUYRKSA-N 415.799 4.945 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)nc(Cl)c1 776218441 QTNICIOAXSICHS-QGZVFWFLSA-N 404.209 4.638 5 20 HJBD CCC1(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])CCN(C(=O)c2ccccc2)CC1 781451006 ALRUZKNEMIKLOH-QGZVFWFLSA-N 410.470 4.532 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1nc2ccccc2n1C1CCCC1 781683601 DPNNHCRQRQXJTD-UHFFFAOYSA-N 423.429 4.539 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc(N2CCC(CN(C)C)CC2)cc1 786819773 QUMAWNJIZUBAMF-XDJHFCHBSA-N 408.546 4.888 5 20 HJBD CCCn1c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc2ccccc21 787874396 ZGUVIQQIBVRHIY-CQSZACIVSA-N 420.425 4.928 5 20 HJBD CCCN(C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])[C@H](C)c1ccccc1OC 790598306 SPOHZGDATKBTAK-CYBMUJFWSA-N 408.857 4.938 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cnn1-c1cccc(Cl)c1 791031685 HMWVAMRMNBPKMU-UHFFFAOYSA-N 402.813 4.696 5 20 HJBD C[C@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccc(F)cc1 791167112 IDQJVFSZTMEHAH-GFCCVEGCSA-N 421.266 4.575 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1cccc([N+](=O)[O-])c1Br 791993623 BNTNXSIHWGOWLX-JSGCOSHPSA-N 407.239 4.563 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c3ccccc3n2)o1 914729066 RVBROFWVQAIKFQ-UHFFFAOYSA-N 401.422 4.984 5 20 HJBD Cc1ccc(NC(=O)c2nnc(/C(Cl)=C\c3csc([N+](=O)[O-])c3)s2)cc1 917144094 YNIACSHSZRPHTP-WUXMJOGZSA-N 406.876 4.805 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 920776921 FMYKZULHPZQEGZ-NSHDSACASA-N 422.846 4.784 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1)c1ccccc1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921141451 XZLHIEGPBVWINW-GOSISDBHSA-N 424.840 4.715 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cccc(OC[C@H]3CCCO3)c2)c(Br)c1 1116669185 JEDNUTLYFISFTQ-QGZVFWFLSA-N 407.264 4.527 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1254366382 DGKFDMDGTIYFKK-OAHLLOKOSA-N 424.526 4.993 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1329248925 YITFEECYYKROSK-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD CCOc1ccc([C@@H](NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c2ccccc2)cc1 1330235145 UXUVPAXDDGOJMI-QHCPKHFHSA-N 417.465 4.808 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 6375077 LDAAGNGNUHKYMQ-QHCPKHFHSA-N 418.449 4.759 5 20 HJBD Cc1cccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 7169179 TYYKRUARBJVALJ-OAHLLOKOSA-N 403.507 4.514 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 10965629 HHJFEESVEAPOKS-UHFFFAOYSA-N 417.468 4.502 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2cccc(NC(=O)C3CCC3)c2)cc1[N+](=O)[O-] 30478139 JHRUFDGXDMXXAI-UHFFFAOYSA-N 409.486 4.561 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 47489003 QQKRVNUTQJKTSS-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3F)s2)cc1 59742017 WZRYPICLTSAESK-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD COc1cc(/C=C\c2ccc3cccc([N+](=O)[O-])c3n2)cc(Br)c1O 96792268 YFTGBJGSPDNASJ-ALCCZGGFSA-N 401.216 4.790 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)CCc2ccco2)cc1 106129618 PGRSJLUFALELNX-HNNXBMFYSA-N 410.426 4.740 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)NC[C@H]2CC=CCC2)cc1[N+](=O)[O-] 115966804 MSNCYXHPYHTTJN-HNNXBMFYSA-N 410.495 4.634 5 20 HJBD CC(C)OCc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 195643161 LJFAFADFLQZNLR-UHFFFAOYSA-N 400.478 4.718 5 20 HJBD Cc1cccc([C@@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccccn2)c1 301895864 QBYOPFDKPTZURF-HSZRJFAPSA-N 416.481 4.736 5 20 HJBD CCn1c([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(F)F)c2)nc2ccccc21 301952163 IBRVOZKDXILUCG-KRWDZBQOSA-N 402.401 4.907 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2ccnc(Oc3ccccc3F)c2)cc1[N+](=O)[O-] 426038367 XOGWFSHXJBMKSE-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NC[C@H]2CCC(F)(F)C2)cc1 431097421 BHNKVXVCUUDJPW-ZDUSSCGKSA-N 406.385 4.561 5 20 HJBD CC1(C)C[C@H](NCc2nn(-c3ccccc3)c3c2CCC3)c2cc([N+](=O)[O-])ccc21 433165998 DQUFMGQEVVMRHO-NRFANRHFSA-N 402.498 4.781 5 20 HJBD Cn1c([C@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 436310125 HAYYLXZGNDNULI-HSZRJFAPSA-N 422.488 4.545 5 20 HJBD CCCc1nc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)s1 444331267 PBUQQMBNXGAPNN-UHFFFAOYSA-N 413.449 4.929 5 20 HJBD CCOc1cccc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)c1[N+](=O)[O-] 444880613 WSVQULNLOPBFOO-UHFFFAOYSA-N 422.485 4.725 5 20 HJBD Cc1c(NC(=O)N(C)[C@@H]2CCOc3ccc(Br)cc32)cccc1[N+](=O)[O-] 446030335 XACACFXXYHIZJG-MRXNPFEDSA-N 420.263 4.653 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1 446495849 CHSOJGHGXQMJGX-HNNXBMFYSA-N 403.866 4.880 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCc2nc(-c3cc4ccccc4o3)cs2)n1 447595073 KXMYHCKLUULTIX-DOTOQJQBSA-N 423.498 4.935 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460523427 HGASPHDPGLFSNN-QFIPXVFZSA-N 423.557 4.989 5 20 HJBD C[C@@H](Sc1nc2ccccc2c(=O)n1Cc1cccnc1)c1ccccc1[N+](=O)[O-] 463255026 GKJNNPWWOPRHFL-OAHLLOKOSA-N 418.478 4.601 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCO1 466256530 OGTALOMANHRJPO-IUODEOHRSA-N 406.891 4.697 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467216329 XJQWGGMEJNJGGU-NSHDSACASA-N 404.879 4.761 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 470023994 HLXOABHVJLLQQE-YADHBBJMSA-N 411.502 4.744 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2o1 475219252 KHMNVQJGVKFVPF-RDTXWAMCSA-N 411.483 4.970 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 475653823 WVOPMDPSIACZAZ-MSOLQXFVSA-N 405.564 4.577 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 476182698 UPTJIXOVCZOOBB-FCHUYYIVSA-N 404.470 4.579 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(-c3ccc(Cl)cc3Cl)nc2)c1[N+](=O)[O-] 476475856 IJMJLODOKFJMNL-UHFFFAOYSA-N 420.256 4.892 5 20 HJBD Cc1cn(-c2cccc(N[C@H](c3ccccc3)c3cccc([N+](=O)[O-])c3)c2)c(O)n1 479553288 KALGTTKLZSNNIV-JOCHJYFZSA-N 400.438 4.996 5 20 HJBD CSc1cccc(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@@H]2C)c1[N+](=O)[O-] 486253223 RJYXDIHYKHOCTO-LRDDRELGSA-N 406.891 4.572 5 20 HJBD C[C@H]1CSCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 490189301 WWPZQOQDUPYJGT-CYBMUJFWSA-N 406.891 4.866 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1C(C)C 490579540 MXYIHSQUFQGJQZ-UHFFFAOYSA-N 424.505 4.614 5 20 HJBD COc1ccncc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 494477791 FJDBVKBSCKPPOS-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccccn2)c1 499029977 SCEWIJCGQKRVFH-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 502084692 NAOSYXOIPIIXQO-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1cc([N+](=O)[O-])cc2c1OCOC2 507941707 NYIJOGWHIGAESR-ZDUSSCGKSA-N 411.483 4.744 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H]1CCOc2c(-c3ccccc3)cccc21 509903965 ZBEDQFNWVPULHU-HXUWFJFHSA-N 403.438 4.585 5 20 HJBD Cn1c(SCc2cncc(Br)c2)nc2cc3ccccc3cc2c1=O 510880770 LGASFIMQBSTNMD-UHFFFAOYSA-N 412.312 4.537 5 20 HJBD COc1cc(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)cc(OC)c1 512920189 PRGOPMUGKVDZBM-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2cccc(Cl)c2F)nc1OCc1ccccc1 513071411 WIRHPVARWVHROX-MRXNPFEDSA-N 417.824 4.507 5 20 HJBD CC(C)(C)CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 513209834 LWTHHJYGTCNUFI-KRWDZBQOSA-N 420.307 4.673 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1 525648176 VVHDFCIYEYYJPS-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccccc1-c1ncc(-c2ccccc2)o1 534069040 BFAGOLPPUOIYFI-UHFFFAOYSA-N 401.378 4.875 5 20 HJBD COc1cccc([C@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 538129335 MLGFWBCITMPDIA-SBUREZEXSA-N 419.481 4.942 5 20 HJBD Cc1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)nn1-c1cccc(F)c1 538666573 XFQJBRXYQJSRET-UHFFFAOYSA-N 408.433 4.817 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)CC(=O)N(C)Cc2ccccc2Cl)cc1[N+](=O)[O-] 539119991 HVQHDUFLTLZJRI-JKSUJKDBSA-N 403.910 4.644 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1C 539298951 WGMWPWXDXRWIJM-UHFFFAOYSA-N 408.501 4.894 5 20 HJBD C[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1cc(F)c(Cl)cc1Cl 540342477 CNHBQNWJGHIZSN-SECBINFHSA-N 411.220 4.942 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])c2)o1 541265975 YJBWNVLISLFPSO-UHFFFAOYSA-N 418.862 4.591 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 541549776 WIJOCRRMZWQFAY-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CO[C@@H](c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1)c1ccccc1Cl 545104147 KGKWXARTHAIHQD-CQSZACIVSA-N 424.638 4.797 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1C 545119759 LEDMHWLSBJFSES-UHFFFAOYSA-N 401.438 4.697 5 20 HJBD Cc1cc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)ccc1Br 545527960 JYVFGBATLHLWEN-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cnc(Cc5ccccc5)s4)n3)c2c1 546558557 AKHSOSDXPNAPLI-UHFFFAOYSA-N 417.450 4.764 5 20 HJBD CCc1nc(CN(C)c2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cs1 559717934 KLUZAIZIJZNDTP-UHFFFAOYSA-N 410.499 4.811 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC4(CCC4(F)F)C3)o2)c(Br)c1 563268466 KXOOINRIXVGHPV-UHFFFAOYSA-N 413.218 4.848 5 20 HJBD COc1ccc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 564644699 RLKYZIIPEWIDIY-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@]2(CCCN(Cc3ccccc3)C2)C1 566590745 DYEDEBPGYZHCDT-XMMPIXPASA-N 422.529 4.677 5 20 HJBD CC[C@@H](Nc1ncc([N+](=O)[O-])c(C)c1Br)c1ccc(OC)c(OC)c1 568612997 HGXSPKQQEWNXBT-GFCCVEGCSA-N 410.268 4.641 5 20 HJBD O=C(NCc1ccc(CN2CCCCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 571415100 ZMVFTUZKUGMTNR-UHFFFAOYSA-N 421.419 4.530 5 20 HJBD C[C@H]1COCC[C@@H]1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 572589064 DDXMAOCZBXNKLI-UONOGXRCSA-N 420.918 4.802 5 20 HJBD CCO[C@H](c1noc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1C(F)(F)F 577560629 DVTYEBBTZULIPP-HNNXBMFYSA-N 411.311 4.929 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(OCc3ccccc3F)CC2)c1 590168700 YSHGNXHTJXVVPM-UHFFFAOYSA-N 412.383 4.934 5 20 HJBD CC(C)(NC(=O)CCC(=O)c1cc(Cl)sc1Cl)c1ccccc1[N+](=O)[O-] 590456072 MRYJSHHLMMVNKU-UHFFFAOYSA-N 415.298 4.978 5 20 HJBD CCOc1ccc(C(=O)CCC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1OCC 603500662 OOPWGGQLLSPOIZ-UHFFFAOYSA-N 414.458 4.611 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C 604163324 UFWHBVFFVNBHIZ-UHFFFAOYSA-N 423.469 4.589 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(OC4CCCC4)nc3)o2)c([N+](=O)[O-])c1 608836030 HQYCDQQOZIYZST-UHFFFAOYSA-N 423.469 4.870 5 20 HJBD CCc1ccc(OCC(=O)N2CCCCC[C@@H]2c2ccc(OC)cc2)c([N+](=O)[O-])c1 609650580 DYSOKVXRYYDYJK-HXUWFJFHSA-N 412.486 4.689 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](c2nc3ccccc3o2)C1 610105553 KCGZOEZKFMQNOK-GOSISDBHSA-N 422.485 4.648 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CCCCOc3cccc(C(F)(F)F)c3)n2)c1 610166940 NSGPCBWARYYNKT-UHFFFAOYSA-N 406.364 4.731 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccnc(Oc2ccccc2)c1 611200909 GFPCENSXDHMYNE-UHFFFAOYSA-N 409.467 4.824 5 20 HJBD CC(C)(C)[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611211506 FFZMLTKKKLRRRB-KUHUBIRLSA-N 406.548 4.953 5 20 HJBD CO[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 611947784 MSEJGNDOOQIGFJ-QGZVFWFLSA-N 400.500 4.696 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCC[C@@H]1C(F)(F)F 612047575 CXXDDMRXXIXQDO-JQWIXIFHSA-N 410.845 4.825 5 20 HJBD O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1csc(-c2c(F)cccc2F)n1 726216892 KMKNDHVNKWKENV-UHFFFAOYSA-N 420.393 4.622 5 20 HJBD COc1cc(C(=O)OCc2ccncc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 726385189 WIFSHMWECCUIOT-UHFFFAOYSA-N 414.801 4.801 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1cccc(OC(F)(F)F)c1 728493480 VNGSNXKCCRZEMI-UHFFFAOYSA-N 423.391 4.760 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 731624951 CKXJMUZGRVJDFA-UHFFFAOYSA-N 402.472 4.624 5 20 HJBD C[C@H](OC(=O)[C@@H](C)CSc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732393094 WEKDHDJZGIPEQY-KBPBESRZSA-N 413.455 4.677 5 20 HJBD CCN1C/C(=C\c2cc(Cl)ccc2[N+](=O)[O-])c2nc3ccccc3c(C(=O)O)c2C1 739426166 JQKBRQWWLAHALJ-NTEUORMPSA-N 423.856 4.871 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1OCC1CCOCC1 741204218 QVAFQVSCXHOBOV-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD Cc1ccsc1[C@@H]1C[C@@H]1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 747746876 NJUFYJBKPARWAO-MOPGFXCFSA-N 422.462 4.926 5 20 HJBD C[C@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc(Oc2ccccc2)cc1 751184905 DBFUVFTUPUNRPM-INIZCTEOSA-N 416.437 4.614 5 20 HJBD C[C@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc([N+](=O)[O-])cc1F 754186960 XTIGVAIUFLFWRK-NSHDSACASA-N 421.812 4.842 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Br 754664679 YDNMQNBUCBZHQF-HUUCEWRRSA-N 403.276 4.814 5 20 HJBD CC[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ncc(-c2ccccc2)[nH]1 757260470 FTNGBCPAQMDGAR-KRWDZBQOSA-N 413.865 4.589 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 758359445 ANFJRYRFQJDATK-UZLBHIALSA-N 401.438 4.634 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)c1[N+](=O)[O-] 758413818 KQQGBJJVYPWFBK-UHFFFAOYSA-N 400.450 4.849 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(SC(=O)N(C)C)c1 765034563 GXTPFVUYLBUUGZ-OAHLLOKOSA-N 401.488 4.576 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1Cl 775091728 ULIRAKDYYGVERM-GFCCVEGCSA-N 422.890 4.933 5 20 HJBD O=C(OCc1cc(F)ccc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781255264 AIIGLXHRKAHAGS-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD O=C(Oc1ccc(NC(=O)c2ccco2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781447098 CNEZPEWUOOEVKC-UHFFFAOYSA-N 424.412 4.746 5 20 HJBD Cc1ccc(-c2nnc(SCc3ccc(Br)c([N+](=O)[O-])c3)n2C)cc1 788096165 AQXUFEBSTNIMJV-UHFFFAOYSA-N 419.304 4.754 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 789437195 ILIGKLWLPPONBU-FQEVSTJZSA-N 409.442 4.572 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc([N+](=O)[O-])c(F)cc1N)C1CC1 791336679 QJUXRRIIBVBYLY-SNVBAGLBSA-N 411.355 4.701 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] 794495369 DSUNWQFIRNOHQP-UHFFFAOYSA-N 424.284 4.828 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H](O)c1c(Cl)cccc1Cl 809923193 YHYWJYHZZNMNSJ-GLEZIHRCSA-N 418.664 4.799 5 20 HJBD CO[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(Cl)c1 813465844 XMPHHLQPSFFFJH-AWEZNQCLSA-N 403.649 4.673 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@H](c2ccccc2)[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 813670280 RHYWWHFHGOLGOU-IMRHEYAYSA-N 419.481 4.738 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nnc(-c2cccs2)o1 815511374 HJMHDFPPSAIFFA-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD O=C1CSc2ccc(NCc3ccc(Cl)cc3[N+](=O)[O-])cc2N1CC(F)F 864028502 YBGUSIBKZPHXMO-UHFFFAOYSA-N 413.833 4.564 5 20 HJBD CC(C)[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc2c(c1)CCC2 877044141 WDAJQDJXPTTWNN-IBGZPJMESA-N 416.861 4.557 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C(C)C)c1C 877053849 YSWDEUDBGWNKDP-GOSISDBHSA-N 404.850 4.685 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4ccsc4C(F)(F)F)no3)n2)cc1 904926033 QEYSOZICZQYPRY-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD Cc1c(OC(=O)c2nc(-c3cccs3)n(-c3ccc(F)cc3)n2)cccc1[N+](=O)[O-] 917049564 GRLZCTCTGBAYQY-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 918609717 UIMCJMLBGIWCNT-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 918612106 BUIZYVHNXIKOIX-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD CCCC(=O)Nc1ccc(C(=O)[C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 919215280 YZHAHDSIGOVXSX-ZDUSSCGKSA-N 424.409 4.508 5 20 HJBD Cc1cccc(CCCOC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 919678865 GJFNNGHCVMDIJV-QGZVFWFLSA-N 420.469 4.656 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccccc1)CC1CCC1 920027001 GCSRULCCEINQII-UHFFFAOYSA-N 403.276 4.800 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3cc(-c4ccccc4)on3)o2)c(Br)c1 1322347709 YMVPTHRSWQQNLU-UHFFFAOYSA-N 413.187 4.729 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)o1 1322878389 VYFHDRBMFYLARD-HUUCEWRRSA-N 408.458 4.803 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)cc1C 1341836561 KBCHGBNNURVKOK-UHFFFAOYSA-N 402.472 4.834 5 20 HJBD CC(C)=CCCC(=O)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])o3)cc2)CCC1 1785749535 CXKOHNNITKUDOH-UHFFFAOYSA-N 411.458 4.682 5 20 HJBD COc1ccc(-c2noc(COc3ccc([N+](=O)[O-])cc3-c3cccs3)n2)cc1 8354342 DFPMSDHPNVEIQJ-UHFFFAOYSA-N 409.423 4.961 5 20 HJBD C[C@@H](OC(=O)COc1ccc(C(=O)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 21226065 VEXXKTDUZZELCS-MRXNPFEDSA-N 405.406 4.509 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)C12CC3CC(CC(C3)C1)C2 22314758 SMQLMVIROMUNAV-UHFFFAOYSA-N 414.549 4.972 5 20 HJBD COc1cc(CSc2nccn2Cc2ccccc2)c([N+](=O)[O-])cc1OC(F)F 25029777 AFGGXOAZKULIDZ-UHFFFAOYSA-N 421.425 4.742 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1cccnc1 29294824 QOGUEIPPPIUZMZ-NRFANRHFSA-N 402.454 4.579 5 20 HJBD COc1cc(Br)c(/C=C\c2ccc3c([N+](=O)[O-])cccc3n2)cc1O 96792459 NGMWMWCYKKGJQD-WAYWQWQTSA-N 401.216 4.790 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)cc1 97205932 GDMRKZCNBWXOSE-WDEREUQCSA-N 415.799 4.945 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N(C[C@@H]1CCOC1)C1CC1 141049441 HYMQHRXVIGZLOA-AWEZNQCLSA-N 416.861 4.682 5 20 HJBD Cc1ccc([C@H](Nc2ccc(F)cc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301482386 XCIDITRSYCJGJB-NRFANRHFSA-N 414.458 4.647 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2c(Br)cncc2[N+](=O)[O-])CC1 301873110 HTAMOLKIIOKYCL-UHFFFAOYSA-N 424.682 4.505 5 20 HJBD COc1cc(N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c(F)cc1[N+](=O)[O-] 301914552 FUURFEMQHTUWFQ-SECBINFHSA-N 405.373 4.602 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 303558950 XDFBNHWOICPOCK-CHWSQXEVSA-N 412.433 4.842 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cc3ccccc3s2)c([N+](=O)[O-])cc1OC(F)F 410288551 ATNVZUIKZINOFA-JTQLQIEISA-N 422.409 4.911 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1cccc(Cl)c1 426687417 KDXMRIXBCYEOIL-MRXNPFEDSA-N 414.845 4.541 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cc3c(cc2Cl)CCC3=O)cc1[N+](=O)[O-] 429502598 DEFWSCRLRYHVNN-UHFFFAOYSA-N 423.650 4.700 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)C1CCN(c2ccccc2[N+](=O)[O-])CC1 431148403 XRMODKBWPIBFQL-FCHUYYIVSA-N 415.493 4.637 5 20 HJBD CCOc1cc(C(=O)Nc2ncc(-c3ccc(F)cc3)s2)c([N+](=O)[O-])cc1OC 432232168 OFANLTQCLAKLMZ-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](c1cccc(Cl)c1)C1CCCC1 433906577 GESGMACGSQIDFZ-LJQANCHMSA-N 415.877 4.543 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2F)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 437402812 IAVKOISWZLIGKF-NNUKFRKNSA-N 422.406 4.775 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(CSC(C)C)c2C)cc1[N+](=O)[O-] 438453137 FXPOKFKVIYWNGF-UHFFFAOYSA-N 403.504 4.875 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1-c1nc(-c2ccc(N3CCCCC3)nc2)no1 438737384 GVKGXZDGJCPDCV-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(c2ccccc2Br)CC1 439015238 OOFZCJADMNNOTP-UHFFFAOYSA-N 417.303 4.696 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443971169 VHNPZHMEACJSEL-HXUWFJFHSA-N 410.518 4.588 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccccc1OC(F)F 444856483 YRGQDLOYFQOIDK-SSDOTTSWSA-N 421.219 4.511 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)c1ncccc1C 461466697 ZPWCAAIZXFMHFS-UHFFFAOYSA-N 401.532 4.898 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(OC)cc1)c1ccncc1 462627106 BOYKFAXVMVNSFT-HXUWFJFHSA-N 419.481 4.801 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(-c2ccc(OC)cc2)cc1 470980968 CUHDUHKQROCQPK-UHFFFAOYSA-N 406.438 4.599 5 20 HJBD CCOc1ccc(-c2nc(CC)c(C(=O)Nc3ccc([N+](=O)[O-])c(C)n3)s2)cc1 471043202 KQHKOQYMBZQWMM-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1ccn(C)n1 475566505 HXGZUHLIDYAMSK-IBGZPJMESA-N 413.865 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 475584363 PDBPUWMECQTTHG-AZUAARDMSA-N 410.518 4.728 5 20 HJBD CC[C@@H](Oc1ccccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 482563766 ZSDWRQSOFOHBEN-CYBMUJFWSA-N 400.765 4.646 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(COCc3ccccc3)cc2)cccc1[N+](=O)[O-] 483762794 QUHKILDBHHZTFV-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)c1cccc(OC(F)(F)F)c1 484001152 CSXFHRLZZYDQFR-LLVKDONJSA-N 400.378 4.637 5 20 HJBD O=C1CCCN1Cc1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1 485397217 SPVQEWQSFHATQZ-UHFFFAOYSA-N 420.444 4.530 5 20 HJBD COc1cc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)cc([N+](=O)[O-])c1C 485641083 FRTNVJWTRDVDRL-UHFFFAOYSA-N 422.485 4.856 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(C(F)(F)F)cs1 486623559 DJLNHORDNCYORK-SSDOTTSWSA-N 401.391 4.776 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(F)c3F)nc2)cc([N+](=O)[O-])c1C 487118080 LULFKMXKBIVGIX-UHFFFAOYSA-N 415.352 4.630 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])o1 488814583 IJKOZUJPPHCRQL-DZGCQCFKSA-N 412.471 4.639 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@H](C)c1ccc(Oc2cccnc2)cc1 489797306 QMUCHZTYRMBZFT-IAGOWNOFSA-N 420.469 4.850 5 20 HJBD O=C(Nc1ccccc1Oc1cccc(F)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 490224359 MDGQCTCWGHYYAI-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD O=C(N[C@@H]1CCOC2(CCCC2)C1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491867894 RSTZVYWEHVECAJ-MRXNPFEDSA-N 412.511 4.968 5 20 HJBD O=C(Nc1ncc(Cc2cccc(Br)c2)s1)c1ccc([N+](=O)[O-])cc1 505392604 VXXOMFVUVSIQSV-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD CCN(C/C=C/c1ccccc1[N+](=O)[O-])CC(=O)Nc1c(Cl)cccc1Cl 506279726 GUTQEQMKTYKWQY-SOFGYWHQSA-N 408.285 4.875 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2OC[C@H]2CCCCO2)cc1[N+](=O)[O-] 510026433 AJNLYDUZSLCZCV-OAHLLOKOSA-N 402.472 4.517 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1OC 513209818 LKVZTZUUMNMFCU-GJZGRUSLSA-N 412.490 4.755 5 20 HJBD Cc1c(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 517635162 XCEJZAZKZNIQCD-UHFFFAOYSA-N 413.865 4.573 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3cc(Br)cs3)no2)cc1 518874080 CEFNMQIDSQFPEZ-UHFFFAOYSA-N 409.265 4.514 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3C[C@H](c4ccccc4)[C@@H]4COCC[C@@H]43)cs2)c1 519792436 PUBNSLJTJGXNSD-FSSWDIPSSA-N 421.522 4.723 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccnc(Oc2ccccc2)c1 522197911 MEEJYWSLJMKTMC-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(SCc2ccccc2)s1 522738443 AHEXBRDEQUMSBO-UHFFFAOYSA-N 420.903 4.573 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 523219485 ZQCDDVWCAFTQSO-INIZCTEOSA-N 421.453 4.956 5 20 HJBD C[C@H]1[C@H](C)CCCN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 525549585 PBXCGDUSTIZFON-MSOLQXFVSA-N 418.497 4.637 5 20 HJBD CC(=O)N(c1ccc(C)c(C)c1)c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1 532753528 KGBZTDMMLZUJLS-UHFFFAOYSA-N 424.526 4.985 5 20 HJBD C[C@H](c1ccccc1Br)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 533559628 JDXYUZDPYZNTCR-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(OC)c1 534074230 BZPCVSNATRLSPL-HXUWFJFHSA-N 409.442 4.604 5 20 HJBD CC(C)n1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc2c1=O 534791676 BSBFPTMZYVBMJQ-UHFFFAOYSA-N 422.466 4.833 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)cnc1O 535020246 ROAJYIHEXPILTP-UHFFFAOYSA-N 412.833 4.522 5 20 HJBD CCC[C@H](NC1CCN(C(=O)NC2CCCCC2)CC1)c1cccc([N+](=O)[O-])c1 537978733 FCTHHUQJNQIZAD-NRFANRHFSA-N 402.539 4.532 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1CN1CCCC1 538248144 KDXNNYQMGWOQKI-UHFFFAOYSA-N 413.543 4.621 5 20 HJBD CC[C@H](C)Oc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccn1 538600049 UDMUCZWLEGHICT-AWEZNQCLSA-N 403.504 4.598 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 539678496 LBPLOZKWUMZXPI-IRXDYDNUSA-N 422.403 4.617 5 20 HJBD COc1ccc(NC(=O)N2Cc3ccccc3[C@H](c3ccccc3)C2)cc1[N+](=O)[O-] 541099851 ZTEGENCONFESQT-FQEVSTJZSA-N 403.438 4.783 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 543120541 AIRNADNAAOEWLF-SNVBAGLBSA-N 416.833 4.819 5 20 HJBD CC(=O)CCc1ccc(O[C@H](C)c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1 545144206 IHGNTCMEODJBPE-MRXNPFEDSA-N 409.442 4.539 5 20 HJBD C[C@H](c1nc(Cc2ccc(Br)s2)no1)c1ccc([N+](=O)[O-])cc1F 545584108 UWWDHLSMEKJIPT-QMMMGPOBSA-N 412.240 4.684 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 545783965 GLSVACRAFMVMKO-SFHVURJKSA-N 408.458 4.575 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1OC(F)F 545820139 ZMBULTXALCSPRT-MRVPVSSYSA-N 421.183 4.909 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@H]1c1ccccc1 546676365 PXYBRENLOFCMTF-SFHVURJKSA-N 403.276 4.654 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 549146672 MSUFZZSDOWEOJI-UHFFFAOYSA-N 418.384 4.610 5 20 HJBD CCCc1nc(C)c(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)o1 554163487 QIVKPKMVTMGOSZ-UHFFFAOYSA-N 411.483 4.925 5 20 HJBD Cc1c(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cccc1[N+](=O)[O-] 557438505 QAUGSGKSROMSSG-KZULUSFZSA-N 408.376 4.524 5 20 HJBD CC(C)n1cc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)nn1 558100311 GHAVNYSXGZJGNI-UHFFFAOYSA-N 419.844 4.642 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)N(Cc1ccccc1)C1CC1 603934377 ZOYHDYUPMBLMNR-UHFFFAOYSA-N 408.479 4.893 5 20 HJBD O=C(Cn1ccc([N+](=O)[O-])n1)Nc1cc(Cl)ccc1Oc1ccc(Cl)cc1 603947424 KIIWJHNTKVNYEY-UHFFFAOYSA-N 407.213 4.529 5 20 HJBD COc1ccccc1N1CC[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 608836926 RBABWKGEAWRPAO-QGZVFWFLSA-N 423.538 4.948 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1cccc(Sc2ccccc2)c1 609379576 NKMWUGJUNOFVDM-UHFFFAOYSA-N 416.480 4.555 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609724770 KYZTZABBJUCEGH-GOSISDBHSA-N 402.878 4.559 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)N1CCc2c1cccc2[N+](=O)[O-] 609972679 PITCNFYWTBBOME-UHFFFAOYSA-N 421.400 4.745 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])s1 611499381 NJYNUGWLTZGKMA-UHFFFAOYSA-N 421.931 4.643 5 20 HJBD CCN1C[C@@H](CN(C)C(=O)c2cc3ccccc3c3cccnc23)Oc2ccccc21 643128740 FBNKXMIKRJJBBT-LJQANCHMSA-N 411.505 4.748 5 20 HJBD CCCCN1Cc2cccc(N[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2C1 727464007 OHTIFGRAQQDHHY-CQSZACIVSA-N 416.909 4.803 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2ccc(CCCc3ccccc3)cc2)c([N+](=O)[O-])c1 731626645 CUULUZNXJSDCFE-UHFFFAOYSA-N 418.449 4.600 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 732963977 QKNWHPWQBZKPGK-LZMFZKJZSA-N 423.419 4.919 5 20 HJBD COc1ccc(CSCC(=O)OCc2oc3ccccc3c2C)cc1[N+](=O)[O-] 734291709 NGGLLLJDVVYDGG-UHFFFAOYSA-N 401.440 4.635 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H](c3ccccc3)C[C@H]2C)CC1 734355428 MYEWEQVTEWJTJV-UYAOXDASSA-N 407.514 4.849 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(Cl)cc2)c1C 736159155 DEXIBVFPQOIJDH-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 741557263 SLNMOUBBRJEJGU-UHFFFAOYSA-N 403.866 4.619 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 742765456 IPDCEGXSRWCGLB-UHFFFAOYSA-N 411.414 4.667 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2ccc(F)cc2)CC1 752645667 VFKUKMIDMQDOMF-UHFFFAOYSA-N 409.364 4.747 5 20 HJBD COc1ccc(NC(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 752808040 WQXRZFBRYCRJMI-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(OCc3cn4ccccc4n3)c2)c1[N+](=O)[O-] 753454498 VTFSEAYOWQYERG-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755066060 FROJAYADHPXNFW-KGLIPLIRSA-N 402.422 4.531 5 20 HJBD CCC(CC)[C@@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761499718 XWPWZXWLZJDZMR-MNOVXSKESA-N 404.385 4.556 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NS(=O)(=O)CCc1ccccc1[N+](=O)[O-] 766321362 MPZQNUFBCPEFPT-UHFFFAOYSA-N 408.545 4.778 5 20 HJBD CCc1cc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n[nH]1 768109298 XPPSTUUJYLQGJB-UHFFFAOYSA-N 416.890 4.615 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ncc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 772043060 QATJMZJJXLNZIN-LLVKDONJSA-N 418.862 4.630 5 20 HJBD Cc1ccn2cc(-c3ccc(NC(=O)c4cc(F)c([N+](=O)[O-])cc4F)cc3)nc2c1 772779678 PDSXKUQPPCBPKR-UHFFFAOYSA-N 408.364 4.748 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)Nc2cccc3ccccc23)c1[N+](=O)[O-] 777073621 MDVQHYRVCVVZNA-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777989100 IRRJIHFIQFNMJM-AWEZNQCLSA-N 415.396 4.620 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1 778060125 INPIPJSLACLGIJ-UHFFFAOYSA-N 411.792 4.717 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@H](C)c1c(C)nn(CC(F)(F)F)c1C 778844111 TYMNEFSHWUUHDF-BXUZGUMPSA-N 413.396 4.687 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(SC(F)F)cc1 781545997 ACOMDHJUJGZCQD-XFXZXTDPSA-N 401.394 4.564 5 20 HJBD COc1ccc(N(C(=O)c2cccc([N+](=O)[O-])c2Br)C(C)C)cc1F 782124162 SRLDBJWTLDVWOY-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3cccc([N+](=O)[O-])c3Br)sc2C1 782168081 WLPTZNOQNVCSBT-VIFPVBQESA-N 410.293 4.581 5 20 HJBD O=[N+]([O-])c1cc(CSc2n[nH]c(-c3ccccc3F)n2)ccc1Br 788098412 PRDGPRCGHKDVRY-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD COc1cc([C@@H](C[N+](=O)[O-])c2c[nH]c3ccccc23)ccc1OC(=O)OCC1CC1 790008788 PNFUAYIWSLFDSW-GOSISDBHSA-N 410.426 4.511 5 20 HJBD COCCC[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1 803366432 RURZBSJEDPCXKA-CQSZACIVSA-N 411.285 4.842 5 20 HJBD CCS[C@H](C)c1noc(CN(C)Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809955574 ZEAKUMHMHYWEHS-SECBINFHSA-N 405.307 4.731 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-n2cccn2)cc1 813031748 HHXKJPLLQUCKAM-LLVKDONJSA-N 405.241 4.578 5 20 HJBD O=C(OCc1ccc(OC(F)F)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 813143160 AQPLBPIVLQUXNE-UHFFFAOYSA-N 413.351 4.779 5 20 HJBD O=C(NCc1ccc(N2CCCCCC2)nc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813412919 GVEGZJBSWGLEHJ-UHFFFAOYSA-N 423.300 4.607 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H](O)c1c(F)cccc1Cl 813490233 BMVLOTHDUWQIIV-MLXNANBUSA-N 421.639 4.546 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c([C@@H](C)O)c2)cc1OC 814236556 CKWNTBSJUAOEGT-UONOGXRCSA-N 406.454 4.833 5 20 HJBD COc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1N1CCCCC1=O 864020254 MYFJVWDOYAACIN-UHFFFAOYSA-N 423.391 4.751 5 20 HJBD C[C@H](Cc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1)N(C)C(=O)OC(C)(C)C 904657080 QUDHEPGXXXUBRB-CYBMUJFWSA-N 418.494 4.740 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)Nc1cc([N+](=O)[O-])ccc1F 917610801 BXAIJMIYGQCBOQ-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1=O 1257652279 KKWIDYWWAMAEIQ-GOEBONIOSA-N 406.442 4.679 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3csc(-c4ccco4)n3)n2)cc1[N+](=O)[O-] 1318270702 FFMBZOLVUKYDRN-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD CC(C)(C)n1c(NC(=O)c2cccc([N+](=O)[O-])c2Br)nc2ccccc21 1321918746 GWKRECQVSDEVOI-UHFFFAOYSA-N 417.263 4.714 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nnc(CCSc3ccc(F)cc3)o2)c1 1327806057 GGQRXIJIMMYOLE-UHFFFAOYSA-N 424.251 4.881 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 1340074096 HNRBQSZCTFTZEO-UHFFFAOYSA-N 414.465 4.960 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(Oc2ccc(Cl)cn2)cc1 1346025929 DOYCETAECHTPGQ-UHFFFAOYSA-N 400.774 4.663 5 20 HJBD C[C@@H](NC[C@@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 1346108927 GMXPIMUYDHRVAD-OPAMFIHVSA-N 420.469 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1OCc1cn2cccc(C)c2n1 23015135 IVYIMAVYWXVJKS-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 47956601 DCEAYFFBLWLNPH-UHFFFAOYSA-N 418.453 4.819 5 20 HJBD Cc1c(NC(=O)CCc2nc3ccccc3n2-c2ccccc2)cccc1[N+](=O)[O-] 58163284 IWFXHFZPQCQBNJ-UHFFFAOYSA-N 400.438 4.813 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CSc2ncccc2[N+](=O)[O-])cs1 65692374 KKGUBFCIUMXZJY-UHFFFAOYSA-N 400.485 4.732 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccc(C(F)(F)F)c1 72088958 KJTJWFDDVQEJQR-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](c1ccc(Cl)cc1)c1cccs1 109263451 XNXHIIQWMYMWLQ-IBGZPJMESA-N 420.849 4.733 5 20 HJBD Cc1ccccc1CN1CCC(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 237734367 AFEOBSHNIIYDBL-UHFFFAOYSA-N 422.554 4.781 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)c1 301470837 OZOSIRXPWPTSSF-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD C[C@H](c1nccs1)N1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 302212154 ZWCWMKBDOBXLKU-SNVBAGLBSA-N 420.844 4.607 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3ccccc3C(F)(F)F)CC2)c1[N+](=O)[O-] 302512996 UCZRZKIYFKTLIF-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD O=C(Nc1cc(F)ccc1Oc1ccccc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 303191500 MQMIFERUOWVBRT-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426025300 ZCJPYKNNDIBAGL-SFHVURJKSA-N 401.463 4.645 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(OCc2ccccc2)CC1 435932135 AFGMQEOERJGDAT-UHFFFAOYSA-N 412.489 4.503 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccccc1SC 441392285 BTLKAJCKTCCACJ-ZDUSSCGKSA-N 406.529 4.546 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 445246311 DERAUBHJMSCCNY-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2F)C2CCCC2)c([N+](=O)[O-])cc1OC 446420601 WAPKOTRJCGWFDG-NRFANRHFSA-N 416.449 4.803 5 20 HJBD C[C@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1Cl 447325234 MMFSSJZARKDHOJ-NSHDSACASA-N 410.257 4.550 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](Sc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040717 CZBSJRHRLPJEJI-HXUWFJFHSA-N 409.467 4.861 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460446376 HMDAKVGNOYXEDY-NRFANRHFSA-N 409.530 4.599 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccco1)c1ccccc1OC 462616715 MYHCGNQSBNUIQU-HTAPYJJXSA-N 408.454 4.671 5 20 HJBD O=C(NCCCO[C@H]1CCCc2ccccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463825452 PAJYANCILOKYQY-IBGZPJMESA-N 422.403 4.828 5 20 HJBD COC[C@@H](C)[C@@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283278 DKMNCDVGBLZUSK-HUUCEWRRSA-N 405.520 4.626 5 20 HJBD Cc1ccccc1[C@H](OC[C@H](O)COc1c(F)cccc1[N+](=O)[O-])c1ccccc1 466998421 NSMYMJYROZYUMN-PGRDOPGGSA-N 411.429 4.588 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1cccc(-c2cccnc2)c1)C1CC1 470616842 FGKCIRSDKHGCQH-UHFFFAOYSA-N 416.481 4.574 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccccc1COCc1ccccc1 470833022 SFMJXKNABGOZSH-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)C(=O)CCn1cc([N+](=O)[O-])nc1C 471312288 PCGPWEAAGHDUPJ-HXUWFJFHSA-N 406.914 4.779 5 20 HJBD C[C@H](C(=O)NCC1(c2ccc(C(F)(F)F)cc2)CC1)c1ccc([N+](=O)[O-])cc1F 474141643 KKBQGPSBPFCAOA-LBPRGKRZSA-N 410.367 4.704 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 478251761 OHJLOBAIMPILSZ-LBPRGKRZSA-N 404.850 4.920 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)o1 484535316 UBLIULCSVSUGHK-UHFFFAOYSA-N 421.453 4.683 5 20 HJBD Cc1c2ccc(NC(=O)CSc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2nn1C 485453211 UGFBAIDGFIGHSG-UHFFFAOYSA-N 424.404 4.540 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(OCc2ccc(F)cc2)cc1 485601477 PMUJIIIBIDPGSV-CYBMUJFWSA-N 400.431 4.865 5 20 HJBD Cc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1NC(=O)c1ccco1 486137286 WGGBIZZKFPQWSZ-UHFFFAOYSA-N 419.437 4.553 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)c1cc([N+](=O)[O-])ccc1OC(F)F 487777420 ADCAPJOXDWKFHV-UHFFFAOYSA-N 417.246 4.609 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1c(F)cc(Cl)cc1F 488576505 JDRQMIYFUVSRMM-UHFFFAOYSA-N 409.698 4.867 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 492829768 LANLUFCPBNDSEK-ROUUACIJSA-N 414.525 4.596 5 20 HJBD COc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cc1F 500094586 VXXURQUYNRXWOA-UHFFFAOYSA-N 419.459 4.911 5 20 HJBD COCC[C@H](Nc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-])c1ccco1 503289391 XOUDZCNLQZOGSP-IBGZPJMESA-N 409.442 4.938 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1ccc(OCc2ccccn2)cc1 505548998 HSGSRCZOXWROCA-UHFFFAOYSA-N 419.481 4.549 5 20 HJBD Cc1ccc(CSCCNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 509393932 UEWQZXPXHHAGFP-UHFFFAOYSA-N 401.532 4.757 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(F)cc1)[C@H]1CCCO1 509448800 LRXRSZABVKUFGO-RTWAWAEBSA-N 415.465 4.574 5 20 HJBD CC(=O)N1C[C@@H]2CCC[C@H](N[C@@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)[C@H]2C1 515013548 INKGOMUSBWLJAM-CBVHJVASSA-N 413.543 4.621 5 20 HJBD Cc1ncc2c(n1)CC[C@H](NCc1ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc1)C2 517538889 RQNFZEPGIONQPT-SFHVURJKSA-N 424.888 4.786 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1cccc(OCc2ccc(Cl)cc2)c1 517664057 UMSHOSDDOSLYDX-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)Sc1ccc(Br)cc1 521860547 VLFAAGVZSPFTLE-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c(C)c2c1 522733016 HLVZQSGTNIUXNL-LBPRGKRZSA-N 402.834 4.731 5 20 HJBD CNc1ccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)no2)cc1[N+](=O)[O-] 523738796 BSKZFUXOCVJYBA-UHFFFAOYSA-N 422.485 4.777 5 20 HJBD C[C@H](NCC1(c2cccc(Br)c2)CCOCC1)c1ccccc1[N+](=O)[O-] 525248037 YBCSMFIIXVICGI-HNNXBMFYSA-N 419.319 4.756 5 20 HJBD Cc1ccc([C@@H](C)NCc2ncc(-c3ccc(Br)cc3)[nH]2)cc1[N+](=O)[O-] 530729453 RGAPIPVLXTWYGS-CYBMUJFWSA-N 415.291 4.907 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 533495552 JOBBKSIXWHVBJP-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD CCOCCOc1ccc(Cl)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534058583 JEMVQSXWWMGLOE-UHFFFAOYSA-N 417.849 4.931 5 20 HJBD C[C@H](N[C@H](C)c1ccc([N+](=O)[O-])cc1)c1cc2c(cc1Br)OCCO2 536878338 RTUJMLWHJTXGTJ-NEPJUHHUSA-N 407.264 4.540 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCC2CCN(c3ccncc3)CC2)s1 538967243 FFYAPAIFMUUISR-UHFFFAOYSA-N 408.527 4.725 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451574 RPPYFZMYEGCOMZ-UHFFFAOYSA-N 422.388 4.717 5 20 HJBD C[C@H](C(=O)Nc1c(-c2cccs2)nc2ccccn12)c1ccc([N+](=O)[O-])cc1F 541606880 OOBJHZKEMVEOIY-LBPRGKRZSA-N 410.430 4.852 5 20 HJBD CC(C)[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Cl)cc1F 541988498 DLCGSPKDTKGVEU-GOSISDBHSA-N 404.829 4.924 5 20 HJBD Cc1sc(NC(=O)c2cncnc2-c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 544020546 PKFAKDDJJBNNHV-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)c(OC(F)F)c1 544842024 XXMBXOZOCNDYGK-UHFFFAOYSA-N 408.401 4.504 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)cc1 545786516 QTIXIOKDDJZPQQ-FPAJZGIOSA-N 422.416 4.579 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2CN2CCCC2=O)no1 546981600 HBZRFGQQLVOENW-AWEZNQCLSA-N 424.482 4.621 5 20 HJBD COc1cc(-c2noc(-c3cccc(SC)c3[N+](=O)[O-])n2)cc(Cl)c1OC 547942472 XYQUTPHXBHZYBG-UHFFFAOYSA-N 407.835 4.704 5 20 HJBD CC(=O)N(c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1)c1ccc(C)cc1C 550382477 PEAHJTMVIJAPLH-UHFFFAOYSA-N 424.526 4.985 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2cccnc2)nc1 551026496 GUSBYDVFEDKVCL-UHFFFAOYSA-N 407.430 4.620 5 20 HJBD Cc1nc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)sc1C(F)(F)F 554583561 GIAQDMWFXJGGMF-UHFFFAOYSA-N 400.382 4.575 5 20 HJBD O=C(Nc1ccc(F)cc1OC(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 555556012 PQTOOEUUIVSABT-UHFFFAOYSA-N 403.316 4.650 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@@H]2O)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 556249239 NANNRDXCEUHBRO-ZWKOTPCHSA-N 424.375 4.548 5 20 HJBD CCCOc1cc(NC(=O)NC2CC(C(F)(F)F)C2)c([N+](=O)[O-])cc1OCCC 557446506 OVAKTSZDEBXDOR-UHFFFAOYSA-N 419.400 4.635 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(C)c1 557556970 MSUZZVZDLHPICS-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(COCc2ccco2)c1 558746107 MHPFDQINZSZWHC-UHFFFAOYSA-N 409.442 4.611 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cc(O)n[nH]2)cc1 562000045 QAPAZSGWRMDTAG-UHFFFAOYSA-N 412.471 4.835 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 572063143 SFZSOHUUSFEDDZ-UHFFFAOYSA-N 404.344 4.705 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2cccc(OC)c2[N+](=O)[O-])n1 578678746 JHIZSCVTNFXAFR-OAHLLOKOSA-N 417.849 4.865 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1cccc([N+](=O)[O-])c1 579067673 XYAQGZAQWFRSKK-HNNXBMFYSA-N 402.410 4.783 5 20 HJBD CN(Cc1nc2ccccc2n1C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 609489802 RVSUOXPSDWVNLZ-UHFFFAOYSA-N 416.437 4.546 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@H]1c1ccccc1 609874300 QAOPVRAUMJLKGI-KRWDZBQOSA-N 417.252 4.675 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1ccc([N+](=O)[O-])cc1Br 610043076 BEZFTRGHVRLEHO-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD CC1CCN(c2ccc(C(=O)N3C[C@H](C(F)(F)F)CC[C@@H]3C)cc2[N+](=O)[O-])CC1 611549491 UNUDUXWIIXARRF-GOEBONIOSA-N 413.440 4.634 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3ccc([N+](=O)[O-])cc3Cl)CC2)c(OC)c1 611773135 AYMSQJVXZFBTNF-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCc2c(ccc(Cl)c2Cl)C1 726175355 KNJFQCHSADDXRN-UHFFFAOYSA-N 420.296 4.700 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2csc(-c3ccccc3F)n2)c1 728322016 ZEERKVCDWCYOME-UHFFFAOYSA-N 404.444 4.936 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 729954936 LIKHHGRDPTXMOD-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368002 GZBCXLWMJINDPQ-UHFFFAOYSA-N 422.397 4.959 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1cccc([N+](=O)[O-])c1)c1cccc(Cl)c1 741002036 JULBAOVVGZLGSB-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD COc1cc(COC(=O)c2cc(C)ccc2[N+](=O)[O-])cc(Cl)c1OC(F)F 741895423 BSXTWZQWTZXIMJ-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(C(F)(F)F)cc1 748387753 OTVQVVQTZHEUBB-LCYFTJDESA-N 422.359 4.507 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2[nH]1 748634093 LENMFPMOAJEVHR-UHFFFAOYSA-N 411.418 4.591 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 752114213 SCVDYHBVOFLUCI-WIYYLYMNSA-N 407.470 4.585 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@H]2C[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 752115441 VFPXFYZQKHKCPI-AZUAARDMSA-N 414.527 4.825 5 20 HJBD O=C(OCCCO)c1c2c(nc3ccccc13)/C(=C\c1ccc([N+](=O)[O-])cc1)CCC2 752310661 UIHMWWXOUGTGBI-ICFOKQHNSA-N 418.449 4.559 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2Br)cc1 753778085 CPPIUCRMTYFBFE-MRXNPFEDSA-N 419.275 4.733 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H](COc2ccccc2Cl)C1 754125937 RAPVRQUTSLBHTL-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC[C@H](O)c1cccc([N+](=O)[O-])c1 754749643 RUAVJDPWSJWJSN-XIKOKIGWSA-N 401.463 4.550 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763719854 LAAVQURFFUAHAC-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)ccc21 764222479 YSSIUKWGZJROLU-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)n2)cc1 764276731 WJTFVSBEPDOFGS-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 764350652 OPKATEIOIAPOOG-CQSZACIVSA-N 417.893 4.744 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c2cccnc12 766233120 SCJPUFBGYJRCCA-OAHLLOKOSA-N 421.453 4.759 5 20 HJBD C[C@H](C[C@H](O)c1cccs1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774677146 XNADPSBHRSKUEY-KCJUWKMLSA-N 411.352 4.630 5 20 HJBD CCc1ccnc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c1 775470402 JAYDKMNWNCPREE-UHFFFAOYSA-N 416.389 4.545 5 20 HJBD Cc1ccc([C@H](C)NCCCN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 775550124 VMJAZBUBLCCYGT-IRXDYDNUSA-N 400.479 4.511 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 777837838 IABUAHWFTCTUQD-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1nc(CNC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 778321857 XOHVWTUDAWYWCL-UHFFFAOYSA-N 421.787 4.620 5 20 HJBD COc1cccc2[nH]cc(CC(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)c12 779347227 IUVVVIISAPKYNT-UHFFFAOYSA-N 413.861 4.724 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1ccc(Cl)cc1 781266850 BPRJCIKBPUEHIU-CYBMUJFWSA-N 420.852 4.519 5 20 HJBD C[C@@H](CC(C)(C)c1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 782165833 DVXAYRQSZPFIDY-ZDUSSCGKSA-N 405.292 4.844 5 20 HJBD COc1ccc(-c2cc(C(=O)OCC(=O)c3ccc([N+](=O)[O-])cc3)sc2C)cc1 782170984 TYVGGDCXHIZUFX-UHFFFAOYSA-N 411.435 4.680 5 20 HJBD Cc1ccc(NCc2c(Br)cccc2[N+](=O)[O-])cc1C(=O)N(C)C(C)C 785538602 RSXBYQOBYZHMAB-UHFFFAOYSA-N 420.307 4.758 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc2ccccc2s1 787227101 NZTDENONJHGUIW-JTQLQIEISA-N 424.404 4.784 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 791143071 YSVHECPASMWIBU-UHFFFAOYSA-N 424.409 4.752 5 20 HJBD C[C@@H](OC(=O)c1ccc(F)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796367630 VFGSZMNYIKHPMJ-GFCCVEGCSA-N 407.357 4.855 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@H]2C[C@H](c3ccc(Br)cc3)C2)cc1 812258221 FNUOWMGRXYIJLB-NNUKFRKNSA-N 406.305 4.590 5 20 HJBD COC(=O)c1c(F)ccc(Cl)c1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 821666447 PUAOSPQHXDUJEF-UHFFFAOYSA-N 408.794 4.641 5 20 HJBD CCc1cccc(N2C(=O)/C(=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)NC2=S)c1 917602389 HLDSUDYAQSOVLU-UYRXBGFRSA-N 419.462 4.679 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCCN(c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 919156685 FHJIAZYWSGWSKG-GDBMZVCRSA-N 411.483 4.725 5 20 HJBD O=C(CCc1nc2ccccc2s1)Nc1ccc(Br)c([N+](=O)[O-])c1 1319729936 UIZOOOYBMVWJFF-UHFFFAOYSA-N 406.261 4.538 5 20 HJBD Cc1cc(F)cc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)c1[N+](=O)[O-] 1322407567 SHQQVVHGYPMTKU-SNVBAGLBSA-N 422.210 4.995 5 20 HJBD O=C(CSc1ccc(Br)cc1)NCCCCc1ccc([N+](=O)[O-])cc1 1329400828 YVBWQXCTTAEYND-UHFFFAOYSA-N 423.332 4.589 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC(c2nc3ccccc3s2)CC1 15620221 OXFSBMQPKKQLAR-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(NC4CCCCC4)s3)n2)c1 31841922 RTEXKNLCHBTBIJ-UHFFFAOYSA-N 418.504 4.533 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1Cl 55688343 APNZUSYBSYQRTL-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD CCCC(=O)Nc1cccc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 236760758 OLKANTVOCPZVFS-UHFFFAOYSA-N 423.469 4.892 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NCc2cccc([N+](=O)[O-])c2)cc1OC 237251823 JUPNGDYNCPHDDE-JOCHJYFZSA-N 406.482 4.994 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 248143202 UVZOQJFMDRMFPQ-UHFFFAOYSA-N 421.497 4.778 5 20 HJBD Cc1nc(Cc2nnc(Sc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)o2)cs1 301855777 RBXZJOKVPPUMCE-UHFFFAOYSA-N 402.379 4.504 5 20 HJBD Cc1[nH]c(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)nc1Cc1ccccc1 302975748 UCWSRZFSRQNGNN-UHFFFAOYSA-N 407.455 4.559 5 20 HJBD CN(C(=O)c1ccc(Br)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 392249041 UFSUPZIBUIOKNV-UHFFFAOYSA-N 403.154 4.653 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H](C(=O)O)c3ccc(O)cc3)cc2[N+](=O)[O-])cc1 426803599 NRYKSLGVQAPTNK-OAQYLSRUSA-N 424.478 4.676 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NCc3ccc([N+](=O)[O-])cc3OC)s2)cc1 430213035 AGACQOROZHBYGD-CYBMUJFWSA-N 413.499 4.895 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 430925200 CHELPTCSQPGUNF-SECBINFHSA-N 412.309 4.602 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1noc(-c2ccc(Cl)cc2)n1 431220315 PSPVHQFRTJHBHW-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1ccc(C2(CN[C@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)CCOCC2)cc1 433162173 TVZGEUZOWVTFIM-QFIPXVFZSA-N 410.514 4.664 5 20 HJBD O=C(N[C@@H]1CCSc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435814991 CEOWJKNXPADQKY-LJQANCHMSA-N 422.456 4.926 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438610678 DOZWHKLMNMMNDO-SNVBAGLBSA-N 418.359 4.799 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC(C(=O)Nc2ccc(F)c(Cl)c2)CC1 440468941 XRACPNMRMGMSGE-ZDUSSCGKSA-N 405.857 4.799 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1csc(Br)c1)C1CC1 443874553 JJEQJIZRPQQCMO-UHFFFAOYSA-N 410.293 4.924 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443897180 DIPFNCRMJLLRQH-SFHVURJKSA-N 401.344 4.528 5 20 HJBD Cn1nc(CC(C)(C)C)cc1NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444007841 GHQLPMUUJWVSRX-UHFFFAOYSA-N 424.451 4.534 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460349672 OLQKYMJNXQIDND-GPMSIDNRSA-N 422.428 4.991 5 20 HJBD COc1cc(CNc2cc(Br)ccc2N2CCCC2)c([N+](=O)[O-])cc1F 464096292 QKOSLEKNMFWFOA-UHFFFAOYSA-N 424.270 4.717 5 20 HJBD O=C(NCc1ccc(OCc2ccccc2F)cc1)c1c(Cl)cccc1[N+](=O)[O-] 464461062 NFXVISGPHBMAFA-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD COCCN(C(=O)C[C@H]1CCC[C@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 469918003 MQHFRVMUYPNVFU-GDBMZVCRSA-N 403.504 4.524 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1sc(Br)cc1[N+](=O)[O-] 471505866 RVKTUGWKQGWHCJ-ZYHUDNBSSA-N 413.268 4.624 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cnc(Cc2ccccc2F)s1 475325830 OZAFDODGHLAMHT-UHFFFAOYSA-N 413.474 4.832 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2Cl)c1C(C)C 477832541 QLFKKAZTAVFCPB-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)cc1C 478578780 DAOONPYSZVDKNR-UHFFFAOYSA-N 414.405 4.970 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)c1c[nH]c2cccc([N+](=O)[O-])c12 478909128 LKOCFJFOPBKRKV-UHFFFAOYSA-N 418.434 4.876 5 20 HJBD O=C(N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1Br 479370575 CYWBBTANJZWPSO-OAHLLOKOSA-N 419.250 4.862 5 20 HJBD Cc1c(CNC(=O)CCSc2ccnc3cc(Cl)ccc23)cccc1[N+](=O)[O-] 481484049 VKKNISZNPIACPF-UHFFFAOYSA-N 415.902 4.903 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccccc2F)C1)c1sc(Br)cc1[N+](=O)[O-] 482867448 OBJNLWRXWDFGLU-NXEZZACHSA-N 413.268 4.624 5 20 HJBD Cc1c(CNC(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)cccc1[N+](=O)[O-] 484778960 CFFCZLSTKUHOQR-UHFFFAOYSA-N 421.841 4.536 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2ccccc2C(F)(F)F)cc1SC 484968688 JXUYRUWAKKWKTL-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2cccc(OC(F)(F)F)c2)c1[N+](=O)[O-] 486427324 QORJPDXQWMTRBP-SNVBAGLBSA-N 400.378 4.706 5 20 HJBD Cc1cn(-c2ccccc2Cl)nc1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 499437212 QOHZJBNOMNDKSZ-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2cccnc2)n1Cc1ccccc1 504519847 VEUJVMBOTOHRPB-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(/C=C/c3ccc(OCc4cccnc4)cc3)n2)c1 504970366 VNPQLTPSQNIZJY-DHZHZOJOSA-N 400.394 4.789 5 20 HJBD CCOc1ccc(C2=CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 509465245 UAXLFIQYPFISEY-UHFFFAOYSA-N 419.481 4.980 5 20 HJBD CCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cc1 512515979 ZEHWLLIEBRGCTC-UHFFFAOYSA-N 407.392 4.881 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC(Oc2ccccc2Cl)CC1 513892901 JCNJDFLVBJBMGV-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc2c(c1)OCO2 522672222 AVYKHMJKPWSBHB-CQSZACIVSA-N 421.409 4.555 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CC2(CCC2)c2c(F)cccc21 523180116 ZYIQHDNIYMWXLA-UHFFFAOYSA-N 424.350 4.757 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 524214378 JPANBEZSQNBQJF-HXUWFJFHSA-N 411.845 4.773 5 20 HJBD Cc1cccc2c(CC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c[nH]c12 524963754 QJTFDCGAAKQMTB-UHFFFAOYSA-N 405.376 4.518 5 20 HJBD COc1cc(F)cc2sc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])nc12 525197062 SZJQMJHAWWVTBN-UHFFFAOYSA-N 415.324 4.623 5 20 HJBD O=C(C1CCCCC1)N1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 532374047 UGTQKWXTUCKAAQ-SFHVURJKSA-N 413.543 4.594 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)s2)cc1 532614203 VIJBLFNLEWZUEJ-UHFFFAOYSA-N 423.494 4.840 5 20 HJBD Cn1c([C@@H]2CCCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 534840797 CRAMCWZOFKLCCA-NRFANRHFSA-N 417.469 4.864 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCCC2=O)c1 537390281 ZUTJIOZZCQAUKD-UHFFFAOYSA-N 413.499 4.866 5 20 HJBD CN1CC[C@H](CNC/C=C\c2ccccc2[N+](=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 539564886 AXHWCBJGOYUUHJ-QGBBSFBQSA-N 403.885 4.683 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)[C@H](C)OC)c1 540729854 DUCHJPQARRUAAM-GJZGRUSLSA-N 403.504 4.551 5 20 HJBD COc1cc(CSc2ncnc3sccc23)c([N+](=O)[O-])cc1OCC(F)F 541859245 ADTHSKULQXNLRU-UHFFFAOYSA-N 413.427 4.544 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543461962 AAPVWQMVQUBLHK-CYBMUJFWSA-N 412.446 4.726 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@@H]2c2ccc(Br)cc2)n1 544908976 VPBBZKDQPZQCOG-HUUCEWRRSA-N 400.232 4.602 5 20 HJBD Cc1c([C@@H](C)NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)oc2ccccc12 551421486 FWQMBUSMXDHWMO-CQSZACIVSA-N 423.469 4.763 5 20 HJBD CCCN1Cc2cccc(NC(=O)c3cc([N+](=O)[O-])cc(Br)c3C)c2C1 559561718 DSIGKIYMNJCCJF-UHFFFAOYSA-N 418.291 4.644 5 20 HJBD COc1cc(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)ccc1[N+](=O)[O-] 561019222 XVBXOMMAJZKZND-UHFFFAOYSA-N 401.781 4.710 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2nnc([C@H]3CCCO3)o2)nc1-c1ccccc1 562891016 HFSNHFPQXVANCG-ZWKOTPCHSA-N 421.457 4.623 5 20 HJBD C[C@H](C(=O)NCc1cccc(COCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 580393799 ZMJFPSRELNEXBN-SFHVURJKSA-N 404.466 4.732 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)c1 582202739 ZJNURMNPOIXZCL-OAHLLOKOSA-N 407.426 4.672 5 20 HJBD CCOC1CC2(CCN(C(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)C2)C1 588026434 KTGGFVVAGYVUMX-UHFFFAOYSA-N 404.532 4.661 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)c1OC 588787281 VQGGOXADAJHGDS-AWEZNQCLSA-N 418.515 4.912 5 20 HJBD CN(Cc1ccc(Cl)cc1Cl)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 598930836 SVRLAYQPZZPCFK-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H]1c1ccsc1 603671860 BVLLGQIGYBTZOM-HNNXBMFYSA-N 413.421 4.841 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)C1 603896181 ZXSCHRZAULMSFZ-SFHVURJKSA-N 422.529 4.679 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(F)ccc1Cl 609065755 SMEIWZYSJYXZJO-UHFFFAOYSA-N 405.735 4.847 5 20 HJBD Cc1sc(NC(=O)Cc2c[nH]c3ccc(F)cc23)nc1-c1cccc([N+](=O)[O-])c1 609642837 WWLVIQKQZQGARR-UHFFFAOYSA-N 410.430 4.828 5 20 HJBD Cc1ccc(NC(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 609843629 OQCCUQRRZKIIKO-QGZVFWFLSA-N 407.430 4.712 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@@H](CC(F)(F)F)c1ccccc1 610105211 HSNODGPZJHQBCP-SFHVURJKSA-N 409.408 4.910 5 20 HJBD COc1cccc([C@H](Cc2cc(C)cc(C)c2)NC(=O)c2ccccc2[N+](=O)[O-])c1 610186585 XNMQITAMZLPQHE-QFIPXVFZSA-N 404.466 4.934 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@H]2C2CCCCC2)cc1[N+](=O)[O-])c1ccccn1 610191622 ZOBVVNCQNUTVQR-XERREHJYSA-N 408.502 4.862 5 20 HJBD COc1ccc2[nH]nc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)c2c1 611217020 XIJQEPOKFBTSDW-UHFFFAOYSA-N 412.471 4.767 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCC[S@@](=O)Cc1ccccc1 731903477 MLZHNZWMFCJCIO-AREMUKBSSA-N 406.813 4.628 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cc1 743056856 NKWHCVATUOVUSH-ZDUSSCGKSA-N 400.435 4.825 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 743068908 PTIXIPHBGYKSBW-KRWDZBQOSA-N 416.909 4.624 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 746060755 YNIVZEQDGVXETG-KGLIPLIRSA-N 413.455 4.738 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c1 748819830 OQFHCHFZYCBKGY-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])ccc2s1 749906306 IQKVAGMBCWGEFS-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1N1CCSCC1 752659074 DNMWNVOEBXIBCJ-MRXNPFEDSA-N 414.531 4.648 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755024035 FDYIWNAPRYWQCM-VIFPVBQESA-N 414.206 4.860 5 20 HJBD C[C@H](C(=O)O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 763400881 BUUBWEJEAQNKCU-KKSFZXQISA-N 404.422 4.622 5 20 HJBD CCOc1cccc(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)c1[N+](=O)[O-] 764912989 FHYRRJWRODPSPE-UHFFFAOYSA-N 410.392 4.500 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@H](c2nc3c(s2)CCCC3)C1 768593978 ZCMOCHYRJOYECQ-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD Cc1cc([C@H](N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)C2CC2)ccc1F 776032518 WKRMOXQKOANQHK-HXPMCKFVSA-N 405.857 4.764 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790465804 IAESKOZFBXMWPY-UHFFFAOYSA-N 404.747 4.607 5 20 HJBD CC(C)c1occc1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796394123 KYWMACZANPPWGO-SNVBAGLBSA-N 414.336 4.514 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@H](CCO)c3ccc(Cl)c(Cl)c3)CC2)cc1 797062852 RMIMWDBEUFQAPI-HXUWFJFHSA-N 424.328 4.584 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@H](C)[C@H](C)SC)cc1[N+](=O)[O-] 797205011 NREVKIUULWSLIV-OLZOCXBDSA-N 419.524 4.845 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc2ccc(Cl)nc2s1 798250167 IFNAYXWEQSYLCZ-UHFFFAOYSA-N 415.283 4.637 5 20 HJBD CSc1cccc(C(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)c1[N+](=O)[O-] 804412878 GLNWOBJIZGMLGD-FQEVSTJZSA-N 422.506 4.693 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 805679758 WIHMMEFJHSGYSH-OAHLLOKOSA-N 417.421 4.720 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc([N+](=O)[O-])cc1Cl 811216437 KGBBKWOZHYSGMB-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CC[C@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC)cc1 813142124 CTCDYKYNWITYRA-SIKLNZKXSA-N 406.438 4.825 5 20 HJBD CC(C)(C)OC(=O)CCCCCNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813152650 IQFGYBRKZNOFAQ-UHFFFAOYSA-N 405.278 4.534 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2F)cc1[N+](=O)[O-] 915151853 IKWBAHIHYWBXMA-UHFFFAOYSA-N 418.425 4.765 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)NC2=S)cc1C 917606888 BGDZLIATHCELFT-UNOMPAQXSA-N 419.462 4.734 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc(C)c(NC(=O)c2ccco2)c1 918535175 WSZMGZWAKMMFGB-UHFFFAOYSA-N 424.409 4.504 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3csc(-c4ccccn4)n3)n2)cc1[N+](=O)[O-] 921003207 UIFIIYSEKRPZLR-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD COc1ccc(C(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 1255833113 MJRIYPASSQEIBG-MRXNPFEDSA-N 420.465 4.621 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1OC 1317779613 UTQXKRGELTXSIC-UHFFFAOYSA-N 414.792 4.966 5 20 HJBD O=C(Nc1cnn(Cc2cccnc2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 1336411531 FXJFONSKQXUVNN-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc12 29889787 WPPOVMQZVIIRBI-UHFFFAOYSA-N 422.441 4.646 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-n2cnc3ccccc32)cc1 45527854 XNHWFSPSYAZCKG-UHFFFAOYSA-N 404.451 4.665 5 20 HJBD Cn1c(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2cc(Cl)ccc21 46882506 MNRHVNXTLGPAAB-UHFFFAOYSA-N 411.270 4.519 5 20 HJBD C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCCC1 63733325 KEBXERQQUMSYLJ-AWEZNQCLSA-N 419.934 4.614 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccc(F)cc1F)C1CC1 64907288 BWZFNTUNCSLXCI-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD CC(C)c1ccc2c(c1)CCCN2C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 106227607 IUQPNAUGXZRHLK-UHFFFAOYSA-N 407.514 4.914 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2ccc(Oc3ccccc3)nc2)cc1[N+](=O)[O-] 117334633 YTGNMCXAPYLMBW-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 146044571 LYCPIMFDPWYHAK-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD Cc1ncsc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237664089 JAPCRWIKPGOIPF-UHFFFAOYSA-N 408.277 4.672 5 20 HJBD Cc1cc(NCc2cccc(C(=O)NCc3ccco3)c2)c2cccc([N+](=O)[O-])c2n1 302025618 PXXJUPAFSJFXKU-UHFFFAOYSA-N 416.437 4.587 5 20 HJBD CC(C)(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(Cl)c1 303766330 PSSIUOJYIFVHQE-UHFFFAOYSA-N 404.897 4.860 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)CCOc1ccccc1[N+](=O)[O-] 410017718 ZCYLWWFKKNUPJM-UHFFFAOYSA-N 422.485 4.794 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@H]1C 426848970 BDJIEMWEONTBTK-VQIMIIECSA-N 413.543 4.691 5 20 HJBD CN(C(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1)C1CCCCC1 431389080 GGEDPXZLDFFEOZ-UHFFFAOYSA-N 423.513 4.916 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)s1 436397154 ZLUMXTQPEIOPQW-QMMMGPOBSA-N 418.700 4.633 5 20 HJBD O=C1[C@@H](Nc2ccc(COCc3ccccc3)cc2)CCN1c1cccc([N+](=O)[O-])c1 439653962 YEPOSQMOCOEQJA-QHCPKHFHSA-N 417.465 4.529 5 20 HJBD CC[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(Cl)c1 444233741 VBICMYAPBDXRDL-QGZVFWFLSA-N 420.896 4.922 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C/c3ccccc3[N+](=O)[O-])n2)cc1 445272551 DOXMSAMRQPHWQI-NRMKIYEFSA-N 406.442 4.843 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1 446083866 HYDPIWGNFPDDMI-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD C[C@H](NC(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 448293547 ZGZAYQKRUBZTPG-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD Cc1ccnc(Oc2cc(NS(=O)(=O)c3cccc([N+](=O)[O-])c3C)ccc2C)c1 460822152 DLIHEDXUBMIRCY-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 468377694 PZAPHDCHFBYDOF-LLVKDONJSA-N 415.421 4.756 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2[nH]c(-c3ccc(F)cc3)nc2c1 470827069 LUZDOBPHICNRTQ-UHFFFAOYSA-N 420.400 4.928 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCC2(CCOCc3ccccc3)CC2)cc1[N+](=O)[O-] 472768282 IWBIGICHNYRTGY-SFHVURJKSA-N 411.502 4.651 5 20 HJBD C[C@H](NC(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 472872007 UIMLEETYTOBCAZ-NSHDSACASA-N 411.393 4.544 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 480107472 WYJQLARUERIRKV-DHIUTWEWSA-N 416.477 4.936 5 20 HJBD O=C(NCc1ccc(C2CC2)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 481906852 UANUBFSSJHJLQX-UHFFFAOYSA-N 404.416 4.767 5 20 HJBD CCCOc1cc(NC(=O)c2cc3c(s2)CCOC3)c([N+](=O)[O-])cc1OCCC 482040979 QEPYKGCDPRBOGS-UHFFFAOYSA-N 420.487 4.559 5 20 HJBD CCCN1CCC(N(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 483658296 JPCDORJTDAAZPO-UHFFFAOYSA-N 412.490 4.725 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1nc(-c2cccs2)oc1C 485345185 JPMDYCBZFGLRFF-CYBMUJFWSA-N 406.485 4.604 5 20 HJBD CS[C@H]1CCC[C@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 485492983 OMPXQQVDAOZRQM-WMZOPIPTSA-N 400.500 4.578 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2c(C(C)C)noc2n1 486708131 NQDAAWGNXXXPEP-UHFFFAOYSA-N 422.363 4.512 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(COCC2CC2)c1 488332342 NPRYAQRHBNEGPV-UHFFFAOYSA-N 423.391 4.862 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2ccc(Cl)cc2)CC1 489573050 SGXOSOFWSLQCPI-UHFFFAOYSA-N 408.788 4.779 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489937822 UWSDJVMIHQTAES-CABCVRRESA-N 412.877 4.698 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nncn2CC)c1 502795019 NPEMZBDBCLEKRE-UHFFFAOYSA-N 411.487 4.628 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 505381259 XBSOEHIOXOGXAB-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 515707293 MQRLTDYUZJWUSH-VIFPVBQESA-N 423.705 4.937 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nnc(-c2ccccc2Cl)s1 516627183 PTBGXTSPHHHGQA-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCSc2c(F)cccc21 518858114 ZUWVYSKXEKAZHS-HZMBPMFUSA-N 410.854 4.508 5 20 HJBD O=C(c1cc(NCc2ccc(-c3ccncc3)cc2)ccc1[N+](=O)[O-])N1CCCC1 523515117 SDEDAYRGRAKOCL-UHFFFAOYSA-N 402.454 4.505 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@H]2CCc3c(O)cccc32)cc1 523581624 YHYKETOHJCHILG-FQEVSTJZSA-N 420.421 4.519 5 20 HJBD Cc1[nH]nc(C(=O)Nc2ccc(Oc3ccccc3C(F)(F)F)c(F)c2)c1[N+](=O)[O-] 523685105 WSDJMWDFLZSRRN-UHFFFAOYSA-N 424.310 4.829 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)cc([N+](=O)[O-])c1OC 525018794 TWJHAQALCOOFRU-UHFFFAOYSA-N 419.393 4.673 5 20 HJBD C[C@@H](NCC1(c2cccc(Br)c2)CCOCC1)c1ccccc1[N+](=O)[O-] 525248038 YBCSMFIIXVICGI-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)o2)cc1 525618703 BABHVSBRACMSGU-JOCHJYFZSA-N 423.494 4.765 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2[nH]1 530287187 FKDVJJKWQVQBEX-UHFFFAOYSA-N 417.263 4.783 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1C[C@H]1c1cccc(Br)c1 530776765 PBCBZLYTEZYGCD-GJZGRUSLSA-N 404.264 4.652 5 20 HJBD Cc1ccc2c(C3=CCN(Cc4nc(-c5ccc([N+](=O)[O-])cc5)no4)CC3)c[nH]c2c1 533028614 HSRXVHMQSQPATD-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD Cc1ncc2c(n1)CCN(Cc1ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc1)C2 535788365 BKHUOFAVFPXLPL-UHFFFAOYSA-N 410.861 4.697 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](CC(F)(F)F)c3ccc(F)cc3)o2)cc1 538176353 IRJSTDLETIPYIA-OAHLLOKOSA-N 410.327 4.567 5 20 HJBD CC(C)c1ccc(CN2[C@H]3CC[C@H]2CC(N(C)C(=O)c2ccccc2)C3)cc1[N+](=O)[O-] 540768295 MZHZIPFSLCBIRL-SFTDATJTSA-N 421.541 4.986 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 542719085 YKDCKXNWUSWKDJ-UHFFFAOYSA-N 401.463 4.630 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2cnc(Oc3ccccc3)cn2)no1 545105644 GHJBACMWAGVICX-CLFYSBASSA-N 405.345 4.537 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 552765748 PHYJIRPKRVWWPX-LJQANCHMSA-N 422.403 4.820 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CSCC2 553309027 JSBUNYWGKNHXIH-UHFFFAOYSA-N 409.558 4.841 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)c1 554884913 AQSUGOANLVXGLO-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD O=C(Nc1cccc(Cl)c1OCC(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 558299297 AKFBNCPVQGUQSU-UHFFFAOYSA-N 411.792 4.719 5 20 HJBD C[C@H](C(=O)Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1cccc([N+](=O)[O-])c1 559425397 YIIIUFDDVFFEJK-SCLBCKFNSA-N 408.483 4.657 5 20 HJBD O=C(N[C@H](CCO)c1ccc(Cl)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2o1 561330732 QWHPRRGPSJPQBH-OAHLLOKOSA-N 409.225 4.501 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC[C@@H](CO)CC1 564058021 HREAHMCSKWSCAS-CQSZACIVSA-N 420.918 4.634 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCn2c(cc3ccccc32)C1 569817496 NNCWOENGAKOYTI-UHFFFAOYSA-N 403.360 4.614 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H](F)c2ccc(C(F)(F)F)cc2)n1 579643272 WZFIYRCWJBFBKZ-MRXNPFEDSA-N 410.327 4.710 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@H]1Cc1ccccc1Br 581332269 DBNZIXDFXAVPEQ-ZDUSSCGKSA-N 409.305 4.656 5 20 HJBD COc1ccc([C@@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccc2)cc1 589797572 PBVWEPHPUVSNNO-QHCPKHFHSA-N 419.481 4.943 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCOC[C@@H](C2CCC2)C1 590456734 OPPVFZAHDIVRDU-INIZCTEOSA-N 404.532 4.518 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1OC 603531460 PENGFHPJJROKCO-UHFFFAOYSA-N 414.405 4.767 5 20 HJBD COc1ccc(C(=O)Nc2ccc3nc(-c4cccc(F)c4)[nH]c3c2)cc1[N+](=O)[O-] 603939450 QQVXNEXPUDJZTF-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 604243105 DURAHJYIQCQICA-KRWDZBQOSA-N 404.470 4.670 5 20 HJBD C[C@@H](Oc1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 608897139 OXMXHJJHUYUPHT-SECBINFHSA-N 420.290 4.621 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(NC(=O)C2CC2)cc1 609755141 GTDVGJGZJLPDPJ-UHFFFAOYSA-N 417.421 4.988 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Oc2ccc(F)cc2)nc1 609784081 RHPRSMFURVAECP-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCCC[C@@H]2c2ccc(C)o2)cc1SC 609984590 QGAXAARWSPQBCW-OAHLLOKOSA-N 404.488 4.984 5 20 HJBD COc1cc(C(=O)Nc2ccc(COCc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 610185044 ROPGSRKKLVJEQB-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)Cc1nc2cc([N+](=O)[O-])ccc2o1 610581395 RBTGQXUAIPINPK-UHFFFAOYSA-N 410.405 4.595 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)s1 610653780 HWBGOESWYLOODF-UHFFFAOYSA-N 410.480 4.818 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207717 NXHMYPGENYLDDT-NSISKUIASA-N 416.543 4.707 5 20 HJBD Cc1ccc(Oc2ccc(Nc3ncc([N+](=O)[O-])c(C)c3Br)cc2)nn1 612446084 ZUWFOVYUXNNZAP-UHFFFAOYSA-N 416.235 4.695 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)O[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])sc2c1 729729248 LHHHUGZDEOKVKF-NSHDSACASA-N 418.858 4.955 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3n[nH]c(-c4ccccc4)n3)CC2)c(C(F)(F)F)c1 733300135 NRYLFAWONWGXSS-UHFFFAOYSA-N 417.391 4.783 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1Br 733767756 JSYBDLIANDARGT-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 734909158 ILKKWEIXVHQEQK-KBPBESRZSA-N 404.850 4.621 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(NC(=O)OC(C)(C)C)cc1Cl 740778764 FFNKOGWYQCXDDR-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD Cc1c(NC(=O)[C@H](C)[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 742469284 UWTZLDOHHMONSN-BEFAXECRSA-N 413.474 4.744 5 20 HJBD Cc1c(C(=O)N(C)C2c3ccccc3-c3ccccc32)cc([N+](=O)[O-])cc1[N+](=O)[O-] 747890592 QBKLVAWCXDKGFG-UHFFFAOYSA-N 403.394 4.653 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1Cl)N1CC[C@H](c2ccccc2)C1 750853425 DBZHZILFASOPEV-LBPRGKRZSA-N 409.667 4.640 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@H](O)c1ccsc1 758363658 VINVERCIWPXVSB-IBGZPJMESA-N 415.446 4.563 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4cc5c(nc4O)CCC5)n3)cc2)cc1 761855463 BICVCPFDOJFQAY-UHFFFAOYSA-N 416.393 4.693 5 20 HJBD O=C(NCCc1ccc(Cl)nc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764288414 QVBRUBJDVHDPKY-UHFFFAOYSA-N 405.907 4.650 5 20 HJBD O=C(Nc1cc(Br)ccc1N1CCCC1)c1cccc(Cl)c1[N+](=O)[O-] 764320668 ZGAJXWRFKARUIC-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219090 WGVRBLWESODPDK-OAQYLSRUSA-N 415.405 4.900 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1c(C(F)(F)F)ccnc1Cl 776888184 HKAHORBVWMNAKE-UHFFFAOYSA-N 413.661 4.933 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)OC(C)(C)C)cc2Cl)cc1[N+](=O)[O-] 782467198 JDFIPYJTHRKDCT-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD COc1ccc(C[C@@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 788505055 RDECVBKKCLHVOL-WAIKUNEKSA-N 421.497 4.829 5 20 HJBD COc1cc(C(F)(F)F)ncc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813225931 BCYRKKKXPAIBOJ-UHFFFAOYSA-N 410.135 4.576 5 20 HJBD O=C(NC[C@@H](O)c1cc(Cl)cc(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813457623 WCWGRSCFUDNULO-CYBMUJFWSA-N 424.067 4.672 5 20 HJBD C[C@@H](c1nnc(S/C=C/c2ccc([N+](=O)[O-])o2)n1-c1ccc(Cl)cc1)N(C)C 825139415 QYVXZRCEKHWZKE-IIANPFDCSA-N 419.894 4.808 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 914504416 XWZVIKYZRDTQGQ-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc(OCC(C)C)c(Cl)c1 914794214 NOBKWJOFDYOEHO-ZDUSSCGKSA-N 421.881 4.731 5 20 HJBD O=C(COc1cc(F)ccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccc(Cl)c1 917170660 UQFDTSPQWDEYQF-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1 1118416702 URWNCKQSQUZJHB-LBPRGKRZSA-N 405.357 4.657 5 20 HJBD O=C(Nc1cc(Br)c(F)cc1Cl)N1Cc2ccc([N+](=O)[O-])cc2C1 1323598854 LNCJHTSTLJTZAY-UHFFFAOYSA-N 414.618 4.698 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2cccc(Cl)c2)n1 1338359878 JPQLXJVMPZZEAC-UHFFFAOYSA-N 417.878 4.788 5 20 HJBD C[C@@H](Sc1ncc(-c2ccc(F)cc2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 10715091 AVZUPIVHVOZNQL-SNVBAGLBSA-N 405.382 4.647 5 20 HJBD O=C(COC(=O)c1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1Cc1ccccc1 11028721 ZHWLPTJBABURBJ-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD O=C(NCCCn1cnc2ccccc21)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 12490804 FGWDSLFMCVLUHV-UHFFFAOYSA-N 415.453 4.508 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 22689410 IAYBKAIUHFVNDP-INIZCTEOSA-N 404.422 4.754 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC 56573900 RXYYYXPLAOGGBS-KRWDZBQOSA-N 417.437 4.900 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccco1)CC(F)(F)F 64897062 PXXKJJHKWJPMSH-UHFFFAOYSA-N 411.361 4.516 5 20 HJBD Cc1sc(CNC(=O)c2cccc([N+](=O)[O-])c2C)nc1-c1ccc(Cl)cc1 65680027 JLONAFAOINPVGA-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCSc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 104891118 QBIGRXXTFUJEFO-UHFFFAOYSA-N 422.510 4.599 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)COc2cccc([N+](=O)[O-])c2)cc1 105970361 FHDJVOKFAZOKOV-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD Cc1ccc(C(=O)Nc2cc(Cl)ccc2Sc2nccn2C)cc1[N+](=O)[O-] 109685816 YLYHQILRVZEQBD-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD COc1ccc(CN[C@H]2CCCc3occc32)cc1OCc1ccc([N+](=O)[O-])cc1 237362564 OUPAWDNXXUDVNC-FQEVSTJZSA-N 408.454 4.943 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(N4CCCC4=O)c3)o2)c([N+](=O)[O-])c1 237428408 RZABZHPDGFOVCG-UHFFFAOYSA-N 407.426 4.602 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccc(Cl)c3)n2Cc2ccco2)nc1 301724277 SXWCFCYGUNCTJZ-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD COc1ccc(OCCSc2nnc(C[C@H](C)c3ccccc3)o2)c([N+](=O)[O-])c1 303933246 RBIJEORXBBLFLT-AWEZNQCLSA-N 415.471 4.504 5 20 HJBD COC[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(Cl)cc1 426604021 RZEUJZMKSHQQDD-IBGZPJMESA-N 405.882 4.556 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](c1ccc(Cl)cc1)c1cnccn1 429223543 ZOGMTBDGPCSJJF-LJQANCHMSA-N 411.849 4.600 5 20 HJBD CCCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(F)cc1 429267962 PBRYJZWMCVFRHH-CYBMUJFWSA-N 414.412 4.753 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2nc(C3CC3)oc2c1 430578853 FUOVGAXNRZBQKM-UHFFFAOYSA-N 401.806 4.674 5 20 HJBD CCN(Cc1ccc(OC)cc1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436061082 OWYNKJBBXVMIDL-UHFFFAOYSA-N 422.431 4.630 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(=O)Nc1cccc(-c2cccs2)c1 438658429 ZXRUBCUYERVDHR-UHFFFAOYSA-N 423.494 4.699 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(Cl)cc1Cl 445641620 ZMJJQQXFUZAAPB-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@H]2C)c1[N+](=O)[O-] 446246267 FCBXYNVYGUUHPZ-MLGOLLRUSA-N 406.891 4.572 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCc2nc(-c3cc4ccccc4o3)cs2)n1 447595074 KXMYHCKLUULTIX-NVXWUHKLSA-N 423.498 4.935 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cc1OC 460553102 PJZIVGVNQYEIFE-PGICJIBASA-N 418.877 4.783 5 20 HJBD Cc1cc(NCc2ccc(S(C)(=O)=O)c(Cl)c2)c(C(F)(F)F)cc1[N+](=O)[O-] 461938430 DHIFZKLCAIENSH-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 463975326 QNTPTLMORJZEKR-UYAOXDASSA-N 410.518 4.728 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 464299341 STWKIWNNGIAQQR-AOMKIAJQSA-N 411.477 4.560 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC(=Cc2ccccc2F)CC1 465086576 YGQWXXNPGOZJRZ-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD CC[C@H](Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 467005840 MMCCAIUOUCDGNZ-NSHDSACASA-N 416.425 4.772 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](CC(F)(F)F)c1ccccc1 467220476 XLORXWGRMVUSFI-HNNXBMFYSA-N 401.772 4.741 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 476182700 UPTJIXOVCZOOBB-VXKWHMMOSA-N 404.470 4.579 5 20 HJBD C[C@H](Sc1nnc(-c2ccccn2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 481341237 HAFUFULEHMNHQH-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccc(Br)cc2)o1 485346997 JHOJIIFTEWFJFV-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 490736474 XGMOYXOOMWCLSU-MRXNPFEDSA-N 416.783 4.727 5 20 HJBD C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 493894945 SJLDIJQULLTCJI-YVEFUNNKSA-N 409.364 4.608 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccnc3ccccc23)cc1 499243310 KASUSJGOMVHOQR-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 500883522 DFEHLBPYMIDVQE-LJQANCHMSA-N 421.419 4.949 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 501874788 ZIGFHGOJUSQCIT-HXUWFJFHSA-N 419.416 4.647 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cccc(CSc2nccn2C)c1 501877870 AKTKZPSEBHQIGC-UHFFFAOYSA-N 424.526 4.671 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1nc(-c2ccc(Br)s2)no1 511652270 ATIPWQISUFXALG-UHFFFAOYSA-N 409.265 4.698 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCC(=O)NC(C)(C)C)cc2[N+](=O)[O-])c1 513607895 AZVYWFUGFCMTOL-UHFFFAOYSA-N 413.518 4.823 5 20 HJBD Cn1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(-c2ccccc2Cl)n1 518191166 YFVYFPJZRGGGHW-UHFFFAOYSA-N 422.775 4.502 5 20 HJBD Cc1nc(C(C)(C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)no1 518273963 QRAVYOVEFCYLRE-UHFFFAOYSA-N 402.838 4.757 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 521187374 LHIGVGSLITVYNX-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD C[C@H](NC1(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCCC1)c1ccccc1 524635186 GUOAYPLWISSRSH-HNNXBMFYSA-N 401.894 4.642 5 20 HJBD CCC[C@H](CCc1ccccc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 525042706 KWQFWVHYZHYTKW-MRXNPFEDSA-N 402.516 4.857 5 20 HJBD Cn1c(-c2cccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c2)nc2ccccc21 525749097 WGQMDAHXBRWDII-UHFFFAOYSA-N 420.856 4.983 5 20 HJBD COc1cc(CNc2ccc3c(c2)CN(C)C3)ccc1OCc1ccc([N+](=O)[O-])cc1 532889955 ZCHAFOQKJOCWAY-UHFFFAOYSA-N 419.481 4.740 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 534058007 GOQQHEQKYRYAKR-ZDUSSCGKSA-N 415.446 4.958 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N(C)C1CCCCC1 534058470 BTKDHDFBNZFGMK-AWEZNQCLSA-N 403.504 4.663 5 20 HJBD CCc1cc(Br)ccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535422309 OMKGROJUQHWWKD-UHFFFAOYSA-N 402.248 4.582 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 538695962 OTBTUUVYDUFTCM-CABCVRRESA-N 412.795 4.729 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 540179118 VIOYPQBXKSQXEW-CYBMUJFWSA-N 416.865 4.590 5 20 HJBD C[C@H]1C[C@@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 542272309 NKTQKJZAGMROCD-HOTGVXAUSA-N 400.500 4.681 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 542565188 MWVMRXXDUYOEIZ-APWZRJJASA-N 418.490 4.886 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(N2CCCC[C@@H]2C)cc1C 544273687 OXXVRGSMGMANGV-INIZCTEOSA-N 412.490 4.612 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2sc(NC(=O)c3ccco3)cc2C)n1 544744811 MCAQSUFVXJQOEV-UHFFFAOYSA-N 410.411 4.835 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2C[C@H]2c2ccc(Br)cc2)n1 544908977 VPBBZKDQPZQCOG-LSDHHAIUSA-N 400.232 4.602 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(OC(F)F)cc3)no2)c(Br)c1 546227560 MTPLRWFWMOKQHF-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD O=C1CCCC(=O)N1Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1 546267302 ZWMFOZDMEFHESD-UHFFFAOYSA-N 419.437 4.506 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(C[C@@H](c4ccccc4)C(F)(F)F)n3)c2c1 547197708 FWZYOTWCOKOKTB-INIZCTEOSA-N 416.359 4.939 5 20 HJBD CC(C)(C)c1nc(Cc2noc(-c3ccc(OC(F)F)c([N+](=O)[O-])c3)n2)cs1 547216164 WAQKNXBDDQVHLP-UHFFFAOYSA-N 410.402 4.591 5 20 HJBD Cc1c(C(=O)Nc2nnc(-c3cccc(OC(F)(F)F)c3)s2)cccc1[N+](=O)[O-] 553308255 MSVGYLUILNUMFI-UHFFFAOYSA-N 424.360 4.573 5 20 HJBD COCc1ncsc1C(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 556333889 PJCZLONDDOLLIY-UHFFFAOYSA-N 402.479 4.804 5 20 HJBD O=C(Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1)Nc1ccc(Cl)cc1 561685653 DGYQGVWKQKLLOO-UHFFFAOYSA-N 414.830 4.694 5 20 HJBD CCOCc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 575093501 XYVJUEMNUZAISU-UHFFFAOYSA-N 418.247 4.919 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc(CSc4ccc([N+](=O)[O-])cc4)n3)cc2)n1 579180135 XKNAEIGUAGAUBJ-UHFFFAOYSA-N 421.482 4.663 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cccc2cccc(Br)c12 582802978 QFVAROUDOGJNLS-UHFFFAOYSA-N 413.271 4.825 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccnc4c([N+](=O)[O-])cccc34)CC2)c(OC)c1 590078844 UDWDZMMTAZFGBP-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD CC(C)n1ccnc1S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 590717924 PGQIEBSWWGOAJI-JTQLQIEISA-N 402.398 4.510 5 20 HJBD CCC[C@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744403 JZIDAFHYBRGZBO-UGSOOPFHSA-N 420.307 4.815 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccccc1SCC(F)(F)F 604087096 QZGZRYPGDPAZJV-UHFFFAOYSA-N 415.393 4.580 5 20 HJBD COc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 608898550 IZKWJEUJQOVWRF-UHFFFAOYSA-N 400.378 4.661 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 609065483 DIQBVFURNILBSZ-UHFFFAOYSA-N 405.204 4.755 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 609837491 URKBDHSIRVBGFT-GJZGRUSLSA-N 412.515 4.883 5 20 HJBD O=C(Nc1ccc(F)c(F)c1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852698 ZOEGQLGGYDRFQW-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC1CCOCC1 609980549 OSUYPPWEHUGDGE-HNNXBMFYSA-N 416.499 4.580 5 20 HJBD COc1ccc(-c2cc(CSCCOc3ccc(OC)cc3[N+](=O)[O-])on2)cc1 610314094 NGNYKXPOAWSAPR-UHFFFAOYSA-N 416.455 4.579 5 20 HJBD CN(Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)C[C@H]1CCCO[C@H]1c1ccccc1 611266715 BNZHWMMSZUFJGY-YKSBVNFPSA-N 420.513 4.595 5 20 HJBD COc1ccccc1C/C(C)=C/C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 730171001 NRRGHYYFFREQLU-NTCAYCPXSA-N 422.403 4.683 5 20 HJBD C[C@H](CC1CCCC1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734056815 UFRAAMPCPZPQKW-VXGBXAGGSA-N 416.396 4.700 5 20 HJBD O=C(c1cc(O)nc2cc(F)ccc12)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 739537207 VIFDUOFKXBOOOX-UHFFFAOYSA-N 415.808 4.698 5 20 HJBD CC(C)C[C@@H](C(=O)OC[C@@H]1CCCN1C(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 741116758 WQEAKZIRCRAAEK-PZJWPPBQSA-N 424.497 4.573 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745568462 OUCSCYVPBGPVGE-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCc3sccc3C2)cc1 748652968 FJYXIQCZAKAPGS-KMKOMSMNSA-N 405.479 4.871 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1F 754011936 NJZPUUMSDADQRP-LBPRGKRZSA-N 414.414 4.757 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754631329 NANPITANJGXBTI-MRVPVSSYSA-N 417.181 4.608 5 20 HJBD C[C@H](OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1)c1cccc([N+](=O)[O-])c1 761952613 BSZZLPAKLWXWJO-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD C[C@@H](C(=O)Oc1ccc(N(C)C(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 761966270 XBMUFFKLKJTDLS-CQSZACIVSA-N 400.431 4.675 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 763522739 BADCNCRVWNWLKU-AUUYWEPGSA-N 400.406 4.721 5 20 HJBD Cc1cc(C(=O)N(c2ccc(N(C)C)cc2)[C@H](C)c2ccccn2)cc([N+](=O)[O-])c1 766589567 ZBESKLVEEBTOCB-QGZVFWFLSA-N 404.470 4.772 5 20 HJBD Cc1cc(Cl)ccc1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 769619091 PINKQJGHFKQDEC-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD C[C@@H](CC(=O)O[C@@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-])c1ccncc1 774368406 KRJQQLNRNJSKQT-UGSOOPFHSA-N 421.291 4.678 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc1-c1ccccc1 775282355 ILOLJBIFJNCMOQ-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(CCN[C@@H](c1ccccc1)c1ccc(F)cc1)Nc1cc([N+](=O)[O-])ccc1F 782513043 NKHAZZKHPVWSGB-QFIPXVFZSA-N 411.408 4.581 5 20 HJBD Cc1c(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 782525640 WPAQLWQMMCXAMK-UHFFFAOYSA-N 409.345 4.519 5 20 HJBD Cc1c(COC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 790751459 SYBGTQBUJDNXID-UHFFFAOYSA-N 414.458 4.926 5 20 HJBD COC(=O)c1cc(NC(=S)Nc2ccc(Cl)cc2Cl)c(C)c([N+](=O)[O-])c1 792686602 ZOSCWMGPVUSCDQ-UHFFFAOYSA-N 414.270 4.806 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)OC(C)(C)C)ccc1C 798542006 CGIQWGXUURKCLB-UHFFFAOYSA-N 415.446 4.901 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1Cl 805434960 HNOJRKJMIZBUOK-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)cc1[N+](=O)[O-] 805439060 JADXMOQNSRTBHK-UHFFFAOYSA-N 407.879 4.837 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(-c5cc[nH]n5)cc4)no3)cc12 809294774 VXLMYLQKYJJIJZ-UHFFFAOYSA-N 405.417 4.788 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(COCc4ccccn4)n3)cc2)cc1 809363529 ODRWAHFGVYPHAD-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CCCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812831324 KNIGCXVEUMAAKL-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 841501194 DWIPPJPAORGWLM-UHFFFAOYSA-N 413.455 4.577 5 20 HJBD Cc1cc(C)c(OC(=O)c2cc(C)nc3c2cnn3Cc2cccs2)c([N+](=O)[O-])c1 886356881 TYOKEJFHCJNODI-UHFFFAOYSA-N 422.466 4.594 5 20 HJBD C[C@H]1CCc2sc(-c3nnc([C@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)o3)cc2C1 1341556799 LVSNENVUKYLEKS-MEDUHNTESA-N 410.455 4.697 5 20 HJBD CC[C@H](C)CC(=O)Nc1ccc(C2(NC(=O)Cc3cccc([N+](=O)[O-])c3)CCC2)cc1 1786255187 LHROCLIBHDTHBS-KRWDZBQOSA-N 423.513 4.708 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c1C 7640953 CPEDCGRQMSAOSX-NSHDSACASA-N 403.485 4.716 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccc(F)cc3)C3CCCCC3)c1)OCOC2 15483810 MCGGFJCQYWPECM-UHFFFAOYSA-N 400.450 4.935 5 20 HJBD CCn1c(SCC(=O)c2ccc(F)cc2F)nc2cc3ccccc3cc2c1=O 22499843 BQNXRXIMPVJGHM-UHFFFAOYSA-N 410.445 4.823 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2SC2CCCC2)cc([N+](=O)[O-])c1 25268282 KCAINBKTXFVMLU-UHFFFAOYSA-N 400.456 4.668 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 54547866 ZAKSXRBBUJDBBO-UHFFFAOYSA-N 401.781 4.554 5 20 HJBD O=C(Cn1nc(-c2cccc([N+](=O)[O-])c2)ccc1=O)c1ccc(C2CCCCC2)cc1 60826539 PNHNJNKVXYOJRA-UHFFFAOYSA-N 417.465 4.749 5 20 HJBD Cc1cc(NCc2ccc(Br)s2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858830 UYRNWMMGUGVNIY-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64897965 JZVACXLDLOIEJM-HNNXBMFYSA-N 415.471 4.824 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 247978193 FKMXEMZWUIXWKI-UHFFFAOYSA-N 418.365 4.872 5 20 HJBD CCOc1cc(C(=O)NCC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 302671349 ZIMSWQFGUZGJLG-UHFFFAOYSA-N 420.465 4.564 5 20 HJBD CC1(C)C(=O)Nc2ccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc21 426347932 OCMUHOJHEVCPPO-UHFFFAOYSA-N 417.421 4.869 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 433938236 CSHVESHJJGNLNQ-GFCCVEGCSA-N 410.455 4.642 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)c1[N+](=O)[O-] 435566638 JMTQUFMGWVGFIQ-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCc4ncsc4C3)o2)c(Br)c1 438378146 SFKFFWSAJLXLTB-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD CN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(OCc2ccccc2)cc1 440570098 GWVGVBZWHJKUOP-UHFFFAOYSA-N 401.422 4.932 5 20 HJBD O=C(C[C@H]1OCCc2ccccc21)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440600004 QEJKNWKWPDWBCM-HSZRJFAPSA-N 402.450 4.832 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CNC1(c2c(Cl)cccc2Cl)CCC1 447398257 RXNCJMXMYWDQBM-UHFFFAOYSA-N 408.285 4.818 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3ncc([N+](=O)[O-])cc3C)C2)n1 447694016 PGMKLWKLPUJTQZ-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD O=C1CCCc2cc(Oc3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)ccc2N1 462491536 RHVXBKLDHNURGF-UHFFFAOYSA-N 405.410 4.636 5 20 HJBD CCCCn1c(=O)c2ccccc2n2c(S[C@H](C)c3ccccc3[N+](=O)[O-])nnc12 463049776 UXHAITAXHMQHDN-CQSZACIVSA-N 423.498 4.606 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1 464715890 VLKNLHWMCTYLHP-UHFFFAOYSA-N 415.534 4.741 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(C)c2)c1C(F)(F)F 464723171 LNKZOMXZIOQFBC-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD O=C(N=c1cc[nH]cc1F)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466251639 PXUUUDJKGOUBGG-UHFFFAOYSA-N 403.822 4.608 5 20 HJBD CCc1c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cnn1-c1ccccc1 466652858 AJBNSGZNKTWWLC-UHFFFAOYSA-N 405.458 4.539 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2F)C(C)C)cc1SC 468621289 MYMOGCYWOUIYIX-GOSISDBHSA-N 410.442 4.731 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 468873437 FVVXTWFXGJPLFM-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1cccc([C@@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2cc(F)ccc2OC)c1 471457013 FPQMJJRIQDPXRW-JOCHJYFZSA-N 424.428 4.579 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2cc3cccc(F)c3o2)cc1[N+](=O)[O-] 475071692 XDDIMDXXVPHMIK-GFCCVEGCSA-N 418.446 4.599 5 20 HJBD CSC1(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 475963710 GBEYWEIMKUXZKE-UHFFFAOYSA-N 410.854 4.691 5 20 HJBD Cc1nn(CC(=O)Nc2ccccc2Oc2ccccc2)c2ccc([N+](=O)[O-])cc12 479965368 PTCYQBIEDZTXJP-UHFFFAOYSA-N 402.410 4.684 5 20 HJBD CCC(=O)c1ccc(NCc2cn3cc(Br)cc(C)c3n2)c([N+](=O)[O-])c1 480103324 COHPMJVSGWVAHC-UHFFFAOYSA-N 417.263 4.518 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])c(=O)c2ccccc21)c1c(-c2ccccc2)[nH]c2ccccc12 487922826 XJDYQBNIBFRHRD-UHFFFAOYSA-N 423.428 4.941 5 20 HJBD O=C(NCCCCNc1ccccn1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 488922254 XEPQAEJUSBQJMO-UHFFFAOYSA-N 422.510 4.763 5 20 HJBD O=C(NC[C@@H](CCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 490144475 XMYLUTPOVDULEY-GOSISDBHSA-N 422.506 4.642 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c12 493049492 IVMHMVVKSGYLEE-UHFFFAOYSA-N 420.469 4.766 5 20 HJBD CC1CCN(c2ccc(C(=O)N[C@@H](C)CCCC(F)(F)F)cc2[N+](=O)[O-])CC1 494879132 QLMUWYUPGSABIL-AWEZNQCLSA-N 401.429 4.682 5 20 HJBD CC[C@H](C)Sc1ccc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2OC)c(C)c1 498433570 NBRACNXXBOJQOT-ZDUSSCGKSA-N 410.517 4.603 5 20 HJBD Cc1cccc([C@@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498631917 VIXKATHQJRTGGD-IIBYNOLFSA-N 407.495 4.685 5 20 HJBD Cc1ccccc1[C@H](OC[C@H](O)CN(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 501824464 HVBRFHMQAQFSNU-RCZVLFRGSA-N 420.509 4.502 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1ccc(Br)cc1[N+](=O)[O-] 505627881 DZISPDHAWNIABN-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 506158986 DCWBYGBYUFVDSB-HZPDHXFCSA-N 420.469 4.663 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC[C@H](c3nnc4n3CCCCC4)C2)o1 506669006 MCOLGJWVVAZSQV-KRWDZBQOSA-N 421.501 4.552 5 20 HJBD Cc1cn(-c2ccc(F)cc2)nc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 509476283 COGFZXZNORLFAT-UHFFFAOYSA-N 408.433 4.817 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)o1 511163683 YFDBCWNIMKIGHK-NRFANRHFSA-N 416.437 4.846 5 20 HJBD CCCCNC(=O)c1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1 515482408 UHUYEDMIJIXHKF-UHFFFAOYSA-N 411.505 4.848 5 20 HJBD CC(C)N(C(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1)c1ccc(Cl)c(Cl)c1 518283508 WZSWQBYYPWVULJ-UHFFFAOYSA-N 420.256 4.538 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NC(Cc1ccccc1)Cc1ccccc1 521147872 GVSQNHWCGZHIQD-UHFFFAOYSA-N 400.438 4.510 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1oc2ccccc2c1CSc1ncccn1 521644486 NNUCSKQACNTZFL-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc(Cl)cc3)cs2)cc1[N+](=O)[O-] 524086674 NARXATUQICTEPH-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccc(COCc2ccco2)c1 530553357 XLNXIPUJFNYKRO-UHFFFAOYSA-N 409.442 4.843 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)ccc21 536324611 LXIQDECNMOCIMP-UHFFFAOYSA-N 404.348 4.630 5 20 HJBD C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(NC(=O)c2ccccc2)c1 537027915 VMSZIFLOIFNPBZ-HNNXBMFYSA-N 416.437 4.839 5 20 HJBD CC(C)CN(C(=O)C[C@@H]1CCCCO1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154304 FMNFCPCBOLRSEZ-HNNXBMFYSA-N 403.504 4.667 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@H]3C[C@@H]3c3ccc(F)cc3)n2)c(Br)c1 538313554 MTLFZANTEPKOTQ-CABCVRRESA-N 418.222 4.741 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 539793319 YVCJPHBIRVTRHO-HZPDHXFCSA-N 412.795 4.729 5 20 HJBD CC(C)(C(=O)Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc([N+](=O)[O-])cc1F 547246873 SGYMNLYHHQIOMC-UHFFFAOYSA-N 400.406 4.692 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2C(F)(F)F)CC2CC2)cc1[N+](=O)[O-] 553063774 NPTKKDNHCJHRNW-UHFFFAOYSA-N 422.403 4.604 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CC=C(c4ccc(Cl)c(Cl)c4)CC3)nc(O)c2c1 557644333 TXUHEFYYOXMROP-UHFFFAOYSA-N 417.252 4.844 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(OC2CCC2)cc1Cl 558149992 GNLCMCVVTFEXAK-UHFFFAOYSA-N 413.861 4.633 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OC(F)(F)F 559503008 DKODJMKYKYVYKU-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD COc1ccc(-c2ccc(CNCc3sccc3Br)o2)c([N+](=O)[O-])c1 560807376 MZAVVMRBSCUVBK-UHFFFAOYSA-N 423.288 4.977 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C)c1 568917219 XYVYVHLDVCQHAY-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)s2)cc1 570895332 QGLDJPAHBAUEOC-UHFFFAOYSA-N 400.441 4.814 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(CCc1ccccc1)C1CCCC1 603531163 ZZQHDKKYJOOFMM-UHFFFAOYSA-N 421.541 4.825 5 20 HJBD CC(C)c1ccc(/C=C2/CN(C)Cc3c2nc2ccccc2c3C(=O)O)cc1[N+](=O)[O-] 603781474 CLYIXRMYUBZBMI-YBEGLDIGSA-N 417.465 4.951 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCc1cccc([N+](=O)[O-])c1 604470988 MSCIAAGVXQUCIF-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 604519267 YAUCQAJMOUQVIT-CDXJDZJCSA-N 414.527 4.702 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1c1cccc2c1OCCO2 608969564 DHLKFPFTOYEEFN-INIZCTEOSA-N 410.451 4.558 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(C)C 609783612 BCUKWKQGHXZITL-CQSZACIVSA-N 420.893 4.712 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C1 609855261 USFGIGJSSPIBIL-OKILXGFUSA-N 415.877 4.619 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1CO 615895226 YIJQAOFXBZZKQM-INIZCTEOSA-N 420.469 4.524 5 20 HJBD CCC1(O)CCC(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 619672644 NODJRSTZORVTPN-UHFFFAOYSA-N 400.500 4.560 5 20 HJBD O=C(OCc1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 725985920 YVWOEQUQLWWEFA-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD COc1cc(CNc2nc3ccc([N+](=O)[O-])cc3s2)cc(Br)c1OC 731210100 NKCUOLIBMOHGHZ-UHFFFAOYSA-N 424.276 4.596 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 731528774 GINUSBLSGXDUAA-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1 732003532 XQJLIAJKIXSMHM-GDNBJRDFSA-N 404.422 4.725 5 20 HJBD CN(C(=O)COC(=O)c1cc(-c2ccccc2)ccc1Cl)c1ccc([N+](=O)[O-])cc1 732407769 VGGIYFPYHSICMF-UHFFFAOYSA-N 424.840 4.735 5 20 HJBD CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(F)c1 732962246 AMPNMECLRDAEMW-HXUWFJFHSA-N 415.371 4.659 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2nc(/C=C\c3ccccc3)cs2)ccc1Cl 735407694 MUWVBESPUXEPPK-SREVYHEPSA-N 421.887 4.676 5 20 HJBD CC(C)(CNC(=O)c1ccccc1[N+](=O)[O-])Sc1ccc(Br)cc1 742731000 XQNMKMWRPZQLIT-UHFFFAOYSA-N 409.305 4.658 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1cnc(Cc2ccc(F)cc2)s1 746073848 ZIDCJOSROGWKPM-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD O=C(O[C@H](c1ccccn1)C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 747352925 ZSRDICCORALISV-CQSZACIVSA-N 409.345 4.574 5 20 HJBD O=C(NCc1cc2ccccc2o1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750846317 YSRUPRVOAQJZMA-UHFFFAOYSA-N 409.623 4.687 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1cc([N+](=O)[O-])ccc1Br 751080502 HHEZLIBMEOGKGJ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 762238266 HLNPCXCNVPTTKY-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(CCc1ccccn1)Cc1ccc(F)cc1 764223815 AHPBDGLFVAZIST-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2ccc(F)cc2c1 776139117 NLUCCTFBFCEWJT-NRFANRHFSA-N 403.369 4.624 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc(-c2ccc(F)cc2)cs1 786589598 GWKRDJBVPWUUKJ-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)c1ccccc1C(F)(F)F 789002020 RFYPWXBAGGYFDL-SECBINFHSA-N 401.724 4.695 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C)[C@H](C1)C2(Cl)Cl 791246434 FKLMGTWFYYYQQN-HOCLYGCPSA-N 403.331 4.751 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCc2nc(C3CCCCC3)[nH]c2C1 791273139 NLNFNAKHQJTLAH-QGZVFWFLSA-N 410.518 4.578 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cc2c(C(F)F)nn(C)c2s1 791599066 OAWOUYVUMRYJRG-UHFFFAOYSA-N 401.778 4.662 5 20 HJBD CC(C)(C)CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 792454155 JOWPLSNDAKXVBD-SFHVURJKSA-N 404.850 4.907 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](c1ccccc1)N1CCC(F)(F)CC1 796542107 AJOTZTPVQROPOA-KRWDZBQOSA-N 409.820 4.659 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(OCC(F)(F)F)c(Cl)c1 800570954 ZYBRHWVJOMHDDD-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)cc([N+](=O)[O-])c1C 805433054 LEJLJWFPSQTFAU-UHFFFAOYSA-N 423.878 4.591 5 20 HJBD Cc1ccc(C(=O)CCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811216080 FMWRYFWTADFYMX-UHFFFAOYSA-N 410.451 4.733 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nnc3n2CCCCCC3)cc1 811362798 GQGQTCQPZPAQHA-NVNXTCNLSA-N 417.469 4.622 5 20 HJBD CC[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc2c(c1)OCCO2 812926783 IMOSWRXERXWWCT-AWEZNQCLSA-N 411.241 4.554 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1nc2ccccc2cc1Cl 813091172 GUUZIDZVSHNMSG-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1csc(-c2ccc(Cl)cc2)n1 912754745 TYWYENGNTYDDOV-CXUHLZMHSA-N 411.826 4.716 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)C(=O)Nc1ccc(Cl)cc1Cl 919513583 AGGWHKKWJHRVCG-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C/c3cc4c(cc3Br)OCO4)nc2c1 920388125 VAWUSPAPNADFEE-JYOAFUTRSA-N 422.622 4.699 5 20 HJBD CCn1c(S[C@@H](C(=O)OC)c2ccc(F)cc2)nc2cc3ccccc3cc2c1=O 1318656949 RXAUPCMZQCNBET-HXUWFJFHSA-N 422.481 4.715 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cccc(Br)c1 11277943 JLJWUQCIUXVMET-UHFFFAOYSA-N 422.278 4.661 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1 56356917 JJTOKTCOZHLTSJ-UHFFFAOYSA-N 400.778 4.738 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c1 115857819 HHCJOZPERIOVHL-HXUWFJFHSA-N 415.449 4.647 5 20 HJBD Cc1ccc(Sc2ccc(CNCCCOC3CCOCC3)cc2[N+](=O)[O-])cc1 237872169 QQAHZWOGKXCAMG-UHFFFAOYSA-N 416.543 4.730 5 20 HJBD O=[N+]([O-])c1ccc(N2CC=C(c3ccc(O)cc3)CC2)nc1OCc1ccccc1 302179996 QGOFPISKKPGEGP-UHFFFAOYSA-N 403.438 4.568 5 20 HJBD CC(C)(C(=O)Nc1nnc(SCc2cccs2)s1)c1ccc([N+](=O)[O-])cc1 426196805 OYGWFXMHMIJOCJ-UHFFFAOYSA-N 420.541 4.716 5 20 HJBD C[C@]1(C(=O)O)CCC[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426732313 SNNXCIUDCOGGDZ-QUCCMNQESA-N 404.850 4.774 5 20 HJBD Cc1nc(C)n(Cc2cccc(NC(=O)c3cc4ccccc4c4cccnc34)c2)n1 427143801 PHSFECCKHHLBNW-UHFFFAOYSA-N 407.477 4.897 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 431394282 XPWSKWYHVZFHBX-UHFFFAOYSA-N 415.490 4.593 5 20 HJBD Cc1ccnc(Oc2cc(N[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])ccc2C)c1 439613956 XINRTCBXCIXYAO-INIZCTEOSA-N 406.442 4.838 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OC(F)F 443944588 HGSDNVLGVQCWIA-UHFFFAOYSA-N 423.416 4.876 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2)n1 444024854 RZYNDJGIHODRLT-UHFFFAOYSA-N 424.435 4.838 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N[C@H](c1ccccc1)c1ccc(Cl)cc1 448050957 PLLJVBVXYOXKRU-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD C[C@@H](NC(=O)Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 448293546 ZGZAYQKRUBZTPG-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 460442074 HQIBXQZIPPRWIB-HNNXBMFYSA-N 405.292 4.759 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNc1ccc([N+](=O)[O-])cc1Br 462081899 AJYRZQLQWQZCNR-UHFFFAOYSA-N 412.671 4.760 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)CN(C)c2ccccc2[N+](=O)[O-])cc1 464029239 XEYVUBKTHXYJJD-KRWDZBQOSA-N 419.481 4.584 5 20 HJBD COc1cc(C(=O)Nc2ccc(OCCC(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 465351946 YNAFKAJIVOCCGO-UHFFFAOYSA-N 424.400 4.882 5 20 HJBD Cc1oc2ccccc2c1CNC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 466596336 CRHWIEXDIUXOEL-UHFFFAOYSA-N 408.332 4.521 5 20 HJBD Cc1cc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1I 467379715 BFUVBVQMFTWNPM-ZDUSSCGKSA-N 410.255 4.667 5 20 HJBD C[C@@H](NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1)c1ccc(Br)s1 468165348 QRANUBGWBYWERL-SSDOTTSWSA-N 421.219 4.511 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)c(C)c1 471338881 XWIMVZMOSDVSNX-QGZVFWFLSA-N 407.495 4.965 5 20 HJBD O=C(Nc1cc([C@H]2CCCOC2)[nH]n1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 473420404 IQFKTDHQNGAITL-HNNXBMFYSA-N 424.482 4.615 5 20 HJBD CC(C)(CO)CCCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 476798746 WMIGLCMFYYIBAW-UHFFFAOYSA-N 406.866 4.569 5 20 HJBD CN(C(=O)[C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 480234939 KBSLCXPRWJZRIB-PKTZIBPZSA-N 402.450 4.940 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@@H]1CCCC[C@@H]1C(F)(F)F 482223957 YFXWQOKLSUDQQL-GVXVVHGQSA-N 408.804 4.500 5 20 HJBD Cc1ccc2c(c1)CN(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 482833231 FFKIRAYMNXJCOH-MRXNPFEDSA-N 402.454 4.627 5 20 HJBD Cc1ccc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)c1 486691791 STEOTWGXQHASSO-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 487047757 UVFBZWFRHRPMGT-UHFFFAOYSA-N 408.376 4.510 5 20 HJBD CCN(C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)[C@H](C)c1ccc(F)cc1 502483191 BVFQWTASNJLTID-CQSZACIVSA-N 406.479 4.585 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)Cc1c(F)cccc1[N+](=O)[O-] 505560820 XPWUJQGYOKBXOX-UHFFFAOYSA-N 414.264 4.891 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)c(OC(F)(F)F)c1 509928408 SSKKXEXCMDMHKH-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@@H]1C[C@@H](C)N(Cc2ccccc2)C1 510861696 PLGASZIZCSCZJH-YLJYHZDGSA-N 410.518 4.634 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 512272424 YRITYPSFPPLCJJ-LJQANCHMSA-N 402.859 4.594 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)cc2)cs1)c1ccc([N+](=O)[O-])cc1F 515588232 CAQJKBALKKYXCA-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1cc(CNCCc2ccccc2Cl)c([N+](=O)[O-])cc1OCC(F)(F)F 518280280 AOQSZURGJDNXGM-UHFFFAOYSA-N 418.799 4.530 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 518447174 PTLBKGLTNHYBAB-ROUUACIJSA-N 424.284 4.586 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 521981148 QGTRAQCBGVKTAE-UHFFFAOYSA-N 401.422 4.864 5 20 HJBD COc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 522518184 GDFDUCZJYOCCLA-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD CC(C)CO[C@@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522736814 PXYYEWCFQGFAAC-ZDUSSCGKSA-N 424.856 4.857 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)Cc2ccccc2[N+](=O)[O-])c1)c1ccccc1 523148549 RZGMQDSDBYPVLP-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD COc1cc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc(C)c2)ccc1[N+](=O)[O-] 523164442 YMWLGDZUFQBEAG-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 523506312 KGPYQLQYUQRMAN-UHFFFAOYSA-N 403.438 4.763 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 536382415 LAKXRTXJZPZNTQ-UHFFFAOYSA-N 405.479 4.956 5 20 HJBD COC[C@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc(F)c(F)c1 538000546 NJWIDVJZNYQUHD-IBGZPJMESA-N 418.396 4.619 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N(c1ccccc1)c1ncccc1Br 538072674 YVNHFMVZWRMSJA-UHFFFAOYSA-N 404.245 4.792 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 538234780 IIWFLLQGLJAQHW-UHFFFAOYSA-N 407.879 4.959 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(OC[C@@H]3CCCCO3)c(F)c2)cc1[N+](=O)[O-] 539070490 LXZRVWPUVDZNAX-QAPCUYQASA-N 418.465 4.541 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 540319724 ATTPALDAUWVCTG-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD Cc1cc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])ncn1 545928448 PWFZKFIHURIFTI-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 549201444 BTUJYZWOGZLWQX-UHFFFAOYSA-N 423.498 4.551 5 20 HJBD O=C(Nc1ccc(Oc2nc(C(F)(F)F)ns2)cc1)c1cccc([N+](=O)[O-])c1 552885290 INMUFZREQMUFLZ-UHFFFAOYSA-N 410.333 4.510 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)cc([N+](=O)[O-])c1C 558720987 WDOKJUIFOUUGHY-CQSZACIVSA-N 411.483 4.541 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc(Cl)cc2[N+](=O)[O-])nnc1C1CCNCC1 561227880 KBCJBNCBYKKZDE-UHFFFAOYSA-N 416.334 4.692 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1C[C@H](CC(=O)N(C)C)c2cc(Cl)ccc21 569291575 IUCUNHSUUAFUMG-KAKTVLCNSA-N 413.905 4.734 5 20 HJBD Cc1c(Cc2noc(-c3ccnc(-c4ccc(Cl)cc4)n3)n2)cccc1[N+](=O)[O-] 571244270 RKBNASSJUSPZDA-UHFFFAOYSA-N 407.817 4.654 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1ccc(Br)c(F)c1)c1cccc([N+](=O)[O-])c1 572097601 YSGAOUCCYCULTC-KZULUSFZSA-N 421.266 4.964 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Oc3ncccn3)cc2)no1 572642440 FHAZGWPZUAABKF-MRXNPFEDSA-N 417.425 4.963 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 577410087 BTCUSVJRHAIRCN-INIZCTEOSA-N 405.454 4.937 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(Cl)cc3OCC(F)F)n2)cc1 578468026 SUDPUOUROKCANC-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCC(F)(F)F 603454432 XQEPBNAAEQJAOU-UKTHLTGXSA-N 421.400 4.814 5 20 HJBD CCCCN(C(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])[C@H](C)c1ccc(C)o1 603531426 MHHYVQMLEDNYLH-OAHLLOKOSA-N 404.463 4.907 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(CN2CCCC[C@H]2C)cc1)c1cccc([N+](=O)[O-])c1 604129867 DCZVQEDVORGPDS-QZTJIDSGSA-N 410.518 4.530 5 20 HJBD COCc1noc(C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)n1 609475824 NIHLJZHHONGXTC-UVTDQMKNSA-N 408.439 4.517 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 609587417 UYOYNKRVJUMPNJ-UHFFFAOYSA-N 421.478 4.989 5 20 HJBD CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710163 HVLOTPVHWVPCND-UHFFFAOYSA-N 404.835 4.581 5 20 HJBD COc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)ccc1OCc1cscn1 727710377 QZSVMQZMSLZTMG-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H]1C[C@@H]1c1cccc2ccccc12 730873009 TUGTVFMCTFMYJQ-UXHICEINSA-N 415.405 4.645 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 732134335 VWXOZNBTJQWDOR-UHFFFAOYSA-N 407.426 4.814 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(-n3cccn3)cc2)cs1 732746451 OHKXFXJPCDMNAM-NYYWCZLTSA-N 417.450 4.556 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)OC(=O)CCn1nc(C)c([N+](=O)[O-])c1C 733071443 VAXTVVBIFRZRDW-HNNXBMFYSA-N 414.487 4.535 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(C(F)(F)F)cc1 735354062 GRZJITVXABQGSE-JTQLQIEISA-N 406.316 4.785 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C2)nc2ccccc21 736602056 JJRKLPSFTPJDEC-HNNXBMFYSA-N 409.446 4.818 5 20 HJBD Cn1ccc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)cc21 749422329 RYGRQDJYRCPNCV-UHFFFAOYSA-N 408.639 4.755 5 20 HJBD O=C(Nc1cccc(OCc2ccc(F)cc2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 750564117 FNEJJCQPUINRJL-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1Cl 754098729 XOBXAMQUMHHVEY-ACJLOTCBSA-N 408.813 4.506 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755066062 FROJAYADHPXNFW-UONOGXRCSA-N 402.422 4.531 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])s2)cc1 758507493 IPFMSAIZMCJXSM-UHFFFAOYSA-N 400.431 4.709 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnc(Cl)c(Br)c2C)c1 759276960 SHCFVNANFAJWIA-UHFFFAOYSA-N 416.684 4.688 5 20 HJBD Cc1c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cccc1-c1ccccn1 760541218 AGQFFJWYKIFAPV-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD C[C@@H](c1nccs1)N1CCN(C(=O)c2c3ccccc3cc3ccccc23)CC1 766748663 AFVSMZASDMLVQR-KRWDZBQOSA-N 401.535 4.969 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1 778796859 QZPKECADDLMDKQ-CQSZACIVSA-N 421.409 4.936 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(Cc3ccccc3F)o2)ccc1Br 788105455 ONVBKDMNLLOGTK-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD Cc1csc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c1Cl 792523626 SYUGORBJPNNJMQ-UHFFFAOYSA-N 420.878 4.719 5 20 HJBD CC(C)Nc1cccc(F)c1NS(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 798248399 YGRWHTDFYBXGRM-UHFFFAOYSA-N 422.265 4.662 5 20 HJBD CCCN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])[C@H](C)CC(=O)Nc1ccccc1 800237404 CQMIAIZTULZXLX-CQSZACIVSA-N 403.866 4.518 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@H](O)c1ccccc1 804415352 LTZWUQBUVURILG-NRFANRHFSA-N 416.433 4.717 5 20 HJBD CCNc1ccc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 807477714 WHYYHSRIMUQNEV-NSHDSACASA-N 416.821 4.648 5 20 HJBD COc1ccc(CS(C)(=O)=O)cc1NC(=O)c1c2ccccc2cc2ccccc12 808894784 OAZUVAUPNJIBCM-UHFFFAOYSA-N 419.502 4.799 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817870866 UUVBAKWWTNXZFF-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD Cc1c(Cc2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)cccc1[N+](=O)[O-] 904635118 ZZSTWSAMXJIUGL-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD COC1(c2noc(-c3ccc(N4C[C@@H](C)C[C@@H](C)C4)c([N+](=O)[O-])c3)n2)CCCC1 904835523 XMUQARFKZPKGDS-GASCZTMLSA-N 400.479 4.543 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 913502170 QOVOEMKSGKHPCB-SNVBAGLBSA-N 412.229 4.951 5 20 HJBD CSc1ccc(C(=O)OCCCC(=O)Nc2c(C)cccc2C)cc1[N+](=O)[O-] 916604412 FLJHMGCCQYOZCA-UHFFFAOYSA-N 402.472 4.509 5 20 HJBD O=C(CCCCc1ccc(Br)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116135499 KEZWVCLKONFQGQ-UHFFFAOYSA-N 403.276 4.613 5 20 HJBD Cc1cccc(OCc2nnc(-c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)o2)c1 1345657520 UNXGTWWXSAPZHN-UHFFFAOYSA-N 408.458 4.769 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)Nc1cccc(F)c1 7940343 RLGIJBIQHFGFHM-UHFFFAOYSA-N 416.412 4.768 5 20 HJBD COc1ccc2ccc(OC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)cc2c1 18121094 QXAQUOPBIPPUMJ-UHFFFAOYSA-N 406.438 4.579 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(C2SCCS2)c1 23927073 RSBVOLOGKBYWRU-UHFFFAOYSA-N 403.529 4.904 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 25616427 SQMFKCMHNXCGTL-INIZCTEOSA-N 412.467 4.804 5 20 HJBD Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1N1CCCC1 25971517 HZYXKNQVNSNTHT-UHFFFAOYSA-N 411.505 4.702 5 20 HJBD CC(C)c1c(C(=O)Nc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cn1 32643851 BBAMFGYUSZCVCN-UHFFFAOYSA-N 419.363 4.570 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2ccc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 53459676 CFXFTYCMYAJCTN-GFCCVEGCSA-N 416.890 4.808 5 20 HJBD C[C@H](N[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)c1cccnc1 216835110 RLFICITYWWALHK-VBKZILBWSA-N 410.861 4.674 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3C)C[C@@H]2C)cc1SC 303815841 ZXUUZAVBPNOYTN-LSDHHAIUSA-N 400.500 4.652 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(CC(F)F)C(C)C)cc2[N+](=O)[O-])n1 427207048 VNDIFGJYVOXYCW-UHFFFAOYSA-N 401.460 4.627 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c2cccnc12)[C@H](C)c1cc2ccccc2o1 432477574 NAVPRFXLZKVWJR-OAHLLOKOSA-N 419.437 4.878 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3cc4ccccc4cc3n2)c(Br)c1 432506115 RYKAOQOGUPKBRH-UHFFFAOYSA-N 410.227 4.750 5 20 HJBD Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436142141 YPKGJDTXDOVRGT-FQEVSTJZSA-N 404.416 4.685 5 20 HJBD CC1CCN(C(=O)c2ccc(NCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)CC1 437256418 NYORXUUNUUXQOH-UHFFFAOYSA-N 419.428 4.680 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CCCCC1)c1ccccc1[N+](=O)[O-] 443928211 OSDWAYSRQSYTAY-UHFFFAOYSA-N 403.276 4.947 5 20 HJBD O=C(Nc1cccc(CN2CCC(O)CC2)c1)c1cc2ccccc2c2cccnc12 444463151 WRTQXIGAAHCOPE-UHFFFAOYSA-N 411.505 4.597 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(Cl)cc2Cl)c(C(F)(F)F)c1 447004518 ZZGYAGUXKSDNKR-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD CC(C)c1nccn1Cc1cccc(N[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1 447572566 CPPLBPZDGGSKGC-WOJBJXKFSA-N 408.506 4.755 5 20 HJBD CC(C)N(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1cnc2ccccc2c1 448459875 SRSIHYCFKOZKEH-UHFFFAOYSA-N 404.470 4.798 5 20 HJBD CO[C@@H](CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(C)(C)C 465400714 FLQFUENKACOQFY-RBUKOAKNSA-N 419.909 4.579 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)COc1ccc([N+](=O)[O-])cc1 468845199 DCUWLPFBZFQFHE-HNNXBMFYSA-N 411.483 4.625 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n(C)n1 470191358 VQWKTQAIBWVSPW-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(CCCO)[C@H]1CCc2ccccc21 475201413 GCDXGWQQRGZYLI-KRWDZBQOSA-N 423.391 4.517 5 20 HJBD Cc1ccc([C@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccccn2)cc1 480572592 DSEOEERPZALHNV-QHCPKHFHSA-N 416.481 4.736 5 20 HJBD COC(=O)c1nc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)sc1C 480579523 JQFFKEJICJVNRW-OAHLLOKOSA-N 419.503 4.665 5 20 HJBD C[C@H](NC(=O)COc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 485591210 KOCINKLSXACVQW-INIZCTEOSA-N 424.428 4.569 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(Cc3cccc(Cl)c3)s2)c1[N+](=O)[O-] 486415056 YXVRTQHRRWNVAU-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@H]2c2cc(C)ccc2C)c(C)c([N+](=O)[O-])c1 486879544 AJHFPEWMNMQTAC-IBGZPJMESA-N 411.458 4.676 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2ccc(Cl)cc2)C2CC2)c(C)c([N+](=O)[O-])c1 486901127 UHVVFFUCXAKFLW-UHFFFAOYSA-N 417.849 4.540 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)sc1Cc1ccc(F)cc1F 487606053 OAOWUHKJABCSAP-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C(C)C)cc1NC(=O)c1n[nH]cc1[N+](=O)[O-] 487755753 HRNXHSMKQGPIAI-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD COc1cc(CNc2ccc(-c3nccs3)cc2)c([N+](=O)[O-])cc1OCC(F)F 491871797 BLLXPKYJVMIWGH-UHFFFAOYSA-N 421.425 4.983 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496556713 TVBFDPKHQNBEIE-NRFANRHFSA-N 411.408 4.828 5 20 HJBD Cc1ccc(C(=O)N(Cc2cc(Br)ccc2F)C(C)C)cc1[N+](=O)[O-] 499243211 MTQSPNJPHWSAQQ-UHFFFAOYSA-N 409.255 4.856 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1-c1c[nH]c2ncccc12 503916557 LVMCNLUDWXHXIO-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc21 504715728 IDOLTWPVBLQOBH-GFCCVEGCSA-N 402.838 4.585 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(Cc2ccsc2)c2ccccc2)c1C 509553830 IARSCOPDLCYKHY-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD COc1cc(CN[C@H](C)c2ccncc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 510037415 DUUMMGMICIJJKX-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2[nH]c(-c3ccco3)nc2c1 515937966 SQDBQEGLGKUQDY-GFCCVEGCSA-N 408.439 4.850 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)c1C 517459468 LMORNHPWZRHEAU-FQEVSTJZSA-N 413.499 4.609 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCC1)c1ccc([N+](=O)[O-])cc1Cl 519100553 PIAIIQYAEGBCHM-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD Cc1nc2ccccc2n1Cc1cc(NC(=O)c2cc([N+](=O)[O-])cn2C)ccc1Cl 520337757 CQYBPSZMCIOUFE-UHFFFAOYSA-N 423.860 4.545 5 20 HJBD COc1ccc(CC(=O)NCc2ccccc2Oc2ccccc2C)cc1[N+](=O)[O-] 521626024 QEQYQDNOGPNZBU-UHFFFAOYSA-N 406.438 4.563 5 20 HJBD COc1ccccc1OCCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522681848 PIMZEDFUFDVLRL-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4ccc(Cl)s4)o3)n2)cc1 525003621 APXFWSPOKHHWMT-UHFFFAOYSA-N 421.847 4.702 5 20 HJBD COc1ccc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530405686 JGXYCJSSFRWXJK-GOSISDBHSA-N 424.432 4.500 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1ccccn1)C2 535291173 AAAMEPYGTMUSFF-HNNXBMFYSA-N 420.494 4.629 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(CC(=O)NC(C)C)cc1 539601251 VIPUUJROPTWYLE-KRWDZBQOSA-N 401.532 4.645 5 20 HJBD COc1ccc2cc([C@H](C)C(=O)Nc3ccnn3-c3ccc([N+](=O)[O-])cc3)ccc2c1 546725868 XQVDONTXKFRFKJ-HNNXBMFYSA-N 416.437 4.685 5 20 HJBD COc1ccc(Cl)c(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 548034692 WPASXHNGJPPWNX-UHFFFAOYSA-N 414.795 4.874 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3nnc(C)n3C3CC3)cc2[N+](=O)[O-])cc1 552805861 RWTCLZDWZHXEMD-UHFFFAOYSA-N 409.471 4.542 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCOCC3)[C@@H]2C2CC2)cc1[N+](=O)[O-] 563965929 IHKXYKMUVYTAPA-FQEVSTJZSA-N 418.559 4.764 5 20 HJBD COc1ccc(CN(CC2CCC2)C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(OC)c1 569359218 HZOPJDFUWPAHCF-UHFFFAOYSA-N 413.474 4.755 5 20 HJBD COc1c(-c2nc(C(C)(C)c3ccc(OC(F)(F)F)cc3)no2)cccc1[N+](=O)[O-] 570127505 QCCORCGWESNZDZ-UHFFFAOYSA-N 423.347 4.878 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(Br)s3)CCC2)c1 572788848 MYEFTLRNLDODCC-UHFFFAOYSA-N 409.305 4.579 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(F)c3ccccc23)cc1OC 580486467 FSQZTISJLUGWFH-ZDUSSCGKSA-N 412.417 4.785 5 20 HJBD COc1cc(N2CCc3nc(-c4ccccc4Cl)sc3C2)ccc1[N+](=O)[O-] 581391055 QAORSOARFAHSER-UHFFFAOYSA-N 401.875 4.943 5 20 HJBD COCc1nc(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cs1 583722338 RLXBCVNRFMSZJQ-UHFFFAOYSA-N 415.540 4.947 5 20 HJBD CCN(CC)Cc1ccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)o1 592762080 QJNPNCVEVSQBJK-UHFFFAOYSA-N 414.487 4.714 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(-c2ccccc2)n(-c2ccccc2)n1 608870393 DDBQFAZFZROBRZ-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)c1 609151434 UMAUCSHMAWRSQC-GOSISDBHSA-N 424.482 4.734 5 20 HJBD C[C@H](c1ccco1)N(C)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609854925 SIGOKNIZSDKLTN-SNVBAGLBSA-N 409.229 4.514 5 20 HJBD COc1ccc(Cl)cc1[C@H](CC(C)C)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609978087 YGNSETBGRXGILD-KRWDZBQOSA-N 420.893 4.712 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1 610225073 IODZPQYLWXWZQO-UHFFFAOYSA-N 408.364 4.598 5 20 HJBD CO[C@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 611947699 HWNKQWVMZMNGGC-INIZCTEOSA-N 404.850 4.682 5 20 HJBD C[C@@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)c2ccncc2)cc1 618568438 STYJRBPEWZXVLO-MWTRTKDXSA-N 416.481 4.970 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC[C@@H](Cc2ccccc2)C1 729973366 HNMIVJXPBYIPDU-FQEVSTJZSA-N 407.514 4.680 5 20 HJBD C[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 735318205 QIMIUAKVXAJYCY-AWEZNQCLSA-N 422.397 4.734 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)OCc1ccc(Cl)c([N+](=O)[O-])c1 741446471 RBVRYRFSEKEEIS-UHFFFAOYSA-N 420.849 4.721 5 20 HJBD C[C@@H]1CCN(CCOc2ccc(NCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)C1 744449390 IREJZNPFYZLVBJ-OAHLLOKOSA-N 421.444 4.529 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)Cn3c4ccccc4c(=O)c4ccccc43)o2)cc1 744638422 ZRDMCPQBPIUEEM-UHFFFAOYSA-N 424.456 4.862 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 745885128 TZLYSGCAORYAMV-VIFPVBQESA-N 408.197 4.870 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1csc(COc2ccc(F)cc2)n1 746063082 RWJHZNGCEHCTGY-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD C/C(=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccccc1OC(F)F 746937780 MZKQLLYJPBWSOV-WYMLVPIESA-N 402.397 4.791 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=S)Nc1ccc([N+](=O)[O-])cc1 751034343 BLOVKWKYCAWRPM-UHFFFAOYSA-N 414.462 4.650 5 20 HJBD CC(C)C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccn1 761382330 JEDCCROUYCYBQN-QHCPKHFHSA-N 400.478 4.880 5 20 HJBD CCCn1cc(N[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)cn1 762543769 GNHXTKJMEYWQGQ-LJQANCHMSA-N 413.865 4.647 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2c(Cl)cccc2Cl)o1)c1cccc([N+](=O)[O-])c1 763400196 VWKRBIWKTDQIDX-JTQLQIEISA-N 422.224 4.799 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(Br)c(Cl)c1F)CC2 766862164 ZMDGTXNIYYYONJ-UHFFFAOYSA-N 413.630 4.661 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2C[C@@H](O)c2ccc(F)cc2)ccc1Br 770325488 IOCWEYHEGLRNAD-VQIMIIECSA-N 423.282 4.585 5 20 HJBD Cc1cnc(COC(=O)c2sc(-c3ccc(F)cc3)nc2C)c(C)c1[N+](=O)[O-] 789441847 DAOVQPRAAWONAV-UHFFFAOYSA-N 401.419 4.535 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccc4ccccc4c3)o2)c([N+](=O)[O-])c1 789914464 ODHWPLFTNLLODD-UHFFFAOYSA-N 408.395 4.999 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798670332 TYQPTOBUZKOKLY-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 800620806 CLHLHKYILKDVGE-WOJBJXKFSA-N 403.482 4.656 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)o1 813032104 LZEWXYKYECUQEM-UHFFFAOYSA-N 407.213 4.766 5 20 HJBD O=C(Cc1ccccc1OC(F)F)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 813306160 WAMZTRAHSZCSEZ-UHFFFAOYSA-N 420.393 4.606 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813878205 LJEKEVGYFXSJEV-PKOBYXMFSA-N 417.550 4.828 5 20 HJBD C[C@H](OC(=O)c1cc2c(Cl)cccc2s1)C(=O)Nc1ccccc1[N+](=O)[O-] 825218316 HAAWVTZINXZSDM-JTQLQIEISA-N 404.831 4.647 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OC[C@H]2CC2(Cl)Cl)cc1 915250005 OKNQGSOIZLVJGT-LLVKDONJSA-N 412.225 4.746 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)o1 916667071 CINPDWUOLYIWDS-UHFFFAOYSA-N 406.373 4.740 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@H](C)c3c(F)cccc3F)cc2)c(C)c1[N+](=O)[O-] 917260203 MSNPSTDVDNVPAK-CQSZACIVSA-N 415.396 4.653 5 20 HJBD C[C@@H](NC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1cccc2ccccc12 917263860 UJTAYNSJSLOJCT-CQSZACIVSA-N 418.405 4.528 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 917326221 SYRODYIPFDEVMX-LLVKDONJSA-N 419.846 4.522 5 20 HJBD O=C(c1ccccc1)N1CCC[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 1116018653 HNGKULWYVDUPBT-SFHVURJKSA-N 421.522 4.718 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cs1 1322953166 KCINQNHUKIXGQP-UHFFFAOYSA-N 413.886 4.812 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1ccc2ncccc2c1[N+](=O)[O-] 1325424783 ZLAHHNWQJFKSEW-UHFFFAOYSA-N 408.458 4.780 5 20 HJBD C[C@H](NC[C@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 1346108925 GMXPIMUYDHRVAD-AOMKIAJQSA-N 420.469 4.623 5 20 HJBD COc1cc(CSc2nnc(-c3ccc(C)cc3)o2)c([N+](=O)[O-])cc1OC(F)F 10533465 PEQWYIDHLZUESG-UHFFFAOYSA-N 423.397 4.856 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OCC(F)(F)F 14127814 SJBAEANUNIKDCN-LLVKDONJSA-N 400.378 4.655 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2C(C)C)c1 16197157 PWHBSCWDMKAXEW-ZDUSSCGKSA-N 402.472 4.624 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50315738 UVUVPRFVDCTSPL-UHFFFAOYSA-N 410.455 4.628 5 20 HJBD O=C(O[C@@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 169322048 UZAYANVWCYGNKV-KRWDZBQOSA-N 406.225 4.696 5 20 HJBD COc1ccc(CN[C@@H](C)Cc2ccc(O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 237262494 YJSCUCUVXUVJPI-KRWDZBQOSA-N 422.481 4.609 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1c(Cl)cccc1[N+](=O)[O-] 303515873 UKBHJVDWGDOQDX-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)CC1 409892340 MNSJOXKVZYWCRC-UHFFFAOYSA-N 402.454 4.886 5 20 HJBD O=C(O)[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 426785357 XASXHFAONPBODQ-GOSISDBHSA-N 402.403 4.503 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 431337943 QMCVPMDEIJHIOP-INIZCTEOSA-N 409.486 4.526 5 20 HJBD COc1ccc(C)cc1[C@@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435825616 IFPVHEASYGVNKT-CQSZACIVSA-N 404.441 4.628 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Br)cc1 435826914 NSXBZQOUPRDGBG-CYBMUJFWSA-N 421.294 4.935 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 436326453 QACISGAXIBXZLW-INIZCTEOSA-N 400.784 4.909 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3cccc([N+](=O)[O-])c3O)s2)cc1 436750603 OBIQNXHCMKVQKS-CQSZACIVSA-N 411.483 4.874 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC)c1 437186948 DASBUEPMBLIJKY-KRWDZBQOSA-N 424.375 4.608 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437386243 RIMXNUMRQDEUMJ-HNAYVOBHSA-N 424.834 4.899 5 20 HJBD CC(C)(C)OC(=O)CCCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442691272 DLRBYOIDZDFTPR-UHFFFAOYSA-N 416.499 4.598 5 20 HJBD Cc1c(Br)cccc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 443886965 FPAJFBHBBUXSFZ-QGZVFWFLSA-N 407.289 4.554 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cc1 444739192 VJFVVNORYFHBSP-NWDGAFQWSA-N 417.263 4.819 5 20 HJBD COc1cc(Cc2noc(/C=C/c3cc4ccccc4o3)n2)c([N+](=O)[O-])c(OC)c1 445822436 RXBVOKLTYWFPJU-BQYQJAHWSA-N 407.382 4.502 5 20 HJBD Cc1cc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)ccc1NC(=O)CC(C)C 460365792 PTAXJXITPZUGJF-UHFFFAOYSA-N 411.502 4.641 5 20 HJBD Cc1cc(N2CCC[C@@H](N(C)Cc3ccccn3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461969503 UMXQTHVWLYDBPX-QGZVFWFLSA-N 422.451 4.808 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nncs2)cc1 462438670 UEMZJEYJRSZIMY-AWEZNQCLSA-N 414.512 4.805 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(O)cc1)Cc1ccco1 462783094 IRHXCMQPBGOMQD-IBGZPJMESA-N 408.454 4.691 5 20 HJBD COCCCCc1cccnc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 463032093 FQZCYIWQQWEIIS-UHFFFAOYSA-N 420.469 4.955 5 20 HJBD CCSc1cccc(Br)c1NC(=O)CN(C)c1ccccc1[N+](=O)[O-] 464716159 ZJCWVECZKFMLOY-UHFFFAOYSA-N 424.320 4.544 5 20 HJBD O=C(Nc1cccc(N2C(=O)CCCC2=O)c1)c1cc2ccccc2c2cccnc12 473640565 HHKATRPJAHPASX-UHFFFAOYSA-N 409.445 4.684 5 20 HJBD COC(=O)c1nc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)sc1C 480582957 PURWKCGSWAWGLR-INIZCTEOSA-N 424.482 4.550 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1c(F)cccc1F)C(F)(F)F 482378146 KSEDTCZZRPOAJF-CQSZACIVSA-N 423.725 4.629 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cc1[N+](=O)[O-] 482945125 NNSBBAUIVXCZOX-DLBZAZTESA-N 404.470 4.962 5 20 HJBD COc1ccccc1-c1noc([C@H](C)N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])n1 483639298 UGOBLVJCULUBTQ-FRQCXROJSA-N 422.485 4.715 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 484587978 BPSWBHCDKNVXBS-UHFFFAOYSA-N 402.472 4.690 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C1CC1 485654395 JBBAXPSOHGYAOC-SFHVURJKSA-N 408.376 4.725 5 20 HJBD COc1cccc(OC)c1OC1CCN([C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 485713359 BYJXXTJTQQLUIS-INIZCTEOSA-N 400.475 4.525 5 20 HJBD CCCCOc1ccc(C(=O)Nc2cc(C(=O)OC)cc([N+](=O)[O-])c2C)c(Cl)c1 485985141 YKMQGVDJLYVUIQ-UHFFFAOYSA-N 420.849 4.774 5 20 HJBD O=C(c1cc(NC[C@@H](c2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 486029728 PBXXYRYJDARRJW-KRWDZBQOSA-N 407.392 4.589 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(COC(C)(C)C)c1 486155855 VTWUFQUVRGVDGS-UHFFFAOYSA-N 402.516 4.952 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 487979071 HXHXMENOMPFKQB-AFAVFJNCSA-N 403.276 4.647 5 20 HJBD COc1cc(CN(C)Cc2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC(F)F 490402223 MRSDOTZGQNXNPB-UHFFFAOYSA-N 406.385 4.626 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 491854208 SFWNHMCKZLEYRR-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(Nc1nc(-c2cccs2)ns1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 497980930 HXZVJOXQOMCOMW-UHFFFAOYSA-N 415.481 4.551 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 500239600 WASLJEKNYFORIB-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C(=O)OC)cc2c1 503036058 CBJJPVQKRUKHHI-UHFFFAOYSA-N 414.439 4.882 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(CC3CC3)C3CCC3)cc2[N+](=O)[O-])n1 507051263 FBORRUPTFQIPBH-UHFFFAOYSA-N 403.529 4.916 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(F)c(Br)c1 507719568 MIUVFMUUGQQFNK-NXEZZACHSA-N 413.218 4.616 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1nc2cc(Br)ccc2o1)C1CC1 509005302 AQUYKOSTOCCUBQ-UHFFFAOYSA-N 402.248 4.663 5 20 HJBD COc1ccc(CN[C@H](C)c2ccncc2F)cc1OCc1ccc([N+](=O)[O-])cc1 509964657 SWHPQBUMQGHLNJ-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD COc1ccc(N(C)C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cc1Cl 510477987 UJKFVFHBFYKEOH-UHFFFAOYSA-N 413.655 4.604 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])oc12 511087908 CVPNPEBZGXYWJO-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)Nc2ccccc2)ccc1C 514449672 GWYDUXVMMTWCGP-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 517460749 SKPKGGWXQZKJPM-JOCHJYFZSA-N 415.453 4.629 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNCc1ccc(-c2ccccc2[N+](=O)[O-])o1 517548800 FFJPFYLLCVGBGX-UHFFFAOYSA-N 413.861 4.935 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 521771749 BUENMZZTMYGHIE-JTQLQIEISA-N 422.812 4.649 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCSC[C@@H]1c1ccccc1 522330162 ZLBALPMNNFZTPG-GOSISDBHSA-N 411.508 4.649 5 20 HJBD O=C(Nc1ccc(-n2ccnn2)cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 524274532 LDIBFGWTWDGMBK-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1cccs1)Cc1cccs1 534009276 RAUUFQOVOKNCSR-UHFFFAOYSA-N 411.508 4.971 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 536438119 SXPXDXSMOVKHIA-JOCHJYFZSA-N 422.506 4.990 5 20 HJBD COc1cc(CN2CCC(OC(C)C)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 536647323 AVLALEKLSXMWRA-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD O=C(NCCCc1c[nH]c2ccccc12)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537732008 FWCSGHCQHSCLSX-UHFFFAOYSA-N 400.438 4.501 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@H]3c3ccc(Cl)cc3)cs2)c1 538124067 GDYUEQAXIIVMCZ-MOPGFXCFSA-N 415.902 4.992 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1)C[C@H]1CCCCO1 539318668 HYDBYFPAYHXAES-LJQANCHMSA-N 417.531 4.688 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@H](c2ccsc2)C1 540471603 NQRUQPSHFYSDBL-GUYCJALGSA-N 406.529 4.759 5 20 HJBD Cc1nc(-c2cccc(NC(=O)Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)c2)cs1 540859542 WOARKPFIFZUVBJ-UHFFFAOYSA-N 419.466 4.529 5 20 HJBD C[C@H](C(=O)NCCOc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 541612990 CCCYFVBQVCPLTG-INIZCTEOSA-N 408.429 4.700 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)C1(Cc2ccc(OC(F)(F)F)cc2)CC1 552740368 GKCYOYHDBRHQGF-UHFFFAOYSA-N 422.403 4.517 5 20 HJBD O=[N+]([O-])c1cc2c(Sc3nnc(-c4cccc5ccccc45)o3)ncnc2s1 553411678 DMXPSILFKUCPKO-UHFFFAOYSA-N 407.436 4.954 5 20 HJBD C[C@@H](c1ccccc1)N(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)C1CC1 553475775 AAUNETLUCWXRKP-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD Cc1cnccc1S[C@@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 560984607 YJWLTGRNNPNXDA-LBPRGKRZSA-N 400.485 4.541 5 20 HJBD COc1ccccc1[C@H]1CC[C@@H](C)CN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561097439 YXYZWMUNQASZGU-QVKFZJNVSA-N 407.470 4.627 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c2C(C)C)cc1 563465191 RSKSFSWEJAEWSB-UHFFFAOYSA-N 421.501 4.919 5 20 HJBD C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Oc2cccnc2)cc1 564054183 OGVFAIPKJFFPBH-AWEZNQCLSA-N 404.426 4.840 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1 568583862 DAKTXKKVZJTLQT-INIZCTEOSA-N 410.474 4.745 5 20 HJBD CCCOc1cc(NC(=O)Nc2cnn(C(C)C)c2)c([N+](=O)[O-])cc1OCCC 584138438 LXXPFLPKRIALLZ-UHFFFAOYSA-N 405.455 4.594 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)nn2-c2ccc(Cl)cc2)c1 592946670 WOBVAZXRDXERJW-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 608895453 JHSWQJJBZOVNNP-UHFFFAOYSA-N 406.423 4.843 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@H](c2ccccc2F)C1 608963583 UAONUAGNDVTATD-QFIPXVFZSA-N 421.428 4.691 5 20 HJBD CC(C)(C)c1nc(=NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s[nH]1 609023571 LOMCKFMZMOKTDK-UHFFFAOYSA-N 414.512 4.569 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](c3ccccc3)c3cccnc3)cs2)c1 609599714 WLQDZWBNJUNYMQ-NRFANRHFSA-N 402.479 4.993 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610045982 LMFPIRJVMWCOAL-KBPBESRZSA-N 403.276 4.684 5 20 HJBD COc1ccc(S(=O)(=O)Nc2cccc(Cl)c2SC(C)C)cc1[N+](=O)[O-] 610193462 JJGDBBKZQNADHX-UHFFFAOYSA-N 416.908 4.558 5 20 HJBD COc1cc(C(=O)Nc2cc(C)cc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 616958356 WFRYXJHDKSPYKX-UHFFFAOYSA-N 420.290 4.784 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc(F)cc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 619537397 ZBTRKUCBDCXVGH-SNVBAGLBSA-N 413.390 4.687 5 20 HJBD CC(C)(C)c1nc(C(=O)OCCOc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cs1 726731432 CBIZVYZNPMLMJK-UHFFFAOYSA-N 418.393 4.603 5 20 HJBD O=C(Nc1nc(-c2ccccc2OC(F)F)cs1)c1cc(F)ccc1[N+](=O)[O-] 727714658 AKPQKNHFUVJGGD-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1ccc(CSC(F)F)o1 730958302 RTJHNYKWBUZUPC-IZZDOVSWSA-N 414.773 4.642 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)c1cccc(NC(=O)c2ccccc2)c1 731518306 IPDKIVYEBSHPMG-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)CC2)c1 741237802 ICYHBIYWGQHZSR-UHFFFAOYSA-N 422.529 4.610 5 20 HJBD Cc1cccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Cc2ccccc2)c1[N+](=O)[O-] 741823935 WBBVQWPKHNVTJA-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@@H]1c1ccccc1C(F)(F)F 744396843 STDHDSWADOIZIJ-GOSISDBHSA-N 407.392 4.698 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 747514864 HDQGWRCKVFXRPA-NZVBXONLSA-N 423.252 4.576 5 20 HJBD O=C(NCc1cccc(F)n1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 749083382 CGUAVPLSAPISQR-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD CC(=O)Nc1cccc(COC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 749100794 QPFHXEKAXCSYED-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD CCS[C@H]1CCCC[C@H]1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749437062 PTMRWBDMRHMZGU-YPMHNXCESA-N 421.744 4.805 5 20 HJBD C[C@H](C(=O)O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755066395 PCYXTKMUERQYFC-BTYIYWSLSA-N 422.412 4.761 5 20 HJBD Cn1c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Cl)c2ccccc21 760493048 ZKVUCTWWWCUKJT-UHFFFAOYSA-N 411.801 4.752 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@@H](C2CC2)C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 761270492 HQSZLRPHJUZJKC-GTNSWQLSSA-N 409.364 4.661 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC(c2ccccc2C(F)(F)F)CC1 762368352 ZAMCHRAAHXCXOB-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD C[C@@H](C(=O)O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 763400879 BUUBWEJEAQNKCU-IERDGZPVSA-N 404.422 4.622 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1ccc(Sc2ccccc2Cl)nn1 764053342 ROACPOKYGPXIIF-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2C(=O)N2CCCCC2)c1F 764473179 SUSBVSBLESRZLC-UHFFFAOYSA-N 419.840 4.574 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC([C@H](O)c4ccccc4)CC3)cs2)c1 768235259 ZINDWNUQGCLLNR-OAQYLSRUSA-N 409.511 4.664 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])c(Cl)c1 768691718 XUXJDJYIDXUGJU-FQEVSTJZSA-N 406.829 4.644 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 773418890 OUHSGYCERKZJRW-GFCCVEGCSA-N 408.248 4.603 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NOC1CCOCC1 775248561 QZTPFSMFBOTOLE-UHFFFAOYSA-N 401.462 4.774 5 20 HJBD Cc1ccc(OCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C 776132781 KUCGAZVMRVPRRA-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccc4ccccc34)o2)c([N+](=O)[O-])c1 789922193 PJTFCBRUCBPTIW-UHFFFAOYSA-N 408.395 4.999 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 796757140 UIQCXLPCKYAVQJ-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1 800144037 QWDDOQSMVMOSBV-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD Cc1ccc(O[C@@H](C)C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c(Br)c1 800148345 AEFDYXFNUXWLIG-NSHDSACASA-N 411.227 4.519 5 20 HJBD CSc1ccc(OCCOC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 805430261 LUJMHYRKJZEXLC-UHFFFAOYSA-N 416.480 4.676 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)c1ccc(N2CCCCC2=O)cc1 810214159 PRMQVQATYDYFND-MRXNPFEDSA-N 410.474 4.611 5 20 HJBD CCOc1c(OC)cc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 811476872 VBXXKBJRAHTWEG-UHFFFAOYSA-N 415.273 4.607 5 20 HJBD COc1ccc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c(F)c1 864042502 OUIHMAKCASQJIR-UHFFFAOYSA-N 412.417 4.942 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2F)C(C)C)cc1 919250481 AQHFNFIWZVAMAL-NRFANRHFSA-N 416.449 4.528 5 20 HJBD O=C(Cc1cc2ccccc2o1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 919976690 UAHODFSRAJBVNN-UHFFFAOYSA-N 416.389 4.741 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)c(F)c1 1253910740 AUOJNNAQDYWOAJ-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(-c2ccccc2)s1 1317948658 APCOKVBUEBIJPJ-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 1318609188 DHOBAVKKGGUGRN-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD COc1cc(C(=O)N2CCc3cc(-c4csc(C)n4)ccc32)cc([N+](=O)[O-])c1C 1326250387 XNEKBQTXHOSRFL-UHFFFAOYSA-N 409.467 4.547 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 8103178 AFZFEJFRJHAMKZ-CQSZACIVSA-N 413.524 4.946 5 20 HJBD COc1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 28673245 QMNGUAMVAOASGB-SECBINFHSA-N 423.903 4.986 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc12 31929819 WNSAMUMOUZPYMI-UHFFFAOYSA-N 424.457 4.715 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32161696 BXNAECWHPJSNEJ-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1cccc(COCc2ccccc2)c1 46150313 VZWKTVOYALVSMH-UHFFFAOYSA-N 419.481 4.653 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3nc(C)c(C)o3)cc2)c([N+](=O)[O-])cc1OC 54672904 PCFSNBMBBMUSCK-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD Cc1cccc(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)c1[N+](=O)[O-] 59584245 BNJZMKANUDVYEF-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107799237 VFZVNQMXJIIELG-LSDHHAIUSA-N 412.795 4.943 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c(Cl)c1 301284564 QAQXLYILUQXZDF-LBPRGKRZSA-N 420.256 4.731 5 20 HJBD CCOc1cc(Nc2cccc(CS(=O)(=O)C3CCCCC3)c2)ccc1[N+](=O)[O-] 301955241 LGDLHSDYWZRGSO-UHFFFAOYSA-N 418.515 4.985 5 20 HJBD Cc1ccnc(Oc2cc(Nc3ccc([N+](=O)[O-])cc3S(C)(=O)=O)ccc2C)c1 302672397 SBTBPEJZAKNCQV-UHFFFAOYSA-N 413.455 4.546 5 20 HJBD Cc1c(NC(=O)c2cc(C(F)(F)F)ccc2Br)cccc1[N+](=O)[O-] 325381254 NMBXYNBHQOZKDQ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 426884463 YIKHJKSRDRYRNY-IAGOWNOFSA-N 422.909 4.548 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 427570286 SWNYFXLWUYAQDT-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD Cc1c(CC(=O)Nc2ncccc2OCc2cccc(Cl)c2)cccc1[N+](=O)[O-] 438929316 JZEXHMPHHPENRD-UHFFFAOYSA-N 411.845 4.712 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3c(F)cc(F)cc3F)cc2[N+](=O)[O-])C1 443922639 WKPUNAKPBDWENH-RYUDHWBXSA-N 407.392 4.747 5 20 HJBD CC[C@H](CNC(=O)c1cccc2c(=O)c3ccccc3[nH]c12)Oc1cccc(Cl)c1 444993728 AZKPVKUKNUPXPA-MRXNPFEDSA-N 420.896 4.922 5 20 HJBD CCn1ncc2c(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)cc(-c3ccccc3)nc21 445482960 RWUBXQBRLCDDIS-UHFFFAOYSA-N 418.438 4.805 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cn1 445811684 SUZVZSHGBDXROI-UHFFFAOYSA-N 407.426 4.670 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1nc2ccccc2[nH]1 447682452 YRYHSNKAOFCTEU-MRVPVSSYSA-N 401.295 4.693 5 20 HJBD Cc1cc(C)c(Br)c(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1 461107926 KABRAZSSXUIWPB-UHFFFAOYSA-N 411.683 4.600 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](C)C[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-] 461311934 VVDXXMRFUSWCNY-KDOFPFPSSA-N 418.490 4.585 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCC[C@@H]1c1cccc(F)c1 462549862 LIPUFBANSAJMGT-CQSZACIVSA-N 419.261 4.567 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1OCCCO 462955925 XUVLKXJMYIMVBY-KBPBESRZSA-N 423.307 4.530 5 20 HJBD O=C(NCCCc1cccc(C(F)(F)F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463630844 SJCTXMAAHIFNRM-UHFFFAOYSA-N 420.309 4.995 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 464695263 ZCAWJZGTUYCNSU-ZDUSSCGKSA-N 400.784 4.708 5 20 HJBD Cn1cc(C(=O)Nc2cc(Cl)c(Cl)cc2F)c(-c2ccc([N+](=O)[O-])cc2)n1 466565034 GWLGOKLMVZAYSS-UHFFFAOYSA-N 409.204 4.694 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)c(F)cc1F)c1ccc([N+](=O)[O-])cc1F 467024976 WMWWONAAEPEXAY-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD CCOC(=O)c1cc(N[C@H](C)c2nc3ccccc3n2C(F)F)ccc1[N+](=O)[O-] 467750366 YCFVMFUFJHELCB-LLVKDONJSA-N 404.373 4.689 5 20 HJBD Cc1ccc(-c2c(C)sc3nc(Cn4cc([N+](=O)[O-])c(C5CC5)n4)nc(O)c23)cc1 468105109 UZNPPIPWDFNGOF-UHFFFAOYSA-N 421.482 4.711 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 470133691 BOHZYYOJVQRVIP-UHFFFAOYSA-N 400.385 4.766 5 20 HJBD COc1ccc(CNc2cccc3c2CCO3)cc1OCc1ccc([N+](=O)[O-])cc1 475502057 MBCAHANTYOSZMW-UHFFFAOYSA-N 406.438 4.729 5 20 HJBD CCC[C@@](C)(NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(=O)OC 478131496 JKFABZQQEUXDDO-HXUWFJFHSA-N 420.849 4.502 5 20 HJBD COC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)c(Cl)c1 479503846 SDFUCSGVHSQPGR-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21 480116233 LKEVLPDVEVGFHP-CQSZACIVSA-N 401.467 4.872 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 482614495 XLTAGJAQBUADJT-UHFFFAOYSA-N 418.497 4.829 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 486135371 HCWBCXWAUSGGGQ-MRXNPFEDSA-N 407.495 4.562 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486706601 VKFHYYNITWECNL-HNNXBMFYSA-N 420.309 4.966 5 20 HJBD C[C@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 493974616 CBIHRVWCDXWFAZ-YVEFUNNKSA-N 409.364 4.608 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CC2(CCC2)[C@H]1c1ccccc1 495443402 CEOKHBUWESDLJH-HXUWFJFHSA-N 400.862 4.771 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ccc(C(F)(F)F)cc2)nc1 499975910 WHEOWINKMRCKGY-UHFFFAOYSA-N 418.331 4.635 5 20 HJBD CCOc1cc(NC(=O)Nc2cccc(COC(C)C)c2)c([N+](=O)[O-])cc1OCC 502328539 CBBNIKWWGILGBW-UHFFFAOYSA-N 417.462 4.961 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCc4cccc(Cl)c43)cc2)c(C)c1[N+](=O)[O-] 502767322 YLRZBTYFSPVIKA-UHFFFAOYSA-N 424.888 4.703 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCCNc2ccc([N+](=O)[O-])cc2)cc1 505091316 UMTQFZQLOSRCHO-UHFFFAOYSA-N 422.441 4.629 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)[C@@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 505990725 IMXZCCKWNQIKMR-QSUVVDIXSA-N 408.502 4.717 5 20 HJBD COc1cc(Cl)c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1OC 513954034 WZADXEHEJGBQGU-UHFFFAOYSA-N 404.850 4.855 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1C(F)(F)F 516271446 MZJVRGQHOIWOBB-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1cscn1)c1ccccc1 517483998 GTQHBDFKPNTAHH-UHFFFAOYSA-N 422.510 4.501 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCSc2c(F)cccc21 518858116 ZUWVYSKXEKAZHS-QMTHXVAHSA-N 410.854 4.508 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1Cc2ccccc2[C@H](c2ccccc2)C1 522744228 HWCFDGVBBIOUIH-NRFANRHFSA-N 406.869 4.965 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@@H](C)C(=O)Nc1ccccc1 523349795 WDNOWUPPMXSMRL-AWEZNQCLSA-N 411.483 4.689 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 531012970 ZBRLLRFOQVQINM-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD CCn1ncc2ccc(NCc3cc(OC)c(OCC(F)(F)F)cc3[N+](=O)[O-])cc21 532727381 ICANFOJECQIPCI-UHFFFAOYSA-N 424.379 4.526 5 20 HJBD COCC1(C)CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 536925885 PQCWSSGVHJXUCQ-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD CCCC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 538198928 OGUYGXRGVUDPJA-UHFFFAOYSA-N 415.515 4.764 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 539152933 BRXCRKQTERICBI-MAUKXSAKSA-N 420.849 4.862 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 544453539 FRVFTGIPZNGAEZ-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc([C@@H]4CCOc5ccccc54)no3)cs2)c1 544780645 UUYRWXBFKMOCBP-OAHLLOKOSA-N 406.423 4.683 5 20 HJBD Cn1c(SC(c2ccccc2)c2ccccc2)nc2cc([N+](=O)[O-])ccc2c1=O 545108616 MVDUEHYSOUISCB-UHFFFAOYSA-N 403.463 4.723 5 20 HJBD COc1ccc(CNCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 545928538 GLQGBMRKMMGNBT-KRWDZBQOSA-N 409.511 4.622 5 20 HJBD CC(C)(C)C(=O)NCCCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 546492392 GDWQKGASVAJOJF-UHFFFAOYSA-N 424.457 4.532 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546534558 WWZIMVYYQOAIDX-UHFFFAOYSA-N 401.438 4.553 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cc(C3CC3)nc3c2cnn3C(C)C)n1 547098081 KDVDOKQBDHJMNQ-UHFFFAOYSA-N 404.430 4.823 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(COc4c(Cl)cccc4Cl)n3)c2c1 547198714 DYNBWEGQPIRDCY-UHFFFAOYSA-N 419.224 4.936 5 20 HJBD COc1ccc(NC(=O)c2cc(Br)cc(C(F)(F)F)c2)c([N+](=O)[O-])c1 555642362 JEGRTBVQDZXKOO-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 555776886 IWUJRLCPRZOQEL-SJLPKXTDSA-N 411.502 4.581 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 556509215 WLOCINBUMSDPBL-MPBGBICISA-N 418.375 4.555 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1cccc([N+](=O)[O-])c1 557626765 PVOLJQNKOGMYDC-GFCCVEGCSA-N 413.817 4.836 5 20 HJBD C[C@](O)(CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C(F)(F)F 559940167 UMUIWNWEYNROKP-INIZCTEOSA-N 422.762 4.583 5 20 HJBD CCOc1ccccc1[C@@H](CC)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 571308571 NQUWQWHCIYNFLA-CXAGYDPISA-N 405.882 4.715 5 20 HJBD CNc1ccc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)cc1[N+](=O)[O-] 580606314 WATYPQGREIQRKK-UHFFFAOYSA-N 416.481 4.694 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)n1 589069257 AUPFXBATGCVORQ-ACJLOTCBSA-N 403.797 4.606 5 20 HJBD C[C@@H](c1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)N1CCOCC1 600853514 JGUANCAJXFYFBE-AWEZNQCLSA-N 409.408 4.619 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(C(=O)N3CCCCC3)c2)cc1[N+](=O)[O-] 603991041 UHNNUIYCNMAZFI-UHFFFAOYSA-N 409.486 4.771 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccco1)Cc1cccs1 604445769 IXIBXAUYHCTKFW-CYBMUJFWSA-N 420.874 4.899 5 20 HJBD COc1cccc(CN(Cc2ccc(Cl)cc2[N+](=O)[O-])C2CCC(O)CC2)c1 609705153 VXAHGXZMEKDNQX-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609743569 SHPQWLBWSJLVFP-IBGZPJMESA-N 419.462 4.624 5 20 HJBD COCCOc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609755352 HTHILTWEUSXRKT-UHFFFAOYSA-N 408.410 4.665 5 20 HJBD CCn1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)cn1 609821303 LDKLBMREQLHNHU-UHFFFAOYSA-N 416.890 4.546 5 20 HJBD O=C(Nc1nc(C2CC2)cs1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609854872 MMPSDCAMCMJPMU-UHFFFAOYSA-N 424.269 4.674 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609861021 IJMUKUCLUAZYLU-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCc2c1cccc2[N+](=O)[O-] 609972695 ITHZAQAXUZUAPP-UHFFFAOYSA-N 405.888 4.565 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ncc(-c2ccccc2)o1 610101983 NKFKPLZAUZKGQM-MRXNPFEDSA-N 408.458 4.931 5 20 HJBD C[C@H](OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1)c1ccccc1[N+](=O)[O-] 726326694 YMJZILZITOWHEK-ZDUSSCGKSA-N 407.382 4.628 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cn(-c2ccccc2)nc1-c1cccnc1 727710889 NBTYEDZTMAQUIV-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 729214435 OWOJPXPFESXYKA-NYYWCZLTSA-N 408.435 4.836 5 20 HJBD COc1cc(CN2[C@@H](C)[C@@H](c3ccccc3)OC[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 731892621 HXOPUJKSTXXTOY-CKNLXJGOSA-N 422.428 4.555 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)O[C@H](CC(F)(F)F)c1ccc(Br)cc1 731994496 QBOPFMYRTJAOQO-CYBMUJFWSA-N 421.169 4.546 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C)c2cccnc2)n1 733394632 JRWJSLNTEIUPAR-GFCCVEGCSA-N 401.469 4.824 5 20 HJBD C[C@H](CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(C)(C)C 734441917 GBMNSXONUGTWFK-CYBMUJFWSA-N 417.893 4.913 5 20 HJBD CCCn1cc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 742721522 WNSLSEUYYPQDCY-UHFFFAOYSA-N 413.865 4.719 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cl)cc2)no1 746075926 LINQBJGNSMGJBS-GFCCVEGCSA-N 415.833 4.880 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 749056200 RSGYIRPIFWXWIW-OAQYLSRUSA-N 415.405 4.520 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H](COc2ccccc2Cl)C1 754388966 XGAGLHVNHBBXTC-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD CN(C(=O)c1ccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1)c1ccccc1 757928451 JRVWCXBWZYBWSJ-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1c(F)cccc1Cl 758559869 GSVWDKAUYHVKQJ-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)n1 760091887 MUVHZOSWUUWAOQ-KRWDZBQOSA-N 419.485 4.643 5 20 HJBD Cc1nnc(N(CCC(C)C)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccccc12 763552377 ZNXDXJYFXBZACF-UHFFFAOYSA-N 414.412 4.818 5 20 HJBD CC(C)c1cc(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)no1 764150714 WONVWJCXMIIHTA-UHFFFAOYSA-N 420.377 4.699 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764211294 PSRCWLRSTHVVIA-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD Cc1c(CC(=O)O[C@@H](C)c2nc3cc(C(F)(F)F)ccc3n2C)cccc1[N+](=O)[O-] 764536282 XKMCXCOUCUUZMT-LBPRGKRZSA-N 421.375 4.656 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1ccco1 764815157 VQDYIKRGYONYDJ-LBPRGKRZSA-N 412.364 4.727 5 20 HJBD O=C(N[C@@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 765175464 FXHNLPFZHMDVPQ-INIZCTEOSA-N 406.529 4.545 5 20 HJBD COc1cc(CN2CCCCC[C@@H]2C[C@@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766798088 XUXPECPWBZSZIY-CRAIPNDOSA-N 408.495 4.672 5 20 HJBD O=C(Nc1cc(-c2ccccc2Br)no1)c1ccc([N+](=O)[O-])c(Cl)c1 768712099 HZFFZZVCLJFNGE-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD COCCOc1cccc(CC(=O)OCc2nc3ccccc3c3ccccc23)c1 772388297 JAFQLUWFXPLVQP-UHFFFAOYSA-N 401.462 4.699 5 20 HJBD COc1cc(C(=O)N2CCCSc3cc(C)ccc32)c([N+](=O)[O-])cc1OC(F)F 778278461 OJTXTWVBNPPYOV-UHFFFAOYSA-N 424.425 4.656 5 20 HJBD Cc1c(C)n(C2CCCCC2)c(=S)n1CN1CCC(n2ccc([N+](=O)[O-])n2)CC1 782864422 ZIFLSQUZUDKBEO-UHFFFAOYSA-N 418.567 4.540 5 20 HJBD O=[N+]([O-])c1ccc(Br)c(OCc2nnc(-c3ccc(Cl)cc3)o2)c1 786746195 DSSMCFBXNMTUHC-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1ccc2cc([C@H](C)C(=O)OCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])ccc2c1 791612563 MIMUDCZGCSRWCR-ZDUSSCGKSA-N 410.382 4.512 5 20 HJBD CCCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc([N+](=O)[O-])c1 799410711 AOZLLNZLDCXICP-UHFFFAOYSA-N 412.427 4.683 5 20 HJBD O=C(Nc1ccc(Br)c2cccnc12)c1cc(Cl)ccc1[N+](=O)[O-] 801055589 PEMYIRXNMHRTNP-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2c(CNc3ccc([N+](=O)[O-])c(N)c3F)cccc21 808101285 WEVWDVIOTIWPMB-UHFFFAOYSA-N 416.453 4.616 5 20 HJBD O=C(CCc1cncc2ccccc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811216989 OZTXGFAYRSVXOZ-UHFFFAOYSA-N 419.462 4.943 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 813246690 OAHRAIQCNOTBGZ-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD COC(=O)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1ccccc1Cl 1115821601 NRQOAQWHTNIRMN-LJQANCHMSA-N 424.909 4.747 5 20 HJBD Cc1cc([C@@](C)(O)CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c(C)o1 1116138689 DQKNKKDLTKVHRM-FQEVSTJZSA-N 404.850 4.715 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccccc2)Cc2ccccc2F)c([N+](=O)[O-])c1 1263646507 BEYYIZCDVRSTOR-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD COc1cc(CNCc2cccc(Cl)c2F)c([N+](=O)[O-])cc1OCC(F)(F)F 1322090038 SIFDAEIEBGITIE-UHFFFAOYSA-N 422.762 4.627 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c(Br)c1 1325791015 PMEAILQSANTICM-LIRRHRJNSA-N 405.292 4.615 5 20 HJBD C[C@@H](OC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(=O)NC(C)(C)C 7837034 XKNJRSBBJOHCLN-GFCCVEGCSA-N 420.849 4.501 5 20 HJBD CNc1ccc(C(=O)Nc2nc(-c3ccc(OC)c(Cl)c3)cs2)cc1[N+](=O)[O-] 9804105 NIZKPXQUGGLIFA-UHFFFAOYSA-N 418.862 4.674 5 20 HJBD CCN(C(=O)COC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1cccc2ccccc12 16195879 JVCXWARMHYUFMG-UHFFFAOYSA-N 424.478 4.680 5 20 HJBD C[C@@H](Sc1nnc2sc3ccccc3n12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17661226 RFENLUWBZLQYLW-SNVBAGLBSA-N 424.467 4.756 5 20 HJBD Cc1c(Cl)cccc1-n1ccnc1SCC(=O)Nc1cc([N+](=O)[O-])ccc1F 21533520 HOVNHRIZJSXMPR-UHFFFAOYSA-N 420.853 4.612 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1 22087720 YIBJJZRHAKRXMJ-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(C)c1 30396061 BKKCQSUTGVGQLJ-UHFFFAOYSA-N 411.502 4.528 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 31690406 RXOYKTMCLJETFO-MRXNPFEDSA-N 402.519 4.754 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cc1OC 41895409 UUPWYPBZZNEPAK-XJKSGUPXSA-N 414.458 4.523 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(OCc3ccccc3)c2)c1 108163102 NXHQILMEKPVTDX-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD COCc1cccc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c1 237272698 CBHQGOGVIRKKNJ-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD Cc1cc(N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302000192 IQNBRIVWQGJEHZ-UYAOXDASSA-N 412.396 4.581 5 20 HJBD Cc1cc(NCc2ccc(C(=O)N3CCCCC3)cc2)c2cc([N+](=O)[O-])ccc2n1 302133302 CMDDDUMRGXNRGL-UHFFFAOYSA-N 404.470 4.690 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc(Cl)ccn3)cc2[N+](=O)[O-])n1 303527866 GOSPGODPXDUZNC-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3ccc(F)cc3)C3CCOCC3)co2)cc1 429720286 PYGRNDGGCLMBLT-UHFFFAOYSA-N 411.433 4.570 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(Cc1cccs1)CC(F)(F)F 430616251 FULXMHAASZAULR-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD Cc1c(NC(=O)CCc2ccnc3ccccc23)cc(Br)cc1[N+](=O)[O-] 431178467 IZTLBLOYJIRMLY-UHFFFAOYSA-N 414.259 4.785 5 20 HJBD CC(=O)[C@H](Cc1c(F)cccc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436134083 UTOFJAFXKPRLRQ-NRFANRHFSA-N 424.472 4.657 5 20 HJBD O=C(C[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccco1 438195616 AYJBVPBJRLUWDR-OAHLLOKOSA-N 424.375 4.864 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)Sc1ccccc1 444094135 CEHCUKDOIITZIX-ZDUSSCGKSA-N 424.472 4.870 5 20 HJBD COc1cc([C@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1OC1CCCC1 444690783 VJQULGPLAISKAC-AWEZNQCLSA-N 410.474 4.652 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3csc(-c4ccccc4F)n3)n2)c1 445575827 UMFDOYISFGSMES-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD COc1cc(Cc2noc(/C=C\c3cc4ccccc4o3)n2)c([N+](=O)[O-])c(OC)c1 445822437 RXBVOKLTYWFPJU-FPLPWBNLSA-N 407.382 4.502 5 20 HJBD Cc1nc(NC(=O)c2c(N)cccc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1F 447185187 IVCJTZSVJGESBU-UHFFFAOYSA-N 420.853 4.578 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 461251062 XHYCBZBTCVXROH-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-n3nc(C)cc3C)cc2)c1 461564601 WHULJCFUFDNDCX-HNNXBMFYSA-N 410.499 4.610 5 20 HJBD O=C(c1cc(-c2ccccc2Cl)[nH]n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 461701525 AXDAJWWWGILEGJ-LJQANCHMSA-N 414.874 4.526 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1OC[C@H]1CCCCO1 462226443 QWLHSPRIKSZOGW-LJQANCHMSA-N 400.475 4.942 5 20 HJBD O=[N+]([O-])c1cc(CNc2nnc(-c3ccncc3)o2)ccc1Oc1ccccc1Cl 462398489 UUYVPELUEHQIBQ-UHFFFAOYSA-N 423.816 5.098 5 20 HJBD CSCc1cccnc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 463458036 VTLLCRBHHKUCHM-UHFFFAOYSA-N 400.485 4.537 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(-c3ncc4n3CCCC4)c2)cc1[N+](=O)[O-] 463700179 VAFAHNHSCVHAIX-MRXNPFEDSA-N 419.485 4.986 5 20 HJBD C[C@@H](CC(F)(F)F)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 464280247 XAERPQATWYXJQM-NSHDSACASA-N 415.799 4.931 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)Cc2cccc(Cl)c2)cc1SC 465004980 XCUWPQJMGMVTOH-UHFFFAOYSA-N 422.934 4.977 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@@H](C)CCc1ccccc1[N+](=O)[O-] 468558803 FCNVVXVKRFZSMN-HNNXBMFYSA-N 419.909 4.505 5 20 HJBD Cc1cccc(CNCc2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 468586573 GGUJKLCVAFRNJK-UHFFFAOYSA-N 401.207 4.557 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] 468792597 BLANLQVFLNXDOO-HNNXBMFYSA-N 411.483 4.625 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)N(C)C)c1C 471321097 GBOYFCJNQCVBFY-UHFFFAOYSA-N 416.503 4.751 5 20 HJBD CCc1ccc(C(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 471934108 NBJODAMDVMZYOL-SFHVURJKSA-N 406.442 4.575 5 20 HJBD C[C@]1(O)CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 473602102 BDSBHLYDKDQAKS-FQEVSTJZSA-N 420.918 4.777 5 20 HJBD CC(C)c1ccc(C(=O)Cn2cc(Br)c(=O)c([N+](=O)[O-])c2)c(C(C)C)c1 477425777 HWMXXKJGSSYDGG-UHFFFAOYSA-N 421.291 4.649 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@H](C)c3cccc([N+](=O)[O-])c3C)c2)n1 477869594 YEMRFRNECNXTIZ-CQSZACIVSA-N 411.483 4.664 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1NC(=O)N(C)C 478633808 QQQSNUGZUMFGBO-UHFFFAOYSA-N 416.503 4.750 5 20 HJBD C[C@H](N[C@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)N1Cc2ccccc2C1 479518951 TUMHRTVEENNOFV-CVEARBPZSA-N 421.522 4.905 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(C(=O)OC)c1F 485181772 XQLRQRGMPKKWQI-UHFFFAOYSA-N 424.425 4.804 5 20 HJBD O=C(c1cccnc1OCc1ccccc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 485735838 SYEIPOJVDFSYKH-UHFFFAOYSA-N 421.428 4.513 5 20 HJBD CC[C@H](N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485811455 AHQRWHDLYJGABX-ABAIWWIYSA-N 424.270 4.564 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1Br 486789770 VONPTCHHPQPVKF-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(Cl)c(Cl)c1 486948103 MCBRWZOHCRVCSD-MRXNPFEDSA-N 424.284 4.806 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 487079140 BBFMNQIXJRMQAV-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)[nH]c2c1 493029530 FMWSEWXIHWRQJD-BEFAXECRSA-N 407.474 4.696 5 20 HJBD COc1cc(F)c([C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC 494431361 DTLSLRBXTUTIHU-JTQLQIEISA-N 402.344 4.621 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])c3cnccc23)cc1Oc1ccc(C)nn1 497537597 HZAVLELICPDZBS-UHFFFAOYSA-N 403.398 4.786 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1c(Cl)cccc1[N+](=O)[O-] 497649608 SASPOUUDUBXNST-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc(-c2ccccc2)cs1 498268398 NDEIEGXMQARVPT-CYBMUJFWSA-N 404.451 4.758 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1F 498293668 FGOALSJUYGHVLR-UHFFFAOYSA-N 424.413 4.667 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 498945789 ZJWIMBFXKAVJPZ-JTQLQIEISA-N 403.287 4.503 5 20 HJBD CCCn1nccc1C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 502300425 MPMDWUBGLWJVFQ-UHFFFAOYSA-N 411.531 4.704 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(SCC)cc2)cc1OC 504710347 AQSNAARYOCJJCY-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)C2)cc1F 508666656 QKUMUYNBVTUUKD-IYARVYRRSA-N 415.465 4.609 5 20 HJBD COc1cc(CNCc2ccc3[nH]c(C)cc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 513083354 OBCDDPGCUVAUDF-UHFFFAOYSA-N 423.391 4.624 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)c(C)c2c1 518039577 RCKMDKKVCZXHKB-CYBMUJFWSA-N 409.442 4.723 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 518479134 NOSZTRCIXNGHSU-LJQANCHMSA-N 415.902 4.941 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCCCc2nc(-c3ccncc3)cs2)cc1[N+](=O)[O-] 520335456 XJUQFIFQPPTVOM-UHFFFAOYSA-N 424.526 4.773 5 20 HJBD CCN(C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 520387956 YCIVKIXGQJPMPD-UONOGXRCSA-N 406.866 4.634 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccccc2SCC=C(C)C)cc([N+](=O)[O-])c1 524966771 XRMUBRUTALHSFW-UHFFFAOYSA-N 400.456 4.692 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccccc3Cl)cs2)cc([N+](=O)[O-])c1OC 525003129 SFVRWUPSVZECPV-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)c(Cl)c1 532179443 NYLIQYWRHNHUAY-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])c2)n1 537180516 CCQFEZVEWKUQOA-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CC(C)[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 537921116 GDLQKMPBWSCSAZ-BEFAXECRSA-N 400.500 4.679 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 541585157 QTJBHQDERZAHRW-GFCCVEGCSA-N 409.255 4.649 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccc5ncccc5c4)no3)cs2)cc1 544170816 WNWPDCYZDALONN-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 544530481 RTLYOANLSDYQOR-RTWAWAEBSA-N 411.433 4.741 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3OC(F)F)no2)cc(C(F)(F)F)c1 545617591 NVQBSOSHZPJUQR-UHFFFAOYSA-N 401.247 4.932 5 20 HJBD COc1ccc(Br)cc1-c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545632594 QTSOLWPETSSZHR-SECBINFHSA-N 422.210 4.707 5 20 HJBD COc1cc(/C=C\c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)ccc1OC(F)F 546493014 YNEUVNRQWNRNJC-CLFYSBASSA-N 417.368 4.657 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(OCc3ccccn3)cc2)c(OC(F)F)c1 546899087 FVCWRMNZMLWQRP-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD C/C(=C/c1nc(CCNc2ccccc2[N+](=O)[O-])no1)c1ccccc1OC(F)F 547084432 UAKMSDMZRMIWEC-SEYXRHQNSA-N 416.384 4.794 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1OCCc2sccc21 550861161 BYGAQOPQBILOML-DIFFPNOSSA-N 415.496 4.668 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Oc2ccccc2Cl)nc1 552614643 DXFHXVDPGSRNCP-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 554205400 GDWVYJDRBPLRDF-UHFFFAOYSA-N 407.474 4.746 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1COc1ccccc1 558745612 LFMGDKFBUUHNFM-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD O=C(Nc1c(O)cc(Br)cc1Cl)c1ccc(Cl)cc1[N+](=O)[O-] 575354149 RNRILAIITHSSQO-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1 581031548 HWXJGWIUEHHDEC-AWEZNQCLSA-N 409.467 4.995 5 20 HJBD CC[C@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1cccc(Cl)c1 581934771 AEQJYAIVIXFFAZ-UYAOXDASSA-N 414.893 4.518 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 586824993 QYMNTZNEBYIZHM-UHFFFAOYSA-N 415.902 4.995 5 20 HJBD O=[N+]([O-])c1cc(N2CCC(n3c(O)nc4ccccc43)CC2)ccc1C(F)(F)F 589106235 PBIWZMRYJRFUTF-UHFFFAOYSA-N 406.364 4.510 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NC[C@H](Cc2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 589614489 WYTGNQUVIDWCJL-PBHICJAKSA-N 409.408 4.685 5 20 HJBD O=C(Nc1c(O)n[nH]c1-c1ccccc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 590399997 OGRMEKOBDYWJAE-UHFFFAOYSA-N 415.409 4.686 5 20 HJBD O=C(Cc1ccc2ccccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613749 PDDVMBSOBMXASE-UHFFFAOYSA-N 402.372 4.882 5 20 HJBD O=C(NCCc1ccc2ccccc2c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603690479 PQVZKDDFZFOXDA-UHFFFAOYSA-N 418.371 4.662 5 20 HJBD Cc1sc(NC(=O)c2ccc(NC(=O)C(C)C)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997164 AAKCLLKJSAUERU-UHFFFAOYSA-N 424.482 4.874 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3cc(Br)cs3)no2)c(Cl)c1 604113321 CUXIEZYYHOPJQL-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1cccc(C(F)(F)F)c1 604443031 QFJMHHATSNLMMY-GHMZBOCLSA-N 416.783 4.912 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1c(Cl)cccc1[N+](=O)[O-] 609498402 XMMGFCLADUYCQO-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609506670 IVPPYLUPAFIBDL-LPHOPBHVSA-N 416.481 4.623 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)c2ncccc12 609723090 XVYLRAUFCWWHAE-UHFFFAOYSA-N 406.225 4.857 5 20 HJBD O=C(CC1CCOCC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791732 OVUJKMSQWOORCW-UHFFFAOYSA-N 422.840 4.613 5 20 HJBD Cc1ccc2c(c1)N(C(=O)c1ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n1)CC2 609857010 PGYWZFQUDYRUAR-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@@H](CN2CCCCC2)C1 611209868 KENJTHQVROMGHC-KRWDZBQOSA-N 417.575 4.578 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 617747743 ROTYFYAZUAJTOB-UGSOOPFHSA-N 403.276 4.825 5 20 HJBD CC(=O)c1ccccc1NC(=O)CCCSc1nc2ccc([N+](=O)[O-])cc2s1 728985158 HATNMTBRXLYHMD-UHFFFAOYSA-N 415.496 4.918 5 20 HJBD CC(C)[C@H](CC(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1 729195299 IFFVDLKEVHSQKP-FQEVSTJZSA-N 411.502 4.579 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1Cc1ccccc1 731518385 KOPRSVHPYOTOET-HNNXBMFYSA-N 422.412 4.509 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccco1 734896728 RKFYKAQTHDNXMK-UHFFFAOYSA-N 406.803 4.512 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1nc(C(C)C)n(-c2ccccc2F)n1 741938856 QJPFYTNWTWRTAQ-UHFFFAOYSA-N 418.812 4.619 5 20 HJBD C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744202568 HHSCQMRXMSFSJG-INIZCTEOSA-N 423.444 4.612 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Oc2ncc([N+](=O)[O-])cc2Br)cc1 749148051 SAUCIVPTKYROLN-UHFFFAOYSA-N 410.224 4.892 5 20 HJBD C[C@@H]1C[C@@H](c2cccnc2)N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 756319648 KNYKIPZXFDOLAY-YGRLFVJLSA-N 424.682 4.629 5 20 HJBD Cn1nc(C2CCCCC2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 764163871 WOZHHSZNQBVHQG-UHFFFAOYSA-N 411.506 4.619 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCC(O)(c2ccccc2C(F)(F)F)CC1 764913760 KSKPFUJTGDGRMO-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD Cc1cc(-c2noc(CCC(=O)O[C@H](C)c3cc([N+](=O)[O-])ccc3C)n2)ccc1F 778782751 XCHMISCFSHCTJL-CQSZACIVSA-N 413.405 4.638 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)c1cccc([N+](=O)[O-])c1Br 782168004 WCJASISVBPYDTF-UHFFFAOYSA-N 405.273 4.844 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)OC(C)(C)C)C(C)C)no1 789766588 ZQEDRQMSKOJEET-DOTOQJQBSA-N 418.494 4.936 5 20 HJBD CCCN(C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 799411781 UYXLWPGINSUOSE-UHFFFAOYSA-N 422.235 4.631 5 20 HJBD O=C(Nc1nccc2ccncc12)c1ccccc1Sc1ccccc1[N+](=O)[O-] 801696888 KXAXUFDIHMHDPJ-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(OC(F)F)c1 812912844 DFKYHODPYDTLLW-QMMMGPOBSA-N 405.184 4.994 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1C 813170476 GDWJAHBTRXFKAJ-UHFFFAOYSA-N 417.632 4.902 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C(C)C)c1C 877121118 ZAXLDZMSEAUCKJ-GOSISDBHSA-N 404.850 4.685 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 915144772 YELJAMGQIQXLIX-UHFFFAOYSA-N 424.201 4.606 5 20 HJBD C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 917283741 SYNBMULONBQYGI-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(COc3cc(C)cc(C)c3)o2)cc1SC 1322123546 RNRUUAKVZPZLLP-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD COc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)ccc1OCCC(C)C 1322355728 VVLYUIMBPPYZKS-UHFFFAOYSA-N 413.430 4.657 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1ccc([N+](=O)[O-])cc1Br 1323085930 RIAQXUGRZFPAAX-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD Cc1ccc(SCCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6990804 ZOCKMJKBHJHHSP-AWEZNQCLSA-N 413.455 4.740 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] 56274719 WFRJORFYRGHHQU-ZDUSSCGKSA-N 411.241 4.712 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)c1c[nH]c2ccc([N+](=O)[O-])cc12 110397778 YWYHOSHFLWDQDB-UHFFFAOYSA-N 401.422 4.950 5 20 HJBD Cn1ncc2c1CCC[C@H]2NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237815425 QRZNLYNBOPMPCS-GOSISDBHSA-N 412.877 4.941 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])c3cccnc23)CC1)c1ccccc1 301930969 NLOBBHSIRURKGN-IBGZPJMESA-N 418.497 4.740 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)c1 303362102 ZXGXYQYMWIMUIH-CQSZACIVSA-N 411.483 4.864 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(OCc3ccccc3C)c2)c([N+](=O)[O-])c1 303465717 PHDPEPDZHKISMO-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3ccccc3Br)CC2)c1 303837592 IKXNSSDPGNDAPB-UHFFFAOYSA-N 421.316 4.541 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(F)ccc2Nc2ccc(F)cc2F)c1[N+](=O)[O-] 441457191 MMTZDPNBRKEXRZ-UHFFFAOYSA-N 419.363 4.855 5 20 HJBD CC[C@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1nc(C(F)(F)F)cs1 443969827 SDLRVXKZBRHVFQ-JTQLQIEISA-N 402.398 4.960 5 20 HJBD COc1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)c(OCc2cccnc2)c1 443991294 TZTUQDHOEMRUBH-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@@]2(CC=CCC2)C1 444022986 SBAMCTQIOMDLQQ-DEOSSOPVSA-N 408.448 4.922 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N[C@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)c1 447056521 LNXJKXBXASGKSZ-OAQYLSRUSA-N 414.458 4.647 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 462582601 BXQZQKFUGCLKAE-KRWDZBQOSA-N 410.495 4.785 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])c(OC(F)F)c1 462791514 LVGWVWYNLFVGLY-UHFFFAOYSA-N 403.385 4.920 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc3nc(C(C)(C)C)sc3c2)cc([N+](=O)[O-])c1 464165269 CLEKJTPXVIFQBA-UHFFFAOYSA-N 413.455 4.541 5 20 HJBD CC(C)(C)c1ccc(CCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464636706 HJKYQZOKZWLYJP-UHFFFAOYSA-N 410.514 4.895 5 20 HJBD CCO[C@@H](CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1)C1=CCCC1 466990241 CNHCZPROAZRFRQ-KRWDZBQOSA-N 401.488 4.871 5 20 HJBD COc1cc(F)c(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 468367205 GVVUTSYMSWBBRE-UHFFFAOYSA-N 422.331 4.631 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(=Cc2ccc(F)c(F)c2)CC1 469877398 BZEMYFIGOSVEQY-UHFFFAOYSA-N 424.350 4.794 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](c1ccccc1)c1ccccn1 475188472 BZEQTFRNKSZKNJ-GOSISDBHSA-N 416.359 4.920 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)Nc1cc([N+](=O)[O-])ccc1O 478839704 WFKASALQVKMKGN-UHFFFAOYSA-N 407.304 4.535 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@H](c2ccc(F)cc2Cl)C1 479779022 QVWGQQJVGSKNJU-INIZCTEOSA-N 414.220 4.646 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@H](C)c2ccncc2)c([N+](=O)[O-])cc1OCCC 480004016 ZQNLPKRIKZEICC-OAHLLOKOSA-N 416.478 4.792 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)cc1[N+](=O)[O-] 480324356 AOQWJTCTFFBICH-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCN(c3ccc(Br)cc3)C2)c2ccncc12 488052513 RYVINQYLDAUADS-AWEZNQCLSA-N 413.275 4.596 5 20 HJBD COc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)n1 489347682 ZUGMASBPRROPCB-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1ccc([N+](=O)[O-])cc1Cl 489676356 GQJQDYINDRBTBI-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD CC(C)(NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 490818261 ZOTPTVCCUUQHEY-KRWDZBQOSA-N 420.391 4.914 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc(C(F)(F)F)cs1 503403273 INPFMAFBPYWBJK-UHFFFAOYSA-N 419.450 4.844 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1F)c1nccn1C 504926262 HEXUPYQOALVKKU-QRWLVFNGSA-N 414.506 4.717 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)n1 506107280 PWIBRUCONZDZRJ-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)(F)F 506880161 BPKDLYMVVLIGTA-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCC3CCOCC3)cc2[N+](=O)[O-])cc1 510726417 YCDOLTALOVOWHL-UHFFFAOYSA-N 400.500 4.601 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)o1 511143118 GFNBUJSGCCOUMZ-OAQYLSRUSA-N 416.437 4.846 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnco4)cc3)cc2[N+](=O)[O-])C1 511216856 NFKBEHWICCCCPZ-IYBDPMFKSA-N 420.469 4.984 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 513697884 TWYHTTFREZXAEZ-JTSKRJEESA-N 408.502 4.693 5 20 HJBD CN(C)c1ccc(C(=O)c2ccccc2C(=O)N2CCCC(C)(C)CC2)cc1[N+](=O)[O-] 515099146 BFNSJDIAKIWPGD-UHFFFAOYSA-N 423.513 4.544 5 20 HJBD COc1cccc([C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)N(C)C)c1 517525082 RKYOLNPHAOWJKC-OAQYLSRUSA-N 411.527 4.724 5 20 HJBD COCc1cccc2sc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)nc12 519196504 FDSMRNNWOJWWSJ-UHFFFAOYSA-N 414.487 4.793 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(C)c1 519978418 FPWMPJGMGYWMMJ-ZDUSSCGKSA-N 411.483 4.899 5 20 HJBD COCCN(Cc1ccc(OC)c([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 520183602 HOIFKISMDZMPNB-CQSZACIVSA-N 412.408 4.832 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccccc1)C[C@H]1CCCO1 522099068 BRNAFSQBEJFFAO-LJQANCHMSA-N 423.494 4.540 5 20 HJBD COc1ccc(C(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 532087116 AGKLLFYIAAEBTM-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 537994895 HJIAYICZXMTPIW-UHFFFAOYSA-N 423.372 4.754 5 20 HJBD O=C(Nc1ccc(COc2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1SCCO 538023303 ADDCWAJGYQVJDS-UHFFFAOYSA-N 424.478 4.511 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CC1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539446205 CRQJSCLQXMBJLZ-UHFFFAOYSA-N 407.857 4.772 5 20 HJBD Cc1noc(C2CC2)c1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 541530669 XBCKDUQRGUKSFP-LBPRGKRZSA-N 407.430 4.589 5 20 HJBD C[C@@H](C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])cc1F 541598234 FGZHLTLJHMLBBU-GFCCVEGCSA-N 406.373 4.679 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3ccc(Br)c(F)c3)no2)cc1 547262608 CHOSKEWGVACNCD-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CC(C)(C(=O)NCc1cc(F)c(C(F)(F)F)cc1F)c1ccc([N+](=O)[O-])cc1F 567951235 QYLWFNBBEVVXGJ-UHFFFAOYSA-N 420.309 4.625 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H](c3cccc(C(F)(F)F)c3)C3CC3)nc(O)c2c1 584070695 UTRZJEAMMYPQIS-MRXNPFEDSA-N 404.348 4.826 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)c2cccs2)cc1[N+](=O)[O-] 608808820 FGSAYLCYLAXULL-UHFFFAOYSA-N 410.455 4.593 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 609196678 CWCYTLJLDSFYAZ-GFCCVEGCSA-N 423.860 4.899 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(CCc1ccccc1F)C1CCCC1 609762657 XTKHJLMVTDMCPQ-UHFFFAOYSA-N 423.444 4.615 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1ccc([N+](=O)[O-])cc1Br 610043688 IWTMPDWIKQOILL-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD COc1ccc2c(c1)OCC[C@H]2Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 610280715 VUDUFFREYVOFPX-LJQANCHMSA-N 404.422 4.770 5 20 HJBD C[C@@H](N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 610833244 OSWJBVXPADHZJJ-NVXWUHKLSA-N 413.543 4.765 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCc2ccc(O)cc2CC1 610860139 AKHDHKLTXLJDIO-UHFFFAOYSA-N 420.490 4.693 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 611195312 NOGLGEPQMPIFSE-IUCAKERBSA-N 423.313 4.715 5 20 HJBD Cc1cccc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)n1 612910808 NQWFBDWZBXSWIB-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 733997761 JHPHRYPORFQXAM-KRWDZBQOSA-N 408.502 4.914 5 20 HJBD CC(C)(C)n1ncc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1C(F)(F)F 734975233 SXLRYTCXFCNPHG-UHFFFAOYSA-N 405.760 4.576 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c(C)c1 740395129 TXLSAZOHNLXGRN-UHFFFAOYSA-N 412.486 4.760 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@@H](C)c1ccccc1C(F)(F)F 745221898 ISMVWSUGTJTKGA-GFCCVEGCSA-N 424.375 4.598 5 20 HJBD CN(C[C@@H](O)c1cccc([N+](=O)[O-])c1)[C@H]1CCSc2ccc(Br)cc21 750068943 WAWNXDAECVMRGG-DLBZAZTESA-N 423.332 4.560 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 752111687 HEWAUWVAMCPEAA-VGSWGCGISA-N 407.470 4.585 5 20 HJBD O=C(N[C@@H]1CCc2c(Cl)cccc21)c1cc(F)cc([N+](=O)[O-])c1Br 754994592 TXAKPAWECKKMPZ-CYBMUJFWSA-N 413.630 4.567 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H]1c1nc2ccccc2s1 757260942 ISASRGWMERKHKQ-MRXNPFEDSA-N 416.890 4.905 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)c1[N+](=O)[O-] 758415089 DWZWSNIFQFAOQU-UHFFFAOYSA-N 417.412 4.953 5 20 HJBD COCc1nc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cs1 761857401 IKEBPVZJSNGQRC-UHFFFAOYSA-N 410.411 4.707 5 20 HJBD C/C(=C\c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc([N+](=O)[O-])cc1 762306982 BCVUJYUXFWSCKG-XYOKQWHBSA-N 405.370 4.519 5 20 HJBD CCCCCCc1ccc(C(=O)Cn2cc(Br)c(=O)c([N+](=O)[O-])c2)cc1 763274857 MKWMXVLBYWQJGV-UHFFFAOYSA-N 421.291 4.525 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 766027781 JMWOGDKHPZOPJF-VIFPVBQESA-N 415.646 4.511 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 770009461 QVYAVTJLXIYNQH-INIZCTEOSA-N 407.829 4.600 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 770017810 WMEZBSGIXCZOEG-HNNXBMFYSA-N 408.930 4.757 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])CC2 775295529 PRHXNYMMYMSCFB-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)CC2 775296508 GZDBGXQSKAEJLH-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@H]([C@H]2CCOC2)C(F)(F)F)cc1[N+](=O)[O-] 778013188 MHOABCUHJCXWOO-XJKSGUPXSA-N 421.437 4.857 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2ccc(F)cc2)CC1 780872936 HOGSRIYVNMIQAZ-UHFFFAOYSA-N 400.453 4.840 5 20 HJBD Cc1c(COC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cccc1[N+](=O)[O-] 781256870 FXKDZZUHVWLWOV-UHFFFAOYSA-N 424.359 4.533 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 786359016 WURHKAUITOLWTD-ZZCKCESHSA-N 417.462 4.623 5 20 HJBD O=[N+]([O-])c1ccc(Br)c(OCc2nnc(-c3cccc(Cl)c3)o2)c1 786743862 KJTXSIRPGMEQOI-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CCc1c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cnn1C(CC)CC 791810660 FWKMKIHZLPMDFH-UHFFFAOYSA-N 412.446 4.727 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 800131190 TZSVCGUCKMXKQW-UHFFFAOYSA-N 410.682 4.720 5 20 HJBD COc1cc(-c2nc(Cc3nc(-c4ccccc4)oc3C)no2)cc([N+](=O)[O-])c1C 809276661 WBRHEOKFUXYHBQ-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)n2)c1 809316187 XTXBLHIOTWIZTH-UHFFFAOYSA-N 421.457 4.651 5 20 HJBD COc1cccc(CC2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)c1 809920669 ZFYCGZBMRAXCPO-UHFFFAOYSA-N 403.866 4.743 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(C/C(C)=C/c3cccc([N+](=O)[O-])c3)CC2)cc1 812777142 XWUTVZRMUHOUEM-MMYRTMNYSA-N 410.514 4.842 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cccc([N+](=O)[O-])c1Cl 858251894 SUBVNJBBDKHVKN-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD CCOc1cc(CN(C)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)ccc1OC(F)F 914737514 MYSVMWUDZOGMIS-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD C/C(=C\C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C1CC1 916951823 JPNSEKWRXOSXKD-ZRDIBKRKSA-N 400.818 4.762 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(SC(F)(F)F)cc2)cc1OC 1115852070 RGDZATHXQKNELW-UHFFFAOYSA-N 416.377 4.866 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(F)cc2OC(F)F)c1[N+](=O)[O-] 1116687368 ZQOYBWKMDOPOGE-VIFPVBQESA-N 400.378 4.548 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1117783765 LGCSYDWIBUZRKG-LBPRGKRZSA-N 418.375 4.510 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccn(-c2ccccc2C(F)(F)F)n1 1328300283 FDGLWNBNFRZHKK-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)OCc1ccc([N+](=O)[O-])cc1Br 1343346465 DCFPOEVBUZKCDO-CSKARUKUSA-N 413.227 4.662 5 20 HJBD Cn1c(SCc2ccccc2[N+](=O)[O-])nc2sc(-c3ccccc3)cc2c1=O 25115672 GSGOPEVOQNJUNQ-UHFFFAOYSA-N 409.492 4.863 5 20 HJBD COCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 25795520 VTFMNPVOQDITTR-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 46183251 JQWFJXRXGLMRAS-NSHDSACASA-N 407.879 4.716 5 20 HJBD C[C@H]1CN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)C[C@@H](c2ccsc2)O1 302165625 JGXXNLWPHMMPGY-KXBFYZLASA-N 411.483 4.597 5 20 HJBD CCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1nc(C)cs1 303873519 XVPPNNDVYXUMSU-AWEZNQCLSA-N 411.487 4.595 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426266326 KKCRVXWERKBYJH-UHFFFAOYSA-N 417.334 4.624 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1ccc(Br)cc1[N+](=O)[O-] 429858465 ISIPYWXPDVTMNU-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1cc(CNC[C@H]2CCCCC(F)(F)C2)c([N+](=O)[O-])cc1OCC(F)F 430103368 YYAAABQWIOEREJ-LBPRGKRZSA-N 408.392 4.553 5 20 HJBD CC(C)N(C)c1ccccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 438474487 HVBWBIWNQLULTQ-UHFFFAOYSA-N 417.484 4.595 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)c1 440901996 FIDSSRLEYMSIJI-UHFFFAOYSA-N 416.890 4.849 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444799264 HNZJGPQOYWPENI-MRXNPFEDSA-N 419.762 4.527 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(-c4ccc([N+](=O)[O-])s4)no3)cs2)cc1 445472318 OKWPAVZLZZCFDM-UHFFFAOYSA-N 414.468 4.641 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 445799665 XYSUDWMBSHULFS-UHFFFAOYSA-N 406.438 4.645 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(F)(c2ccccc2)CC1 447615896 TVOVGBIFKPZJGV-UHFFFAOYSA-N 418.443 4.921 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2C(F)(F)F)nc1)c1ccc([N+](=O)[O-])cc1 460465357 LJXPERWGIUIWJI-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD O=C(NCc1nccs1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466836175 DWWVEETWNJIGTP-UHFFFAOYSA-N 405.888 4.786 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H]1CCO[C@@H](c2ccccc2)C1 475208625 ZDNQKCJEEZQLBY-WMLDXEAASA-N 409.364 4.655 5 20 HJBD Cc1nc(NC(=O)Cc2csc(-c3ccc(Cl)cc3Cl)n2)ccc1[N+](=O)[O-] 475312809 MAAYBTGRUNCKKT-UHFFFAOYSA-N 423.281 4.910 5 20 HJBD CCOc1cc(Br)ccc1N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C 477627983 VCIYEXCKRMPCLV-GFCCVEGCSA-N 422.279 4.504 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(-c2cccc(F)c2F)o1 478904253 ATXXBONWBXHMQC-BENRWUELSA-N 421.359 4.787 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 480497817 WPTZLUTVPOUOLM-VXGBXAGGSA-N 409.255 4.552 5 20 HJBD COc1c(C(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)cccc1[N+](=O)[O-] 483217287 DIMFLIKCOOHHJZ-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@H](c2ccc(F)cc2)CC1 484464906 LUKOMDRLBDGHJQ-KRWDZBQOSA-N 411.477 4.744 5 20 HJBD C[C@@H](c1ccc(C(C)(C)C)cc1)[S@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 488807084 QJZGBHKKVDHKPU-OHZJNBGDSA-N 402.516 4.731 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](OC)c1ccc(Cl)cc1 489292161 LUOZNBOSACLHMZ-XIKOKIGWSA-N 408.907 4.866 5 20 HJBD Cc1nc(CCNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)c(C)o1 500241136 DEMDNHPWHBDLGH-UHFFFAOYSA-N 401.850 4.978 5 20 HJBD C[C@H](NC(=O)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 502293592 OSTBUZUEGJUNFH-YCRPNKLZSA-N 424.888 4.987 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 504586644 VLWXCJZUYIIZAX-PKOBYXMFSA-N 422.453 4.635 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)o2)cs1 509361243 ZRBPAPBEUFLLCD-UHFFFAOYSA-N 414.487 4.740 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F 512315201 QNUMZZBQTBXDFW-MAUKXSAKSA-N 410.367 4.748 5 20 HJBD Cc1ccc(N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1NC(=O)C(C)(C)C 520388120 COGWHEYGIVJZPO-OAHLLOKOSA-N 412.490 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(OCC2CC2)c(F)c1 538222813 BKRMVQYGCUWECN-OAHLLOKOSA-N 415.465 4.511 5 20 HJBD CC1CCN(C[C@@H]2CCCN(C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)C2)CC1 538270896 GVKMWUZQKVWXEE-SFHVURJKSA-N 419.591 4.679 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@H]2/C=C\c2cccs2)cc([N+](=O)[O-])c1OC 542184347 YFBNJERGHOVGGT-YDZSZYSISA-N 416.499 4.772 5 20 HJBD COc1ccc(NC(=O)N2CC=C(c3c[nH]c4cc(C)ccc34)CC2)cc1[N+](=O)[O-] 543886344 GKNGCRMPCGUHEU-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD CCc1ccc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cc1[N+](=O)[O-] 544953779 MHOQTDKPUIREEV-UHFFFAOYSA-N 416.437 4.772 5 20 HJBD CCc1cnccc1-c1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 547154295 ZHOQAFRBBAIPQH-AWEZNQCLSA-N 416.441 4.837 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)cc1 547195608 RGVWMBXUMPBEEV-ICFOYXHFSA-N 413.817 4.636 5 20 HJBD Cc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(-c2ccnn2C)c1 554922197 NNQGAWDDUJZTNM-UHFFFAOYSA-N 404.392 4.612 5 20 HJBD O=C(O)c1cc(NC[C@H](c2ccccc2)N2CCc3sccc3C2)ccc1[N+](=O)[O-] 559143307 VFTAZTZZTJQWKB-HXUWFJFHSA-N 423.494 4.566 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1nnc(-c2cc3ccccc3o2)o1 561263531 WGBLXPFXZUANNT-UHFFFAOYSA-N 411.395 4.637 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)NCc1cc([N+](=O)[O-])ccc1C(F)(F)F 569945625 XBCCMWAZHJEICH-VIFPVBQESA-N 424.345 4.840 5 20 HJBD CSCc1c(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)oc2ccccc12 590771336 AGFJEMGFMDDSQZ-UHFFFAOYSA-N 422.466 4.950 5 20 HJBD C[C@H](Oc1ccccc1Cl)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603823849 CPPUODJGTVHKFZ-NSHDSACASA-N 403.847 4.778 5 20 HJBD Cc1cnn(-c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)cc2)c1 603999175 ZYRBXPYKGNTPKZ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)n(C)n1)c1ccc([N+](=O)[O-])c2cnccc12 604432767 JQABBAIPYXKKES-UHFFFAOYSA-N 419.460 4.752 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)c(C(F)(F)F)c1 604476249 SJPLPVSEBMYYDA-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 609192372 VEHSXQWSKSGFJE-RMLUDKJBSA-N 413.318 4.632 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 609298745 BCWFLAOYFZMBCJ-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Nc2cccc(NC3CCCC3)c2)c([N+](=O)[O-])c1 609839922 KXMUGMIORLUAKM-UHFFFAOYSA-N 405.458 4.657 5 20 HJBD CS(=O)(=O)c1cccc(Oc2ccc(Nc3ccc(F)cc3[N+](=O)[O-])cc2)c1 609964084 FQOVWQKUFONLEJ-UHFFFAOYSA-N 402.403 4.673 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3ccc4ccccc4n3)cc2[N+](=O)[O-])C1 610228823 VUPRVTQWMMKJLQ-CALCHBBNSA-N 418.497 4.902 5 20 HJBD C[C@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610231051 GDWXCZMQQZRKOG-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD C[C@@H](NCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1)c1cc2c(ccc3ccccc32)o1 612576089 CIYHZHPQOYPASN-OAHLLOKOSA-N 424.478 4.619 5 20 HJBD C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 731209967 HERRMYSBHLJKMU-OLZOCXBDSA-N 406.508 4.652 5 20 HJBD O=C(OCc1ccccc1)c1cccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 731986024 FXCXRXCKKFXSJH-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCCSC(C)(C)C)cc1[N+](=O)[O-])c1ccccn1 733065071 BLFFHYDPOVZPHI-CQSZACIVSA-N 403.504 4.851 5 20 HJBD CC(C)(C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1 740391009 JHQBOGIJVKFJHT-UHFFFAOYSA-N 418.449 4.721 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C(F)(F)F 742497137 QNCUNWBBVFNDIE-SECBINFHSA-N 416.739 4.604 5 20 HJBD C[C@@H](C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 748142863 LUFPQCPXXMEWIC-XIKOKIGWSA-N 402.834 4.517 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC1(C)CCCCC1 753043205 UQMHWSMJCNRZFF-UHFFFAOYSA-N 400.479 4.742 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] 760071937 QDWAGXMINMEIBT-INIZCTEOSA-N 408.861 4.526 5 20 HJBD CC(=O)c1ccc(NC[C@@H](O)c2cccc(OCc3ccccc3)c2)c([N+](=O)[O-])c1 760490407 AGORYDCIYLCAEE-HSZRJFAPSA-N 406.438 4.522 5 20 HJBD COc1cc(OCc2nc(-c3ccc(SC(C)(C)C)nc3)no2)ccc1[N+](=O)[O-] 762341334 GOQLAHCXAUQEMR-UHFFFAOYSA-N 416.459 4.518 5 20 HJBD CC(=O)c1ccc(OS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(Cl)c1 768905168 JUNFPGZSQITQKM-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 770380008 NKEDHMDXTOVOEX-JKSUJKDBSA-N 415.490 4.732 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)C1CCC(C(F)(F)F)CC1 773479232 BQJZCOWXRCBNEN-UHFFFAOYSA-N 410.186 4.769 5 20 HJBD CCOc1ccc(SCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131573 FFNAHCKYMGSTFH-QFIPXVFZSA-N 424.478 4.813 5 20 HJBD CCC(CC)n1nc(C)cc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136143 VTIJXOJVGQDBBQ-NRFANRHFSA-N 408.458 4.802 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cnc1Br 783549462 HGSCZFWQBKXXII-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD COc1ccc2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2c1 803455014 CXGZAEIHILDOGD-UHFFFAOYSA-N 405.454 4.612 5 20 HJBD Cc1ccc(CCC(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c(C)c1 809769345 KSTDBIAZPKRVHP-UHFFFAOYSA-N 422.485 4.631 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2c3ccccc3CC23CCOCC3)ccc1Br 810080317 YXXYNOZDJOSUOX-IBGZPJMESA-N 417.303 4.541 5 20 HJBD CNc1c(C(=O)Nc2ccc(OC)c(-c3ccc(OC)cc3)c2)cccc1[N+](=O)[O-] 1116603927 NWSPUUCQWGGERF-UHFFFAOYSA-N 407.426 4.573 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3cc(Br)cc([N+](=O)[O-])c3)o2)c1 1261567606 RUWFMSYGNYYOEB-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CN(C(=O)CSCc1cccs1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1320623186 JHKLBNONRMDZTP-UHFFFAOYSA-N 405.526 4.676 5 20 HJBD Cc1ccc(OCC(=O)N(CC(F)(F)F)c2ccc(Cl)cc2)c([N+](=O)[O-])c1 1321878192 HZXAAHRMYAJCHX-UHFFFAOYSA-N 402.756 4.531 5 20 HJBD Cc1c(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)cnn1-c1cccc(C(F)(F)F)c1 1322197338 KDMWPGAUXZDWEZ-UHFFFAOYSA-N 415.331 4.825 5 20 HJBD C[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(F)cc1F 1324889057 BEPYQVJGFKYODL-LLVKDONJSA-N 403.410 4.763 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1328232168 NDBCRBIZQAYNTH-GFCCVEGCSA-N 407.348 4.922 5 20 HJBD CC(C)(C(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1ccc([N+](=O)[O-])cc1 1330438868 BCVHXLCEMVBXBU-BRTIRZTQSA-N 421.541 4.574 5 20 HJBD CCOc1ccc(-c2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1OC 1349924012 YXTDLRBWFSVSBC-NSHDSACASA-N 419.821 4.846 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCC(=O)Nc1ccccc1Sc1ccccc1 7952734 AEJWZOVZARXRFQ-UHFFFAOYSA-N 409.467 4.805 5 20 HJBD O=C(Nc1ccc(-c2nnc3n2CCCCCC3)cc1)Nc1cccc([N+](=O)[O-])c1 10408023 ZAOHCVDFWDCVIY-UHFFFAOYSA-N 406.446 4.614 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1Cl 27392144 QYYGAHZJZNRKIC-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD CN(CC1CCCCC1)C(=O)c1ccccc1C(=O)c1ccc(N(C)C)c([N+](=O)[O-])c1 29291864 SCMGIHULTRFCST-UHFFFAOYSA-N 423.513 4.544 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nccn3-c3cccc(Cl)c3)n2)c1 31844747 XXWFJZFEDYLOJB-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)CCNc1ccccc1[N+](=O)[O-] 44758373 DZIZVAWYBIPJHG-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2ccccc2F)c([N+](=O)[O-])cc1OCC 47393938 BEWMYSQXTUAWDJ-CYBMUJFWSA-N 405.426 4.756 5 20 HJBD COc1ccccc1-c1nc(CNc2cc([N+](=O)[O-])ccc2OC(F)F)cs1 55843248 GHBHMMYDKSMIAR-UHFFFAOYSA-N 407.398 4.940 5 20 HJBD CCOc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCC 64869836 BOGYFAYEJGSIMP-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD Cc1noc(-c2ccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)cc2)n1 105633040 JCSVADOYQLSSDF-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=C(Nc1ccc(Oc2cccc(Br)c2)nc1)c1cccc([N+](=O)[O-])c1 109992700 HONJYECTLZESTG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)on1 115521647 SDAVKPQGLGGMGR-UHFFFAOYSA-N 405.382 4.714 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C[C@H]3CCOC3)C3CC3)cc2[N+](=O)[O-])cc1 141052130 DGZHFMXEMQXBGA-MRXNPFEDSA-N 412.511 4.696 5 20 HJBD O=C1CCCN1C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 238054478 XKJWGAOBGPNXQM-FQEVSTJZSA-N 421.522 4.777 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Sc1nnc(-c2ccc(F)cc2)n1Cc1ccco1 301716088 NDLAQTDSYHHUHG-UHFFFAOYSA-N 414.393 4.924 5 20 HJBD Cc1cccc([C@@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccn2)c1 301900667 UWLRBWCVAGFNKK-JOCHJYFZSA-N 404.470 4.638 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)Nc1ccc(Br)cc1[N+](=O)[O-] 302010021 AIONHXZFSXBSQK-MRVPVSSYSA-N 421.217 4.906 5 20 HJBD Cc1cc(N2CCC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)c2cccc([N+](=O)[O-])c2n1 302044550 XKQGBLOFSYDONI-CVEARBPZSA-N 414.506 4.581 5 20 HJBD CCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](C)Cc1ccsc1 426294561 RRFNKJATCWZWBE-ZDUSSCGKSA-N 401.513 4.873 5 20 HJBD CN(Cc1cccc2[nH]ccc12)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 427964203 HKMHAXIYABFQFC-UHFFFAOYSA-N 417.415 4.713 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@H](OCC2CC2)C1 429466634 IWKHKGUALBNYDP-NRFANRHFSA-N 411.458 4.810 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 429847130 BUQUWZRKZSRFMC-QGZVFWFLSA-N 419.481 4.724 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1ccc(Br)cc1[N+](=O)[O-] 429931963 XEYDIIAKBHGMCM-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(Cc1cn2ccsc2n1)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435443292 NHARXDYNFKABTR-UHFFFAOYSA-N 416.509 4.698 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 439833857 GSLNUAQNSJOJAJ-CYBMUJFWSA-N 409.202 4.598 5 20 HJBD O=C(Nc1ccc(-c2ncco2)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444298251 MUUVCNALRPZHLF-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444321388 GSGYVQPTRHPYGP-CYBMUJFWSA-N 420.488 4.571 5 20 HJBD CC(C)c1cnc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 460579423 HTNRMYDPPDFYDV-UHFFFAOYSA-N 405.423 4.924 5 20 HJBD CC[C@@H](Nc1cc(C)c([N+](=O)[O-])cc1F)c1ccccc1OCC(=O)N1CCCC1 461937911 VWSUSDJKKPIYHX-GOSISDBHSA-N 415.465 4.607 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc(-c2ccccc2)c(C)s1 462984983 GKNBEYXIIRZSJF-GOSISDBHSA-N 423.538 4.954 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463249109 YVDSDVLKGQXWBK-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 464719956 NGERZQKKWXDLAI-UHFFFAOYSA-N 414.849 4.822 5 20 HJBD O=C(N[C@H]1CCC[C@H]1Cc1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465950384 GXNQTTCSZOEWTE-CVDCTZTESA-N 423.513 4.559 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)c(Br)cc1Cl 466459546 ZOZGFNGFSARGFI-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)cc1C 468282614 UARGKUVQIBCQLM-QGZVFWFLSA-N 422.485 4.866 5 20 HJBD Cc1cccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c1Br 470339417 YKDNRZAYWLHXOK-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(COc3ccccc3)c2C)ccc1[N+](=O)[O-] 471458006 JRGBJDAVKPQQSR-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@H](c3cccc(OC(F)F)c3)C2)cc1[N+](=O)[O-] 474155382 BVPTXPDLWWBQKK-IBGZPJMESA-N 420.412 4.533 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CC1 477853539 UMNKNDWLPBEORI-IBGZPJMESA-N 421.544 4.542 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)cnc1O 480500507 TXKIQFTZEXGISX-UHFFFAOYSA-N 415.393 4.715 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1)c1ccc(F)cc1 481688892 VRHQMVZWHBRITO-QHCPKHFHSA-N 407.445 4.832 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3ccccc3)s2)cc1SC 482778954 GXEQNUYUTJVNGK-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)NCc1cccc([N+](=O)[O-])c1C 483859403 NCVAJPSDVVHQKT-MRXNPFEDSA-N 424.501 4.548 5 20 HJBD CCn1c(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)nnc1-c1cccc(Cl)c1 484494250 PBMOSECHXQJCBQ-UHFFFAOYSA-N 414.878 4.695 5 20 HJBD CCCn1c(=O)c2ccccc2n2c(S[C@H](C)c3cc([N+](=O)[O-])ccc3C)nnc12 484545656 VXLFFUXHGIOJQG-CQSZACIVSA-N 423.498 4.524 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)c1cccs1)c1n[nH]c2ccc([N+](=O)[O-])cc12 485027820 WLRNIVCYKYIVKN-QGZVFWFLSA-N 412.858 4.705 5 20 HJBD Cc1csc([C@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C2CC2)n1 485881296 IAKATMDZJJEKIW-HXUWFJFHSA-N 423.494 4.820 5 20 HJBD Cc1ccc(C)c(OCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 486116647 FKOYJRMMHVUURE-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 488433737 YMNWDYQQPVRHSW-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 493536508 IWDPVGYPLDQGBH-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(Nc3ccc(OC(F)(F)F)cc3)s2)cn1 497764982 VDFODEXJPXJHCK-UHFFFAOYSA-N 415.378 4.635 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCC[C@@H]1CCCc1ccccc1 502403250 NQXYUZPVYGWLQM-GOSISDBHSA-N 419.481 4.866 5 20 HJBD COc1cccc([C@@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506162902 GOPWPLZWRGBGTH-CVEARBPZSA-N 420.469 4.663 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(C)ccc2NC(C)=O)cc1OC 513620583 SOBDQYTZKQKOLX-AWEZNQCLSA-N 415.490 4.907 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C[C@@H]1c1cccc(Br)c1 518082993 GLXGIPAINFQSSJ-DOMZBBRYSA-N 410.655 4.688 5 20 HJBD Cc1nc(-c2ccccc2)c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)s1 522198829 RSWJDNAUWZULOP-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD C[C@H](NC1(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)CCCC1)c1ccccc1 522735495 MGSHRJKBKLIEFN-INIZCTEOSA-N 415.921 4.571 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 531093397 MRIQETRVAPNYGK-XIKOKIGWSA-N 410.396 4.624 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1cc(F)cc([N+](=O)[O-])c1 534608690 LWPHJXZJWFMELW-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 536772233 KIWRWNLTLXGDKL-UHFFFAOYSA-N 401.373 4.605 5 20 HJBD CN(C(=O)c1cnc2ccc(F)cc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152951 ANWYRSIQSSZNBB-UHFFFAOYSA-N 408.414 4.682 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)nn1-c1ccccc1Cl 539546311 AJLDSXFNXOONCB-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD Cc1cc(N(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 542554882 HPLNKQJLPWJLCC-RTWAWAEBSA-N 422.460 4.856 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 543349468 NMWULXDFHRTEKP-ZDUSSCGKSA-N 407.430 4.713 5 20 HJBD Cc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1Br 543853636 JYWPFOMUGMCDGB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(Cc3csc(-c4ccccc4)n3)no2)c1 544913239 DFKJAMDLYWWBPB-UHFFFAOYSA-N 417.450 4.695 5 20 HJBD C[C@@H](c1nc(-c2cccc(NC(=O)C3CCC3)c2)no1)c1ccc([N+](=O)[O-])cc1F 545568080 YHGJOAFHARPANO-GFCCVEGCSA-N 410.405 4.674 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 547171525 RQKORLCQSTVPCL-UHFFFAOYSA-N 403.822 4.689 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)c1 549005905 DGZZCHRSXLPINR-HNNXBMFYSA-N 418.877 4.578 5 20 HJBD COc1cc(C(=O)N[C@H]2CSC[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 560761050 VJFRGEZHIHMWHN-RISCZKNCSA-N 422.890 4.530 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccccc3OCc3ccccc3Cl)n2)nc1 569036148 ZTXSVPVZZNKQQM-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(Oc2ccccc2C(F)(F)F)nc1 570075110 IAXGOQQSSNQFMU-UHFFFAOYSA-N 421.379 4.938 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@@H](c1cncc(Br)c1)C1CC1)CCC2 570668842 JXQZOIOISNAEPV-RBUKOAKNSA-N 402.292 4.871 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC(C)(C)[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-])c1ccccn1 575153182 OFAFXPJLEHEFRE-KNBMTAEXSA-N 408.502 4.814 5 20 HJBD Cc1cc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)ccc1O 584818571 JTEAMCAFHWHEGV-AWEZNQCLSA-N 417.425 4.894 5 20 HJBD O=C(c1cc(NC[C@@H](Cc2ccccc2)C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 589603815 XEOAAWPDCJPMSL-MRXNPFEDSA-N 421.419 4.664 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 590644428 KHDDTARTMYPISA-NEPJUHHUSA-N 420.441 4.698 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 603461936 QDAWJNMULCNMAH-UHFFFAOYSA-N 422.416 4.557 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(O)c([N+](=O)[O-])c2)N1c1ccc(F)cc1Cl 603940697 RJVUZEYECFIWGQ-IBGZPJMESA-N 413.792 4.864 5 20 HJBD Cc1ccn(-c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)cc2)n1 603997912 YOEBLSCKJIDYEX-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 604469852 LJCRECZRIMHXNW-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 608865837 WOWKMTNQYUQZPJ-MRXNPFEDSA-N 423.391 4.518 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H]1c1cccc(F)c1 610305236 ORWQUTYXXVSIPG-OAQYLSRUSA-N 405.429 4.933 5 20 HJBD CC(C)N(Cc1ccc(F)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 642060132 ALGRQIKPIIDPGR-UHFFFAOYSA-N 402.469 4.731 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)c1cccnc1 733414277 LQWFQXHCAZIYNH-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Oc2nccs2)c1 736485229 AQHGSZVDTDLZKV-UHFFFAOYSA-N 423.406 4.856 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 736506944 JCFLLVSRIIJBJH-DRSNIGMVSA-N 410.518 4.599 5 20 HJBD COC(=O)c1cccc(-c2nc(COc3cc(Cl)ccc3[N+](=O)[O-])cs2)c1 741853391 TYCCRWAIPBYDDQ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 742001305 SZVIXSZPKOUTST-QEIWDELWSA-N 403.822 4.592 5 20 HJBD COc1cc(C(=O)NCC(C)(C)SC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 743797201 RDADIWIXDIVZCY-UHFFFAOYSA-N 424.906 4.921 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2Cc3ccccc3C[C@H]2c2ccccc2)cc1[N+](=O)[O-] 744370159 DQUYWRVWGUELDN-QFIPXVFZSA-N 417.421 4.751 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cccc2c1C 744668602 ICADLGMHDGVKMH-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ncc(-c2ccccc2F)o1 744869020 DLPKFKDXQIUOGE-NSHDSACASA-N 420.780 4.553 5 20 HJBD CN(CCn1cccn1)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746247963 SDJHPIOEGUOCEW-UHFFFAOYSA-N 409.489 4.902 5 20 HJBD Cc1c(C(=O)NC(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748601208 HBSJZPDHEIAQMG-UHFFFAOYSA-N 405.410 4.639 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 749521853 WERFYPYFCKPWAX-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750185820 DZKYLFZXLIILCL-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@H](C)C(=O)Nc2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 752556737 GKCZAZDTNFDQAL-SECBINFHSA-N 415.204 4.533 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 753035206 FICRNHUTASQCDQ-UWJYYQICSA-N 423.469 4.503 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754993226 IRTORTKLPIYTQX-JTQLQIEISA-N 411.227 4.926 5 20 HJBD Cc1cccnc1[C@@H](NC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)C(C)C 755030103 LULBETZAMHULQW-HNNXBMFYSA-N 407.268 4.580 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2Br)c2ccc(F)cc2O1 758704815 NHQMXRSFEXDBPA-CYBMUJFWSA-N 423.238 4.529 5 20 HJBD Cc1csc(-c2cccc(C(=O)O[C@@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)c2)n1 759928084 GMFDRZONRBBIHQ-DLBZAZTESA-N 412.471 4.564 5 20 HJBD C[C@H](OC(=O)c1cccc(-c2ccoc2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 760573193 RNBNAPNOZGGUDV-LBPRGKRZSA-N 414.801 4.692 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@H](O)c1cccs1)c1cccc([N+](=O)[O-])c1 762028676 XPFFXOCIRCAYCY-UZLBHIALSA-N 410.495 4.522 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cs2)c1 766220978 CLJDXOGPPILNDM-UHFFFAOYSA-N 421.434 4.632 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2C/C=C\c1ccccc1[N+](=O)[O-] 769488978 UWEAELOUMSRZOE-FPWUWJHDSA-N 401.507 4.518 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1c(F)cccc1Cl 775548682 IXTQIVBXALMZKQ-VHSXEESVSA-N 400.237 4.719 5 20 HJBD Cc1ccc(Cl)c(OCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776136501 VSPWKPMGDRGSSU-OAQYLSRUSA-N 412.829 4.663 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(-n2ccnc2)cc1 776857781 KRLHDAVBTUWSAX-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC(c2cc3ccccc3[nH]2)CC1 790545799 FMBLPSDCFBJMIN-UHFFFAOYSA-N 415.852 4.817 5 20 HJBD COCCCC[C@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 791909081 GHWYYZNYOCOXOM-KRWDZBQOSA-N 421.291 4.645 5 20 HJBD O=C(Nc1ncc(C(F)(F)F)cc1Br)c1cc([N+](=O)[O-])ccc1Cl 798242296 SFGGHDSLXYKPIN-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3ccc(OCC(F)(F)F)c(F)c3)co2)cc1 799968846 VBBLUFPGIWDEBY-UHFFFAOYSA-N 411.311 4.942 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nccn1-c1ccccc1 803076579 YHYOOXDSFQNTSM-AWEZNQCLSA-N 420.450 4.822 5 20 HJBD COCc1cncc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)c1 809364514 VMBMQKRSNUJHFG-UHFFFAOYSA-N 404.382 4.646 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCC[C@H](O)c3ccc(Cl)c(Cl)c3)CC2)cc1 809755325 IVZJVLQJIIMXIE-FQEVSTJZSA-N 424.328 4.584 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(COc2cccc(Cl)c2[N+](=O)[O-])o1 814774578 URUUFNRRJYWHFB-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Cl)N1CCC(c2nc3ccccc3s2)CC1 816811097 LVBCCRWGHHDPOS-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])N1c1cccc(Cl)c1 877842526 HZMQPNNBOZYMHV-LJQANCHMSA-N 424.800 4.928 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccc(Cl)cc1 914475958 AXQBITPLCFYNFD-UHFFFAOYSA-N 412.877 4.777 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914527077 HJYIAIRBYONUSH-UHFFFAOYSA-N 408.370 4.596 5 20 HJBD CC1CCN(c2ccc(-c3nnc(COc4ccccc4F)o3)cc2[N+](=O)[O-])CC1 1118951847 MLJBNBAZKUQMCJ-UHFFFAOYSA-N 412.421 4.599 5 20 HJBD CC(C)COc1cn(-c2ccccc2)nc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1261428091 YLZUGVKQANPWKL-UHFFFAOYSA-N 405.414 4.532 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 1318332007 JJVULXVYKPDYQV-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD Cc1cc(Cl)cc(C)c1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 1323407618 DPDOQQYBVOJIEJ-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD Cc1ccc2c(CC(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)coc2c1 5505020 ZRXOIEJDXVLDAN-CYBMUJFWSA-N 407.382 4.546 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccc2F)n1C1CC1 9637608 YTBONURNNCJBIW-UHFFFAOYSA-N 400.435 4.628 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1cc([N+](=O)[O-])ccc1F 14643798 DCAKVTFZNRMLOR-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1c(-c2ccccc2Cl)noc1C 24213313 UDKQQMOVJZFELF-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCc1ccc2ccccc2c1 41339473 UNNBADALWHHYRB-UHFFFAOYSA-N 406.485 4.667 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2OC2CCCC2)cc1[N+](=O)[O-] 46666954 DPJYUNPTEATGAZ-UHFFFAOYSA-N 416.499 4.797 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 53810788 BUGDCANFJZITFC-INIZCTEOSA-N 419.485 4.790 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCc3ccccn3)cc2[N+](=O)[O-])cc1 57027926 ITHDZODILGWVRP-UHFFFAOYSA-N 407.495 4.764 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(NCc2ccccc2)c(Cl)c1 60270734 GDPVSEVMTDSVMW-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD Cc1cc(NC(=O)c2ccc(SC(F)F)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105519072 DWJMDFSACUNYFV-UHFFFAOYSA-N 404.398 4.656 5 20 HJBD CCCCc1ccc([C@@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641500 FBJQFQJCFVNUTP-GOSISDBHSA-N 412.515 4.560 5 20 HJBD Cc1cc(Sc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)ccc1[N+](=O)[O-] 301112485 UTGSBGMINKDOGD-ZDUSSCGKSA-N 402.501 4.553 5 20 HJBD Cc1cc(N[C@H](C)c2cc(F)ccc2N2CCC(O)CC2)c2cccc([N+](=O)[O-])c2n1 302022588 OPQWMZHITYAKID-OAHLLOKOSA-N 424.476 4.725 5 20 HJBD O=C(O)[C@H](Cc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2ccc(Cl)cc2)s1 426772720 LHRIVNCEQDJRAL-SFHVURJKSA-N 416.886 4.762 5 20 HJBD Cc1csc(-c2ccc(NC(=O)COc3ccc([N+](=O)[O-])c4cccnc34)cc2)n1 428050545 WQVREOYOYFGTOM-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(-c2ccccc2F)cs1 428556234 FGLUHBRXVAOKDH-UHFFFAOYSA-N 417.487 4.899 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccccc1[N+](=O)[O-] 432765999 FCJOSYKJNXWSBO-UHFFFAOYSA-N 424.501 4.714 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(=O)NC1CCCCCC1 435523567 AQHRXSAROCTXAK-UHFFFAOYSA-N 419.528 4.585 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2cccc(OC)c2[N+](=O)[O-])cc1 435822088 MCMFTFDABCKMCV-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437130773 ZYENMEBKMFWGPG-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD O=C(N[C@@H]1COc2ccc(F)cc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441290726 PTHHTVLTPZZERI-MRXNPFEDSA-N 410.426 4.749 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3cc(Br)cc4cccnc34)n2)s1 445472056 NGLSSNXSTXBFAP-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(N2CCCC2)c1 446816893 FNBFRBVCZDGOAA-CYBMUJFWSA-N 420.416 4.679 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 461911202 BVFFZKWTZGWLCW-UHFFFAOYSA-N 403.438 4.863 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OCC(F)(F)F)cc2)c([N+](=O)[O-])cc1F 464494383 BGPYMTDWXXAYMQ-LLVKDONJSA-N 402.344 4.534 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCO1 466256533 OGTALOMANHRJPO-WFASDCNBSA-N 406.891 4.697 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@H](CC(F)(F)F)c1ccccc1 467220477 XLORXWGRMVUSFI-OAHLLOKOSA-N 401.772 4.741 5 20 HJBD CCn1c(SCC[C@@H](C)OCc2ccccc2)nnc1-c1ccc([N+](=O)[O-])cc1 473401096 LAEIKFBOYMLTJM-MRXNPFEDSA-N 412.515 4.961 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cnc(Cc2ccccc2F)s1 475987943 GQQXWYLXMUABQO-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CC=C(c2ccccc2C(F)(F)F)CC1 478902426 BHECYYCLNBRJJI-UHFFFAOYSA-N 420.387 4.698 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1 479122954 YCWWOOJBMAGANK-GJZGRUSLSA-N 424.501 4.596 5 20 HJBD COC(C)(C)c1noc(-c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 482555173 HDRLMXYFFQDYOT-UHFFFAOYSA-N 402.838 4.792 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(Oc3ccncc3)c2)cc1SC 482831561 XTTYPFXSSNZWAU-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD O=C(N[C@H]1CSc2ccccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485494156 PZOWOLSDODPDJW-IBGZPJMESA-N 406.463 4.751 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C(=O)CC(C)(C)C2 488608226 CXJYQHXQROBJCU-UHFFFAOYSA-N 405.501 4.571 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)Cc1cc(C)nn1-c1ccccc1 489365282 RRNQMTDWFURYGN-HNNXBMFYSA-N 424.526 4.562 5 20 HJBD CC(F)(F)c1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 490165344 WSFIARXGAFBLKC-UHFFFAOYSA-N 410.395 4.862 5 20 HJBD C[C@H](N[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 500845172 VSNMMWCRBXTXBS-MCIONIFRSA-N 409.408 4.722 5 20 HJBD O=C(CSc1nccn1-c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 501612904 LLSRHYFTHGVKBE-UHFFFAOYSA-N 418.272 4.518 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2cccc([N+](=O)[O-])c2)cc1 501657507 KQEWXPNKLWEDRM-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 502848294 SZDKAZVJTZOTFH-LLVKDONJSA-N 418.812 4.940 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1)[C@@H]1CCSc2ccc(Br)cc21 504601880 QMXAOYXNEJMSLF-OAHLLOKOSA-N 407.289 4.666 5 20 HJBD CC1(c2nc3ccccc3s2)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 513564389 UPOXJHCILJUANO-UHFFFAOYSA-N 414.462 4.929 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2cccc(NC(C)=O)c2C)cc1OC 513645687 OWPXRKZVWJGVQM-AWEZNQCLSA-N 415.490 4.907 5 20 HJBD CC[C@H]1C[C@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 514184029 QBVFLTJJNYOVGH-QAPCUYQASA-N 424.457 4.574 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cccc(Cl)c2Cl)no1 517781023 DDOYLLKGMZPAFL-JTQLQIEISA-N 421.240 4.618 5 20 HJBD COc1ccccc1[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)N1CCCC1 518992492 CQRHCEYDROQUKX-QFIPXVFZSA-N 421.497 4.790 5 20 HJBD Cc1cc(C)cc(CCNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 524615889 FFZSDKYZSQNHLH-GOSISDBHSA-N 418.497 4.752 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)c1 525661022 ISDOYDQZIKNYDH-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD Cc1ccc2c(C3=CCN(Cc4nnc(-c5ccc([N+](=O)[O-])cc5)o4)CC3)c[nH]c2c1 533487709 UXLVXBVJNJIZIA-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)CC2)n1 534245531 HOCDLFJBVZRWKK-UHFFFAOYSA-N 412.515 4.850 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cc(Br)ccc1Cl 535451854 RHADYNFUIDISJP-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CNC3(c4cccc(C(F)(F)F)c4)CCC3)o2)cc1 539360861 VZXBVBDPLPHNQL-UHFFFAOYSA-N 418.375 4.833 5 20 HJBD COCCOC[C@@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 539821245 ZJGNRWGMAUXTHO-QGZVFWFLSA-N 420.893 4.525 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](COc3ccccc3)c3ccccc3)n2)cc1 540416405 DPNOKQAJKCQEIC-NRFANRHFSA-N 416.437 4.555 5 20 HJBD CCOc1ccc([C@H](C)Nc2cccc(N3CCN(C(C)C)CC3)c2)cc1[N+](=O)[O-] 540746164 OLECGXRGLVHOIB-SFHVURJKSA-N 412.534 4.697 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2nccn2C)cs1 540764406 HJEUKUDCHRFQKI-UHFFFAOYSA-N 417.516 4.596 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 544402924 WECURIARQYRWPI-VFNWGFHPSA-N 400.450 4.990 5 20 HJBD C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 545417151 ASYFKDFQSUHSSI-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD Cc1cncc(-c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)c1 546314103 KEUWNNWUAAQTGQ-UHFFFAOYSA-N 411.468 4.931 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546539697 SUYRUSOOXPECON-XIKOKIGWSA-N 408.857 4.557 5 20 HJBD CC(C)(C(=O)Nc1ccc(Cl)c(OC(F)F)c1)c1ccc([N+](=O)[O-])cc1F 547242588 SOBDABJHNMOECP-UHFFFAOYSA-N 402.756 4.905 5 20 HJBD C[C@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 549410484 DZRFLIQKTSDGBX-KPZWWZAWSA-N 407.392 4.682 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)c1 557865400 BSRXSFFGQKGSAC-HNNXBMFYSA-N 414.355 4.621 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccccc1OC(F)(F)F)c1ccccc1[N+](=O)[O-] 557969280 FEVNPUHOSSYDOL-UONOGXRCSA-N 408.376 4.648 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)cs1 560557846 LMDQGELQLFDOSW-UHFFFAOYSA-N 419.466 4.648 5 20 HJBD CC(=O)c1cc(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 561200973 QUULZOZPYHTVMM-GOSISDBHSA-N 413.232 4.821 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCCC[C@H]3c3nnc([C@H]4CCCO4)o3)o2)c1 564312564 FPTQUNGQKSOIHY-AZUAARDMSA-N 424.457 4.817 5 20 HJBD COc1ccc(CN[C@H](C2CC2)C(F)(F)F)cc1OCc1ccc([N+](=O)[O-])cc1 565504390 GZSWUFSFSXDUOX-LJQANCHMSA-N 410.392 4.613 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)n1 567410972 QHFRTEFIIAUPDO-UHFFFAOYSA-N 408.458 4.608 5 20 HJBD C[C@H](C(=O)Nc1ccnn1Cc1cccc2ccccc12)c1cccc([N+](=O)[O-])c1 571955244 QEBZRPPCLYLPSX-INIZCTEOSA-N 400.438 4.735 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 576247706 NUVJHNWLMQVRMN-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCc2nc(-c3ccccc3Cl)sc2C1 588770753 ISKYVONOYPNGQS-UHFFFAOYSA-N 417.849 4.709 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2ccccc2)ccc1NCc1cc2ccccc2o1 603859662 PMQXEXYJQIYEBV-UHFFFAOYSA-N 423.450 4.754 5 20 HJBD CC(C)c1ccc(OC2CCN(c3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])CC2)cc1 603866877 MWZRVDFXMTYSOW-UHFFFAOYSA-N 423.513 4.658 5 20 HJBD C[C@@H](N[C@@H](CCc1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955352 SXLPRTWGLAGUGO-NQIIRXRSSA-N 403.482 4.886 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(Br)cc1 603989976 COQWAHQOZYWVDL-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC(C)(C)[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 604332649 UAYXMZWKNBAMDC-JOCHJYFZSA-N 418.497 4.680 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cc(Br)cs3)no2)ccc1N1CCCC1 609474935 BJMGRKDMMJYQON-UHFFFAOYSA-N 421.276 4.736 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)c3c(C)noc3C)cc2[N+](=O)[O-])n1 609606035 PLUCACRSTCEIPW-SNVBAGLBSA-N 418.500 4.607 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 609715965 DLUDFGWSJPYDAZ-LJQANCHMSA-N 424.545 4.740 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Oc3ccc(Cl)cn3)cc2)cc1[N+](=O)[O-] 610295390 WKVLQOMUUCEAMV-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD COc1cc(COc2ccc(Cl)cc2C(C)=O)c([N+](=O)[O-])cc1OC(F)F 619242176 PYLYYHTYSRIQQQ-UHFFFAOYSA-N 401.749 4.640 5 20 HJBD C[C@H](Oc1ccc(F)c(F)c1)C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166016 UMNHFPMIYZSZGK-NSHDSACASA-N 410.804 4.737 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1)c1ccsc1 728887058 QSCOICWJULPPLX-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD Cc1ccc2oc(C(=O)Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)cc2n1 729167893 PARDKXSHGRMHRU-UHFFFAOYSA-N 417.377 4.516 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Sc1ccccc1Br 731919844 CDVBJGVQGODZNE-UHFFFAOYSA-N 424.244 4.505 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cc12 734888337 HPEUEQMOLIUEJI-UHFFFAOYSA-N 422.828 4.648 5 20 HJBD CC[C@H](C)c1ccccc1OCC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442385 GPMKTASNRJMLTI-HNNXBMFYSA-N 410.426 4.886 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1csc(-n2c(C)ccc2C)n1 736158066 HTIJAACSCDGVAI-UHFFFAOYSA-N 404.879 4.602 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2csc(CCC(C)C)n2)cc1SC 744952433 KLGCXWNIXYLULX-UHFFFAOYSA-N 410.517 4.727 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 746413959 KKQQYMAWGXNIAD-IBGZPJMESA-N 413.430 4.578 5 20 HJBD CSc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1Cl 747347369 YTZLZSSDOOFEJO-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(OCCCOc1ccccc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 748010923 XJXWNCCTGQFXAT-UHFFFAOYSA-N 418.858 4.998 5 20 HJBD O=C(OCCCc1ccc(C(F)(F)F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 748891094 DESAEWODFRAPKK-UHFFFAOYSA-N 420.343 4.657 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc2[N+](=O)[O-])CC1 752980479 LGUADQMZRWLTOI-UHFFFAOYSA-N 418.837 4.645 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@@H](C)CCc2ccc(Br)cc2)c1[N+](=O)[O-] 753093862 MHODJZZETUYHKD-LBPRGKRZSA-N 409.255 4.556 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 754957469 RWEAZADTIJYFPA-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD Cc1ccc(-c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cc1[N+](=O)[O-] 761639461 SBTRGUMIIOLBRS-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD CN(C(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@H](c1ccc(Cl)cc1)C(F)(F)F 763509348 SHZGNZBSLNWRDH-CQSZACIVSA-N 408.710 4.902 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)o2)cc1C 763719360 ZXBPGBRGTFGYMI-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD C[C@@H](C[S@](C)=O)N(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 768446192 DAKQESNCCTUIJA-DFYVNMARSA-N 419.546 4.772 5 20 HJBD O=C(NC1(Cc2ccccc2)CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 775021317 UWNMJMWEJJJWDJ-UHFFFAOYSA-N 409.667 4.516 5 20 HJBD CCc1cccc[n+]1/C(C(=S)Nc1ccsc1)=C(/O)c1ccc([N+](=O)[O-])cc1 790113599 LKQDTXAKRJVAFA-UHFFFAOYSA-O 412.516 4.830 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 791319444 ANMPLTWFKCOKBL-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD CC(C)O[C@H](C(=O)OCc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 796411400 SNUXKJHAECFADC-KRWDZBQOSA-N 408.248 4.567 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1csc2ccc(Cl)cc12 800051221 GYBBBVIAFGYHDF-UHFFFAOYSA-N 400.840 4.711 5 20 HJBD Cc1nc([C@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 806120632 CJEWDHNQASRYGL-OAHLLOKOSA-N 422.228 4.504 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809985295 JHWZWRZGNKMGNU-UHFFFAOYSA-N 418.321 4.781 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CC[C@]2(C)[C@H](C1)C2(Cl)Cl 812212226 SXJSNSJMMOKZIZ-MAUKXSAKSA-N 424.332 4.529 5 20 HJBD O=C(OCc1ccc(OC(F)F)c(F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 813141976 BVLBMCKSUXNNQM-UHFFFAOYSA-N 424.356 4.816 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)c12 863865668 WXUSAZXGRKHCMT-OAHLLOKOSA-N 416.437 4.770 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1F 913226050 RSECPQNFUOVLOR-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)Nc1ccc(Oc2ccccc2)cc1 919251670 NGRCEUYVAKIRBT-CQSZACIVSA-N 424.384 4.710 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N1CCC[C@H]1c1cccc2ccccc12 920203858 HLPLIJKYFSBQGE-IBGZPJMESA-N 423.856 4.704 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H]2CCCc3c2cnn3Cc2ccccc2)cc1[N+](=O)[O-] 1116436073 YELNARMRJQFSBT-LAUBAEHRSA-N 420.513 4.967 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc([C@@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1116773094 HXZPCEUXQVUMLP-KSSFIOAISA-N 424.457 4.744 5 20 HJBD Cc1cc([C@@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)o1 1318016052 HDCAHPHFBOYTGI-SNVBAGLBSA-N 402.394 4.793 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H]2OCCC[C@@H]2C1 1342374771 MXUATTGEFHPLME-APWZRJJASA-N 404.532 4.518 5 20 HJBD COc1ccc(-c2nnc(COc3ccccc3-c3ccccc3)o2)cc1[N+](=O)[O-] 1345615244 XAJJBHXSHDKZCI-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CC(C)(C)CC(=O)NC1(c2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)CCC1 1785792545 PNRSHNSSUZSEEC-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD C[C@@H](OC(=O)Cc1coc2ccc3ccccc3c12)C(=O)Nc1ccccc1[N+](=O)[O-] 21746342 PZPIRELRQQSSOW-CQSZACIVSA-N 418.405 4.607 5 20 HJBD O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccc(Cl)cc1C(F)(F)F 21889778 YJDUBCZBOLEBJX-UHFFFAOYSA-N 408.163 4.971 5 20 HJBD CCc1ccc(CNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 53513725 JSWDKPMGPIADGY-CQSZACIVSA-N 410.499 4.717 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1C 71981910 DXRLPHLWZAIYKT-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD CCc1ccccc1NC(=O)CN(C)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 251975265 DEURKEXSFRDRRP-UHFFFAOYSA-N 415.799 4.904 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3cccc4cccnc34)cc2[N+](=O)[O-])C1 302966351 HJRUKXOWUXMENK-IAGOWNOFSA-N 418.497 4.555 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2ccc(F)c(F)c2F)s1 410410038 GLZMBCKPUJWAJG-UHFFFAOYSA-N 421.400 4.929 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)n1 426850701 ZTEQTPMAZVENBO-QGZVFWFLSA-N 406.486 4.552 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1cccc(-c2cccnc2)c1)C1CC1 428410367 YFJZWDVQRSYXBI-UHFFFAOYSA-N 417.465 4.617 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Oc3ccc(Cl)cc3)cn2)c1[N+](=O)[O-] 428598582 LXUOKRBVHTXJQD-UHFFFAOYSA-N 401.810 4.534 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 432616830 LKOQGVGBQNDFGZ-AWEZNQCLSA-N 419.466 4.648 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])c(C)c2)c1 433750753 IVVSPOXXFUXZOJ-UHFFFAOYSA-N 408.458 4.889 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 437319810 DMXSUWZSKZHRDM-KBXCAEBGSA-N 404.253 4.598 5 20 HJBD CCCCO[C@@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(C)C 444109853 OCSMXFOGROWUOO-RBUKOAKNSA-N 410.489 4.534 5 20 HJBD C[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 444120219 VAKMYEBLOGLZMC-LBPRGKRZSA-N 410.395 4.632 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 444626346 NDEVGWHQLJRHOA-KRWDZBQOSA-N 419.359 4.581 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNC3(c4ccc(Br)cc4)CCCC3)[nH]c2c1 444645778 HHVZQXLMTNSOJF-UHFFFAOYSA-N 415.291 4.793 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 446044529 HMPSGXMSKKLMIP-MRXNPFEDSA-N 413.232 4.563 5 20 HJBD CO[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc(F)cc1 447683045 MOGMBZHEGXWJJC-CYBMUJFWSA-N 409.286 4.583 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC[C@@H]1CCN(c2ccccc2)C1 447692404 DYLAQPJXSNEVFY-LBPRGKRZSA-N 416.350 4.582 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cn1)c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3 448102622 LORWDHOHQFLOLK-ZJUUUORDSA-N 402.501 4.678 5 20 HJBD C[C@H](C(=O)Nc1ccnc(Oc2ccc(F)cc2F)c1)c1ccc([N+](=O)[O-])cc1F 448446613 KTQSCEVOZYDJTM-NSHDSACASA-N 417.343 4.942 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 463968784 IKQIZFQIWCGAIK-FXAWDEMLSA-N 401.438 4.735 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCCC[C@H]2n2cccn2)ccc1Oc1ccc(F)cc1 467761788 DQKZBZGNHJRKQJ-VQTJNVASSA-N 410.449 4.996 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(F)c(NC(=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 471342409 KXGFZALVZJCMKA-UHFFFAOYSA-N 421.428 4.730 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC=C(c2c(F)cccc2F)CC1 473163926 SSTUHITXOSKNFV-ZDUSSCGKSA-N 412.396 4.773 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)cc(NCC(F)(F)F)c2)cc1[N+](=O)[O-] 473244621 NMXLKOBFSPMIPD-UHFFFAOYSA-N 403.357 4.682 5 20 HJBD CS(=O)(=O)c1ccc(-c2nc(CSCc3cccc([N+](=O)[O-])c3)cs2)cc1 477218885 NAWPXEBJVQUMPP-UHFFFAOYSA-N 420.537 4.555 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)cc1SC 479446572 WIEOBKMYDUPULX-MRXNPFEDSA-N 416.474 4.830 5 20 HJBD CC1(C)C[C@H](N[C@@H](CO)c2ccccc2OC(F)(F)F)c2cc([N+](=O)[O-])ccc21 479527243 HIIGYILPYCKSBY-IRXDYDNUSA-N 410.392 4.539 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(Cc2ccccc2)no1 483665419 WKAGJDBYXUOQDY-CQSZACIVSA-N 421.482 4.543 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@@H]2[C@@H](CCCN2Cc2ccccc2)C1 483770913 CLFDEHICKZYIKB-RBBKRZOGSA-N 422.529 4.730 5 20 HJBD CSc1cccc(C(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)c1[N+](=O)[O-] 485519296 QTCSARYFOGLPMH-UHFFFAOYSA-N 404.438 4.915 5 20 HJBD CSc1cccc(C(=O)NC2(c3cccc(Br)c3)CCC2)c1[N+](=O)[O-] 486229569 HKPOHFPAQCGFSL-UHFFFAOYSA-N 421.316 4.888 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2OC[C@@H]2CCCCO2)c1[N+](=O)[O-] 486249621 CZXMIHOTUYJMIW-AWEZNQCLSA-N 402.472 4.517 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1OC(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498130819 BSYILBXKKSURDS-GPMSIDNRSA-N 420.437 4.574 5 20 HJBD COc1ccc([C@H]2C[C@H](NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)C2)cc1F 501357813 JIQUYMNGQMGTHC-MQMHXKEQSA-N 400.431 4.633 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cc(C)cn2)c(F)c1 503091381 GAIYUJUQUKBVDV-UHFFFAOYSA-N 414.462 4.982 5 20 HJBD Cc1ccc([C@@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 503260957 SALZRIXJALQEBQ-IIBYNOLFSA-N 407.495 4.685 5 20 HJBD C[C@H](NC(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 505966513 RRGTTXNVZMQOKI-LBPRGKRZSA-N 419.363 4.682 5 20 HJBD CC(C)[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2[nH]1 510818464 VNYOOUFDTWWSOG-MRXNPFEDSA-N 419.388 4.591 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H]1CCc1ccccc1 510862359 UXKWUHSPCVFDAO-HNNXBMFYSA-N 405.401 4.825 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 512648971 SAXWZQISGLLLLA-CQSZACIVSA-N 419.428 4.823 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1OCC(C)C 515172100 PTENDTVCIQXMNZ-CQSZACIVSA-N 412.442 4.875 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 515681996 VZEHDEDSUFLYEQ-GFCCVEGCSA-N 418.375 4.599 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc(-c3ccc4c(c3)CCCC4)cs2)c1[N+](=O)[O-] 518321026 AHWANJPIULTIAC-UHFFFAOYSA-N 411.487 4.525 5 20 HJBD Cc1cc(CN(C(=O)COc2cccc([N+](=O)[O-])c2)C2CCCC2)c2ccccc2n1 518359272 WDEWWIPRYQNHDF-UHFFFAOYSA-N 419.481 4.802 5 20 HJBD CC(C)c1ccc(CN(C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)C2CC2)cc1 520713926 UCBVWHKIWOEOCC-UHFFFAOYSA-N 404.470 4.709 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 534279040 BOAAOOHPKDJHKN-UHFFFAOYSA-N 415.902 4.982 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 539339929 QFVOFZAPBISHKK-AWEZNQCLSA-N 410.861 4.600 5 20 HJBD CC(C)CN(C(=O)CC[C@@H]1CCCO1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540450749 UMQBCXUWIOMPDB-HNNXBMFYSA-N 403.504 4.667 5 20 HJBD COc1ccc(CN(C)[C@@H](C)c2cccnc2)cc1OCc1ccc([N+](=O)[O-])cc1 541764190 SBQVRCCJKHNHDB-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@H]3CCCC[C@@H]32)cc1[N+](=O)[O-])c1ccccn1 542991076 VFLUQVJPIZIKTF-OAZVVGOESA-N 408.502 4.814 5 20 HJBD COc1ccc2nc(C)cc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c2c1 545852377 WOSWGIPKZPXOKB-UHFFFAOYSA-N 415.409 4.582 5 20 HJBD O=C(CC[S@@](=O)c1ccc(C2CCCCC2)cc1)Nc1ccccc1[N+](=O)[O-] 548826044 RYWNFCOKFSYJIA-MUUNZHRXSA-N 400.500 4.779 5 20 HJBD COc1cccc2c(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c(C)cnc12 551312137 RIGJBFBIMSHHSS-GFCCVEGCSA-N 415.833 4.519 5 20 HJBD C[C@H](C(=O)Nc1ccc2c(c1)N(CC(F)F)CCS2)c1cccc([N+](=O)[O-])c1 551332530 LISGQCTYENZNNV-LBPRGKRZSA-N 407.442 4.514 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(Cl)cc1 552580411 DXPKDSROLMJIBZ-HNNXBMFYSA-N 416.861 4.935 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 557357716 YUSGTUJMKYQBAV-FIKMYACPSA-N 420.444 4.588 5 20 HJBD C[C@H]1COCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 561292265 LEFWOICFBCEOII-KBPBESRZSA-N 420.918 4.802 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c1 563750188 QUMHFROBNYSKAS-UHFFFAOYSA-N 424.460 4.993 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(Cl)c3OCC(F)F)n2)c1 571957605 XYJGUVYCWZFCAS-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD Cc1c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])oc2ccc(Br)cc12 603569095 OFDUNJUCIAYREF-UHFFFAOYSA-N 403.232 4.684 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNc3nc4sccn4c3[N+](=O)[O-])c2)c1 603870611 IEHWSLRPODHGJI-UHFFFAOYSA-N 422.470 4.869 5 20 HJBD COc1ccccc1[C@H](CNc1ccc([N+](=O)[O-])c2cnccc12)N1CCCCC1 603871845 YSESXEPIBCAFFF-QFIPXVFZSA-N 406.486 4.791 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 604735228 AUWYQSGACBZXHN-IBGZPJMESA-N 418.924 4.946 5 20 HJBD Cc1sc(C(=O)Nc2ccc(C(=O)Nc3cccnc3)c(Cl)c2)cc1[N+](=O)[O-] 608875234 POLILYWBNDWNGA-UHFFFAOYSA-N 416.846 4.518 5 20 HJBD CCCN1Cc2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2C1 608949634 ODEAKLYANYMWAG-UHFFFAOYSA-N 408.483 4.696 5 20 HJBD CCc1sc(NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)nc1-c1ccc(OC)cc1 609175979 SWKIEWNSMLFBGE-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@@H]3c3ccccc3Br)c1)OCOC2 610676180 BZJAGKSVEPIAJC-GOSISDBHSA-N 419.275 4.561 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)nc1-c1ccccc1 611181980 XCPBEWBBHODPEE-TXEJJXNPSA-N 405.298 4.834 5 20 HJBD C[C@H](C(=O)N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cccc([N+](=O)[O-])c1 617698386 CSJOBTSPRLTDJL-FTYKPCCVSA-N 412.330 4.724 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCS[C@H](c2ccccc2)C1 618588319 ZASOFALNROKBGV-AWEZNQCLSA-N 419.375 4.938 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 731418675 BLXWHYUOIBZJLQ-UHFFFAOYSA-N 401.488 4.918 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745569723 ZHUCFOBQNOAOGQ-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 746415020 XLHZJCLATOOYMN-SFHVURJKSA-N 413.430 4.578 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410905 QEMXHPCKXFGEBZ-MRXNPFEDSA-N 409.667 4.892 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 749434996 DLAJLFFYVQRWPV-GHMZBOCLSA-N 421.744 4.757 5 20 HJBD CCC(C)(C)OCc1cccc(NS(=O)(=O)c2cc(F)ccc2[N+](=O)[O-])c1C 749875330 HAPKIXGCPABYNQ-UHFFFAOYSA-N 410.467 4.548 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCc3ccccc32)c1)c1cccc(Cl)c1[N+](=O)[O-] 749932975 FPOVLPCZMMXUDG-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD Cc1c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)oc2ccccc12 751094634 INFFCCRGJXWCEZ-NSHDSACASA-N 403.232 4.903 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(OC)c(NC(C)=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753712150 XOZPRNJGGMDLJR-QFIPXVFZSA-N 409.442 4.919 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756932593 HULGDPKKRXTSQZ-ZDUSSCGKSA-N 423.922 4.547 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N1CCC(c2cc3ccccc3[nH]2)CC1 756967301 MPPJVKPNRRXNKQ-UHFFFAOYSA-N 412.877 4.819 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3ccccc3F)n2Cc2ccco2)o1 768408087 ZWAZGKJTYLNRPJ-LUAWRHEFSA-N 412.402 4.990 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@@H](c2nc3ccccc3o2)C1 770008839 YWEHSXPEWSYAGC-GFCCVEGCSA-N 400.822 4.801 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 770328328 OFHPAUCTOOEIAM-HNAYVOBHSA-N 423.282 4.585 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 774937387 YRENDQXLSKZDQN-ZDUSSCGKSA-N 416.434 4.701 5 20 HJBD Cc1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775086082 KPAORELUJYWIHN-AWEZNQCLSA-N 402.472 4.588 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1csc(-c2ccc(Cl)cc2)n1 791627534 CYEJEPVBRZPBEN-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CCOC(=O)/C(=C\c1ccc(-c2ccccc2OC)cc1)c1ccc([N+](=O)[O-])cn1 794951809 ZPDCWUWXQGKYQK-ZHZULCJRSA-N 404.422 4.769 5 20 HJBD CN(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)ccc1Cl 799056577 BAAIZCZNTNCPPR-UHFFFAOYSA-N 416.909 4.552 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 803065501 YCXJZSSCAIAFHN-MRVPVSSYSA-N 408.254 4.945 5 20 HJBD C[C@H](OC(=O)c1nc2ccccc2cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 811293601 USSDDELTVUSVHD-NSHDSACASA-N 424.800 4.764 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OC(C)(C)C)c1)c1ccc(N2CCCNC2=O)cc1 812488910 IZMOAIMAIKHWNU-OAHLLOKOSA-N 412.490 4.865 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2F)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 844130960 JSGGAHWQUGSGCM-SECBINFHSA-N 422.825 4.557 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)CC2 915307498 DJDUCNSUFKTAEQ-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(CN2CCCC2)c1 1117058833 UEKQRWYEUZMTMR-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1nc(-c2ccccc2)oc1C1CC1 1342560359 FSERBWUNRLONGW-SJORKVTESA-N 422.441 4.664 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Oc2ccccc2)cc1 3495088 PCOPMIBQYLJTPV-CYBMUJFWSA-N 412.423 4.633 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cc(-c2cccs2)on1 10723254 NEMXQUZPOLEASJ-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Br)[C@H](c1ccccc1)N1CCCCC1 16442266 UFEFKMXLGUABCI-SFHVURJKSA-N 418.291 4.523 5 20 HJBD C[C@H](NC(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1cccc([N+](=O)[O-])c1 47399574 XHEAMNILJSMLDW-ZDUSSCGKSA-N 410.455 4.791 5 20 HJBD CCCOc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 54099516 GRJXYUNKQDNNMW-UHFFFAOYSA-N 414.849 4.690 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CC=C(c2cccc3ccccc23)CC1 63690927 MCUHJOXXXHBUHJ-UHFFFAOYSA-N 424.460 4.863 5 20 HJBD O=[N+]([O-])c1cnc(Nc2cccc(CS(=O)(=O)C3CCCCC3)c2)c(Cl)c1 301281940 ORFPPXBGMVGSOM-UHFFFAOYSA-N 409.895 4.634 5 20 HJBD O=C(c1ccc(CNc2cc(Br)ccc2[N+](=O)[O-])cc1)N1CCCCC1 301649758 FQJSOSILKSCODB-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD CC1(NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCCCC1 303008639 OHHHBLZMOWUTQK-UHFFFAOYSA-N 418.497 4.829 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1Br 326365615 ICJLVKFMLCQTMR-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 409899042 BZBOILXVNOOQKX-LJQANCHMSA-N 402.328 4.771 5 20 HJBD C[C@@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 426314740 XXTUIJJOVXNPNG-MRXNPFEDSA-N 411.483 4.710 5 20 HJBD Cc1ccc(C[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)cc1 426671945 YDDOZDWOCUMMJC-GOSISDBHSA-N 410.495 4.665 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)N[C@@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)ccc2NC1=O 433284537 GLNOSBWOJVNEBI-SVIJTADQSA-N 409.486 4.778 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 433967195 YWJGVVAESONDDU-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437959256 DSBKNJUMFIEZQH-VBKZILBWSA-N 417.893 4.698 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446097452 YOYBCIFMDJUBKZ-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2ccccc2)nc1 462498993 GAORSUHGDCLQLD-LJQANCHMSA-N 405.454 4.667 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 466875791 CGKHRQITQNHZBF-UKRRQHHQSA-N 420.412 4.613 5 20 HJBD Cn1c([C@H](NC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c2ccccc2)nc2ccccc21 470151100 ZHTSLYZIUIPKGP-HXUWFJFHSA-N 419.416 4.532 5 20 HJBD Cc1ccc([C@@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 472495642 GOAOTJHKFWPYBF-OAQYLSRUSA-N 404.470 4.622 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@@H]1Nc1ccc(Cc2nnc3n2CCC3)cc1 478165669 DUSRTARVCOSLGE-NQIIRXRSSA-N 417.513 4.547 5 20 HJBD CC[C@@H](N[C@H](C)c1cc(F)ccc1N1CCN(CC)CC1)c1cccc([N+](=O)[O-])c1 482570013 SGSPRLZLENVSIF-VGOFRKELSA-N 414.525 4.678 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 482634263 JZQKVPUEGFBXTN-UHFFFAOYSA-N 404.470 4.834 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(C(F)(F)F)c1 482681106 HFKSWYNTSZECGU-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD COc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1OC 484638548 LYCQMIZVRYJEFO-UHFFFAOYSA-N 404.488 4.921 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)cc2s1 484664884 RDAHRJXRKDIZHR-UHFFFAOYSA-N 400.847 4.829 5 20 HJBD Cc1c(Br)cccc1-c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 485869226 FJABVPLCUUEEKU-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 486538503 ZJDYDKRMWDAZGF-GFCCVEGCSA-N 419.306 4.664 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)n[nH]1 486865048 FUAQFVOAXPJAQG-HZPDHXFCSA-N 408.506 4.845 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1C(=O)N1CCCCC1 487127998 WUJMJWRKQYPKDL-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD CN(C)CCCOc1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1 487322698 PXVGMZCOGINDOY-UHFFFAOYSA-N 413.521 4.649 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496537725 SGHOEEUAUDXBCL-NRFANRHFSA-N 411.408 4.828 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(C)(C)C(=O)OC)cc1 503420713 UNGZFYAMTREFCM-UHFFFAOYSA-N 416.499 4.800 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](OC)c1ccc(OC)cc1 504564587 WMLOJXQCCKUKSR-VLIAUNLRSA-N 418.515 4.612 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1/C=C\c1ccccc1 505360136 QDYJUEFYWFSYML-SQZREULQSA-N 405.498 4.516 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C(=O)NC(C)C)cc3)o2)c([N+](=O)[O-])c1 508624685 VVIXLNMBPLRLSP-UHFFFAOYSA-N 409.442 4.614 5 20 HJBD O=C(c1ccc(OC(F)F)c([N+](=O)[O-])c1)N1CC[C@@](F)(c2cccc(Cl)c2)C1 512372055 PYHRBYPJXWWOHP-SFHVURJKSA-N 414.767 4.561 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)n[nH]1 512847785 ZYVDNQBBZPZRCW-UHFFFAOYSA-N 412.789 4.645 5 20 HJBD O=C(CCc1nc(-c2cccs2)no1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 521928972 XLCBSGAQDKYWQR-UHFFFAOYSA-N 412.471 4.615 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1F 523957324 SQBXMHCRDSKNCT-UHFFFAOYSA-N 406.719 4.814 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CCCc3ccccc3)c2)c(C)c1[N+](=O)[O-] 524221901 QBQHBLJKMZFXIS-UHFFFAOYSA-N 406.486 4.612 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)c2)cc1 525140461 MURJOBFPKYLMBA-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 530726936 JPHHSNBXYQIWKN-FZKQIMNGSA-N 418.291 4.965 5 20 HJBD Cc1ccc(Br)c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 534898243 OWPQJXOGHOTEHG-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=C(c1cnc(-c2cccnc2)s1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 535628322 MZHKLPYPFBOMQZ-UHFFFAOYSA-N 416.462 4.960 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)n(-c3ccccc3Cl)n2)c1 537450535 YAQPKHKEXFEGSX-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(OC)cc1OC 537458302 YRRGBBJLVXPNPN-UHFFFAOYSA-N 408.451 4.896 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1ccc(OCC2CC2)c(F)c1 538227439 UCISYBZURRPBPV-OAHLLOKOSA-N 415.465 4.511 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cs1 538277325 ZTPJHYPHPYOAFL-OAHLLOKOSA-N 410.499 4.895 5 20 HJBD COCCSc1ccc([C@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 539306278 PTUMRRYZRCXAOD-HNNXBMFYSA-N 413.499 4.839 5 20 HJBD Cn1cc(CNc2cccc(OCc3cscn3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 539935934 QOQXUDXDZXJITK-UHFFFAOYSA-N 421.482 4.643 5 20 HJBD C[C@@H]1C[C@H](CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 541370226 ZAJQMOZKHCTROK-RTBURBONSA-N 420.513 4.742 5 20 HJBD O=C(NCCc1cccc(O)c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544552263 OTTAWDICLCSIAI-UHFFFAOYSA-N 400.500 4.555 5 20 HJBD C[C@H](C1CCN(C)CC1)N(C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 544571095 XQOUIYUOTFOBQJ-MRXNPFEDSA-N 412.490 4.581 5 20 HJBD COc1ccc(CSCc2nc(CSCc3ccccc3)no2)cc1[N+](=O)[O-] 545015841 SBYNASVNWCJXNK-UHFFFAOYSA-N 417.512 4.853 5 20 HJBD COc1cc(Cc2noc([C@@H](C)c3ccc(Br)s3)n2)ccc1[N+](=O)[O-] 545763557 RFPISUQJEYEPSR-VIFPVBQESA-N 424.276 4.553 5 20 HJBD CC(C)OCCCc1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 546467540 GGWBTSJIXYJCIX-HNNXBMFYSA-N 411.462 4.571 5 20 HJBD O=[N+]([O-])c1c(Sc2ccc(Cl)cc2)cccc1-c1nc(-c2cnccn2)no1 546639451 HNIXUUPBMMYEBW-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 547240847 OXCNPGGVSOUUGR-UWJYYQICSA-N 414.477 4.604 5 20 HJBD CSc1cccc(C(=O)NC[C@@H](c2ccccc2Cl)N2CCCC2)c1[N+](=O)[O-] 548602954 BODRJKURSKEOQE-KRWDZBQOSA-N 419.934 4.537 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ccc([N+](=O)[O-])c(C(C)=O)c3)ccn2)cc1 553291016 VVYGDKWRPXYNPI-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C3CC3)C(F)(F)F)cc2[N+](=O)[O-])n1 554672691 INXSMSBVZWIWPE-CYBMUJFWSA-N 417.434 4.582 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c(C)c2c1 561697408 RAMJTBCJGUJVBW-UHFFFAOYSA-N 408.454 4.836 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1csc(-c2ccc(Cl)cc2)n1 581373448 WPACNAUDUWRUTK-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 604042976 UZEOKRIALMWVNZ-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD COc1ccc(CSCC(=O)Nc2scnc2-c2ccccc2)cc1[N+](=O)[O-] 609060277 YNEXCPRAUVQGFA-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD CCCN(C(=O)COc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(F)cc1)c1cccnc1 609490800 JEAQGEYRCGYWSK-HSZRJFAPSA-N 423.444 4.536 5 20 HJBD CC[C@](C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nccs1 610049450 WACRZMCMNOEMQM-HNNXBMFYSA-N 419.450 4.604 5 20 HJBD Cc1nc(NC(=O)Cc2cccc([N+](=O)[O-])c2)sc1Cc1ccccc1Cl 610224712 IIDJCJOOBQQFAA-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD CCn1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1C1CC1 611206724 WQQWHVBHHVMKBB-UHFFFAOYSA-N 400.504 4.976 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)CSc1ccccc1[N+](=O)[O-] 727804389 HXRKCEDRJCGLCI-UHFFFAOYSA-N 403.460 4.673 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Oc1ccc(NC(=O)C2CCCCC2)cc1 731999571 JVLBJWINUYVPOT-UHFFFAOYSA-N 411.458 4.521 5 20 HJBD CCOc1cc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1cccnc1 733683378 JLIWSJPIKOSNQG-INIZCTEOSA-N 422.437 4.886 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@H]1CCC[C@@H](C(F)(F)F)C1 739580024 KVAYCNPWWGQRAY-GXSJLCMTSA-N 410.186 4.769 5 20 HJBD C[C@H](OC(=O)/C=C\c1nc2ccccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746098974 PPPNMSCUJICQKR-WEHUKYGOSA-N 422.422 4.572 5 20 HJBD C[C@H](OC(=O)c1cc(-c2ccccc2)ns1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 747103763 RTHAUZXIBYAVON-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD Cc1c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1CCC(C)C 749796883 AAEKNEFOFVNSNP-UHFFFAOYSA-N 404.898 4.856 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1OC 749914441 YGNCIRLINDLKTQ-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD O=C(NC1CCCCC1)c1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])ccc1Cl 751095582 LVTUZRPIGUFSBC-UHFFFAOYSA-N 419.840 4.702 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2NC(=O)c2ccsc2)cc1[N+](=O)[O-] 752746952 RAYPNGVFBKUIOR-AWEZNQCLSA-N 409.467 4.708 5 20 HJBD CSc1cc(-c2csc(-c3cc([N+](=O)[O-])ccc3N)n2)ccc1NC(C)=O 753997107 YWKZGNHKPONNAD-UHFFFAOYSA-N 400.485 4.648 5 20 HJBD Cc1ccc(Sc2nsc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1 755821368 FXKMCHSZPVTITC-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 758451884 JUNVGWALUDZKPX-HNNXBMFYSA-N 413.449 4.618 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnc(Cl)c1Br 759275357 BVVBSUWETNKTNC-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1SC(=O)N(C)C 765033097 VVQYJQLFBYKUJT-HNNXBMFYSA-N 401.488 4.576 5 20 HJBD CCCCOc1ccc(C(=O)OCC(=O)Nc2c(C)cccc2[N+](=O)[O-])c(Cl)c1 770430718 CDJSFTBTKUJZIW-UHFFFAOYSA-N 420.849 4.531 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1COc2ccc(Cl)cc2C1 776131173 CLMRGPILADSPKC-IERDGZPVSA-N 424.840 4.527 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(-c2cnco2)cc1 776140534 ZLVQPFUMNVURAG-NRFANRHFSA-N 401.378 4.591 5 20 HJBD Cn1c(SC[C@H](O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(C(C)(C)C)cc1 776270212 ASHYXVYMLLGBAO-SFHVURJKSA-N 412.515 4.514 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780715086 FLKJDWAFYFEBQT-DEOSSOPVSA-N 412.489 4.579 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781625465 YIRSHOCSKSXLDZ-UHFFFAOYSA-N 419.437 4.761 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OCCC1OCCO1 785642067 NEOGBMPKWVBEHF-UHFFFAOYSA-N 402.446 4.853 5 20 HJBD COc1cc(C(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cc([N+](=O)[O-])c1C 790898634 HIPWTEYMTDKAAT-AWEZNQCLSA-N 408.376 4.560 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H](c3ccccc3)[C@@H](O)c3ccccc3)co2)cc1 794671664 WMAQXFKQCKASFG-PKTZIBPZSA-N 415.449 4.814 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2cnn(-c3ccc(C(F)(F)F)cc3)c2)c1 796323183 MDCXKNODQUIUNZ-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1SCCc2sccc21 811216696 NBECNTFYRZAMDH-INIZCTEOSA-N 418.521 4.854 5 20 HJBD C[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(C(F)(F)F)nc1Cl 820596208 RPNYSJMUENRJQK-QMMMGPOBSA-N 414.771 4.720 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)sc1Cc1ccc(F)cc1 917736620 WHJZEPFDZBNBOB-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC/C=C(/C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 920507817 RCOSSCWWTWHSKQ-JBMKGCFVSA-N 402.834 4.828 5 20 HJBD COc1ccc([C@H](NC[C@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 1120558765 JGBXSBYTUURJOQ-VXKWHMMOSA-N 412.873 4.669 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3cccc(Br)c3)o1)C2 1318793743 ZGUGYLOMWWPIIF-UHFFFAOYSA-N 400.232 4.528 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1 5562812 BFDCYKHEOLLXHP-UHFFFAOYSA-N 414.414 4.566 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)cc1[N+](=O)[O-] 8264057 HHFXDIKKQAYAIU-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD COc1ccc(C)cc1NC(=O)COc1ccc([N+](=O)[O-])cc1Cc1ccccc1 16458654 GSHADYCDZNGDDN-UHFFFAOYSA-N 406.438 4.520 5 20 HJBD CSc1ccc2cc(COC(=O)COc3ccccc3[N+](=O)[O-])c(Cl)nc2c1 18768909 WDKFHUJISCMOCY-UHFFFAOYSA-N 418.858 4.641 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 55152100 KEFKHBRMBUHICA-KGLIPLIRSA-N 405.882 4.713 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 58923342 UPTJIXOVCZOOBB-YADHBBJMSA-N 404.470 4.579 5 20 HJBD O=C(c1ccn(-c2cccc(F)c2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 97544022 JLYCYLXHATXFOJ-UHFFFAOYSA-N 414.824 4.630 5 20 HJBD C[C@H](NC(=O)c1ccc(NC(=O)Nc2ccccc2)s1)c1cccc([N+](=O)[O-])c1 195697091 XDXWMPOESZZCCX-ZDUSSCGKSA-N 410.455 4.791 5 20 HJBD COc1cc(CN[C@@H]2CCCc3occc32)ccc1OCc1ccc([N+](=O)[O-])cc1 237350928 FLWSPEIMEMNREM-HXUWFJFHSA-N 408.454 4.943 5 20 HJBD C[C@@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cn1ccnc1 237407262 VBHGBEFVADAJBQ-HNNXBMFYSA-N 400.866 4.663 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H](c2cccc(F)c2)c2ccccn2)cc1Cl 301867072 GJBZVOBBGPSFQU-LJQANCHMSA-N 415.808 4.770 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)c([N+](=O)[O-])c1 301917251 ATGXHQAQHAXGMP-QGZVFWFLSA-N 414.405 4.580 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cc2ccccc2o1 302891652 UWIQBLFXNQVCNT-HSZRJFAPSA-N 402.406 4.626 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)c(F)cc2Cl)c([N+](=O)[O-])cc1OCC 302901898 YQJSKWBSZQRECA-UHFFFAOYSA-N 400.765 4.576 5 20 HJBD COc1ccc(OCCSc2nnc(-c3ccc(Cl)s3)o2)c([N+](=O)[O-])c1 303885624 JOIMRHCPRTUZIS-UHFFFAOYSA-N 413.864 4.539 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C(F)(F)F)cs1 428631829 WJQVEIAKPBJLMA-SNVBAGLBSA-N 417.412 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(Cl)cc1)Cc1cnn(C)c1 431429261 JEAFIANJCNEPIH-UHFFFAOYSA-N 413.865 4.524 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)C1 433240497 OHNKRFDQOFXFHL-QFIPXVFZSA-N 409.530 4.558 5 20 HJBD COc1cccc(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)c1[N+](=O)[O-] 435918562 WCVUKMSFZAQNCY-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc(Cl)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 437305283 LWYLZKJKGFFMTF-HNAYVOBHSA-N 424.834 4.899 5 20 HJBD Cc1oncc1C(=O)Nc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1 439413760 UOPLUDGJSROTOX-UHFFFAOYSA-N 408.414 4.519 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Br)cc2C(F)(F)F)cccc1[N+](=O)[O-] 439804256 HWXVYWDXGWEMCD-UHFFFAOYSA-N 417.181 4.866 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC([C@@H]3CCCO3)CC2)cc1[N+](=O)[O-] 439910106 QLRNOMTVYBJGRT-IBGZPJMESA-N 406.548 4.764 5 20 HJBD CCc1noc2ncc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)cc12 440607266 BKCGMYBRDPEEGT-UHFFFAOYSA-N 402.410 4.540 5 20 HJBD Cn1cccc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440609043 KAJIZLSJFBUEIE-UHFFFAOYSA-N 401.875 4.668 5 20 HJBD O=C(NCCOCc1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441080346 QCMYDQRDUCJVMA-UHFFFAOYSA-N 408.479 4.693 5 20 HJBD CC[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 445249553 MAFMSOFTTZKZDO-SNVBAGLBSA-N 403.685 4.953 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCCCC1)N1CCc2ccc([N+](=O)[O-])cc2C1 446955052 WOQROBATZOVBQP-UHFFFAOYSA-N 414.893 4.829 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 460534228 ZSYPNGPGYXBCSL-CQSZACIVSA-N 413.861 4.513 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(-c4ccc(F)cn4)no3)cc2[N+](=O)[O-])n1 460633554 LPTOOZOQTIXNKP-UHFFFAOYSA-N 415.431 4.762 5 20 HJBD C[C@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 462527238 QYXHDPMICOUYGW-LLVKDONJSA-N 415.298 4.816 5 20 HJBD COc1cc(C(=O)N[C@H]2c3ccccc3CCC[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 462582312 XESKJWNIXJZJQS-HXPMCKFVSA-N 420.412 4.648 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@@H]1c1cccs1 466144451 RQRPTKYFVOHXQI-LJQANCHMSA-N 413.524 4.618 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 467998131 KKKWPAORWOSKOY-TVFCKZIOSA-N 422.359 4.682 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 470128916 QUZICUVAHHDKET-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 471163060 YANBBZNIVWLUEB-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD Cn1ccc2cccc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)c21 472941339 SGGFXXAWKXDFLU-UHFFFAOYSA-N 403.388 4.704 5 20 HJBD Cc1coc(-c2cc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])ccc2C)n1 477598724 PHQXCILEINYUFG-UHFFFAOYSA-N 414.849 4.884 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)C(F)(F)F)c1 482057072 SVPBHMZMXZUDPJ-SFHVURJKSA-N 407.392 4.881 5 20 HJBD O=C(CCSc1nnc(-c2cc3ccccc3o2)o1)Nc1ccccc1[N+](=O)[O-] 484727207 MQQRTMGYBWNGLY-UHFFFAOYSA-N 410.411 4.512 5 20 HJBD Cn1ccc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc21 485701666 OVLCVJHZAFXCDE-UHFFFAOYSA-N 401.422 4.918 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486180758 ARWLNZZYJCLZLC-UHFFFAOYSA-N 406.413 4.732 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497874351 PDARNKMKLGJQEX-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(Br)cc2)cc1C(F)(F)F 502788356 DGVMBPKZOHUVAP-CYBMUJFWSA-N 405.170 4.522 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCCC2)cn1 503064588 JBQXXFMBJZCEGT-UHFFFAOYSA-N 400.504 4.735 5 20 HJBD CCCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@@H]1CCc2ccccc2C1 511381890 KMUDEEUBWAGATG-JOCHJYFZSA-N 424.544 4.951 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2cc(F)c(F)cc2Br)c1 512994332 YRJHACIZKVKPFU-UHFFFAOYSA-N 423.160 4.527 5 20 HJBD COc1cc(Cc2noc(-c3ccc(-c4csc(C)n4)cc3)n2)ccc1[N+](=O)[O-] 514756754 NBWINRRZIJHBON-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1 518440997 BVPDMZIOVHRYFF-UHFFFAOYSA-N 417.421 4.593 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1Cc2ccccc2[C@H](c2ccccc2)C1 518962509 BEFNQOFHFXBULS-QHCPKHFHSA-N 424.460 4.568 5 20 HJBD COc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c(Br)c1 524826949 FZMHNHOZJPVGIM-UHFFFAOYSA-N 422.279 4.510 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1cc(Cl)cc([N+](=O)[O-])c1 525471207 ZQIHWZDYUKKIPB-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@@H](C)c3cc([N+](=O)[O-])ccc3C)c2)cc1 531947119 FJXQCNZOAZOFLJ-IBGZPJMESA-N 417.509 4.992 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCCc4c[nH]nc43)o2)c(Br)c1 531954794 YDFFZBFUVULFMT-MRXNPFEDSA-N 417.263 4.508 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@@](C)=O)cc1 533741324 YDIUBMWABNTMFA-BJLXPSRBSA-N 423.538 4.951 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(-c3ccccc3)cnc2C)cc1[N+](=O)[O-] 534808293 OQYJDEIRAXFUGX-UHFFFAOYSA-N 423.494 4.846 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)NCC)ccc1C 538537053 IALZAXBHMRDQKV-UHFFFAOYSA-N 415.515 4.797 5 20 HJBD Cc1ccc(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)CN1CCCCC1 539734058 CLUJHHSTDPDCRC-SFHVURJKSA-N 410.518 4.809 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC[C@@H]3c3nncn3C)cc2[N+](=O)[O-])cc1 539823203 UWNCLBWBQRMYPT-GOSISDBHSA-N 409.515 4.520 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccccc2-c2nc[nH]n2)cc1[N+](=O)[O-] 540000216 LNDRHDLKSYSDEC-UHFFFAOYSA-N 411.487 4.770 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540567419 SJYKSUCZOFBDKM-GOSISDBHSA-N 417.465 4.515 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 542093854 PVRLPDCURHPCMP-UHFFFAOYSA-N 415.456 4.588 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543164465 PMQOQEDGKFMGDL-UHFFFAOYSA-N 402.806 4.889 5 20 HJBD CCCn1cc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cn1 543796204 IORHZZWUUAPDEX-UHFFFAOYSA-N 413.503 4.628 5 20 HJBD CCOc1ccc([C@@H](NCCC(=O)Nc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 548826053 DDLGROLWWADDPX-DEOSSOPVSA-N 419.481 4.701 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(F)c1Br)c1cccc([N+](=O)[O-])c1 555793121 QLRZVFXMGMHPHL-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccc([N+](=O)[O-])cc1Br 566935990 DHGISEVCEWUZMN-XYPYZODXSA-N 409.667 4.687 5 20 HJBD C[C@H](c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1cn(C)c2ccccc12 579288219 OVGGVROJKIUKOM-ZDUSSCGKSA-N 401.426 4.693 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 580488448 PXGLSRKBRNZFHN-QGZVFWFLSA-N 402.539 4.666 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)n1 589127184 FVPSJHRQVJMCJF-PBHICJAKSA-N 403.797 4.991 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Sc1ccccc1 590646449 IZDRCSQMNBOJHQ-HNNXBMFYSA-N 421.478 4.503 5 20 HJBD CNc1ccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 603919707 WTIWBJPKGMFZQA-SFHVURJKSA-N 401.875 4.871 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 604472840 HJIXWYIVSGYOGT-GFCCVEGCSA-N 418.375 4.751 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3)c(C)s2)cc1OC 604561619 NPNRVCOCXHVUOD-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c3ncccc23)cc1SC 609125968 JORPBRLZFXILMB-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C/c4ccc(F)cc4[N+](=O)[O-])n3)c2)n1 609475748 JGACUCCKWYVRJF-VQHVLOKHSA-N 419.416 4.816 5 20 HJBD O=C(NCCCCSc1ccccc1Br)c1ccc([N+](=O)[O-])s1 609652776 OBACDDZNWMHOMS-UHFFFAOYSA-N 415.334 4.721 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609981706 VTEMTWQCRSEVRV-CQSZACIVSA-N 413.421 4.710 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@]2(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610190450 MZDQHWYKLSXXGO-UIDQEFQQSA-N 416.481 4.623 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610876315 BPDNDSSGKFEDPX-UHFFFAOYSA-N 412.465 4.921 5 20 HJBD O=C(CC1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1)NC1CCCC1 611265042 QCPOQVVAMUAOGU-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCCc2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 732517884 GVBNXTSKRGVONS-OAHLLOKOSA-N 409.417 4.702 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c(Cl)c1 740783580 TUKBHBYSICRHGF-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCSc2ccccc21 741946571 ICGIRMPNEVTRGU-CQSZACIVSA-N 408.273 4.680 5 20 HJBD Cc1cccc(N2C(=O)/C(=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c1C 747976740 FRKDSDLXJPQRQD-ATVHPVEESA-N 419.462 4.734 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607163 LQNKJHJGLSGVEC-LLVKDONJSA-N 411.336 4.630 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2oc(COc3ccc(C=O)cc3)cc2C)cc1[N+](=O)[O-] 752745902 NWVVWOHNQKFCSB-INIZCTEOSA-N 422.437 4.687 5 20 HJBD COC(=O)/C(=C\c1ccc(C(C)C)c([N+](=O)[O-])c1)c1ncc(Br)s1 752875284 KHSRMZWIKIQBIY-SDQBBNPISA-N 411.277 4.651 5 20 HJBD Cc1cc(CCCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)s1 753490290 CQRKQTRRGXJYQE-CYBMUJFWSA-N 415.471 4.950 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc3c2CCN3C(=O)OC(C)(C)C)c1[N+](=O)[O-] 758588779 JZETXRAVYPPQGB-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1ccc(Cl)c([N+](=O)[O-])c1 768386944 PPZMGBAYKQEOIF-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cc(Cl)cc2cccnc12 770330992 PSEGBAHJJLAZQM-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(N[C@H](c1cc(F)cc(Br)c1)C1CC1)c1cc(F)ccc1[N+](=O)[O-] 775958426 GWISUGFPBMYZAI-INIZCTEOSA-N 411.202 4.517 5 20 HJBD Cc1[nH]c2ccccc2c1CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134415 BDKZBEFZENJKOD-HSZRJFAPSA-N 401.422 4.655 5 20 HJBD Cc1nc(COc2ccc(N[C@@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])cc2)no1 777687333 ZFIFIZIUCSHLAD-OXQOHEQNSA-N 408.458 4.689 5 20 HJBD COC(=O)Nc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1F 782973944 LGZRZTCHXNVGRY-UHFFFAOYSA-N 402.407 4.648 5 20 HJBD Cc1c(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)cccc1[N+](=O)[O-] 787664555 FTIZVXQYHVTQNM-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc3c(c2)CCN3C(=O)OC(C)(C)C)c1F 790430332 VMNKZVKZEAUIMC-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790668828 NJAXGDGBSPURRQ-SNVBAGLBSA-N 407.854 4.759 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(OCC(F)(F)F)c(Cl)c2)c1F 791062707 MCOVETBEIMIUHV-UHFFFAOYSA-N 406.719 4.889 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c1 800151536 LJWYLLRSOJUMLM-UHFFFAOYSA-N 401.438 4.557 5 20 HJBD O=[N+]([O-])c1cc(OCc2nnc(-c3ccc(Br)s3)o2)ccc1Cl 808056267 LCHLELJWQSFNQK-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccnc(C(F)(F)F)c3)n2)cc1[N+](=O)[O-] 808060045 YVGFGMFOEIKTNJ-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 809304186 YVFUQOYROYOSRV-INIZCTEOSA-N 407.264 4.589 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(OCCc2ccccc2)CC1 809914581 DSROHOHWNGVNGI-UHFFFAOYSA-N 403.866 4.504 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1csc(-c2ccccn2)n1 811214954 KKOJQMHMEZJGPM-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD O=C(NCCSCc1ccccc1F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812836785 XETIPFZLJLBWFZ-UHFFFAOYSA-N 403.262 4.704 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c([C@@H](C)O)c2)cc1OC 814236557 CKWNTBSJUAOEGT-ZIAGYGMSSA-N 406.454 4.833 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CC=C(c2c[nH]c3ccccc23)CC1 913041241 BOALNOXYBNVGLS-CQSZACIVSA-N 424.888 4.846 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccnc1SCc1ccc(F)cc1 915837850 LYWONJZMOYOYBN-UHFFFAOYSA-N 419.384 4.952 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1ccc(Cl)c([N+](=O)[O-])c1 916466771 DWRMAOJFQXOJDE-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)C1 918604381 JZIUORVWDCXVGR-GOSISDBHSA-N 422.529 4.679 5 20 HJBD COc1ccc(CNCc2ccc([C@@H](C)O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 920182528 QAWJEUCHSYBBKY-QGZVFWFLSA-N 422.481 4.526 5 20 HJBD CN(C)[C@@H](CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccsc1 1116012518 BTZZYYLUYFEQFL-SFHVURJKSA-N 405.907 4.962 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(Cl)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 1116468610 MRDAWYYAWHAEFS-VIFPVBQESA-N 404.772 4.848 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1323255194 JEHBSINTSRBLJK-UHFFFAOYSA-N 415.755 4.737 5 20 HJBD O=C(Cc1csc(-c2c(Cl)cccc2Cl)n1)Nc1cc([N+](=O)[O-])ccc1O 1324788899 NEFJQRDCVVZRGH-UHFFFAOYSA-N 424.265 4.912 5 20 HJBD O=C(c1cccc(-n2nccc2-c2ccccc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339613675 NLIPXBTXGGOCDJ-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD COc1ccc(NC(=O)N(CCc2ccc(F)cc2)C2CCCC2)c([N+](=O)[O-])c1 1345371016 MZVKUDOBYNMGDJ-UHFFFAOYSA-N 401.438 4.762 5 20 HJBD O=C(/C=C\c1nccs1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 1354422152 KRCLLOVLQHBVAC-SREVYHEPSA-N 415.858 4.936 5 20 HJBD O=C(NCCc1ccco1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 15788994 YXHFMMVLEYOCCQ-UHFFFAOYSA-N 402.859 4.965 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2)cs1 18824723 SSGHMTSJDDFPQU-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD COc1ccc([C@H]2CCCN2Cn2c(=S)oc3ccc([N+](=O)[O-])cc32)c(OC)c1 23852314 LQCCSHSOZABJJF-MRXNPFEDSA-N 415.471 4.684 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nnc(-c2ccc3ccccc3c2)o1 32691938 YJXPXZAATMVCCB-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50333563 AEVXWTDAGYIXOD-UHFFFAOYSA-N 410.455 4.709 5 20 HJBD O=C(Nc1cccc(Cl)c1Oc1cccnc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 56168062 SEAKYQQVOCZHGT-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD CC(C)c1ccc(-c2nc(Cn3cnc4ccc([N+](=O)[O-])cc4c3=O)cs2)cc1 57476116 HDWAWAHNUMHKAX-UHFFFAOYSA-N 406.467 4.600 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(C)[C@@H](C)c1ccc(Cl)cc1Cl 108398209 JIIUKMKXBUHMPU-NSHDSACASA-N 403.287 4.592 5 20 HJBD Cc1sc(NC(=O)CCOc2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 195704271 AYIPSURVUQQERI-UHFFFAOYSA-N 401.419 4.573 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2nc3cc(Cl)ccc3o2)c([N+](=O)[O-])c1 302767576 MXPBMSVMRBJLKJ-SECBINFHSA-N 407.835 4.517 5 20 HJBD Cc1cccc(C(=O)NC[C@H](C)Sc2ccc(Br)cc2)c1[N+](=O)[O-] 303345791 DVODGLQIGGOGKO-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2cccc(F)c2)C(C)(C)C)c([N+](=O)[O-])cc1OC 427884206 SICTXSPLXJMDGC-IBGZPJMESA-N 404.438 4.658 5 20 HJBD Cc1sc(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)nc1-c1ccc(OC(F)F)cc1 427899992 GHPODBBQVQDLOD-UHFFFAOYSA-N 421.381 4.586 5 20 HJBD CN(Cc1ccc(F)c(Cl)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 432278004 WGXQDQLGTDGAAN-UHFFFAOYSA-N 408.860 4.606 5 20 HJBD CC1(C)C[C@@H](Nc2cccc(C(=O)NCc3ccccn3)c2)c2cc([N+](=O)[O-])ccc21 433231973 LIISKSVBMRDENO-JOCHJYFZSA-N 416.481 4.754 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436070726 VTBLBTXSDDETBS-UHFFFAOYSA-N 406.432 4.664 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1cc2cc([N+](=O)[O-])ccc2o1 436162461 OFBYDXYVFQRQSG-UHFFFAOYSA-N 402.410 4.793 5 20 HJBD C[C@H](Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 436274217 LOKOJZOACACEBO-QMMMGPOBSA-N 401.295 4.927 5 20 HJBD O=C(Nc1c(F)cccc1N1CCCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436361783 CXMUHVQTSCBKIZ-UHFFFAOYSA-N 401.441 4.673 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437120961 ZNVDFFJZHVVISC-UHFFFAOYSA-N 409.417 4.513 5 20 HJBD CC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC[C@H]1O 438467760 UWSUPVASYCYSKM-GOSISDBHSA-N 420.918 4.632 5 20 HJBD O=C(N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439027165 IKOAEPMYRXTRHB-CGTJXYLNSA-N 418.902 4.697 5 20 HJBD CN(C)C(=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440440465 MFFAAWAEEOGVHD-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD CCO[C@H]1C[C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444086556 XNHBBKHKORNZGY-YADHBBJMSA-N 404.510 4.539 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N(CCC(F)(F)F)CC1CC1 444223222 IGJLTFIUABLUTO-UHFFFAOYSA-N 424.369 4.764 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc(F)cc2[N+](=O)[O-])n1)Nc1cccc2ccccc12 445348387 CXHZMWLODIRHFX-LUAWRHEFSA-N 418.384 4.622 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@H](C)c1ccc(F)cc1 446027783 ZEKLCCHWRAXGGA-SECBINFHSA-N 401.257 4.781 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)c1 465024120 QTCMMBQKSVXDGL-UHFFFAOYSA-N 420.421 4.648 5 20 HJBD Cc1ccc([C@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccn2)cc1 471070508 UOQQHHBQQCOJOL-DEOSSOPVSA-N 414.465 4.875 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c1)Nc1ccccc1Cl 471113652 BQZKYENVOXBKCR-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CC(C)(NC(=O)c1cc2sc(N3CCCC3)nc2s1)c1ccc([N+](=O)[O-])cc1 476303491 VUFIROSSPNKZBR-UHFFFAOYSA-N 416.528 4.531 5 20 HJBD CCOc1cc(C(=O)N[C@@H]2CCCC[C@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OC 476744925 XTGSFIRCACANNH-PKOBYXMFSA-N 412.486 4.533 5 20 HJBD C[C@H](NCc1cc(Br)ccc1Br)c1ccc([N+](=O)[O-])cc1 478402578 UPTBWZWRJNYNGZ-JTQLQIEISA-N 414.097 4.971 5 20 HJBD COc1ccc(OCc2cc(-c3c(OC)ccc4ccccc34)no2)cc1[N+](=O)[O-] 479416119 HOPXCWHFADKFNW-UHFFFAOYSA-N 406.394 4.999 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1Cl 479624752 FMWALIDKUMVTNK-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD CCc1c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cnn1C(CC)CC 482686615 IIZRDGSQZYCCIP-GFCCVEGCSA-N 408.886 4.774 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H](C(=O)N(C)C)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483133398 HWDJFEZMJWKGDM-XHSDSOJGSA-N 401.532 4.621 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 487009550 LOJNEOFMDKBDLW-SFHVURJKSA-N 407.392 4.615 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(OCC(F)F)cc1 488942786 MSJUQASPQFVFAS-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 489534808 DFSMREBPEMBZNN-GOSISDBHSA-N 416.499 4.763 5 20 HJBD COc1cc(CNCc2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OCC(F)F 491035402 VLPSWFUEBMYRJP-UHFFFAOYSA-N 420.334 4.556 5 20 HJBD O=C(CCc1ccc(OC(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493077489 IUKQREUQDWVTBP-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD O=C(c1cc(Oc2ccccc2)ccn1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498132086 MNACYLLUINVXPB-NRFANRHFSA-N 407.451 4.670 5 20 HJBD COc1ccc(CNC(=O)N(Cc2c(Cl)cccc2Cl)C2CC2)cc1[N+](=O)[O-] 503340659 JALKQYYBGZYXEN-UHFFFAOYSA-N 424.284 4.784 5 20 HJBD CCC1(CC)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 504211239 OGJCGOYRYLLEFU-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 506176306 WZKVBUQJJOKTOK-GOSISDBHSA-N 417.531 4.565 5 20 HJBD CC[C@@H](CC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(OC)cc1 507538537 YWCBJYZPUUTRDS-YCRPNKLZSA-N 400.500 4.761 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 513177555 HUBBGRUJZGGQJM-GHMZBOCLSA-N 415.799 4.945 5 20 HJBD Cc1cccc(-c2cccc(CNC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)c2)c1 518456892 DTXZYBUJYPCTGQ-UHFFFAOYSA-N 413.433 4.754 5 20 HJBD CC(C)CCc1ccc(NC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)cc1 519874921 XEXBYSZCQKHJCV-UHFFFAOYSA-N 411.458 4.510 5 20 HJBD CCCN(C(=O)c1cccc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 524835955 UTMZSWCXVIDBIC-NRFANRHFSA-N 411.408 4.910 5 20 HJBD COc1cc(C(=O)NCc2cccc(-c3cccc(C)c3)c2)cc([N+](=O)[O-])c1OC 525034396 CMLROSOLAJAORZ-UHFFFAOYSA-N 406.438 4.517 5 20 HJBD O=C(NCc1ncccc1F)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 530310024 DRRQIRRDTNXWJV-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 532709354 NCICYRZJOFZKCB-UHFFFAOYSA-N 404.138 4.638 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1ccc([N+](=O)[O-])cc1Cl 534207860 UZAGRSZABSSTNH-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 534808298 OUMALIUDTUYRHX-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535702681 VPYHXHNILJZAQY-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1nccn1C 537058176 OLQUGKPFZRJBFW-GFCCVEGCSA-N 404.829 4.764 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)Nc2ccccc2Cc2ccccc2)cc1[N+](=O)[O-] 537176085 PVBDVGHKFMZGFX-SFHVURJKSA-N 403.482 4.783 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)c1 539524855 HSVOBEVFUOHUKY-UHFFFAOYSA-N 418.409 4.514 5 20 HJBD O=C(Nc1ccc(-n2ncc3ccccc32)cc1)c1ccc([N+](=O)[O-])c2cccnc12 540517512 UHCVESBZNBOVDI-UHFFFAOYSA-N 409.405 4.734 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1F 542536410 QWRSXXXLVWZKRD-LBPRGKRZSA-N 413.430 4.790 5 20 HJBD Cc1noc2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC3CCCC3)cc12 543439110 SLKKAEPCCLXVJU-UHFFFAOYSA-N 412.471 4.974 5 20 HJBD C[C@@H](C(=O)NCc1ccccc1COCc1ccccc1)c1ccc([N+](=O)[O-])cc1F 543464430 LELVSOQUMKXFCK-QGZVFWFLSA-N 422.456 4.871 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)CSc1ccccc1[N+](=O)[O-] 544093698 OHJSGFAJRVOZQD-GOSISDBHSA-N 422.510 4.756 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545827808 LWIXTJHXSXNMHH-UHFFFAOYSA-N 415.465 4.943 5 20 HJBD C/C(=C\c1nc(Cc2ccn(-c3c(F)cccc3F)n2)no1)c1ccc([N+](=O)[O-])cc1 547246143 RQHKRGSSGPNKPO-ACCUITESSA-N 423.379 4.593 5 20 HJBD C[C@](O)(C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1)C(F)(F)F 547365391 CMRWKWNZRKXXFK-SFHVURJKSA-N 414.449 4.849 5 20 HJBD O=C(CCNCCC(c1ccccc1)c1ccccc1)Nc1ccccc1[N+](=O)[O-] 553934676 MOFJYWJJXQNWGG-UHFFFAOYSA-N 403.482 4.735 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2C[C@H](c3ccccc3)[C@H]3COCC[C@H]32)c1 578029798 RDVVANVLWOUEBP-QWFCFKBJSA-N 406.404 4.618 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(Cc2nc3ccccc3s2)cc1 603564791 VPPIUSJNBVJAKY-UHFFFAOYSA-N 419.462 4.813 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@H]2c2ccccc2)cc1)c1csc([N+](=O)[O-])c1 609454475 NFQANHMECJAMLI-IBGZPJMESA-N 421.478 4.886 5 20 HJBD O=C(NCC1(c2ccc(Br)cc2)CCCC1)c1ccccc1[N+](=O)[O-] 609980461 PVASSSLNRQWMTJ-UHFFFAOYSA-N 403.276 4.599 5 20 HJBD O=C(Cc1ccc(Br)c(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 615770647 IIQCQPXJVIVOOI-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1 733248492 DBSPBOKQYJCJSZ-HXUWFJFHSA-N 407.514 4.741 5 20 HJBD CC(C)c1cnc(COC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)o1 733387318 PLRLYGAVKAQCHS-GASCZTMLSA-N 401.463 4.546 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Cl)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 735637621 SAGFYRXNZCTONU-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc(Cl)c2C(N)=O)cc1[N+](=O)[O-] 742832259 IVCDUCVQYWYWPQ-UHFFFAOYSA-N 421.906 4.738 5 20 HJBD O=C(Nc1ccc(OC[C@H](O)c2cccc(Cl)c2)cc1)c1cccc([N+](=O)[O-])c1 743262074 PALYHAUXBAGGQX-FQEVSTJZSA-N 412.829 4.613 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@H]1CCc2ccccc2C1 751108721 VMPUZMFSDCUGQN-INIZCTEOSA-N 417.303 4.767 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(C)c1 755065103 OMHBJAYCWGWZFY-MRXNPFEDSA-N 416.449 4.725 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 755357063 JMYDNZMVMGNSRM-UHFFFAOYSA-N 400.431 4.504 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 757997581 RVLVHYWHJJYTIG-BEFAXECRSA-N 423.282 4.849 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)CC1 772480066 IFJQJKROIFUQBO-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD CC(=O)c1ccc(C(=O)O[C@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])cc1 774373937 UHVLAIOGYYWKMK-QGZVFWFLSA-N 420.259 4.605 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2cccc(F)c2)no1 776134006 JZNLLPKGWYTJLU-OAQYLSRUSA-N 419.368 4.730 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3noc(-c4ccc(F)cc4F)n3)sc2c1 780332394 SPNFPIWXSCAGRV-UHFFFAOYSA-N 406.395 4.825 5 20 HJBD CCc1nnc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)o1 784697213 VSSYTIPETDYDNG-LBPRGKRZSA-N 405.476 4.741 5 20 HJBD Cc1ccccc1-c1nnc(SCc2ccc(Br)c([N+](=O)[O-])c2)n1C 788099100 JBOJULWGPNOUIC-UHFFFAOYSA-N 419.304 4.754 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSC[C@@H]2CC3(CCC3)C(=O)O2)cc1OC 790572602 RNKCERGRWUQKHP-ZBFHGGJFSA-N 423.531 4.747 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 791598584 KDNUQRSWOIXJKX-HNNXBMFYSA-N 418.405 4.914 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@@H]1CCCCN1Cc1ccccn1 795609527 ZVEQKVJMEADSFX-VWLOTQADSA-N 411.505 4.881 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2cc(Cl)ccc2n1C 796953500 IKRMWAZDBRWIFW-GFCCVEGCSA-N 401.850 4.717 5 20 HJBD COc1c(C)cnc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1C 799322266 HPDVKWLYMHVBEM-UHFFFAOYSA-N 423.494 4.696 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1F 803460808 HPBIPKYRLBZKGL-JTQLQIEISA-N 405.769 4.664 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085690 XDXHVAGJHLPUIH-UHFFFAOYSA-N 414.245 4.618 5 20 HJBD CCC(CC)n1ccc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 811218598 HJHJHXFRYBJTBT-UHFFFAOYSA-N 400.460 4.633 5 20 HJBD O=C(Nc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1)OCC(F)(F)F 813245645 IOOLRDCZHGHFCW-UHFFFAOYSA-N 417.727 4.611 5 20 HJBD Nc1ccc(NC(=O)c2ccc(-c3ccccc3OC(F)(F)F)o2)cc1[N+](=O)[O-] 819905664 SNPWSMXBGALPAG-UHFFFAOYSA-N 407.304 4.588 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 915655870 AQDJTZMVQCVLKZ-UHFFFAOYSA-N 416.409 4.613 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(-c3ccco3)cs2)CC1 918620660 OMVKRLQRZJKUFO-UHFFFAOYSA-N 412.471 4.800 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1nc(N2CCCC2)ncc1Cl 920331310 XGPIECDBJURSKF-UHFFFAOYSA-N 424.844 4.525 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1322364584 TYTBGDCZTMMALL-UHFFFAOYSA-N 417.400 4.598 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Cn3c4ccccc4c(=O)c4ccccc43)o2)c1 1329040484 XLVYPNYEQZDTGS-UHFFFAOYSA-N 411.461 4.782 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)c1nc(-c2ccccc2)oc1C1CC1 1343292756 NOXIPLNPGCHPNP-UHFFFAOYSA-N 408.410 4.883 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1343428583 GARIMCJUCDUIRX-GXTWGEPZSA-N 400.378 4.996 5 20 HJBD C[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)N[C@H](C)c1ccc(F)cc1 6027993 XYSYUUSBGDABBU-GHMZBOCLSA-N 405.476 4.702 5 20 HJBD Cc1[nH]c(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)nc1Cc1ccccc1 22252432 FZLXBHKVAOCLKG-HNNXBMFYSA-N 410.499 4.645 5 20 HJBD C[C@@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 47255412 ODFGTRXQVGITEQ-MRXNPFEDSA-N 418.453 4.659 5 20 HJBD COc1ccc(CN[C@H](C)Cc2ccsc2)cc1OCc1ccc([N+](=O)[O-])cc1 60527746 LNCNMBPZNOGXQT-MRXNPFEDSA-N 412.511 4.965 5 20 HJBD Cc1ccc(CN[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 237883794 MJRLAQAWIWRIGX-OAQYLSRUSA-N 404.445 4.923 5 20 HJBD O=[N+]([O-])c1cc(CNCC2(c3cccc(C(F)(F)F)c3)CCOCC2)cs1 237919563 ISSHFENVEJHXDL-UHFFFAOYSA-N 400.422 4.513 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])cc2Br)cc(OC)c1OC 301109533 SMWJXBVQNZUFBK-JTQLQIEISA-N 411.252 4.556 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3ncc([N+](=O)[O-])cc3C)CC2)n1 302721731 LMUASEYWUZBHOK-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD COc1ccccc1[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccccc1 303306900 PUOUMNZPCNYSFZ-DEOSSOPVSA-N 419.481 4.701 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2ccc(Cl)cc2n1 410415363 DDXVQWOACWINFS-UHFFFAOYSA-N 413.864 4.995 5 20 HJBD CC(C)CN(C(=O)c1ncc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 429086823 HTMBUVCWCDDHOM-UHFFFAOYSA-N 418.425 4.694 5 20 HJBD CC(C)n1cnnc1CN(C)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 430191583 KPZIWWJRUUXEMZ-UHFFFAOYSA-N 415.881 4.845 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 430617031 JLYPYRVYXZQKGH-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2ccc(Br)c(F)c2)cccc1[N+](=O)[O-] 431141509 TYJOWOXNIKKWIQ-SFHVURJKSA-N 421.266 4.711 5 20 HJBD COc1cccc(Oc2ccc(CNc3cc(C(F)(F)F)ncc3[N+](=O)[O-])cn2)c1 436306743 JGHZBWJCWPFFFG-UHFFFAOYSA-N 420.347 4.817 5 20 HJBD CCCC(O)(CCC)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 440639502 MALXNCGLVZCAPX-UHFFFAOYSA-N 402.516 4.807 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443858675 QTRRJUQBHQOOQI-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC(Sc2ccc(Cl)cc2)CC1 448187932 HMLRBIOWNVYIEB-UHFFFAOYSA-N 420.918 4.800 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 463770510 RWUHBQIEIUAGPY-JTQLQIEISA-N 404.473 4.525 5 20 HJBD O=C(Cc1ccc(Cl)c(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464633552 RNQKXGZZUKUVSN-UHFFFAOYSA-N 409.269 4.514 5 20 HJBD CC1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCOCC1 466416193 IUBZDBPLZLBKEK-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cc(Cl)ccc1NC1CC1 467675988 LRRMIRBKQAVVMJ-UHFFFAOYSA-N 424.682 4.766 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3S(C)(=O)=O)cc2)cs1 468727527 WDOHOMAJYTWQGQ-GFCCVEGCSA-N 417.512 4.603 5 20 HJBD O=C(Nc1ccccc1O[C@@H]1CCOC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 470764060 FOZSMOJFPMQGSL-GOSISDBHSA-N 419.437 4.758 5 20 HJBD CSc1nc(C(C)(C)C)nc(C)c1C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 471912057 UAZNDJTZUUVBRY-LBPRGKRZSA-N 402.520 4.512 5 20 HJBD CSc1cccc(C)c1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 472241866 QNBISCFNFVDHCE-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD COc1ccc(Nc2nc3ccccc3cc2[N+](=O)[O-])cc1Oc1ccc(C)nn1 473508089 LICIYSNWRCYACA-UHFFFAOYSA-N 403.398 4.786 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(COC(C)(C)C)c2C)cc1[N+](=O)[O-] 475971132 ZRDVNYBDFOBAFJ-UHFFFAOYSA-N 401.463 4.549 5 20 HJBD CC1(C)C[C@H](N[C@H](CO)c2ccccc2OC(F)(F)F)c2cc([N+](=O)[O-])ccc21 479527238 HIIGYILPYCKSBY-DLBZAZTESA-N 410.392 4.539 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCc4c(F)cc(F)cc43)cc2[N+](=O)[O-])CC1 485310146 CHGUTDKYXRHSKU-UHFFFAOYSA-N 415.440 4.702 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3ccnc(Oc4ccccc4F)c3)n2)cc1 486492685 XQEVHPLBXFBZMA-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 489032277 YNHCAQYGUAYOFJ-OAHLLOKOSA-N 423.469 4.837 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2cccc(NC(=O)C3CCCC3)c2)cc1[N+](=O)[O-] 489272062 VRTHJMDGMMRXJX-INIZCTEOSA-N 424.501 4.592 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@@H](C)C(=O)Nc1ccccc1C 490003592 UZKGMEPUIKVYEP-HNNXBMFYSA-N 409.442 4.529 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494550892 WGTHQQGKLLYJTL-XOBRGWDASA-N 417.893 4.698 5 20 HJBD CC[C@H](Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-])c1ccccc1OC(F)F 498819736 VVECIACZWBLONS-HNNXBMFYSA-N 407.417 4.898 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1ccco1)C12CC3CC(CC(C3)C1)C2 498915704 BHFMDAWXLLVIKW-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2Br)cc1[N+](=O)[O-] 508394731 ODVBPZRRXHOYET-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CSc1ccc(C(=O)NCc2cccc(COCc3ccco3)c2)cc1[N+](=O)[O-] 515078466 RVQZBQJBZHUQDG-UHFFFAOYSA-N 412.467 4.557 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1Cl 515172703 ZYRDSTXKLNKSQC-UHFFFAOYSA-N 415.833 4.729 5 20 HJBD CN(C[C@H]1CCCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 517405544 PMSMNZWZCCQULN-CQSZACIVSA-N 420.918 4.898 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccc2c(c1)OCO2)c1ccccc1 517935288 QXXXOXOMYNESJS-UHFFFAOYSA-N 422.462 4.649 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1nc(/C=C/c2ccccc2)cs1 520291847 KBAQFODJOGUELO-SOFGYWHQSA-N 421.887 4.676 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2noc(C(C)C)n2)CC1 522021270 YJFDKDFWFXYNMZ-UHFFFAOYSA-N 418.519 4.622 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc3c2CN([C@H](C)C(C)C)C3)cccc1[N+](=O)[O-] 524323885 CYKBGEDSJTZWIE-IAGOWNOFSA-N 410.518 4.702 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1nnc(-c2ccccc2Cl)o1 531243592 ZVZGQBJGZRJAGI-UHFFFAOYSA-N 424.638 4.948 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN(C)[C@@H](C)c1sc(C(C)C)nc1C 533765055 CWWWIGXRYCNDMK-HNNXBMFYSA-N 404.536 4.813 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cn(-c2ccccc2)nn1 534792779 CWGKEUFWOPWLJS-AWEZNQCLSA-N 407.455 4.825 5 20 HJBD O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)Nc1cc(C(F)(F)F)ccc1Cl 538658638 GAXQDVDESZGSEB-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)NCCC)c1 540783974 OBHONLSHIGVWSI-UHFFFAOYSA-N 415.515 4.879 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NCCOc3ccccc3[N+](=O)[O-])s2)cc1 541640422 VBFKYMZDXSPEER-AWEZNQCLSA-N 413.499 4.765 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CCCC2)nn1C 543794439 JLPSZVWAGKFZQI-UHFFFAOYSA-N 402.520 4.986 5 20 HJBD O=C(Nc1ccc(F)cc1-n1cccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 544696187 CJDPNOSONDCJPC-UHFFFAOYSA-N 423.322 4.718 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc(-c4c[nH]c5cc([N+](=O)[O-])ccc45)n3)cc2)n1 546247191 BWNOKYAYHAJMBK-UHFFFAOYSA-N 414.425 4.519 5 20 HJBD C[C@@H](Oc1ccccc1Cc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 546489395 YQWNNFKKSFHPHF-MRXNPFEDSA-N 416.437 4.694 5 20 HJBD Cc1ccc(-c2noc([C@@H]3CC(=O)N(c4cccc5ccccc45)C3)n2)cc1[N+](=O)[O-] 546988176 YQCXPSVVTPOQEF-QGZVFWFLSA-N 414.421 4.627 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cccc1-c1ncco1 553057298 WSOFEAMFBHXQNS-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)c1 554904801 CHLLCBCJQLYFFV-SFHVURJKSA-N 414.849 4.501 5 20 HJBD C[C@H](C(=O)NCc1cnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 560142372 PYRSHGPNRKOXQK-NSHDSACASA-N 419.865 4.931 5 20 HJBD CC[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)Oc1ccccc1Cl 560172733 BIFFZNVSPAAYMN-GFCCVEGCSA-N 416.783 4.854 5 20 HJBD CN(C(=O)c1ccc(OC2CCC2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 563268497 LAHQXTQTXJAHRG-UHFFFAOYSA-N 409.467 4.926 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC=C(c2ccncc2)C1 578899685 CKPQYJMYUSNLFM-UHFFFAOYSA-N 414.465 4.531 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(C(F)(F)F)cn2)no1 582810362 HUYNWZVIMYNDTN-CYBMUJFWSA-N 406.364 4.719 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 583223086 CRKPOLQRNKRXEZ-QFIPXVFZSA-N 400.478 4.913 5 20 HJBD COc1ccc(CC(=O)N(CC2CC2)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 584562894 ILPYJCJGMOMSKE-UHFFFAOYSA-N 408.376 4.608 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 584757533 IJQPUDZCAHQQMT-RDTXWAMCSA-N 418.877 4.938 5 20 HJBD C[C@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1ccccc1[N+](=O)[O-] 603938254 QYKPXTNIKPUKDN-VIFPVBQESA-N 410.377 4.609 5 20 HJBD O=C(Nc1nccn1Cc1ccccc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 609664856 KTVSHVUUTRPORI-UHFFFAOYSA-N 412.858 4.960 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccnc(Oc2ccccc2)c1 610769795 JRKCRTJREKKKCQ-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCc2sc(Br)cc2C1 610928457 KWKZNTKJOMLEOH-UHFFFAOYSA-N 416.684 4.662 5 20 HJBD COc1cc(C(=O)Nc2n[nH]c(C)c2-c2cccc(Cl)c2)cc([N+](=O)[O-])c1C 616807769 MCJVADIQZOHZPL-UHFFFAOYSA-N 400.822 4.516 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@@H](c4ccccc4Br)C3)sc2c1 731210009 IZXYYAZMYFYRQK-OAHLLOKOSA-N 420.288 4.545 5 20 HJBD C[C@H]1C[C@H](N(C)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCN1Cc1ccccc1 738885038 OGWOFXQMKZZUKP-MAUKXSAKSA-N 416.909 4.765 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(c2ccccc2Cl)CCOCC1 741934223 GIOOYGAUKHBHCG-UHFFFAOYSA-N 409.269 4.897 5 20 HJBD CCOc1cc(N2CCC(OC(=O)CCCc3ccccc3)CC2)ccc1[N+](=O)[O-] 744202729 DGDCTMLSWVIEHW-UHFFFAOYSA-N 412.486 4.528 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)c1 745388822 WAMCJQDAODHHSX-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Oc1ccc(NC(=O)c2ccsc2)cc1 759007740 JMROJKSUIFCOPG-UHFFFAOYSA-N 421.434 4.538 5 20 HJBD Cc1ccc([C@@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 762338444 QMWUNMGIAJWQDN-FQEVSTJZSA-N 408.479 4.518 5 20 HJBD Cn1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c2ccc(Cl)cc2)n1 762839996 QRTPJTBQOBVQSU-QGZVFWFLSA-N 420.256 4.546 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OC1CCN(c2ccc(C(C)=O)cc2)CC1 765602548 SVFDFIFZCZHTKO-LJQANCHMSA-N 424.497 4.578 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 770117652 POBOPGDOIZPKQQ-MRXNPFEDSA-N 414.380 4.683 5 20 HJBD O=C(Nc1cccc(N2CCCCC2)c1)c1sc(Br)cc1[N+](=O)[O-] 770262092 PQLVAFQHLZQERO-UHFFFAOYSA-N 410.293 4.661 5 20 HJBD COCCc1nc(C)c([C@@H](C)OC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)s1 773921475 MWIREABOCXATLR-CYBMUJFWSA-N 406.504 4.764 5 20 HJBD C[C@H](C[C@@H](O)c1ccccc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774666778 LAELAGXCQWIUPO-QMTHXVAHSA-N 405.323 4.568 5 20 HJBD C[C@@H](C[C@@H](O)c1ccc(F)cc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774670915 LCPJUIBHCBXOBG-LKFCYVNXSA-N 423.313 4.707 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)c2o1 776419225 SSUJDJAPTVHSKY-UHFFFAOYSA-N 410.611 4.713 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1cc([N+](=O)[O-])ccc1Br 778276319 ZHJHUDNXQMRMCX-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD COc1cccc([C@@H](NC[C@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 779064581 MKTKADUDQDKGNX-FCHUYYIVSA-N 412.873 4.669 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 784381597 UNZRUKXKJDOIKE-YJYMSZOUSA-N 403.866 4.550 5 20 HJBD O=C(NCC1(c2cc(C(F)(F)F)ccc2Cl)CC1)c1cc(F)ccc1[N+](=O)[O-] 787438684 UNRMPILYVXTEMS-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 787911095 BEQPKCHOURMZJG-KVDXNUTJSA-N 402.454 4.752 5 20 HJBD C[C@@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 798365323 QFVNYSQSNHFKRQ-LLVKDONJSA-N 410.348 4.517 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)Nc2cc([N+](=O)[O-])ccc2OCC)c1 798374144 FERADPUEEIDOBC-UHFFFAOYSA-N 405.476 4.600 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1ccc(Br)c([N+](=O)[O-])c1 808461700 MZKXPYOJWBHPIA-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(Cc2noc([C@H]3C[C@@H](C)CCN3C(=O)OC(C)(C)C)n2)ccc1[N+](=O)[O-] 809434178 WXDZORMUKOJBSW-SUMWQHHRSA-N 416.478 4.585 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H](c1cccc(F)c1)c1cnn(C)c1 809947916 PLZWRIAFEGBHID-SFHVURJKSA-N 423.275 4.996 5 20 HJBD C[C@@H](c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)N1CCOCC1 812980615 XWGIOTWQBWYXCR-LBPRGKRZSA-N 424.284 4.547 5 20 HJBD O=C(NCc1c(F)cccc1N1CCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813249358 JTQVVLVSHQDDLN-UHFFFAOYSA-N 412.248 4.571 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cnn(-c2ccc(F)cc2)c1C(F)(F)F 813292629 NMLCKWCJTARFEY-KMKOMSMNSA-N 420.322 4.590 5 20 HJBD CC(C)(C)c1nnc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)o1 914882502 RWWJROXSWPPCOI-UHFFFAOYSA-N 413.455 4.784 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cc(Cl)ccc1[N+](=O)[O-] 920008179 CKPGCZPPTCGQFR-CYBMUJFWSA-N 411.241 4.712 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nnc(-c3ccc4ccccc4n3)o2)c1 1117161131 QOJANGXRSXQAGD-UHFFFAOYSA-N 401.426 4.850 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319215578 MNIRFQKUZSOUQC-UHFFFAOYSA-N 420.450 4.690 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nnc(COc2ccccc2-c2ccccc2)o1 1341235157 AGBXKMCJNNZGEU-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(Br)cc2)cs1 9729832 YAWHYGRUQLMOFE-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD O=C(NCc1coc(-c2cccs2)n1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 10879195 NGGGWMXFKGBBBV-UHFFFAOYSA-N 420.450 4.985 5 20 HJBD C[C@H](OC(=O)c1csc2c1CC[C@@H](C)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17661962 PDPGJJKJSICSRA-NEPJUHHUSA-N 413.455 4.749 5 20 HJBD Cc1c(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 22911344 NCNGZCSPXVNIGB-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD CC(C)Cc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)s1 24018817 JKWJROYZTYBEPL-UHFFFAOYSA-N 411.487 4.509 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3Cc4ccccc4[C@@H](c4ccccc4)C3)o2)cc1 43751776 CSDOWEVUPQCIHB-JOCHJYFZSA-N 412.449 4.793 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(-c2nc3ccccc3[nH]2)cc1 65712198 WFURTZJCXHIKAB-UHFFFAOYSA-N 411.421 4.732 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(CO[C@H]3CCC[C@H](C)C3)c2)c1[N+](=O)[O-] 78775132 RNLSBDFFMOXBCO-YOEHRIQHSA-N 400.479 4.618 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(N4CCCC4)nc3)o2)c(Cl)c1 237819184 SVMDIOLYOMNNME-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD O=C(c1cc(N2CCc3c(Cl)cc(Cl)cc3C2)ccc1[N+](=O)[O-])N1CCCC1 301712319 VMPBHNSQZSDCKQ-UHFFFAOYSA-N 420.296 4.700 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1Br 302742302 BIODPQDHBOCORZ-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD O=C(N[C@@H]1Cc2cccc(O)c2C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 430225070 IROUWBNKSIENAO-MRXNPFEDSA-N 424.840 4.643 5 20 HJBD O=C(CC[C@H]1CCOC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 434126590 UVOYLHGOENUJMK-ZDUSSCGKSA-N 422.840 4.613 5 20 HJBD CN(C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc2ccc(Cl)cc2s1 436454225 VYVRWYRGZOKRJD-UHFFFAOYSA-N 400.847 4.545 5 20 HJBD C[C@@H]1CCN(C(=O)COc2ccccc2[N+](=O)[O-])[C@H]1c1ccc(C(F)(F)F)cc1 437705631 BDJRRFKNQPUQOD-BFUOFWGJSA-N 408.376 4.602 5 20 HJBD Cc1cc(CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2[nH]c(C)c(C)c2c1 438020231 DXPIXHCKZRSIDM-UHFFFAOYSA-N 406.486 4.876 5 20 HJBD Cc1c(CC(=O)Nc2ccccc2CN2CCc3ccccc32)cccc1[N+](=O)[O-] 439016610 DSIZBVFIANIZPR-UHFFFAOYSA-N 401.466 4.647 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1OC(F)F 439135645 QQLYAVLRGQECNR-UHFFFAOYSA-N 408.401 4.600 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439262879 VUKDQTXIKVFPRK-UHFFFAOYSA-N 403.316 4.808 5 20 HJBD CCn1ncc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)c(C)nc21 440628128 VWKJBPQXSQFXQC-UHFFFAOYSA-N 417.425 4.712 5 20 HJBD Cc1cc(N2CCNC2=O)ccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 441373943 CZCFCPOBCQQORH-UHFFFAOYSA-N 408.483 4.773 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](Oc2cccc(Cl)c2)C1 444281592 ALHSCZPDJZNHNT-GOSISDBHSA-N 418.880 4.628 5 20 HJBD CC(=O)Nc1ccc(C)c(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 445555363 BFPDTMUCKSUCDL-UHFFFAOYSA-N 410.455 4.546 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCOc2ccc(Br)cc21 446021529 SDSMNKXLFAOIMC-INIZCTEOSA-N 420.263 4.653 5 20 HJBD O=C(C[C@H]1CCCCO1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 446176556 XHJMLBDMEKOSKC-OAHLLOKOSA-N 422.840 4.755 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCSc2ncccc21 460353156 FIMHKMDGKHNOIW-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 461559874 KWLSVUBVLKVGNP-DLBZAZTESA-N 424.526 4.755 5 20 HJBD C[C@H](Sc1nnc(COc2ccccc2Cl)n1C)c1ccccc1[N+](=O)[O-] 463037208 LSAMUAVBHMYJTB-LBPRGKRZSA-N 404.879 4.809 5 20 HJBD O=C(NCCc1ccncc1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464437685 RFHGSQHYGMRSNK-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)c1cccc(C(=O)c2ccccc2)c1 466510358 QBFJCGDPDKZHEV-INIZCTEOSA-N 418.449 4.967 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 468193022 QZLMXXUGMLNXOS-ZDUSSCGKSA-N 402.472 4.624 5 20 HJBD CC(=O)Nc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc(C(F)(F)F)c1 471336102 LUIDMMYKXJYASO-UHFFFAOYSA-N 423.391 4.623 5 20 HJBD Cc1cc(NC(=O)N[C@@H](c2ccccc2)c2nc3ccccc3n2C)ccc1[N+](=O)[O-] 473783583 SSPNGVSVRDWKEI-NRFANRHFSA-N 415.453 4.701 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477678557 HIXITEFDIIDREU-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD Cn1cc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 478192907 DZUIAWDUPDXYCE-NRFANRHFSA-N 406.461 4.860 5 20 HJBD O=C(NCC(F)(F)C(F)F)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 481703594 RXXGUKVXYMJWDI-UHFFFAOYSA-N 406.719 4.671 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1F 483693869 CFEUUICXHYZEAB-UHFFFAOYSA-N 422.478 4.760 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@H](Oc3ccccc3Cl)C2)c1[N+](=O)[O-] 485523081 ZEKJOTNTYGKIIP-ZDUSSCGKSA-N 406.891 4.654 5 20 HJBD C[C@H](Nc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 488017135 ZZRCTSQQSNIGOI-AWEZNQCLSA-N 409.417 4.585 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 488449209 FXYLJEVLTDMETG-NSHDSACASA-N 420.441 4.943 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 488788699 YGXMPWCXICGDKG-ZDUSSCGKSA-N 404.492 4.610 5 20 HJBD Cc1ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1-c1ncco1 488906563 HLOZOHARGNYKRJ-UHFFFAOYSA-N 420.347 4.899 5 20 HJBD COC(=O)c1cc(NC(=O)c2sccc2-c2ccc(C)cc2)c(C)c([N+](=O)[O-])c1 489768207 QVKSUHSENFABON-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccccc2F)c1)c1ccccc1[N+](=O)[O-] 490376845 RFSIIVSQFFUXNY-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2nc(O)c3c(-c4ccc(C)cc4)csc3n2)c1 491558250 FPMBOBKFFZWHFT-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(C)cc1)c1cccnc1 496522120 ZPRUDUJAFUOZSO-DEOSSOPVSA-N 419.481 4.705 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 501205405 GFWJKPDRXOWAKN-SFHVURJKSA-N 405.882 4.592 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Nc2ccccc2)nc1 502442131 PBQGOYOPELHMSG-UHFFFAOYSA-N 420.472 4.932 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Br)cn1 504068164 CJDBTIVOTRNWRR-UHFFFAOYSA-N 410.293 4.531 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OCC(C)C 505241676 ZWXIFRSVLCCDIU-HNNXBMFYSA-N 401.463 4.829 5 20 HJBD C[C@H]1CC[C@@H](C)N1[C@@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 510461163 OXROIMBVXSDUKP-GQIGUUNPSA-N 400.548 4.556 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(OC(C)C)cc3)cs2)ccc1[N+](=O)[O-] 512103929 FBCZVMIQJJWOIB-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1 513004480 OYVAOXGKFQDBRS-UHFFFAOYSA-N 417.893 4.548 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OC3CCCC3)cc2)c([N+](=O)[O-])cc1OC 515186896 WCUNKQXPDYFNHA-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD O=C(CCCOc1ccc(Cl)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 515703245 KQYCSYGXYNOADL-UHFFFAOYSA-N 400.765 4.647 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1C[C@@H]2CCCCN2c2ccccc21 516207277 YYBNUVNOAYXOQW-SFHVURJKSA-N 414.465 4.676 5 20 HJBD CCOc1ccc(C(=O)N2CCC[C@@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 516527643 OHFKXGCBHAAJIK-MRXNPFEDSA-N 419.275 4.733 5 20 HJBD CC(C)C[C@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@H](C)c1ccccc1 520393693 STLACVYGEGEGNB-CRAIPNDOSA-N 403.910 4.744 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1cccc(C(F)(F)F)c1)C(C)C 532933677 YJYWSJHNSVCPSV-UHFFFAOYSA-N 409.408 4.771 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)oc12 534650408 ZFCILDUMWGBDMR-CYBMUJFWSA-N 407.426 4.641 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)N1CCc2c1cccc2[N+](=O)[O-] 536044618 WHOHTSFQXTXLAX-UHFFFAOYSA-N 416.359 4.515 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccncc2)cc1 537137906 NKRWWENZQCNIRR-CQSZACIVSA-N 410.861 4.600 5 20 HJBD COc1ccc([C@@H]2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)C2)cc1 538194603 KUCZCXRUSXOMDT-MRXNPFEDSA-N 400.500 4.734 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2cc([N+](=O)[O-])ccc2C)c1 539729547 QXMMPGXZGGLODS-IAGOWNOFSA-N 419.547 4.684 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccccc3OCc3ccccn3)CC2)cc1 539835139 DKNULICKGGKPLH-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD CN(CC1CC1)C[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 539851449 WCFCVWYUOZOVQO-MRXNPFEDSA-N 403.910 4.672 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccncc2OCC(F)(F)F)nc1-c1ccccc1 541224535 YZMFMXYVKCWYKV-UHFFFAOYSA-N 404.348 4.605 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 541349099 KZKLHQZTBAEKSP-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1cc(F)ccc1F)C1CCCC1 541524217 KWNMKNGKNXYOOP-UHFFFAOYSA-N 419.428 4.536 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)s2)c(C)c1 541612007 XCGSNCDIOFSYFF-LBPRGKRZSA-N 400.435 4.611 5 20 HJBD Cc1c(NC(=O)NCCc2c[nH]c3ccc(Br)cc23)cccc1[N+](=O)[O-] 544227179 CGMKCNWKWNENRF-UHFFFAOYSA-N 417.263 4.511 5 20 HJBD Cc1cc(Cc2noc(Cc3csc(Cc4ccc(F)cc4)n3)n2)ccc1[N+](=O)[O-] 545782030 KRYURIKUJPLFHD-UHFFFAOYSA-N 424.457 4.654 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nc(-c2ccc(Br)cc2OC(F)F)no1 545852064 LTWDXZHLNFLFNM-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nc(-c4cccc(-c5cscn5)c4)no3)n2)c1 546489618 YTOWYBWZQWKQQY-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD CC(C)c1ncc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)s1 547153449 HKBVMXRWVNDMGB-UHFFFAOYSA-N 409.265 4.578 5 20 HJBD CC(C)(C)C(=O)N1CCC(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 547249147 KSVGARMCWXZSHX-UHFFFAOYSA-N 417.531 4.768 5 20 HJBD COc1cc(CSc2ncnc3sc(C)cc23)c([N+](=O)[O-])cc1OC(F)F 551249046 GQJGEWGMNLQJLK-UHFFFAOYSA-N 413.427 4.810 5 20 HJBD CCOC1CC2(CCN(c3ccc(C(=O)Nc4ccc(C)cc4)cc3[N+](=O)[O-])C2)C1 553650877 BUEKYNXCPWRZDL-UHFFFAOYSA-N 409.486 4.551 5 20 HJBD CCC(CC)n1nc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1C 557879742 DGWFJGDSAXICDC-UHFFFAOYSA-N 412.412 4.666 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1cc(C)ccc1[N+](=O)[O-] 565272916 WIIFRGHQLOAXHJ-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD C[C@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1c[nH]c2ccccc12 567396333 CUWHUGSVIJKWMB-CYBMUJFWSA-N 401.426 4.877 5 20 HJBD Cc1ccc(C(=O)c2c(NC(=O)c3ccc([N+](=O)[O-])cc3)sc3c2CCOC3)cc1 582092211 GLNULZROLRUBSM-UHFFFAOYSA-N 422.462 4.521 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1F 603757134 NSSZNCGAFPTKBC-UHFFFAOYSA-N 423.356 4.886 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3)c2)c1 604073518 YKGZIYCFNPXNSF-UHFFFAOYSA-N 419.441 4.869 5 20 HJBD C[C@@H](NC(=O)NCCOc1ccccc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 604460029 GDYATFZIGGHDLU-QGZVFWFLSA-N 405.454 4.701 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OCc3ccccc3F)cc2)cc1[N+](=O)[O-] 609011873 LLOJBWAHJAJPMR-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 609192222 GMPUVALKLAQFIA-QLJPJBMISA-N 407.289 4.570 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1 609550343 SUYPXXSAWFCJGW-UHFFFAOYSA-N 415.405 4.777 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)cs1 609598741 FYIBNBFSBRHJAY-ZDUSSCGKSA-N 414.462 4.726 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 609682245 FACHGSAINZXEOB-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1ccn2cc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)nc2c1 611201642 LGKAFMZGFVIAEM-UHFFFAOYSA-N 410.499 4.516 5 20 HJBD C[C@@H](Cc1ccc(O)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611213445 ZRMARSHNDJZQMY-AWEZNQCLSA-N 400.500 4.696 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCc3c(Cl)cccc32)c([N+](=O)[O-])cc1OCC 611440014 WRIALQFVXYTUKJ-AWEZNQCLSA-N 404.850 4.714 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H]2[C@H](C(F)(F)F)[C@@H]21 612610194 FGXJNWPBFUMKGH-RRQGHBQHSA-N 414.449 4.900 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(Cc3ccc4ccccc4n3)no2)c1 612894258 QOFUGJGJDJNEJQ-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 616122786 SYSMZMITLPBQES-GHMZBOCLSA-N 413.318 4.806 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H](Cn2cnc3ccccc32)C1 619544690 VQOKHOFOHFEIRY-HNNXBMFYSA-N 420.494 4.712 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1cccnc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 619581129 SQNQWKUJFUFSFW-MSOLQXFVSA-N 409.829 4.721 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 725980018 FQGXCHBUJZEKAD-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD COc1cc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1SC 731719775 NPYKITQWNNKXLV-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCC(=O)c1cccc2ccccc12 735147148 AMBMSTFSZFRNKB-UHFFFAOYSA-N 413.813 4.596 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C1(c2cccs2)CCCCC1 738700866 FCHOPGUWJMTKKX-UHFFFAOYSA-N 413.455 4.652 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1C(F)(F)F 743675502 VDCQANLDUJLDGA-SFHVURJKSA-N 407.392 4.703 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744205168 WIFXODAUDNCDAE-HNNXBMFYSA-N 409.417 4.683 5 20 HJBD Cc1c(C(=O)N(Cc2ccc(Cl)cc2)C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748621035 ZCAHBNPGTYFWOC-UHFFFAOYSA-N 403.822 4.578 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCCC[C@H]3C[C@H](O)c3ccccc3)n2)c1 751559167 QAQSGFKTQQQGAY-FPOVZHCZSA-N 422.485 4.513 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1ccc(F)cc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 751863686 UATUHCPHBCLQOG-NEPJUHHUSA-N 417.368 4.721 5 20 HJBD Cc1ccc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Br)c1 760542889 RDBUWSQLORYSSV-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc(CCN(CC(C)(C)C)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763480957 QCNYVZKLMIUQEK-UHFFFAOYSA-N 406.429 4.613 5 20 HJBD CCC[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 763854393 VTHPNTJYRBSBOA-YPMHNXCESA-N 404.385 4.556 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)c1F 764542179 UNTLNDXLTYXBJW-GDLZYMKVSA-N 418.490 4.876 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)C1CCC(NC(=O)OC(C)(C)C)CC1 766244689 RSUOMIIPCDXJBE-UHFFFAOYSA-N 420.510 4.511 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767196560 VSJIEFRRWBAPQU-AWEZNQCLSA-N 410.417 4.722 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 769143721 DXGLVCNYPAMROP-HXUWFJFHSA-N 407.470 4.715 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](c2ccccc2)c2nccs2)cc1SC 770350749 IGLHWUSPGGJXDH-QGZVFWFLSA-N 416.480 4.728 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)c1ccc(Br)c([N+](=O)[O-])c1 776390263 SDTRDPYYGPYNKF-LJQANCHMSA-N 403.276 4.595 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777935850 SUWYDYIUTHMEMV-NSHDSACASA-N 413.218 4.669 5 20 HJBD COc1ccc(COC(=O)c2cc(-c3ccncc3)nc3ccccc23)cc1[N+](=O)[O-] 785418735 ZHROZTPKEWWOFT-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)c1ccccc1C(F)(F)F 789091806 WPJQMJZRVUDWRJ-GHMZBOCLSA-N 413.323 4.671 5 20 HJBD CC(C)O[C@H](COC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1 795239457 ICJHFMRQVGZGQN-JOCHJYFZSA-N 412.486 4.521 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1cc(Cl)ccc1C(F)(F)F 795586312 DDHUKFLHPNNQLJ-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD CC(C)Oc1cc(CN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C2CC2)ccn1 809918630 KZUHKYINDCDCJV-UHFFFAOYSA-N 404.854 4.627 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])C1(c2ccccc2)CCOCC1 811082156 HQPQVEHWRWMZLJ-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD CS(=O)(=O)C[C@@H]1CCCN(Cc2c3ccccc3c(Cl)c3ccccc23)C1 816619335 BNCMDNBDALHUNU-MRXNPFEDSA-N 401.959 4.903 5 20 HJBD Cc1c(CNc2cc3c(cc2NC(=O)OC(C)(C)C)OCO3)cccc1[N+](=O)[O-] 864018030 LTAPKMCJZMHKGG-UHFFFAOYSA-N 401.419 4.591 5 20 HJBD C[C@H](c1nc(-c2cccc(CN3CCCCC3)c2)no1)c1ccc([N+](=O)[O-])cc1F 904433275 PVEZHVVXAAOUIA-HNNXBMFYSA-N 410.449 4.922 5 20 HJBD C[C@@]12CC3CC(C(=O)OCc4nc(-c5cccc([N+](=O)[O-])c5)no4)(C1)C[C@](C)(C3)C2 914796372 LJMVZWNDPSHKED-BGCCBAISSA-N 411.458 4.685 5 20 HJBD COc1cc(COC(=O)C2(c3cc(C)cc(C)c3)CC2)c([N+](=O)[O-])cc1OC(F)F 917312792 QPCCTKFBPQWFEC-UHFFFAOYSA-N 421.396 4.597 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 917836754 UEPXFERPRSVDPR-UHFFFAOYSA-N 412.229 4.781 5 20 HJBD Cc1cc(C(=O)O[C@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cc([N+](=O)[O-])c1 920901401 RSHIBDLXQBDIDJ-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCc1nnc(-c2cc3c(s2)CC[C@@H](C(C)(C)C)C3)o1 1322467761 BXOUDLKDWTVYQW-CQSZACIVSA-N 415.519 4.605 5 20 HJBD COc1cc(-c2nnc(-c3ccc(COc4ccccc4)o3)o2)c([N+](=O)[O-])cc1OC 1324028901 CLESAOBBKCOGGL-UHFFFAOYSA-N 423.381 4.501 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cc4c(s3)CCCCCC4)o2)ccc1-n1cncn1 1326190543 WVEWZLNXDYJEAR-UHFFFAOYSA-N 422.470 4.613 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CSc1ccc(Br)cc1 1337766054 OZRPRPSYPXWHAB-UHFFFAOYSA-N 423.332 4.887 5 20 HJBD COc1ccc(NC(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)c([N+](=O)[O-])c1 1345063881 WSCGKAWHVIGDKK-AWEZNQCLSA-N 401.316 4.558 5 20 HJBD Cc1nn(C)c(C)c1NC(=O)[C@@H](C)OC(=O)c1c2ccccc2cc2ccccc12 7552197 RAIRDGCAGNDGHW-MRXNPFEDSA-N 401.466 4.527 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1nc2ccccc2s1 15593537 JGIKXDZOBGYSHM-QGZVFWFLSA-N 408.483 4.756 5 20 HJBD C[C@H](OC(=O)/C=C/c1nc2ccccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17672869 PPPNMSCUJICQKR-IIANPFDCSA-N 422.422 4.572 5 20 HJBD COc1ccc([N+](=O)[O-])cc1Cn1cnc2scc(-c3ccc(C)c(C)c3)c2c1=O 22455592 POZLWBDSVWMAFU-UHFFFAOYSA-N 421.478 4.707 5 20 HJBD O=Cc1cc([N+](=O)[O-])ccc1OCc1csc(COc2ccc(Cl)cc2)n1 24827460 AAZMJOVQRQHEFX-UHFFFAOYSA-N 404.831 4.675 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1csc2ccccc12)c1ccccc1[N+](=O)[O-] 25991938 GDICAKSXYAALLI-QGZVFWFLSA-N 412.467 4.622 5 20 HJBD C[C@H](OC(=O)c1cc2ccc(F)cc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 26221822 OMRLOLGDLXTVCM-VIFPVBQESA-N 422.821 4.786 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 47671829 YTMUOJACUBGHCF-UHFFFAOYSA-N 400.822 4.801 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CC=C(c2cccc3ccccc23)CC1 63690557 NWNVEBDMBUWFFY-UHFFFAOYSA-N 424.460 4.863 5 20 HJBD Cc1nc2ccccc2n1CCCOC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 216011051 VMSGHHNUBMUFDJ-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(C(F)(F)F)(C(F)(F)F)C2)cc1[N+](=O)[O-] 303711556 UKCUHKCWHXMSTC-UHFFFAOYSA-N 412.330 4.849 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)COc1ccccc1[N+](=O)[O-] 432095773 CITPFKJLCOPDQU-UHFFFAOYSA-N 424.478 4.913 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1ccccc1[N+](=O)[O-] 433964059 FLIIPFAIFVRPAG-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C/c2cnn(Cc3ccc(F)cc3)c2)c2ccncc2)cc1 435919018 YKCOKUJWFGMXEH-QRVIBDJDSA-N 400.413 4.963 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)c(Br)c1 438588643 MIPMZNFRQHELJZ-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1cc([N+](=O)[O-])cc2c1OCOC2 442790206 LBOBEQCPEBPYJN-UHFFFAOYSA-N 410.392 4.591 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444020738 GHBQYPRQEHVWOY-UHFFFAOYSA-N 400.482 5.095 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2c(Cl)cccc2C1 444085276 GTKZCLIIPBYCQL-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)Cc2cccc([N+](=O)[O-])c2)c1C 460132010 QUSBWGRNTHYVQL-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD Cc1cc(=NC(=O)c2c(-c3ccccc3Cl)noc2C)c2cc([N+](=O)[O-])ccc2[nH]1 462584816 OGYZEKSXJSRHHG-UHFFFAOYSA-N 422.828 4.742 5 20 HJBD O=C(Cc1cccc(Cl)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463431234 QHPOMVZCFDKGDP-UHFFFAOYSA-N 404.747 4.521 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 463916052 PPQLAXFLHZCMIQ-YJYMSZOUSA-N 407.873 4.571 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1C1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466279503 SITYWHFWRUSJHH-APWZRJJASA-N 418.902 4.697 5 20 HJBD CCOc1ccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 467166869 XRYFFLXRAOUEQI-UHFFFAOYSA-N 417.771 4.987 5 20 HJBD Cc1c(Br)cc(C(=O)N2CC[C@@H](C)c3cccc(O)c32)cc1[N+](=O)[O-] 469277231 YEZOHSMZRGZTIK-SNVBAGLBSA-N 405.248 4.525 5 20 HJBD CN(c1ccccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-])C1CCCC1 475206415 XQJFHUGXZYBABI-UHFFFAOYSA-N 418.291 4.988 5 20 HJBD COc1cccc(N2CC[C@H](NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)C2)c1 477224206 YTYMDGWZLVETAV-KRWDZBQOSA-N 409.511 4.700 5 20 HJBD Cc1[nH]nc(C(=O)Nc2cc(C(F)(F)F)ccc2NCc2ccccc2)c1[N+](=O)[O-] 477758084 YWIAJSFMCRGMSZ-UHFFFAOYSA-N 419.363 4.510 5 20 HJBD Cc1c(CNCc2csc(COc3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 480456305 YLEXHRDTRWJXIV-UHFFFAOYSA-N 403.891 4.882 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCSc3ccc(Cl)cc32)cc1SC 482572625 MJFJNRIFJBLTOF-UHFFFAOYSA-N 410.904 4.731 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1ccc(F)cc1)CC1CC1 485493288 QKGMPHXXITZCDQ-UHFFFAOYSA-N 413.268 4.610 5 20 HJBD CCOc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1OC 485771609 IPZVSEQLZOSSFI-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD CC(=O)Nc1nc2ccc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)cc2s1 486188928 AQAAWDZCGVMDRH-UHFFFAOYSA-N 412.471 4.713 5 20 HJBD CCc1cc(=N[C@H](C)c2nc(C(F)(F)F)cs2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 486503451 CAEPSXZFJKYUAY-SNVBAGLBSA-N 423.420 4.685 5 20 HJBD CC[C@H](C)c1nc([C@H](C)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)n[nH]1 486865045 FUAQFVOAXPJAQG-HOTGVXAUSA-N 408.506 4.845 5 20 HJBD O=c1ccccn1C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccccc1 500463520 PORQTSPBVFOMBO-QFIPXVFZSA-N 415.449 4.548 5 20 HJBD COc1cc(CSCc2sc(C)nc2C)c([N+](=O)[O-])cc1OCC(F)F 502467826 YFFJJZLCTUQCBN-UHFFFAOYSA-N 404.460 4.754 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC[C@H]1CCN(c2ccccc2OC)C1 504912539 XHKPCJPDAFPYHJ-MSOLQXFVSA-N 415.559 4.590 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 509178898 MYUDTQSORPDLCZ-AWEZNQCLSA-N 424.432 4.953 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc2c(c1)nc(O)n2C1CCCC1 509243182 KGFCBEGGRDXIFM-UHFFFAOYSA-N 422.485 4.816 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 509899107 QWBRWBHCVNJKMP-INIZCTEOSA-N 422.485 4.554 5 20 HJBD Cc1nn(-c2ccccc2)cc1CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 512390280 BUEALOJADOAPMR-UHFFFAOYSA-N 405.483 4.502 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](COc3ccccc3Cl)C2)cc1[N+](=O)[O-] 513897326 OSBSAHPHWBNBJR-AWEZNQCLSA-N 420.918 4.901 5 20 HJBD C[C@H](NC(=O)c1ccoc1CN1c2ccccc2C[C@@H]1C)c1cccc([N+](=O)[O-])c1 518575606 FLIJXOKQUUYKKQ-HOTGVXAUSA-N 405.454 4.630 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 520011061 MMYJXYMOARJMHG-UHFFFAOYSA-N 406.847 4.740 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 521772751 LDNXBIQHLATLAY-NSHDSACASA-N 403.287 4.592 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCCC1)c1ccc([N+](=O)[O-])cc1Br 523490321 GHFWBIFDPTUKRV-UHFFFAOYSA-N 421.266 4.738 5 20 HJBD CC[C@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc(Cl)cc1[N+](=O)[O-] 533056549 YMVKCFSQJZQHCS-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1C1CC1 534247055 SOWXBRXJVPQAQA-SNVBAGLBSA-N 407.268 4.655 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 534249442 PGEFMUALHYXFLB-UHFFFAOYSA-N 415.449 4.723 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](c4nc5c(s4)CCCC5)C3)co2)cc1 536352096 BFMVCNXEZWVCPE-INIZCTEOSA-N 424.526 4.965 5 20 HJBD CCn1cc(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c2ccccc21 537150720 OBNJZWWHFYTISH-UHFFFAOYSA-N 420.494 4.898 5 20 HJBD CCS[C@H]1CC[C@H](N(C)Cc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 537621023 QKKJVYGGGKBIGM-KBPBESRZSA-N 422.469 4.651 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 538920522 NAOGAEHIZGYPJL-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccc(NC(=O)c2ccccc2)c1 539867289 GUQKHLFPVVWZKA-UHFFFAOYSA-N 417.465 4.702 5 20 HJBD CCn1c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc2ccccc21 540455508 BNPYXMVZTNUNIP-UHFFFAOYSA-N 406.467 4.970 5 20 HJBD O=C(CN1CCCCCC1)Nc1cccc(NCc2ccc([N+](=O)[O-])cc2Cl)c1 543394657 XEJXYRODIAKYBZ-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 553454219 WBWGYDXCRMJEDD-KRWDZBQOSA-N 402.332 4.591 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCCCC[C@H]1c1ccncc1 553539319 ZKWRVFNILYNZST-FQEVSTJZSA-N 402.454 4.809 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc2noc(-c3ccc(F)cc3)c2c1 557079139 HUSOCVPVCSMNFQ-UHFFFAOYSA-N 419.412 4.857 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2ncco2)c1C 557364290 UNIOSCSILUFDCO-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc([C@H]2CCOc3ccccc32)no1 566881051 OBEINPBDOIKUSJ-ZDUSSCGKSA-N 416.231 4.630 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])NCCc1cnc(SC2CCCC2)nc1 580458469 QEENWLRXCMIICF-MRXNPFEDSA-N 400.548 4.573 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCc2nc(-c3ccccc3Cl)sc2C1 583654178 ARYWSZSVWPFBAS-UHFFFAOYSA-N 417.849 4.709 5 20 HJBD C[C@](CO)(NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(C(F)(F)F)c1 590161691 KAOXCGHNBKFDQA-MRXNPFEDSA-N 422.325 4.630 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 590644430 KHDDTARTMYPISA-RYUDHWBXSA-N 420.441 4.698 5 20 HJBD COc1ccc(C23C[C@H]4C[C@@H](CC(C(=O)NCc5cccc([N+](=O)[O-])c5)(C4)C2)C3)cc1 604471553 MTEFYXPMEDBMNF-WWVRUBFXSA-N 420.509 4.758 5 20 HJBD Cc1nn(CCC(=O)Nc2cc(Cl)ccc2Oc2ccccc2)c(C)c1[N+](=O)[O-] 608802272 JFOMONOKYFZJQB-UHFFFAOYSA-N 414.849 4.883 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NC(=O)c1ccccc1 609762400 HYBIWPFWTZYVBH-INIZCTEOSA-N 419.437 4.534 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609861958 XWWKOFLGVZZFFK-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(Nc1ccc(F)cc1OCC1CC1)c1ccc([N+](=O)[O-])cc1Br 610123632 ZLARPKGTNPSBFW-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD COc1ccc(Cl)cc1C[C@@H]1CCCN(C(=O)c2ccc(C)c([N+](=O)[O-])c2)C1 610159905 RRUHZTWVCNDDKC-HNNXBMFYSA-N 402.878 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC[C@@H]1CCN(c2ccc(Cl)cc2)C1 610661286 XEMJTKPKESOCDJ-HNNXBMFYSA-N 402.882 4.513 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 610961902 NBQDLIKVJUNWNS-UHFFFAOYSA-N 415.381 4.715 5 20 HJBD COC1CCC(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CC1 614997212 KDPYCCJGMSEDCU-UHFFFAOYSA-N 417.531 4.913 5 20 HJBD CCOC(=O)c1ccnc(Oc2cc(F)c(Cl)cc2Br)c1[N+](=O)[O-] 619504635 KFBMZQKQOVZRCV-UHFFFAOYSA-N 419.590 4.514 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)ccc1[N+](=O)[O-] 619528563 DHOXCKUZMDDUOR-UHFFFAOYSA-N 404.291 4.575 5 20 HJBD COc1cc(C(=O)Nc2cccc(SC(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 727345047 VITKNJNSONPMAZ-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@@H](C)c2cccnc2)cc1[N+](=O)[O-] 733379613 GWDWJEDXVFYLAC-AWEZNQCLSA-N 422.462 4.861 5 20 HJBD COc1cccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1OC(F)F 734970900 MFBDLVATAAWUIH-UHFFFAOYSA-N 409.366 4.777 5 20 HJBD Cc1c(Cl)ccc2c1N(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)CCC2 736062875 IREMSYQSTOJYCH-UHFFFAOYSA-N 403.822 4.675 5 20 HJBD CN(Cc1ccccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)OC(C)(C)C 745339822 PSFORSKMRRPZFP-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccccc2)cc1 745385822 JWNNSBQANDLYPR-NRFANRHFSA-N 404.422 4.748 5 20 HJBD CC(C)[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 745551515 LASVQWCPOUXMOC-GOSISDBHSA-N 424.375 4.535 5 20 HJBD C[C@@H](OC(=O)Cc1c(Cl)cccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745904383 QIYYATOAMBGJGN-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1cc([N+](=O)[O-])ccc1Cl 747811406 DTHSODSZRMSVLD-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 748428210 CDBCCSILILGNHO-GFCCVEGCSA-N 410.879 4.511 5 20 HJBD CCN(c1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1)C(C)C 748600990 FUJQBQPBZZXHNR-UHFFFAOYSA-N 400.435 4.607 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754984804 QXBVZUXCUMQRBW-UHFFFAOYSA-N 408.813 4.615 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc2ccccc2c1 755069442 UADSJTMKGGDRTC-KGLIPLIRSA-N 410.401 4.561 5 20 HJBD Cc1cc(F)cc(C(=O)N(C)CC2(c3ccc(Br)cc3)CC2)c1[N+](=O)[O-] 758510111 PMTWEDFCZJIYAV-UHFFFAOYSA-N 421.266 4.609 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 758750236 GQJHPSQBFPRHPR-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 763099774 FBAVYBOGJLWZGY-HOTGVXAUSA-N 405.495 4.515 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc(NCC)c([N+](=O)[O-])c1)C(C)C 771515862 ZBVNWILVWXIWDK-UHFFFAOYSA-N 412.490 4.540 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(N2CCCC2=O)ccc1F 775479940 ACEZEWOGPYATMA-UHFFFAOYSA-N 417.462 4.614 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)OC)c1 776832497 HGTSKTOSABXRQU-UHFFFAOYSA-N 403.460 4.918 5 20 HJBD COC[C@H](C)OC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782549082 MRJWSCITWBLJMU-LUYJPIOASA-N 412.467 4.713 5 20 HJBD CC(C)c1ccc(C(=O)N(c2ccc(N3CCOCC3)cc2)C(C)C)cc1[N+](=O)[O-] 784087611 JAZNCFCWOIJMIV-UHFFFAOYSA-N 411.502 4.610 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(C(F)(F)F)cc1N1CCCC1 808069870 DTVDGMWOVSQRFN-UHFFFAOYSA-N 415.318 4.744 5 20 HJBD CC(C)(CCc1noc(CCCSc2ccc(Br)cc2)n1)[N+](=O)[O-] 812811831 LKBBHXHWJJKFCD-UHFFFAOYSA-N 414.325 4.545 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])n2)cc1 920307398 BSQREZCXOASMRZ-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC2CCN(CC(F)(F)F)CC2)o1 1329824871 PDEMFFLZHJKWEG-UHFFFAOYSA-N 417.815 4.625 5 20 HJBD Cc1cc(C(=O)COC(=O)c2ccc([N+](=O)[O-])o2)c(C)n1CCC1=CCCCC1 8329894 RFBOXYIYNYJDDA-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10731954 WPTJIEGPHZRRQZ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cc2cc(Cl)ccc2Cl)s1 24465501 VQOFYQPJVMMUAM-UHFFFAOYSA-N 411.270 4.540 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(C)cc3)cs2)cc1OC 28242209 WNGVBOBHQKMZBN-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)COc3ccccc3[N+](=O)[O-])s2)cc1Cl 45869455 BOQMKNNONCXTLV-UHFFFAOYSA-N 417.874 4.621 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(C(=O)c3csc([N+](=O)[O-])c3)CC2)cc1 53233101 IZXDNVAZAIWLPA-UHFFFAOYSA-N 400.500 4.590 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 56147171 CUNVAKBPEVWYFX-QZTJIDSGSA-N 407.495 4.613 5 20 HJBD CN(CCOc1ccc(Cl)cc1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64894659 BNTQZPLXKSDBDY-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccccc1COc1ccccc1 65736592 JPEJOFUIHCKERL-UHFFFAOYSA-N 401.422 4.767 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 72007163 UDYQLBZPQPPJQO-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)N1CCC[C@H](c2nnc3ccc(C(F)(F)F)cn23)C1 96991660 UFSKJHIENQLIPR-ZFWWWQNUSA-N 419.407 4.597 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)c1 146310881 IZNXVQFADZTKLR-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNC[C@@H]2CCN(C3CC3)C2)cc1 237731918 VNPUXUXXLQCVJD-INIZCTEOSA-N 401.894 4.614 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)cc1OC(F)F 301392303 HYASUKAKCBIXML-SFHVURJKSA-N 412.820 4.800 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NS(=O)(=O)c3ccccc3)c2)c2ncccc12 301953932 KBAMQOJHTFZVNE-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@@H]1CCOC1 410234858 FEQPBZRATWBZIG-CHWSQXEVSA-N 406.891 4.554 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C/c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)nc1O 432698360 JWFLDOSHQJJJQY-ZRDIBKRKSA-N 411.439 4.556 5 20 HJBD O=C(NCCCCCc1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436350901 ATPPGPKIIJEBDS-UHFFFAOYSA-N 402.469 4.963 5 20 HJBD COc1cc(-c2nc(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cs2)ccc1O 436932732 DLHCDUYUZJRQGK-UHFFFAOYSA-N 413.499 4.843 5 20 HJBD Cc1c(CC(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 439048288 BQLJQAINXZPUPS-UHFFFAOYSA-N 413.449 4.742 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H](C1CCCCC1)C(F)(F)F 439828663 MMYAHXVRDNFGTG-QFIPXVFZSA-N 416.443 4.782 5 20 HJBD CN(Cc1ccc2ccccc2c1)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445652498 KGQAQIHCHJBSAW-UHFFFAOYSA-N 413.271 4.712 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cn1)c1ccccc1 460265697 RHNOPJAYKXFSKP-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD COc1ccc(C(=O)NCc2ccc(Oc3ccccc3F)c(F)c2)cc1[N+](=O)[O-] 460650525 QYYDZWHUECYPNP-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4c(F)cccc43)cc2[N+](=O)[O-])n1 461283644 MFJVZNVVNXJXKE-UHFFFAOYSA-N 415.471 4.853 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc2cccnc12 466372623 RPSRNZJYCVQBQS-UHFFFAOYSA-N 421.400 4.917 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc3c(c2)CCCC3)cc1SC 481908109 RCOJJJBCFPQZEM-ZDUSSCGKSA-N 400.500 4.695 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 486175145 FJOXNCGVWHUBNM-LLVKDONJSA-N 424.320 4.510 5 20 HJBD COc1ccc(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])c(OC2CCCC2)c1 486404155 HHSRVVIVAVTIDE-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2cccc(OC(F)(F)F)c2)c1[N+](=O)[O-] 486427323 QORJPDXQWMTRBP-JTQLQIEISA-N 400.378 4.706 5 20 HJBD CSc1cccc(C(=O)Nc2cnn(-c3ccccc3)c2C(F)(F)F)c1[N+](=O)[O-] 486428537 LDFDGQGKIJUMTR-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Br)c(C)cc2C)c([N+](=O)[O-])cc1OC 486600031 HXQVASQONKTMRK-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD CCn1c(SCC(=O)c2ccc(F)c(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489384070 HBEQWQINGALXRA-UHFFFAOYSA-N 420.853 4.641 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)nc2ccccc21 489828065 VPELRJHRKVJYAG-GFCCVEGCSA-N 418.400 4.618 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2noc(-c3ccc(Cl)cc3)n2)c1 490012777 SVKDXMQWDDRJBN-JTQLQIEISA-N 418.862 4.511 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])c2cccnc12 490800160 TWEMSPBQBDABGS-INIZCTEOSA-N 403.360 4.609 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@@H](C)c2ccccc2)c([N+](=O)[O-])c1 501294435 DRIRNZVIPDWERQ-HNNXBMFYSA-N 404.422 4.786 5 20 HJBD COCCOc1c(Cl)cccc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 502306068 VLTJMUVPLKJNAK-UHFFFAOYSA-N 406.866 4.823 5 20 HJBD COc1cc(OCC(=O)N[C@@H](C)c2ccccc2Oc2ccccc2)ccc1[N+](=O)[O-] 506172550 CRFMGGGGLXHQGX-INIZCTEOSA-N 422.437 4.652 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2OC)cc1 506686565 JOGPHVDNKIQDOD-JOCHJYFZSA-N 421.453 4.832 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NCc2ccccc2[N+](=O)[O-])cc1OC 507912933 ILCGVUCINSMTJU-OAQYLSRUSA-N 406.482 4.994 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ncn(-c2ccccc2)n1 511416531 SZEJAJMUDUJNCT-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H](c3nncn3C)C(C)C)cc2[N+](=O)[O-])cc1 512501186 FRMKEKYOOLUXTD-HXUWFJFHSA-N 411.531 4.670 5 20 HJBD COc1cccc(CN(C)C(=O)c2ccccc2[N+](=O)[O-])c1OCc1ccccc1F 512946590 FTBXPLKKELMGLP-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD COc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1-c1ccnn1C 513236249 KDLPNCUSLDNPMY-UHFFFAOYSA-N 420.469 4.593 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C)c(Cc2cccc(Cl)c2)s1 515632633 WDPNKYXQLDSQNS-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 519978912 VYCAMNQIGKYXTF-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520389681 CNOUYCZQZLXSPS-ZIAGYGMSSA-N 406.866 4.680 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 532953632 GSJXJIRWEPJJKT-SNVBAGLBSA-N 424.404 4.571 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3n[nH]c4ccc([N+](=O)[O-])cc34)s2)cc1 534745884 ZLIJEUCBPWNTSS-UHFFFAOYSA-N 423.454 4.554 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(Br)cc(C(F)(F)F)c1 535896691 ZZZVROZQIJGTJE-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 536256359 DVNQYIYYYCBPLO-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Br)c3ccccc23)c1[N+](=O)[O-] 536883859 AAOSNPJUNJSLAP-UHFFFAOYSA-N 403.236 4.609 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 538373467 SVFSUSBSPMLKFK-LJQANCHMSA-N 415.877 4.836 5 20 HJBD Cc1cc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)nn1-c1ccc(Cl)c(Cl)c1 539601020 ASNASCINFOXAEM-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD COc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)cc(OC)c1OCc1ccccc1 540750948 SWNKNFROTMAULZ-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 542594891 GVIIPDUWSOWJCJ-VLIAUNLRSA-N 410.449 4.571 5 20 HJBD C[C@H](C(=O)N1CCC(c2nc3ccccc3s2)CC1)c1ccc([N+](=O)[O-])cc1F 543442851 HHZSZYJVQYYWDP-ZDUSSCGKSA-N 413.474 4.853 5 20 HJBD CC(C)c1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cn1 545759862 FPWKKCOCAWZBAQ-UHFFFAOYSA-N 403.236 4.517 5 20 HJBD Cc1ccccc1C(NCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1ccccc1C 546225122 FVWKJHWZJQZLQU-UHFFFAOYSA-N 403.482 4.838 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 557607646 MAJCKADBMNDNLQ-KRWDZBQOSA-N 403.316 4.856 5 20 HJBD O=C(NCc1noc2c1CCCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 564034835 AJFOJCZXJQOIDA-UHFFFAOYSA-N 415.515 4.674 5 20 HJBD O=C(NCc1noc2c1CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 564034875 BSUWFBXGGKIESM-UHFFFAOYSA-N 409.467 4.543 5 20 HJBD O=C(N[C@H]1CCc2sc(Cl)cc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 565807525 SDIJHZOJTMAKQA-NSHDSACASA-N 420.796 4.668 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1sc(Br)cc1[N+](=O)[O-] 588079269 FMIOWNRVKFBAJX-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(F)cc(Br)cc2C1 589372524 OVQDRXPUWITHAG-UHFFFAOYSA-N 422.254 4.649 5 20 HJBD COC(=O)COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)c(C)c1 604016869 KAMGCSOUFUUUEA-UHFFFAOYSA-N 400.434 4.501 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 604073802 HIPATJNOASMYPC-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2[C@H]3CCCC[C@H]3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 609835918 QZDHNTBIJAVTPQ-JJDSTIRJSA-N 408.502 4.956 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880633 AYFUUJOOSLJKEC-SFHVURJKSA-N 414.824 4.755 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(C)c(OCCC(C)C)c2)c([N+](=O)[O-])cc1OC 610053120 LLNVRJRGGQYDGG-UHFFFAOYSA-N 416.474 4.988 5 20 HJBD CC[C@@](C)(NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C)cs1 610184995 XNVUQKNCPROKJP-GOSISDBHSA-N 402.501 4.538 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 612455939 WFBBHKOKSZRQRV-LLVKDONJSA-N 422.338 4.681 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Nc1ccccc1-c1cccs1 619733179 FBJXTIIOCXIESE-UHFFFAOYSA-N 423.450 4.650 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 727712509 XFOZEVUKQDQMTQ-UHFFFAOYSA-N 421.925 4.832 5 20 HJBD O=C(c1ccn(-c2ccc(F)cc2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166285 ZPICAVFYJSQYBX-UHFFFAOYSA-N 414.824 4.630 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)cc2-n2cccc2)cc1[N+](=O)[O-] 731578166 ADINBKREXKKOJT-UHFFFAOYSA-N 400.778 4.508 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)OCc1cc([N+](=O)[O-])ccc1Cl 734779296 DJPNQIWRPVFGEW-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@H](c1ccc(Cl)cc1)c1ccccc1Cl 745962980 DFCIFTYRQMRARB-LJQANCHMSA-N 420.252 4.821 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(F)(F)F 746437229 LNJPBNRHMIHETO-GOSISDBHSA-N 419.359 4.858 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2nccn2C(C)C)cc1 752072792 XUMZBWYPQBWIBI-UHFFFAOYSA-N 411.414 4.530 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755024034 FDYIWNAPRYWQCM-SECBINFHSA-N 414.206 4.860 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1ccccc1)C[C@H](O)c1cccs1 755757681 BRYZOIJNOJDAIF-SFHVURJKSA-N 422.462 4.779 5 20 HJBD Cc1ccc(-c2nc(C(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cs2)o1 760492216 TXAIDADBGXPOSG-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764170461 YAQBDYWCFVYYKS-GJZGRUSLSA-N 407.511 4.512 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 770328329 OFHPAUCTOOEIAM-KXBFYZLASA-N 423.282 4.585 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(F)cc2OC2CCCC2)cc1[N+](=O)[O-] 770693333 OWEHJJVHMPTRNT-UHFFFAOYSA-N 403.366 4.524 5 20 HJBD CC(C)([C@H](OC(=O)c1scnc1Cl)c1ccc(Br)cc1)[N+](=O)[O-] 774366062 CXGQHGKGKLYQLH-LLVKDONJSA-N 419.684 4.512 5 20 HJBD CC(C)CN(C(=O)/C=C/c1cccnc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495169 AYLAOLXKMPVXMM-MDZDMXLPSA-N 408.483 4.816 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1[N+](=O)[O-] 781965703 CSPOZIWPNQQPIE-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H]3CCOC(C)(C)C3)cc2[N+](=O)[O-])n1 785906045 PFLJIIPVSOMMFO-ZDUSSCGKSA-N 408.501 4.625 5 20 HJBD C[C@@H](CCOC(=O)c1cccc([N+](=O)[O-])c1Br)OCc1ccccc1 789084916 XPGUBSHNUJICDX-ZDUSSCGKSA-N 408.248 4.510 5 20 HJBD COC(=O)[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 791377071 ZLXYSZXZKURHJS-AWEZNQCLSA-N 418.616 4.626 5 20 HJBD O=C(Nc1ccc(F)nc1F)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 791738846 LPGPVZDEAJAJCY-UHFFFAOYSA-N 418.787 4.786 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccc(Cl)nc1Cl 800127979 YBHDNNLFJCYLSN-UHFFFAOYSA-N 413.260 4.600 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](C)c1ccc(C(F)(F)F)nc1 803058542 CXEHPJGQOULPMF-UWVGGRQHSA-N 418.755 4.734 5 20 HJBD C[C@@H](c1ccncc1)N1CCC(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 811484845 NAJPIUGJWHFAEN-ZDUSSCGKSA-N 409.317 4.612 5 20 HJBD CCCc1nsc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 812449619 SBYUJSXHYQSMHO-UHFFFAOYSA-N 409.423 4.965 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813044440 CEQGKWNLOOBTQV-UHFFFAOYSA-N 407.169 4.610 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 813235434 KONSPRQEIQDQDN-YFKPBYRVSA-N 414.192 4.868 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)c1 813309832 YFFCDAVBJGHCTC-UHFFFAOYSA-N 417.181 4.961 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](CN2CCCCCC2)C1 813439013 FJSJXBQYNLTZOZ-AWEZNQCLSA-N 414.333 4.630 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c12 920216051 BFGVGVMKEZWGKP-UHFFFAOYSA-N 410.361 4.735 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@@H]3Cc4ccccc4CN3Cc3ccccc3)o2)c1 1117260131 RKOACSWPMVYHAN-QFIPXVFZSA-N 412.449 4.944 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Cc3ccccc3F)o2)c(Br)c1 1255166239 ZTYUUKADQNBEHI-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1 1318258667 BFWHYNKBDOYSKN-UHFFFAOYSA-N 421.891 4.545 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 1319212396 FYJTWYQWKDHADQ-UHFFFAOYSA-N 403.438 4.764 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(F)ccc2NC(=O)OC(C)(C)C)c1F 1321675784 AXPNVGTUOSQPSO-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nnc(-c3cccc4ncccc34)o2)c1 1322509158 ADANTXGWVWYAQA-UHFFFAOYSA-N 401.426 4.850 5 20 HJBD Cc1ccc(NC(=O)[C@H](Sc2nncn2C(C)C)c2ccccc2)c([N+](=O)[O-])c1 4768364 IZYSZFNAEIHUNR-GOSISDBHSA-N 411.487 4.548 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)c1sc2ccccc2c1Cl 4870796 JXTZHTAHRZIGHT-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)c2ccc(Cl)c(Cl)c2)c1 16197252 RHZOYOUEBWOJRI-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD O=C(Nc1cc(Cl)cc2cccnc12)C1CCN(c2ccccc2[N+](=O)[O-])CC1 25600454 XLBRKMKWAZGERS-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD O=C(Nn1cnc2ccccc21)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 28818884 DIHGFDWUBRTFPH-UHFFFAOYSA-N 421.844 4.594 5 20 HJBD CCCCCNC(=O)C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 53014409 LFDHYCBWFGCAAW-UHFFFAOYSA-N 403.526 4.547 5 20 HJBD Cc1nn(C)c(C)c1CCC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 58475788 DPDMUONEBOUMHR-UHFFFAOYSA-N 411.509 4.871 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC(CN(C)C(C)C)CC2)cc1[N+](=O)[O-] 64738461 RAOKLZJQTJXVSV-UHFFFAOYSA-N 421.607 4.925 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2Oc2cccc(F)c2)ccc1[N+](=O)[O-] 66026963 IEVHOZWBTIUWKP-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 116528286 VGIRDLWPZFUCSC-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2csc(-c3cccc(Br)c3)n2)cc1 236582209 ZTDMFJKPVDYLLZ-UHFFFAOYSA-N 404.289 4.771 5 20 HJBD CCN(CC)Cc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 236938793 FGHYMXPIMOLLCH-UHFFFAOYSA-N 410.543 4.850 5 20 HJBD CCN(C(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 237026141 BSSALPGGBPWHDQ-NSHDSACASA-N 410.348 4.535 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)c2ccc(-n3cncn3)c([N+](=O)[O-])c2)c1 302169494 HDRRSVWUGKGFSB-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303087390 BXBLTKGEZRZEHS-QRWLVFNGSA-N 424.526 4.809 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CCCC[C@H]1c1cc2ccccc2[nH]1 303427580 ZYZPMBAANJNHFS-FQEVSTJZSA-N 415.453 4.629 5 20 HJBD CCc1ccc(OCc2csc(CC(=O)Nc3ccccc3C)n2)c([N+](=O)[O-])c1 303635859 PQRJZHLTOQLIHE-UHFFFAOYSA-N 411.483 4.682 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(F)(F)F)c(F)c2)c1 410385860 CYUTYKRVJCNGCO-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 431138910 OVTCWDAONQXOGI-UHFFFAOYSA-N 422.441 4.689 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccc([N+](=O)[O-])cc1 431969809 AHLUPUAHJAQUCG-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD O=C(Nc1cccc(-c2cnco2)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436058482 IOURYFUXNZBBAL-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 436401762 XMIVLSPLHQJDJP-JTQLQIEISA-N 410.327 4.673 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1OC1CCOCC1 437259876 PBLFZBMQIYHKBC-UHFFFAOYSA-N 424.375 4.732 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 437271687 BWAIGAOIRDFLIX-CQSZACIVSA-N 421.419 4.569 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccccc3OCC(F)(F)F)c1)OCOC2 437458375 DMCFCONHQDISQJ-UHFFFAOYSA-N 415.389 4.836 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)oc2c1C(=O)CCC2 440597478 BTVMKYFGKPWNHL-UHFFFAOYSA-N 404.422 4.862 5 20 HJBD C[C@H](NC(=O)CCC(=O)c1cc(Cl)sc1Cl)c1cccc([N+](=O)[O-])c1 445921057 CBZNRXNGPHGCAP-VIFPVBQESA-N 401.271 4.803 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1Cl)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 461409879 YKOFREXWHHWMEB-GFCCVEGCSA-N 409.269 4.501 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1ccc(OC)c(OC)c1 462902137 ZZHKBJMYDAEGSV-YLJYHZDGSA-N 412.486 4.544 5 20 HJBD COc1cc(CNc2cccc(C(=O)Nc3ccccc3)c2C)c([N+](=O)[O-])cc1F 464094579 HXUBYSUETGMDDT-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD COc1ccc([C@@H](C)CCNC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 466035556 KHBZLTWPPOLHCI-LBPRGKRZSA-N 409.389 4.520 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC(F)(c4cccc(Cl)c4)CC3)c1)OCOC2 467550324 JDNOQKWLDCRCAY-UHFFFAOYSA-N 406.841 4.576 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(Cl)c1Br 486842083 PWISQVWJXNYZRQ-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2ccccc2F)cs1 490209114 GUQDVELUWFUSNH-LLVKDONJSA-N 403.460 4.977 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1C[C@@H](CO)c2ccccc21 498262159 OCABIMDLMGVNAG-HNNXBMFYSA-N 424.840 4.777 5 20 HJBD CN(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1Cl 500752733 XWJSHBOVOLTRQD-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD Cc1cc(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCOCC2)cc([N+](=O)[O-])c1 500848214 VHQVGYWWYWNHEM-UHFFFAOYSA-N 423.296 4.688 5 20 HJBD C[C@H](NC(=O)NCC1(N[C@H](C)c2ccccc2)CCCC1)c1cccc([N+](=O)[O-])c1 502810300 LNFRGYQNNGORQU-ZWKOTPCHSA-N 410.518 4.619 5 20 HJBD CC[C@H](CC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(OC)cc1 507538532 YWCBJYZPUUTRDS-QVKFZJNVSA-N 400.500 4.761 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1cccc(OC(F)F)c1 509327960 OATBLORODRJKKT-CQSZACIVSA-N 407.417 4.877 5 20 HJBD COc1cc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)ccc1[N+](=O)[O-] 511678715 DYQDTDOOLVMQSW-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2Oc2cccc(C)c2)ccc1[N+](=O)[O-] 519018926 DLMHATJMFJQNAS-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCc2ccccc2Cl)cc1[N+](=O)[O-])c1ccccn1 520761216 BHAQOUFPJMCWSW-OAHLLOKOSA-N 424.888 4.789 5 20 HJBD COc1cccc(Oc2ccc(N[C@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)cc2)c1 521839173 UQISHHGCGKZQKE-QFIPXVFZSA-N 419.437 4.613 5 20 HJBD CC(C)(C)c1nc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cs1 523272539 WAUGPKPVNIDCJO-UHFFFAOYSA-N 401.410 4.554 5 20 HJBD CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(F)cc1)c1cccnc1 525663009 WJBQXGZWTRIKBJ-HSZRJFAPSA-N 407.445 4.700 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(C[S@](C)=O)c2)cc1[N+](=O)[O-] 533219645 MMEYBPQUBRKTFI-LJAQVGFWSA-N 409.511 4.853 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 533768814 ZYDXZIZOZOJPAZ-CYBMUJFWSA-N 401.492 4.726 5 20 HJBD C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(-c2ccncc2)cc1 536170297 SQSJPROMVKLODW-HNNXBMFYSA-N 400.438 4.558 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 537098045 QSZZMCLAWIIJIA-UXHICEINSA-N 417.893 4.698 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccsc1 539383388 JXZXJBJPMYZBSK-IBGZPJMESA-N 424.526 4.531 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)CCc1ccc(OC(C)C)cc1 540518247 XKYDEZADEOWUIP-MRXNPFEDSA-N 400.475 4.532 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 542438060 JJFCOQIIOKGNQQ-IYOUNJFTSA-N 418.877 4.634 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CCC[C@H](COc2ccccc2Cl)C1 543158846 WLJMHTXIUALIQR-HNNXBMFYSA-N 420.918 4.658 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2cccnc2)cc1 543465123 XGNUSABQZKEWHO-HOTGVXAUSA-N 423.444 4.689 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2ncc(C)s2)cc1OC 543510980 YTFXHDWZIYRNMX-CYBMUJFWSA-N 407.536 4.818 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3c[nH]c4cccc(Br)c34)no2)s1 545074568 VQMMGKCTLRWXAI-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCc2c1cccc2C(F)(F)F 546063986 AWHHIKYULRWRAQ-UHFFFAOYSA-N 404.266 4.835 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 548087793 XWUQEWVNXXVMOJ-CQSZACIVSA-N 410.405 4.591 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 548954712 ORMYVEINQVJWIJ-GOSISDBHSA-N 410.518 4.988 5 20 HJBD COCC1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCC1 552804915 RTEVNTSVIFDLGY-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD O=[N+]([O-])c1ccccc1OC[C@@H](O)COc1ccccc1-c1nc2ccccc2s1 554720153 JNDAUFDVOLNMQJ-HNNXBMFYSA-N 422.462 4.690 5 20 HJBD CC(=O)c1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1F 557087937 ZLFWAQANHDSDHM-UHFFFAOYSA-N 416.352 4.686 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Oc3cccc4ccccc34)n2)ccc1[N+](=O)[O-] 564685198 AIEXFQMOGAWFGZ-CQSZACIVSA-N 405.410 4.870 5 20 HJBD CCC[C@]1(CO)CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 569177279 BTVQRXKJUGSUIO-QFIPXVFZSA-N 414.527 4.679 5 20 HJBD COc1cccc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 570264063 WDWHQRWBNIJNTE-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 603566899 AUGXJAWSVLHLBB-KRWDZBQOSA-N 420.918 4.854 5 20 HJBD CCCc1ccccc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603656067 ZWOZAPGBFWFDDD-LJQANCHMSA-N 413.474 4.752 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 608872161 NCHCRRVZQKMQTE-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609009313 OSUVDBVONJKHPY-UHFFFAOYSA-N 412.364 4.592 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cc(F)ccc1Oc1ccccc1 609040199 YJBKZCCPZQRVPD-UHFFFAOYSA-N 411.389 4.585 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Oc2cccc(Oc3ccccn3)c2)c([N+](=O)[O-])c1 609559914 DCUZRTHVCVWGRZ-UHFFFAOYSA-N 416.393 4.539 5 20 HJBD Cc1cc(Br)ccc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610092179 IOLNXBNRSSINQH-UHFFFAOYSA-N 406.280 4.810 5 20 HJBD COc1cc(C(=O)NCC2(c3ccc(Cl)cc3)CCCC2)cc([N+](=O)[O-])c1OC 610172903 KRQKGINLKXIWEI-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD CC(C)n1ncc2cc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)ccc21 610324774 KQKIGPCILOACNL-UHFFFAOYSA-N 423.473 4.601 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725826178 NNKBEHFRWJLKHH-LLVKDONJSA-N 415.298 4.816 5 20 HJBD Cc1ccc(CCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1Cl 735444365 VADFBMOHQXURKR-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)[nH]c1C 747389074 FEXZQXCZXWNOOE-UHFFFAOYSA-N 404.348 4.873 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccccc1Oc1ccccn1 748678674 YWRJWTPLRUYWCR-UHFFFAOYSA-N 422.440 4.948 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N[C@H](CC1CCCC1)c1ccccc1 752440955 UDGGROZKBONZLD-GOSISDBHSA-N 415.877 4.625 5 20 HJBD O=C(COC(=O)c1ccc(-c2nc3ccccc3s2)o1)c1cccc([N+](=O)[O-])c1 753301074 XHGHGTJODFFHOY-UHFFFAOYSA-N 408.391 4.504 5 20 HJBD C[C@H](C(=O)OCc1nc2ccccc2c2ccccc12)c1ccc(S(C)(=O)=O)cc1 753485966 LCPCGDSIBROPHZ-INIZCTEOSA-N 419.502 4.638 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757180349 FKCAPXUQEOLKOL-HXUWFJFHSA-N 411.483 4.732 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(COc3ccc4ccccc4c3)no2)ccc1-n1ccnc1 761731041 CFMZWTFPHQGLLB-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(NC(=O)c2ccccc2)c1 764194446 ZIGCPKDKKFFMMM-CQSZACIVSA-N 423.856 4.992 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(C4SCCS4)cc3)n2)c1 771388562 DEUUIELVGFRKDW-UHFFFAOYSA-N 415.496 4.723 5 20 HJBD Cc1cc(C)cc(OCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135248 POCPMPSCTKOORV-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(/c2nc3ccccc3[nH]2)S(=O)(=O)c2ccccc2)c(F)c1 777911660 KLIUTJUNHQTVPK-NDENLUEZSA-N 423.425 4.582 5 20 HJBD Cc1c(NC(=O)C[C@@H](O)c2ccc(OCc3ccccc3)cc2)cccc1[N+](=O)[O-] 780849158 UTKRKRZZQYKROQ-JOCHJYFZSA-N 406.438 4.544 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1ccccc1Cl 781259014 FGDOWUFZKGSZOB-ZDUSSCGKSA-N 420.852 4.519 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 782262182 ZGIXVXYMISHZMD-UHFFFAOYSA-N 412.855 4.612 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2)c(F)c1 785902473 ZTEGWYKPSMWJGZ-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H]2CCc3cccnc32)cc1 789673722 FJYNNAIFATYDIV-FQEVSTJZSA-N 406.394 4.635 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796262793 ILYQJYFJNOCYRC-LLVKDONJSA-N 403.341 4.675 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccncc1OCC(F)(F)F 811501059 QRJBHQCJUKYVOC-UHFFFAOYSA-N 410.179 4.528 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812873846 OJHGCTYZGDBGCK-UHFFFAOYSA-N 418.620 4.735 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812999115 IHGAPOUGFJQCIE-QGZVFWFLSA-N 409.269 4.799 5 20 HJBD Cc1cc(C)c(OC(=O)c2csc(COc3ccc(F)cc3)n2)c([N+](=O)[O-])c1 886358943 LFGOLZDMABGGTC-UHFFFAOYSA-N 402.403 4.605 5 20 HJBD C[C@H](OC(=O)c1ccc(OC2CCCC2)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 914950951 BSSGYAHDLGVVAJ-AWEZNQCLSA-N 423.425 4.884 5 20 HJBD O=C(CCN1c2ccccc2Sc2ccccc21)NCc1ccccc1[N+](=O)[O-] 917270265 DRWVZJWSAHKQIJ-UHFFFAOYSA-N 405.479 4.904 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N1CCC[C@@H]1c1cccc2ccccc12 920203860 HLPLIJKYFSBQGE-LJQANCHMSA-N 423.856 4.704 5 20 HJBD Cc1cc(C(=O)COc2ccc([N+](=O)[O-])c(F)c2)c(C)n1CCc1cccs1 921269887 NOESUOPHDKEYAS-UHFFFAOYSA-N 402.447 4.718 5 20 HJBD Cc1nc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])oc1C 1263851161 YZTLTPRMOSZDGL-UHFFFAOYSA-N 417.874 4.934 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3cc([N+](=O)[O-])ccc3OC(F)F)o2)cc1 1326961334 GALSZLLCWGNMEC-LLVKDONJSA-N 421.356 4.785 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Cc3cccs3)o2)c(Br)c1 1338302639 QVQNJFWMWDAKFY-UHFFFAOYSA-N 412.290 4.685 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)nc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339573755 BVNFCHIFKUPGII-UHFFFAOYSA-N 410.817 4.983 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2ncc(-c3ccc(F)cc3)o2)c([N+](=O)[O-])c1 10846248 AQPNSHKLBWBINE-NSHDSACASA-N 417.418 4.517 5 20 HJBD CC[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 11486773 JINDCNHIQIGHEZ-SFHVURJKSA-N 401.894 4.732 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(C(F)(F)F)c2)c1C 22476500 BYEFOTPRKUVFJM-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 24997785 NNRFGYXWJRUPCG-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 35605353 MFOPVVULQKWOTD-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)s2)c(C)c1 65083869 ZZNUJYCWQWFSKW-ZDUSSCGKSA-N 414.512 4.849 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2)nc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 108943116 ZBLHPNYQDHCLSA-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD O=C(CSc1nc(-c2ccc(F)cc2)cs1)N1CCc2cc([N+](=O)[O-])ccc21 216808950 VGFKKKOKTDHCBV-UHFFFAOYSA-N 415.471 4.539 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCc3ccc(F)cc3)s2)c2ccncc12 301083745 VEVLTUNZKHLXAA-UHFFFAOYSA-N 413.459 4.897 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(CN3CCCC3)n2-c2ccccc2)c(Cl)c1 301103202 SJNBCMSQGHHWAE-UHFFFAOYSA-N 415.906 4.576 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NC[C@H]2CCCO[C@@H]2c2ccccc2)cc1Cl 301655475 HRDWMTOMBNMNDP-AUUYWEPGSA-N 404.850 4.615 5 20 HJBD COc1ccccc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1nccn1C 301866102 HEJOTOBCQFEXHV-QFIPXVFZSA-N 415.453 4.600 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccc(F)c(F)c3F)s2)c1 410427684 XNWAEGRULIHYFT-UHFFFAOYSA-N 421.400 4.929 5 20 HJBD O=c1ccn(CCOc2ccccc2[N+](=O)[O-])c2ccc(Oc3ccccc3)cc12 429307576 ORCWSUHMGJDYNL-UHFFFAOYSA-N 402.406 4.781 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccc[nH]2)c([N+](=O)[O-])cc1OCc1ccccc1 435769432 VSHCHFLALCLFQL-FQEVSTJZSA-N 407.470 4.848 5 20 HJBD O=C(NCc1ccc(Cl)s1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436098214 DIWWRZSRPBWRKL-UHFFFAOYSA-N 404.825 4.604 5 20 HJBD CCN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)[C@H](Cc1ccc(F)cc1)C(C)C 437121812 GPHVXRCETZSZMH-JOCHJYFZSA-N 424.476 4.649 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437144890 KSKGCOJDKDQNSP-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](Cc2ccccc2)C1 444071200 FOHIIEPCGVMQBF-QGZVFWFLSA-N 400.453 4.525 5 20 HJBD Cc1cc(NC(=O)N(C)[C@@H]2CCOc3ccc(Br)cc32)ccc1[N+](=O)[O-] 446263819 BZJUVGWULVCNKK-MRXNPFEDSA-N 420.263 4.653 5 20 HJBD C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1Cl 446371744 RIBZAKXJPFGOME-SECBINFHSA-N 406.225 4.646 5 20 HJBD O=C(NCc1cc(F)c(Cl)cc1F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 447751812 CKYBVGFCOHPPKA-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@H](c2ccccc2)[C@@H](C)C1 460648824 RWIAMBAQKCLPQY-MPGHIAIKSA-N 402.878 4.668 5 20 HJBD O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 462191663 VWSDNJNKTLMHJR-QFBILLFUSA-N 404.532 4.565 5 20 HJBD O=C([C@@H]1C[C@H]1c1cccc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638799 MQRHXOXYBKSBEK-BJKOFHAPSA-N 416.477 4.922 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCc1c(C)nn(Cc2ccc(C)cc2)c1C 464724544 RYDPKJWLABIVIP-UHFFFAOYSA-N 420.513 4.899 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 466843758 WAAFAUJVHHKRLY-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD COc1ccc(C(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)cc1[N+](=O)[O-] 467480006 XGXQKETXOXVZKC-SFHVURJKSA-N 408.458 4.511 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 468166378 WJPHLSXYOGPJGZ-QGZVFWFLSA-N 419.485 4.720 5 20 HJBD C[C@H](O)C[C@@H]1CCCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 468565916 NCFUHJCWSOJWAH-YJBOKZPZSA-N 400.500 4.512 5 20 HJBD CC(C)Nc1cc(F)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 469692130 GUSGKGPSKRIJSJ-UHFFFAOYSA-N 400.435 4.930 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1oc2ccccc2c1CSc1ncccn1 470710414 QZFPOZVDVKETHT-UHFFFAOYSA-N 424.413 4.815 5 20 HJBD C[C@H](CCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470869911 MRKPYRRGIMNTAD-GFCCVEGCSA-N 416.421 4.623 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 472492965 CSLFWQUQGBNDLA-STQMWFEESA-N 419.428 4.616 5 20 HJBD Cc1nc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 473599527 SXKXTQBQKCCLSL-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CC(C)C)CC(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 475194880 LZFCVILNJRIKAL-UHFFFAOYSA-N 400.523 4.601 5 20 HJBD CN(C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1cnc2ccccc2n1 484867055 HRMPVLYUHRRGNM-UHFFFAOYSA-N 416.462 4.966 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1OC[C@H]1CCCCO1 488372719 AIIRSZUKEJKVGP-MRXNPFEDSA-N 416.499 4.907 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N(c1ccc(F)c(Cl)c1)c1nccs1 489043867 ZYVTXLJWJFGCAH-UHFFFAOYSA-N 409.801 4.890 5 20 HJBD Cc1ccc(Sc2ccc(CNc3cc(C(=O)N(C)C)ccn3)cc2[N+](=O)[O-])cc1 489793373 RAOLTYBZFJZFJB-UHFFFAOYSA-N 422.510 4.763 5 20 HJBD COc1ccc(C2(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CCCC2)cc1 491424403 UFWPLLLBMAHQGO-HNNXBMFYSA-N 400.500 4.670 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500621617 RIYDHLZMIMSXPM-HZPDHXFCSA-N 413.271 4.818 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(F)(F)F)[C@H](C)C(C)C)cc1[N+](=O)[O-] 510531027 LEXLFKIKVIAEGY-SNVBAGLBSA-N 411.218 4.715 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Br)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 510593522 VJFVVNORYFHBSP-NEPJUHHUSA-N 417.263 4.819 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cc(-c3cncc(F)c3)ccc2C)cc([N+](=O)[O-])c1 512751856 GNSQQSUAYXMQTG-UHFFFAOYSA-N 423.400 4.533 5 20 HJBD Cc1nc(C2(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)CCCCCC2)no1 513449387 UHZPTJNBEWBYTM-UHFFFAOYSA-N 400.479 4.563 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 515361869 GZCLSFMZSCMOLP-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD CC(C)c1ccc(-c2nc(CN(C(=O)c3ccccc3)C3CC3)no2)cc1[N+](=O)[O-] 516603821 CFRPKEKZMACEIE-UHFFFAOYSA-N 406.442 4.573 5 20 HJBD CCCOc1ccc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCCC 519111025 UEKFGGWXQGUWLN-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD CC[C@@H]1CCCN(C(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)CC1 522678623 JXBSSANCYQYZHF-QGZVFWFLSA-N 423.513 4.544 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2C1 523491577 YMNSTUPIGLPYQK-SECBINFHSA-N 410.293 4.581 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCC2CCCCC2)CC1 525158918 IIAMPBCKMRSWSV-MRXNPFEDSA-N 406.548 4.663 5 20 HJBD COc1cc(CN[C@H](C)c2ncc(C)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 531410474 ZNBNKBPFXNFBFD-OAHLLOKOSA-N 413.499 4.798 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)Cc3ccc(C(N)=O)cc3[N+](=O)[O-])cc2c1 533425007 HOTMANCPADUCMA-UHFFFAOYSA-N 419.437 4.544 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)CC2)c1 541391463 RFBCRTUKCHSAKW-INIZCTEOSA-N 415.465 4.643 5 20 HJBD C[C@@H]1C[C@@H](CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 544135193 YJGHDPCAXOBYNK-CVEARBPZSA-N 400.500 4.681 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 556885392 XRAPYUNHRSOKIO-BVYCBKJFSA-N 407.470 4.691 5 20 HJBD CCCn1c(Sc2ncnc3sc([N+](=O)[O-])cc23)nnc1-c1cccc(C)c1 557476698 DDSAIUREVJGDQK-UHFFFAOYSA-N 412.500 4.728 5 20 HJBD CSc1cccc(-c2nc(CC(=O)Nc3cccc(Cl)c3C)no2)c1[N+](=O)[O-] 565473093 MXPIAFYGZZSKTA-UHFFFAOYSA-N 418.862 4.510 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCc2ccc(Br)cc21 568733516 BISJAPVVARNJOD-UHFFFAOYSA-N 424.254 4.622 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(OC2CCCCC2)CC1 569046896 GNBCYQWZVCLRBD-UHFFFAOYSA-N 412.939 4.681 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3occc3C)cc2[N+](=O)[O-])n1 574593418 QWGNWUPBKVRFBV-UHFFFAOYSA-N 403.485 4.685 5 20 HJBD CC(C)c1ccc(CN2CCC[C@H](c3nc(C(F)(F)F)cn3C)C2)cc1[N+](=O)[O-] 574795833 LYSFZUSCDMEVKS-HNNXBMFYSA-N 410.440 4.850 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 574971504 ZKZLTPITBDXTSW-ZIAGYGMSSA-N 405.292 4.598 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)c1 582262421 YPDLAXKHYMSDBD-UHFFFAOYSA-N 411.433 4.634 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1)C1CC1 583228171 FSOHGEWMAQJSAW-UHFFFAOYSA-N 422.444 4.747 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1cc(F)ccc1OC[C@@H]1CCCO1 600853033 GAMCQYLCCPADDV-HNNXBMFYSA-N 414.355 4.923 5 20 HJBD Cc1nc(NC(=O)COc2ccccc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1 603974357 OEMXTHYNJJPLMX-UHFFFAOYSA-N 417.874 4.621 5 20 HJBD CC[C@@H](NC(=O)CNc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)cc1 604006121 FBZBAIUGRLOWLF-CQSZACIVSA-N 413.808 4.529 5 20 HJBD COc1ccc(CNC(=O)c2sc(-c3cccc(Cl)c3)nc2C)cc1[N+](=O)[O-] 604016571 IGUVMLDCOUUIRN-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD C[C@H](SCc1cc([N+](=O)[O-])cc2c1OCOC2)c1nc(-c2cccs2)no1 604060328 YPDVUMXPWLKSBD-JTQLQIEISA-N 405.457 4.567 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(OCc2cccnc2)cc1 604346713 GFIKCEGGHMSHPD-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 604458581 IQGOYLDJCOXGLS-UHFFFAOYSA-N 415.877 4.697 5 20 HJBD C[C@@H](NC(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 608984334 XSBSWOMIEFITQP-KZULUSFZSA-N 424.284 4.746 5 20 HJBD COc1ccc([C@@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c2ccccc2)cc1 611195219 WCSRUXSVPOWJRT-QFIPXVFZSA-N 401.422 4.604 5 20 HJBD O=C(Nc1ccc(F)cc1OC1CCCC1)c1cc([N+](=O)[O-])ccc1OC(F)F 611523510 SIAOJOCKJZQHAZ-UHFFFAOYSA-N 410.348 4.909 5 20 HJBD O=C(OCc1csc(C2CCCC2)n1)c1cc([N+](=O)[O-])ccc1Br 725985697 MVNBMBCQFXAHHX-UHFFFAOYSA-N 411.277 4.828 5 20 HJBD CC(C)C[C@H](C)CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 727881643 LHXQSZQHAZTEOE-NWDGAFQWSA-N 404.385 4.556 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(OC(F)F)cc2)cc1SC 728649264 IGWNIIHRZURSSZ-SNVBAGLBSA-N 413.398 4.845 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)ccc1F 730493161 CPUIKRPLYGYHML-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(OCc3ccncc3)cc2)c1 733402607 DPQNLKOUDSNNAL-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD COCCOc1ccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c(C)c1 734159692 HMNRUZTVJFDXAR-UHFFFAOYSA-N 412.442 4.809 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2nc(/C=C/c3ccccc3)cs2)ccc1Cl 735407695 MUWVBESPUXEPPK-VOTSOKGWSA-N 421.887 4.676 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C4CC4)sc3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748638657 MKYTUDQPSVANHJ-UHFFFAOYSA-N 412.427 4.859 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)N[C@H](C)c1ccc2ccccc2c1 750949855 OYSMNLDGCXLYGC-OAHLLOKOSA-N 410.495 4.867 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 751125240 FZKRXBDFDNMHPU-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H](COc2ccccc2Cl)C1 754242880 KQIIITYIBUUXBA-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD COc1ccc(CN(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)[C@@H](C)C2CC2)cc1 757255844 KKVYWNCPVSOKNY-AWEZNQCLSA-N 417.893 4.767 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)c1[N+](=O)[O-] 758474130 VYGYQOBZNDAAQY-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD Cc1c(C(=O)Nc2ncc(-c3ccc(F)cc3)s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 762425747 NVHZSSCJVWFQAM-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD COc1cc(CN(Cc2ccccc2)C[C@H](O)c2ccsc2)c([N+](=O)[O-])cc1F 766795838 IFMHLWHZKUGBKI-FQEVSTJZSA-N 416.474 4.540 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 767325569 AJWXLFKIWGTRMF-OAHLLOKOSA-N 411.336 4.543 5 20 HJBD C[C@H](C[S@@](C)=O)N(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 768446203 DAKQESNCCTUIJA-XHDOVSQSSA-N 419.546 4.772 5 20 HJBD Cc1cccc(C(=O)Nc2ccccc2C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)c1 774220824 WBEFNUJUVSPREK-UHFFFAOYSA-N 415.449 4.667 5 20 HJBD COc1ccc([C@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2OC)cc1 788672887 STEBWYCNAREHJN-CMJOXMDJSA-N 407.470 4.634 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)OCc1cccc(C(F)F)c1 791759616 WMMIVDMWKUJYMY-UHFFFAOYSA-N 400.175 4.581 5 20 HJBD CC(=O)Nc1cccc([C@H](C)OC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 793131746 ZQHYLKRPVGIBRW-NSHDSACASA-N 421.247 4.542 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)cc1Cl 795929037 WFBKOLDJAPATJV-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)c2nc(Cc3ccc(Cl)cc3)no2)c1F 803457565 OTBSDGFSDODWLB-NSHDSACASA-N 419.796 4.588 5 20 HJBD CC(C)(C)OC(=O)NC[C@@]1(C)CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 810005187 YKTGOGVWFLBWRO-GOSISDBHSA-N 418.321 4.638 5 20 HJBD O=C(Nc1c2c(nn1-c1ccccc1)CCC2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812845189 XRVCONAWXZILSM-UHFFFAOYSA-N 417.252 4.828 5 20 HJBD O=c1c2ccccc2nc(S/C=C\c2ccc([N+](=O)[O-])o2)n1Cc1ccccc1 821690191 WOTWCSMSPUWQNP-SEYXRHQNSA-N 405.435 4.709 5 20 HJBD N=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(OC(F)(F)F)c1 849109543 XXJMCXSZYFSJPN-UHFFFAOYSA-N 422.285 4.649 5 20 HJBD Cc1ccc(/C=C(\Cl)c2nc(=O)c3ccc(C(F)(F)F)cc3[nH]2)cc1[N+](=O)[O-] 916127650 CUXDWLJGFICVDA-MLPAPPSSSA-N 409.751 4.895 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1CCN(c2ccc(C(C)(C)C)cc2)CC1 919770726 FGBYYGKSVWMJHM-UHFFFAOYSA-N 410.518 4.991 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C\c3cc4c(cc3Br)OCO4)nc2c1 920388131 VAWUSPAPNADFEE-QDEBKDIKSA-N 422.622 4.699 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Oc1ccc2ccc(C(F)(F)F)nc2c1 920799513 QFFAYFANRYGZLO-UHFFFAOYSA-N 405.332 4.569 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)o1 1117243217 CSYAZGKAOFXYBM-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(COCc4ccncc4)n3)cc2)c1 1117596103 ZYBHKKJAGHOXGG-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1cc(-c2ccc(Cl)cc2)no1 1248504992 CUMVVFNRCDGMJI-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1csc(Cc2ccc(Cl)cc2)n1 1256712661 RPROFHDWBXSDGF-UHFFFAOYSA-N 415.902 4.610 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1=O 1257652281 KKWIDYWWAMAEIQ-ZBFHGGJFSA-N 406.442 4.679 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(Cl)c(N3CCCC3=O)c2)n1 1320326201 DUHZPTBWXZXNGH-UHFFFAOYSA-N 412.833 4.709 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc([C@@H]3CC(c4ccc(Cl)cc4Cl)=NO3)o2)c1 1325769904 LSOUJHHGJJXARZ-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD CCOc1ccc(-c2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1OC 1329039407 POLUNYBZXCPRHV-UHFFFAOYSA-N 424.438 4.843 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@H](O)c2ccccc2Cl)o1 1329232950 VPLUSQMXLGJFEJ-SFHVURJKSA-N 407.253 4.985 5 20 HJBD O=[N+]([O-])c1ccccc1OCCc1nnc(COc2ccccc2-c2ccccc2)o1 1330038632 IEMDKZWFVFNQHV-UHFFFAOYSA-N 417.421 4.845 5 20 HJBD COc1ccc([C@H](Nc2ccc([N+](=O)[O-])c(C)n2)c2ccc(OC)cc2OC)cc1 1340376868 RQAPSCBMNRJMLC-QFIPXVFZSA-N 409.442 4.526 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1ccc(Br)c([N+](=O)[O-])c1 11213436 RPDMNDYJUWKURM-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2sc3cccc(F)c3c2C)cccc1[N+](=O)[O-] 26766310 CTFBWSCJENLBMS-GFCCVEGCSA-N 416.430 4.749 5 20 HJBD COc1ccccc1Oc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 28626227 AZMZEQNAPPUJBK-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD CCOc1ccccc1N1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 46762928 WIIQOXGAPCIUQX-UHFFFAOYSA-N 411.505 4.749 5 20 HJBD CC(C)c1nc2cc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)ccc2o1 53475265 UXEAXNJOTZKYCL-UHFFFAOYSA-N 405.414 4.512 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](c2ccc(CC(C)C)cc2)C(C)C)cccc1[N+](=O)[O-] 61963212 GRTRDZUZGRWJGJ-NRFANRHFSA-N 411.502 4.554 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CC=C(c2cccc3ccccc23)CC1 63690336 MJHPHDGENFVWBE-UHFFFAOYSA-N 402.450 4.833 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OCC(F)(F)F)cc1 64419313 QSOJYHVVYVHINS-JTQLQIEISA-N 418.755 4.595 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccc(-c2ccccc2)o1 64736335 SULITBOSPDIBNH-CYBMUJFWSA-N 400.818 4.592 5 20 HJBD CC(C)c1ccc(-c2nc(Cn3cnc4cc([N+](=O)[O-])ccc4c3=O)cs2)cc1 69297669 KIVRVIUOTXPUCM-UHFFFAOYSA-N 406.467 4.600 5 20 HJBD O=C(NCCCOc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1Cl 109375536 QBSHXNJYBWTFTF-UHFFFAOYSA-N 403.649 4.754 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3C)C[C@H]2C)cc1SC 303815835 ZXUUZAVBPNOYTN-CABCVRRESA-N 400.500 4.652 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccnc3c([N+](=O)[O-])cccc23)CC1 432338140 UUWUHHYWYLBHMD-UHFFFAOYSA-N 424.526 4.726 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](Cc2ccc(Br)cc2)C1 432484017 DAOQKUAXLDHAFS-OAHLLOKOSA-N 418.291 4.762 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2OCc2cccc(Cl)c2)c1[N+](=O)[O-] 433770543 GKCWCRINFZFRIF-UHFFFAOYSA-N 414.849 4.926 5 20 HJBD CCOc1cc(CS(=O)(=O)Cc2csc(C3CCCCC3)n2)ccc1[N+](=O)[O-] 434639220 XCAUCTAVAIJECM-UHFFFAOYSA-N 424.544 4.613 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c2)n1 436112989 TYWTWHHJZQXNTK-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437569345 QLMUPCYYKRELGU-UHFFFAOYSA-N 424.501 4.579 5 20 HJBD CC[C@@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])c(OC)c2)C1 446376874 NBXBSEZPWKGQCO-CYBMUJFWSA-N 404.850 4.921 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCCC[C@@H]2c2ccco2)c(C(F)(F)F)c1 447024241 VLINIZBVFMNOKU-CQSZACIVSA-N 418.393 4.513 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 448248736 KZYLYXSNVPJTSY-UHFFFAOYSA-N 409.417 4.868 5 20 HJBD O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 462191661 VWSDNJNKTLMHJR-APWZRJJASA-N 404.532 4.565 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(Br)s1 462387931 IXJOJEJZUWQWNC-CYBMUJFWSA-N 411.321 4.646 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464658503 CKIRMOVABYVUKO-IBGZPJMESA-N 422.403 4.701 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(F)c(F)c2)nc1C 466510159 NRZFPRGDKYLKKX-UHFFFAOYSA-N 419.409 4.956 5 20 HJBD CC(C)(C(=O)Nc1cc(F)cc(F)c1Br)c1ccc([N+](=O)[O-])cc1F 468275277 XJGHFMJPWHRGJY-UHFFFAOYSA-N 417.181 4.691 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)ncc1[N+](=O)[O-] 470870090 PLIJHIFDDNEDQF-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD COc1ccccc1[C@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)OC(C)C 472132754 PFFKHJNCWKMJHO-SFHVURJKSA-N 407.854 4.545 5 20 HJBD CCc1[nH]nc(C(=O)NCc2ccccc2-c2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 473627586 UJQKCKYMOXCXIY-UHFFFAOYSA-N 419.268 4.784 5 20 HJBD Cc1c(COc2ccc(Oc3ncc(Br)cn3)cc2)cccc1[N+](=O)[O-] 473680169 VXWPFEZQBQHYHV-UHFFFAOYSA-N 416.231 4.827 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cn(-c2ccccc2)nc1-c1ccncc1 474136115 GAGIYAPYKDKBKT-UHFFFAOYSA-N 415.453 4.601 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 475641010 RUWKIQLYTWPBIX-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD CC(C)N(Cc1ccco1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 477777765 SPPWWFHXKZXVAP-INIZCTEOSA-N 408.458 4.807 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@@H]2[C@H]2CCCC2=O)cc1[N+](=O)[O-] 478384506 LTCDQHLINGURQD-IAGOWNOFSA-N 404.532 4.707 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cc2)n1 479792882 AJVNVRFTAIWKPP-HNNXBMFYSA-N 404.426 4.635 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)nc1C 482026089 PRKBDRBJRHQZIM-UHFFFAOYSA-N 423.516 4.537 5 20 HJBD COC(=O)c1cc(Oc2nc(-c3ccccc3)c3ccccc3n2)ccc1[N+](=O)[O-] 484590628 LGPZKGAFQPIJHU-UHFFFAOYSA-N 401.378 4.784 5 20 HJBD Cc1ccccc1[C@H]1CCCN1C(=O)Cc1ccc([N+](=O)[O-])cc1Br 484850046 ABPQBZPNLYYLNJ-GOSISDBHSA-N 403.276 4.572 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC(C)C)c2ccccc2C)cc1OC 485672748 YMGGSXCILGUXTB-GOSISDBHSA-N 400.475 4.828 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc3c(s2)-c2ccccc2OC3)c(C)c([N+](=O)[O-])c1 485978909 WZOVYVKDCGLMKO-UHFFFAOYSA-N 424.434 4.563 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486547768 IFUMTBSECARVPL-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])n[nH]1 486794973 BGIIKQMOOXDJQO-CABCVRRESA-N 422.489 4.960 5 20 HJBD C[C@@H](c1ccc(C(C)(C)C)cc1)[S@@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 488807082 QJZGBHKKVDHKPU-HBIPHATFSA-N 402.516 4.731 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H](C)c1ccc(NC(=O)c2ccncc2)cc1 492755631 FBRXYGVYHPMOKW-IRXDYDNUSA-N 404.470 4.962 5 20 HJBD CC1(C)CN(C(=O)Cc2ccccc2[N+](=O)[O-])C[C@@H](c2cccc(C(F)(F)F)c2)O1 497845397 IAZLTOHWOMNALA-SFHVURJKSA-N 422.403 4.535 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1ccc([N+](=O)[O-])cc1Cl 499509572 CVGCNOQDXBEILN-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ccc(F)cc2)c2ccncc12 499920802 JQCIZHNHFYXXEJ-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD CCOc1ccc([C@H](C)NCc2cccc(NC(=O)C3CCCC3)c2)cc1[N+](=O)[O-] 504925309 AVARXXZHBMFVMB-INIZCTEOSA-N 411.502 4.973 5 20 HJBD C[C@H](NCc1ccccc1[N+](=O)[O-])c1cccc(NC(=O)Cc2ccccc2F)c1 509604087 UHXUOBJWLCGZQU-INIZCTEOSA-N 407.445 4.766 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CCOCC1)Nc1ccc([N+](=O)[O-])cc1Cl 516273141 UZRYFAVFRIDLOG-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520387738 IBLDJEVPJCYNRE-KSSFIOAISA-N 418.877 4.778 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccccc1Oc1cccc(F)c1 521725748 JJRHFVDQFOZVOI-UHFFFAOYSA-N 409.417 4.868 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 536640171 PLCLEPUMVBLSQC-NVXWUHKLSA-N 422.403 4.617 5 20 HJBD NC(=O)CSc1ccccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 538826934 ZPRBQSLXTBIBAX-UHFFFAOYSA-N 417.874 4.698 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 539277973 YNOSTNUSSQPLIM-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD Cc1cc(Br)cnc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 539359828 IRYZZJSDOJHXIW-UHFFFAOYSA-N 410.293 4.814 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(-c3cn4c(n3)CCCC4)cc2)c1 539501414 XPYPMNQLBTWJDU-UHFFFAOYSA-N 420.469 4.511 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 540447074 YDDODZVPUSJWQD-CRAIPNDOSA-N 402.859 4.723 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 541913304 FKRCYMFSLBNPDV-CXAGYDPISA-N 423.307 4.530 5 20 HJBD C[C@]1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO[C@H]1C1CC1 542314376 OLIJFHSSZMYAEI-OALUTQOASA-N 421.291 4.665 5 20 HJBD CC(C)n1c(CNC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])nc2ccccc21 542360097 HKQUYLIQDBQJHJ-UHFFFAOYSA-N 418.906 4.587 5 20 HJBD CCOc1ccccc1-c1cccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)c1 545782231 XPVYSYWCIRFBBF-UHFFFAOYSA-N 402.410 4.696 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCc2ncc(-c3cccc(Br)c3)o2)n1 546180267 VPYIOQPGZTUHCJ-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](COc2ccccc2)c2ccccc2)c1 549010041 KTFQUGJWXRKMCF-NRFANRHFSA-N 406.438 4.544 5 20 HJBD CCOC(=O)c1c(Cn2c([N+](=O)[O-])cnc2C)nc2ccccc2c1-c1ccccc1 551424256 YTKCEMQNVDZVDY-UHFFFAOYSA-N 416.437 4.540 5 20 HJBD Cc1c(CC(=O)NCC2(c3ccc(Br)s3)CCC2)cccc1[N+](=O)[O-] 552600361 WXWVEEZQBYEZCC-UHFFFAOYSA-N 423.332 4.508 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 553062764 IYLNCQBLWSFVIL-UHFFFAOYSA-N 417.824 4.665 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cn2)cc1 559987660 YJVWUDQNFTTWFU-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD COc1cc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)ccc1OCc1ccc([N+](=O)[O-])cc1 576148503 JGEWRNBATCJKRM-IEZKXTBUSA-N 416.477 4.610 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccccc2Cl)no1 579821212 JICQIBAINLVBOU-CQSZACIVSA-N 414.849 4.549 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 582379864 FXKYPSKDURPDPO-QGZVFWFLSA-N 407.264 4.589 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(F)c2ccccc12 583716672 LOUQFSMCSZQSJI-CQSZACIVSA-N 411.433 4.575 5 20 HJBD CCCOc1cc(NC(=O)c2cc(F)ccc2OC)c([N+](=O)[O-])cc1OCCC 591598722 HQPPPEHSOSWNKW-UHFFFAOYSA-N 406.410 4.572 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1C 603458870 GZHDEHSDXTYBPV-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)C1 603896180 ZXSCHRZAULMSFZ-GOSISDBHSA-N 422.529 4.679 5 20 HJBD COc1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c(OC)c1 608972613 ALCDTFKPCWUNKR-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(N4CCCCC4)CC3)c([N+](=O)[O-])c2)cc1 608972616 AVVWCCDKBIFOBO-UHFFFAOYSA-N 422.529 4.610 5 20 HJBD CN(C)CCCN(C(=O)c1cccc([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 609026791 KUNKIQYUTGTSHH-UHFFFAOYSA-N 417.509 4.778 5 20 HJBD COc1ccc(Cl)cc1CN(C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 609193627 WNAPWKWLVUCYDS-UHFFFAOYSA-N 401.850 4.586 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 609506673 IVPPYLUPAFIBDL-QFBILLFUSA-N 416.481 4.623 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)o1 610040519 YQEYKEPOKPIYMJ-ZBEGNZNMSA-N 419.275 4.879 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccc(Br)cc1 610047012 WSRDHGWULGPGBM-MOPGFXCFSA-N 417.303 4.600 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660624 YVOVOTPSJXMGLI-UHFFFAOYSA-N 412.795 4.511 5 20 HJBD O=C(CCc1ccc(Br)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 610961855 XMSPSCUMHKSJPE-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC[C@H](c3nc4ccccc4o3)C2)c1 611253928 YQHOMORFLMAFIC-ZDUSSCGKSA-N 403.385 4.717 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 615037729 QMDULOVUYBYKLB-UWVGGRQHSA-N 413.318 4.934 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 616122789 SYSMZMITLPBQES-PHIMTYICSA-N 413.318 4.806 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 619578948 WDVMHZJMBHTQOR-RNJTYBCJSA-N 402.516 4.601 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccncc2)n1Cc1ccccc1 728787373 NWONHUNNAOZYPZ-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2OC(C)C)c2c(C(C)C)noc2n1 729118449 DHMKLPGMPFMMRD-UHFFFAOYSA-N 413.430 4.707 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)c2)n1 729335722 BFDCKUPCBRYWKN-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](CCNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 730108611 JWSBIKJAHLWQMS-INIZCTEOSA-N 415.490 4.592 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 731298724 MBDGFUBDFFZVSA-QGZVFWFLSA-N 412.833 4.666 5 20 HJBD O=C(CCc1ccc(OC(F)F)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445686 TZCDHRWIUSBQQX-UHFFFAOYSA-N 418.352 4.527 5 20 HJBD CC(=O)c1c(C)cc(C)c(COC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C 740411125 XDYHTDUNQIVWAD-UHFFFAOYSA-N 420.259 4.842 5 20 HJBD COc1ccc(COC(=O)c2cc(NC(=O)CC(C)C)ccc2Cl)cc1[N+](=O)[O-] 745171250 GWZJKWWQDFYGES-UHFFFAOYSA-N 420.849 4.598 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750546761 AENSXQJMNPZKHC-UWVGGRQHSA-N 407.835 4.768 5 20 HJBD COc1ccc(COC(=O)CCC(=O)c2cc(Cl)sc2Cl)cc1[N+](=O)[O-] 756607907 FBUMBEPFNPELSE-UHFFFAOYSA-N 418.254 4.678 5 20 HJBD CC(C)(C(=O)Oc1ccccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 763016907 BZMDRYDAUWZFSW-UHFFFAOYSA-N 401.312 4.558 5 20 HJBD C[C@@H](OC(=O)c1ccccc1N(C)C(=O)c1cccs1)c1ccccc1[N+](=O)[O-] 764587890 MZPBONURBLUGQB-CQSZACIVSA-N 410.451 4.851 5 20 HJBD C[C@@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 765848592 IACWHSRBPKVLPL-MWTRTKDXSA-N 410.445 4.697 5 20 HJBD COc1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1F 770068969 OYLALZPRKDMZLT-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD CC(=O)N1CCCCC[C@H]1C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 772055756 ZZNNUKGQJLSPSV-SFHVURJKSA-N 414.333 4.909 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])n1 776216558 AQUXFOAJMXZSBB-SFHVURJKSA-N 412.833 4.503 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@H](CCc3ccccc3)C(F)(F)F)CC2)cc1 779178796 SNLVDQUUGCVEIB-HXUWFJFHSA-N 407.436 4.717 5 20 HJBD CC[C@@H]1C[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccc(OC)cc2)CCO1 779294764 MWFFRXXNIVKMOW-QAPCUYQASA-N 401.415 4.510 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(Oc3ccc(Cl)cn3)cc2)c([N+](=O)[O-])c1 789672945 RDVAPDPIYQHVIY-UHFFFAOYSA-N 400.778 4.956 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 798543470 XEVHMANZKFRMKK-UHFFFAOYSA-N 405.432 4.655 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CSCc1ccc(Br)cc1 800144995 MZRCPZHIQDCWNF-UHFFFAOYSA-N 413.268 4.677 5 20 HJBD C[C@H](c1ccccc1)n1cnnc1SCc1ccc(Br)c([N+](=O)[O-])c1 800834806 WEZKBUXEWPMUOE-GFCCVEGCSA-N 419.304 4.850 5 20 HJBD CN(CCc1ccc(Br)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809919654 NFYZKSSXFSWZBW-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD Cc1noc(C[C@H](NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccccc2)n1 809988308 GPABGRAVLAGVTK-INIZCTEOSA-N 407.257 4.667 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 812862583 WMERVWKHADDLAB-NRFANRHFSA-N 404.894 4.593 5 20 HJBD Cn1ccnc1COc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 864020417 YPMNEOHYRYCODM-UHFFFAOYSA-N 406.364 4.538 5 20 HJBD O=C(CCSc1ccccc1Br)Nc1cc([N+](=O)[O-])c(F)cc1F 915837574 CTCLHTKAEWNFAO-UHFFFAOYSA-N 417.231 4.756 5 20 HJBD CCc1c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(C)cc1 917069636 WEWJWJSPNLEKAQ-UHFFFAOYSA-N 412.877 4.577 5 20 HJBD COc1ccc(C2=N/C(=C\c3cc(-c4ccccc4)cs3)C(=O)O2)cc1[N+](=O)[O-] 917414161 CKTKTDUDRBYSNG-BOPFTXTBSA-N 406.419 4.676 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3cc(F)ccc3Br)o2)c2ncccc12 1117263966 SMRYOEPVBRRCDI-UHFFFAOYSA-N 415.178 4.762 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)cc1 1253730563 HMKIYPASAJHKGP-UHFFFAOYSA-N 402.406 4.746 5 20 HJBD Cn1cc(-c2nnc(Cc3ccc4ccccc4c3)o2)c(-c2ccc([N+](=O)[O-])cc2)n1 1322498273 LCKNSLPETAUGQJ-UHFFFAOYSA-N 411.421 4.789 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)c1 1323806140 JEMRDVKBPRXXED-UHFFFAOYSA-N 410.393 4.560 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1ccccc1)c1cccs1 7490005 YLMPHGAYIRPZJZ-LJQANCHMSA-N 402.859 4.594 5 20 HJBD CCN(c1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1)C(C)C 11544469 RZWFSPFHRNVREI-UHFFFAOYSA-N 423.416 4.692 5 20 HJBD C[C@H](Sc1ncc(-c2ccc(F)cc2)[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 17749655 YPTSTFRBHUDMNW-JTQLQIEISA-N 420.853 4.897 5 20 HJBD CN(CC(=O)Nc1cc(Cl)c(Cl)cc1Cl)Cc1ccccc1[N+](=O)[O-] 26346186 UCVVBQJEUKFQRJ-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2NC(=O)c2cc([N+](=O)[O-])c[nH]2)cc1 42042882 FEBRIWSWBIROLS-UHFFFAOYSA-N 406.442 4.725 5 20 HJBD O=C(Nc1ccccc1Br)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50256122 BVKRFLHVFCCKSO-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccco1)Cc1ccco1 50560856 ARYHTPGMPOZYIL-UHFFFAOYSA-N 409.423 4.747 5 20 HJBD Cc1nc(C2(NC(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)CCCC2)no1 58612742 FPIVZZYZZLYQFT-UHFFFAOYSA-N 418.519 4.624 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(-c4ccccc4F)c3)n2)cc1[N+](=O)[O-] 58646307 ROZDKHBHZIECMA-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CCOc1ccc(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)cc1[N+](=O)[O-] 61056590 SWIPDUCXWPAUMN-UHFFFAOYSA-N 402.410 4.561 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc([N+](=O)[O-])cc1Cl 72032946 ZXJRUFDRMZJQTM-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD COc1cc([C@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 237270625 VDMBOMWJZXINJT-FZMUQLTDSA-N 419.481 4.941 5 20 HJBD COc1cc([C@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 237274985 ZZAYMINGYLBUFM-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD COc1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c2nccn2C)cc1 301067425 DDXCFUXYEYYGGE-QGZVFWFLSA-N 406.364 4.557 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)Nc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])cc2)n1 301756859 YMRUZAODOMHHRW-QGZVFWFLSA-N 421.501 4.709 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccco1)Cc1ccco1 426255571 IZXTUEMBCHMRTI-UHFFFAOYSA-N 423.347 4.712 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1ccc(Br)cc1[N+](=O)[O-] 430601746 JZWSHQFHIRAKPK-UHFFFAOYSA-N 423.313 5.000 5 20 HJBD CC(C)(NC(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccccc1[N+](=O)[O-] 433006449 PXWNPNALKVRIOA-UHFFFAOYSA-N 424.432 4.765 5 20 HJBD COc1ccc(NC(=O)c2ccc(-n3ncc4ccccc43)cc2Cl)cc1[N+](=O)[O-] 436048921 IGYNQAVPGOEPAN-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD Cc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OCC(F)F 436350964 BQCQAPJBUPSCJP-UHFFFAOYSA-N 408.404 4.886 5 20 HJBD CC[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1nc(C)cs1 436413215 HWQHXNSTQUCRGK-INIZCTEOSA-N 413.449 4.606 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438386507 IJWGAHCJMPTGGR-MAUKXSAKSA-N 403.841 4.617 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(CC(F)F)c1cccc(Cl)c1 440665839 AMJXPGXXLGREID-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(-c2ccccc2)ns1 443875475 IWVWXMUJRLYGOQ-NSHDSACASA-N 403.847 4.778 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447343152 XDLPFRYKYHHSAJ-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD COc1ccccc1O[C@H](C)CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447668285 BPLBJLVITNFVDA-SNVBAGLBSA-N 421.322 4.532 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC(C(F)(F)F)CC2)cc1[N+](=O)[O-])c1ccccn1 464498863 PPQFXPCWMXSNPX-CYBMUJFWSA-N 422.407 4.577 5 20 HJBD O=C(c1cc(F)c(Cl)cc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464668760 YFLKITLVSSNNGH-UHFFFAOYSA-N 413.232 4.724 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ncc(-c3ccc(Br)cc3)[nH]2)c(Cl)c1 466463672 IIJIESWSZLDWGV-UHFFFAOYSA-N 421.682 4.691 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)c(N2CCNC2=O)c1 467402869 IDDQZDVZLJQXCS-CYBMUJFWSA-N 420.922 4.761 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC1CC1)c1ccc([N+](=O)[O-])cc1Br 467686178 KLTZBGYIRJIJSE-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD CC1(c2ccc(Cl)cc2)CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 470874205 PPKUMQBBTKSPFS-UHFFFAOYSA-N 412.877 4.852 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3c(Cl)cccc3[N+](=O)[O-])cs2)cc1 471285610 GVSYCVDWZNQKEU-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD C[C@@H](Oc1cccnc1NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 475081893 DRNDPKPEIXHESW-MRXNPFEDSA-N 406.442 4.794 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 475566573 TYJKRJJQUCMESY-MAUKXSAKSA-N 407.829 4.656 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cn(C)nc2-c2ccc(Cl)s2)cc1[N+](=O)[O-] 475919932 MUCSAODYNKSVTA-LLVKDONJSA-N 404.879 4.510 5 20 HJBD COc1cc(C(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)cc([N+](=O)[O-])c1C 480447203 MMBWDIQEYVBRKB-UHFFFAOYSA-N 402.397 4.510 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(F)c(Br)cc2s1 481296052 YMZSJQQYOJOESJ-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD CCO[C@H](C)c1nc(CSc2nnc(-c3ccc([N+](=O)[O-])cc3)n2CC)cs1 481356296 BADOSSLVJXFDEI-GFCCVEGCSA-N 419.532 4.720 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 483495178 LJDAZMTYGUHZQA-HNNXBMFYSA-N 404.488 4.605 5 20 HJBD Cc1cnc([C@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C2CC2)s1 485890073 SEHOMXACAUMIPT-HXUWFJFHSA-N 423.494 4.820 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 486353670 MYSIKPKZPGFXRM-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD Cc1cccc(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3F)s2)c1[N+](=O)[O-] 487608878 OOWRRURTQFQAGG-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1 487651075 YPOVSNBCWODGSU-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD Cc1nc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(-c2ccccc2)s1 489771967 TVZVLHHPCXIBID-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD COc1cc(Br)cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 494552180 FHKRNQUMNLUKEV-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(C(=O)Nc3ccccc3)ccc2C)cc1[N+](=O)[O-] 495280047 RWONXNXCYIBGAV-UHFFFAOYSA-N 418.453 4.840 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 496551003 KGDFIXGMZPBWEJ-SFHVURJKSA-N 401.875 4.956 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1OCC1CCOCC1 498152698 KIBRCCGHFMGGLX-UHFFFAOYSA-N 421.453 4.509 5 20 HJBD CC(C)N(CCOc1ccccc1[N+](=O)[O-])Cc1nnc(-c2ccccc2Cl)o1 502757307 ZVZATAUTMDWFLE-UHFFFAOYSA-N 416.865 4.588 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc(C(F)(F)F)cs1 503427625 JPORYFLAPVNTEY-UHFFFAOYSA-N 419.450 4.545 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@H](C)c2nc(-c3ccccc3)cs2)c1 503514037 SVDOXOMLQQAYGX-OAHLLOKOSA-N 411.483 4.673 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](COc2ccccc2F)c2ccccc2)c2c(c1)COCO2 507905039 RZJSNMLZOGPXOL-OAQYLSRUSA-N 424.428 4.510 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 508786831 XRCMJESJEATACD-UHFFFAOYSA-N 411.241 4.634 5 20 HJBD CCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 511929266 ZOOCRBULZJFGIB-GFCCVEGCSA-N 408.863 4.766 5 20 HJBD Cn1ccnc1[C@@H](NCCc1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1 513707741 IGRJDUZLGSQMSW-SFHVURJKSA-N 405.285 4.557 5 20 HJBD CC(C)[C@@H](Oc1ccccc1Br)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 515675531 KWBDNYHXZUADBM-SCLBCKFNSA-N 421.291 4.638 5 20 HJBD O=C(NCc1ccc(OCc2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 515806855 JCLWKSUFIUYNGK-UHFFFAOYSA-N 401.422 4.585 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 532825575 GDAGGIIYEWSKAN-INIZCTEOSA-N 411.527 4.586 5 20 HJBD O=[N+]([O-])c1cccc(CCN[C@@H](c2ccccc2)c2nc(-c3ccccc3)no2)c1 533960708 BPOGWGVJANRFBQ-NRFANRHFSA-N 400.438 4.567 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCCc1csc(-c2ccc(Cl)cc2)n1 533992334 OBLUSPFFDWMJRA-UHFFFAOYSA-N 415.902 4.663 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@@H]1c1cccc(Br)c1 534270206 POPHEMIVXNSMGD-GDBMZVCRSA-N 421.316 4.755 5 20 HJBD Cc1cc(C)c(C[C@H](C(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)C(F)(F)F)c(C)c1 536064024 QFNVVYYFRQBPSG-LJQANCHMSA-N 420.431 4.826 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N(Cc1cccs1)Cc1cccs1 536203479 JNTYQKQAUSWWBV-UHFFFAOYSA-N 401.513 4.672 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 536252754 HLJBNUBIXNERHI-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD CCCNC(=O)c1ccc(Cl)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536302505 DQNSQZIACFRNSN-UHFFFAOYSA-N 414.849 4.655 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 537218527 DNPOABWGIGSWED-IBGZPJMESA-N 410.392 4.893 5 20 HJBD Cc1c(CC(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cccc1[N+](=O)[O-] 537244084 WKTNZHHHXXISLU-INIZCTEOSA-N 420.309 4.884 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCCCN(C)c1ccccc1 539335316 HDMKWMBSMVWTKF-UHFFFAOYSA-N 401.532 4.742 5 20 HJBD CCCNC(=O)c1cccc(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2ccc(CC)o2)c1 544361255 VRTFRINMAKXKSQ-HSZRJFAPSA-N 421.497 4.769 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC3(CC2)OCCc2ccsc23)c1 549537564 GDRLQAVDAOVHJB-UHFFFAOYSA-N 412.433 4.739 5 20 HJBD Cc1ccsc1CN(C)[C@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 557194835 XHDHXSIAPMDUIW-CYBMUJFWSA-N 416.528 4.547 5 20 HJBD C[C@@H](NCc1ccc(Cn2cncn2)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 558507240 JAQZGFGPIPFAAW-MRXNPFEDSA-N 419.510 4.814 5 20 HJBD CCCN(C(=O)Nc1cc([N+](=O)[O-])ccc1CC)[C@@H](C)CC(=O)Nc1ccccc1 572532594 VHJUHOYJDYMBRD-INIZCTEOSA-N 412.490 4.818 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc([C@@H]3CCCc4ccccc43)s2)c1 583334429 QFHOKYTVVVEVGS-MRXNPFEDSA-N 424.482 4.566 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccccc3COCc3ccccc3)n2)cc1 604008237 BTAQFUBRLNGNQX-UHFFFAOYSA-N 416.437 4.974 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(F)cc1)c1ccccc1Cl 604077299 JMRNYBBWUJANTE-HXUWFJFHSA-N 413.836 4.976 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(CO[C@H]3CCC[C@@H](C)C3)c2)cc1[N+](=O)[O-] 609012336 VOJPEFGEMFJFKY-UZLBHIALSA-N 412.486 4.880 5 20 HJBD CCC[C@@H](Cc1ccccc1)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 609033733 DHCRHLZJPRCQDO-QFIPXVFZSA-N 420.513 4.598 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncccc2OCC2CCCCC2)c1 609151274 FRTZEGCFCPRPGG-UHFFFAOYSA-N 401.488 4.923 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 609254445 SAWAEJUJCDVDJK-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1ccc([N+](=O)[O-])cc1Br 610037925 UJPMYJUUBCUVLQ-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD O=C(OCCOC(c1ccccc1)c1ccccc1)c1cc(F)c(F)cc1[N+](=O)[O-] 725935021 CUHCIXCCGUAMIL-UHFFFAOYSA-N 413.376 4.836 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727707399 QQOAIAOXPGZFMT-UHFFFAOYSA-N 416.355 4.521 5 20 HJBD O=C(Oc1ccccc1OCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 731169110 UAPPPQSKOSPBHO-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD O=C(c1sc(N2CCCC2)nc1Cl)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 736014929 AUAAAUMZMWEMFG-UHFFFAOYSA-N 420.922 4.894 5 20 HJBD C[C@H](C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccco1 741516705 ODAWFEVUGJBCOI-ZDUSSCGKSA-N 405.362 4.670 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 744157400 UMFKKYFBCAFBPD-YJLNNSPDSA-N 402.447 4.667 5 20 HJBD O=C(c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1)N1CCc2ccccc21 748159076 KUEGIYCXFFFQFY-UHFFFAOYSA-N 418.478 4.607 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nnc(-c3ccco3)n2C2CCCCC2)c1 749641102 RRYPPPMQIRXCTN-QGZVFWFLSA-N 414.487 4.777 5 20 HJBD C[C@H](Oc1ccccc1)c1nc2ccccc2n1C[C@H](O)c1cccc([N+](=O)[O-])c1 749663227 OELBROKGEDVSJW-AOMKIAJQSA-N 403.438 4.818 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)cc1Cl 749840191 KJVNIWJLDWAEGS-AWEZNQCLSA-N 405.882 4.669 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754983985 CKKCTUYEUWAUGF-VIFPVBQESA-N 415.204 4.533 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1nnc(-c2cccc(F)c2)o1 755910840 NBMSEBCNNCLBDE-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760514813 LWMMZZJWYUDNBL-HNNXBMFYSA-N 410.426 4.571 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OS(=O)(=O)c1c(Cl)cccc1C(F)(F)F 760616376 JCFHBJOJSBKBCN-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD C[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 763214334 LLCVQBLCJSZTTQ-QGHHPUGFSA-N 407.239 4.550 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H]3C[C@H](O)c3cccs3)cs2)c1 770293516 XTQZQRCELSNNAU-WMZOPIPTSA-N 415.540 4.868 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1OC(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774881721 CUOPNKLDSKOMLA-QWRGUYRKSA-N 413.808 4.527 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)nn1-c1ccc(Cl)cc1Cl 776293435 SABALOZRBKBJAB-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C[C@H](O)c2ccc([N+](=O)[O-])cc2)cc1 776589868 WNHHMVQAEZUQQM-SBUREZEXSA-N 406.482 4.997 5 20 HJBD C[C@@H](CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(Cl)cc1 777288838 YKFNKINOVSBLFK-LBPRGKRZSA-N 409.269 4.769 5 20 HJBD COc1ccccc1[C@@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 779067519 XBINAPVESSOELA-UNMCSNQZSA-N 412.873 4.669 5 20 HJBD CCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@@H](C)Cc1ccsc1 779444262 UCAVUGPIKDZCBK-NSHDSACASA-N 412.309 4.904 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H](CCO)c3ccccc3Br)sc2c1 781679011 VYWJGDBQEWZUAS-ZDUSSCGKSA-N 408.277 4.503 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nnc(-c3ccc(Br)s3)o2)c(F)c1 788505228 UMVHFYHHMOBQIP-UHFFFAOYSA-N 416.253 4.900 5 20 HJBD CCOC(=O)/C(=C/c1cccc(OCc2ccccc2)c1)c1ccc([N+](=O)[O-])cn1 791428760 SPHWLUMWPTVJRO-KGENOOAVSA-N 404.422 4.673 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 796860738 VLUGHPRRHRPOSG-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CCc1cc2oc(=O)cc(COC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)c2cc1Cl 798130019 LOTQYFCAJBVBLD-YVMONPNESA-N 413.813 4.674 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 804345161 UZTNRKQHNPSEII-HTQZYQBOSA-N 401.263 4.824 5 20 HJBD COCc1ncc(COC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 813998563 ILAPBFAPLKKDCI-UHFFFAOYSA-N 416.480 4.706 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1ccc(SC(F)F)cc1 917815622 ZAFPUGALCWWWIZ-UHFFFAOYSA-N 419.356 4.562 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2COc2ccccc2)cc1[N+](=O)[O-] 917867016 PHEXDOVBQIWBNC-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD C[C@H](OC(=O)C[C@@H]1CCCC[C@H]1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 920726304 HUCGUFXOZDYCCO-AGIUHOORSA-N 416.396 4.700 5 20 HJBD COc1cc(C(=O)OCc2csc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 920824456 QGUZNPYAXIWEAV-UHFFFAOYSA-N 409.366 4.777 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cccc(OCc3cccs3)c2)o1 1117215008 RHPMQKDTSOJFEA-UHFFFAOYSA-N 409.423 4.864 5 20 HJBD Nc1c(C(=O)Nc2ccc(-c3ccco3)cc2F)cc(Br)cc1[N+](=O)[O-] 1256690810 IGMDAPCZAKLSGV-UHFFFAOYSA-N 420.194 4.591 5 20 HJBD O=C(CCC(=O)N1CC[C@](O)(C2CC2)C1)c1ccc2ccc3cccc4ccc1c2c34 1339421862 YAIRXZNHKUPQEB-HHHXNRCGSA-N 411.501 4.920 5 20 HJBD CN(C)C(=O)[C@@H](Sc1nc2ccccc2c2nc3ccccc3n12)c1ccccc1 6649973 JTBZDKRTVHVRSU-NRFANRHFSA-N 412.518 4.957 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 8103173 AFZFEJFRJHAMKZ-AWEZNQCLSA-N 413.524 4.946 5 20 HJBD COC(=O)c1c(NC(=S)Nc2ccc([N+](=O)[O-])cc2)sc2c1CCCCC2 13791131 KXZXUTRGLZXXIK-UHFFFAOYSA-N 405.501 4.521 5 20 HJBD CCCCCc1ccc(NC(=O)CCCn2c(=O)oc3cc([N+](=O)[O-])ccc32)cc1 15025457 WREDMRZUVTYXOC-UHFFFAOYSA-N 411.458 4.654 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nnc(-c2cccs2)o1 19298245 JXFKNJLNJRZCHW-LLVKDONJSA-N 401.444 4.922 5 20 HJBD C[C@H](Sc1nn(-c2ccccc2)c(=S)s1)C(=O)Nc1ccccc1[N+](=O)[O-] 21741611 CWOBMUHJBMPWTL-NSHDSACASA-N 418.525 4.691 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc2c(c1)CCCC2)c1cccs1 24658877 AWKMPAZBMPRGJU-QHCPKHFHSA-N 406.507 4.983 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 59776581 VYWASNULZZEKIW-UHFFFAOYSA-N 403.866 4.890 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 64419757 FDEYOWBNFSQVQQ-ZDUSSCGKSA-N 419.865 4.639 5 20 HJBD Cc1ccc(C[C@@H]2CCCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 65162908 BXLMONSQQSFFIS-QFIPXVFZSA-N 424.544 4.944 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(Br)cc3Cl)n2)c1 71981954 QIFBJJCFHLKAKG-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(S(=O)(=O)c3ccccc3)cc2)c2ncccc12 301934084 REVSAFJATJLJGJ-UHFFFAOYSA-N 406.419 4.768 5 20 HJBD C[C@@H](NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1nc(-c2ccccc2)cs1 410291840 PQKMLMHHYXMZPK-CQSZACIVSA-N 406.467 4.550 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCS1 427918127 REPGOWGOSLKNLK-CQSZACIVSA-N 419.934 4.828 5 20 HJBD COc1ccc(-c2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)c(OC)c1 429231271 OFFVRLXKKIMXPC-UHFFFAOYSA-N 413.499 4.760 5 20 HJBD COCc1nc(CN[C@H](Cc2ccccc2[N+](=O)[O-])C2CC2)c(-c2ccccc2)s1 442858526 SCCVOSNDKHBLBU-LJQANCHMSA-N 423.538 4.976 5 20 HJBD CCOc1cc(NC(=O)c2cccc(Br)c2C)c([N+](=O)[O-])cc1OCC 443836406 CRMFAJPHJWCOMC-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cn1ccnc1[C@@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 444909128 ZUGOXYZCANXOJF-MRXNPFEDSA-N 421.457 4.532 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)cc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OCC 445754168 XOPSHHIKDXXWOV-UHFFFAOYSA-N 416.327 4.802 5 20 HJBD CCOc1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])ccc1OC 447675540 BRARSSQPNUDQJH-UHFFFAOYSA-N 421.322 4.663 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccncc3)n2C2CC2)c(C(F)(F)F)c1 448113253 XBKJKYHPYCXCAY-UHFFFAOYSA-N 421.404 4.894 5 20 HJBD COc1cc(CSc2nnc(-c3c[nH]c4ccccc34)o2)c([N+](=O)[O-])cc1F 463392320 CHHWDEMVBIIGQA-UHFFFAOYSA-N 400.391 4.566 5 20 HJBD CC(C)(C)c1cccc(OCCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463809225 KXXSKGAQRAUWOZ-UHFFFAOYSA-N 410.392 4.720 5 20 HJBD CSc1ccc(Cl)c(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 464657887 CCRMPNSHTFSRRI-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467215849 UTTKGPUPQZPKGP-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD Cc1nnc(-c2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)cc2)o1 468679710 KTJSFRFLBVTZFE-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD CN(C(=O)CCc1cnc2ccccc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 471093987 BFBRKAPZWPFACH-UHFFFAOYSA-N 418.478 4.862 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 472835730 NQPQJLJTHXLDTA-HNNXBMFYSA-N 410.449 4.764 5 20 HJBD COc1cc(C(=O)Nc2cc(Br)ccc2OC(C)C)cc([N+](=O)[O-])c1C 478339726 BYTVCSBSSHPLIG-UHFFFAOYSA-N 423.263 4.714 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CC=C(c2ccccc2C(F)(F)F)CC1 478820529 LRRGKBRDALHOBY-UHFFFAOYSA-N 404.388 4.862 5 20 HJBD COc1cc(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc([N+](=O)[O-])c1C 478995043 SBZXXMSSWPDTDZ-UHFFFAOYSA-N 418.409 4.603 5 20 HJBD Cc1sc(NC(=O)COC/C=C\c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 479049214 UHPZNIVVTVIRGF-TWGQIWQCSA-N 409.467 4.695 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)c2C(C)C)cc1 481895734 RFFVORGSGIGIBH-UHFFFAOYSA-N 403.442 4.946 5 20 HJBD O=C(N[C@@H]1CCCc2c[nH]nc21)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484733507 ZZIFEASQGJTHGL-MRXNPFEDSA-N 412.833 4.571 5 20 HJBD O=C(NC1(c2nccs2)CCCC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485469721 VONSCDATGSEKPC-UHFFFAOYSA-N 423.494 4.830 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488280276 MFNCSLWVNLZWEA-UHFFFAOYSA-N 419.306 4.845 5 20 HJBD COc1ccc([C@@H](NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C2CC2)cc1 494198557 OFPAEPCJVARUMQ-SFHVURJKSA-N 423.391 4.573 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)ccc1NC(=O)c1ccco1 498184862 HHJJELLJMBOQME-UHFFFAOYSA-N 416.393 4.549 5 20 HJBD Cc1cnc(O)n1-c1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 501805764 HOKKUWWEWITUCM-CYBMUJFWSA-N 422.466 4.500 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1 502565284 ZLYLLBMNMIAVRS-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)cc1[N+](=O)[O-] 502576095 SVPOVXDXPXYWKH-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CCSc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 504175867 OWBDQWILDFPHJO-UHFFFAOYSA-N 410.499 4.821 5 20 HJBD COc1ccc(CN(CC2CC2)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c(OC)c1 504726912 XABRXJCKOMZUNT-UHFFFAOYSA-N 413.474 4.673 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)C(F)(F)F)cc1 505403791 QQPXNXFUXUDGQV-BDJLRTHQSA-N 414.405 4.504 5 20 HJBD CC(C)(CCCc1ccccc1)CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 505975890 LNIYVPUZUKFXQU-UHFFFAOYSA-N 407.470 4.628 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc4c(c3)CN(C)C4=O)c([N+](=O)[O-])c2)cc1 508372018 WLDPVNGPTPHWTJ-UHFFFAOYSA-N 417.421 4.533 5 20 HJBD O=C(c1nc(-c2ccccc2)cs1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 513470445 FADBRVVJTCOYMX-UHFFFAOYSA-N 421.400 4.923 5 20 HJBD Cn1ccnc1[C@H](NCCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 513756222 XDKDXDVMSOEVKD-GOSISDBHSA-N 405.285 4.557 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc(Cl)cc1F)c1ccccc1[N+](=O)[O-] 515170047 MNYMATSDRKDCDW-MRXNPFEDSA-N 423.828 4.592 5 20 HJBD C[C@@H](C(=O)Nc1cccc(OCc2cn3ccccc3n2)c1)c1cccc([N+](=O)[O-])c1 516529587 IFPIUTFMXDUVAF-MRXNPFEDSA-N 416.437 4.564 5 20 HJBD O=C(Nc1ccccc1C(=O)N1Cc2ccccc2C1)c1ccc([N+](=O)[O-])cc1Cl 517991280 YKDMLHKUQVTYDH-UHFFFAOYSA-N 421.840 4.657 5 20 HJBD C[C@H](NC(=O)NCc1cccc(CN2CCCCCC2)c1)c1cccc([N+](=O)[O-])c1 520297807 LJYRDNVQPJWAIF-SFHVURJKSA-N 410.518 4.531 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@@H](Oc1ccc(F)cc1Cl)c1ccccc1 524346210 AUULEDGTHISHSM-FQEVSTJZSA-N 414.820 4.824 5 20 HJBD O=C(c1ccccc1CCC(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 534736521 DHBIQSMOKGXHNG-GOSISDBHSA-N 410.417 4.978 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])O[C@@H](C)C2 540546339 GXSAREPJQXJSJU-AWEZNQCLSA-N 419.437 4.631 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 541399153 XCNYKIZWFVPCRT-SNVBAGLBSA-N 416.833 4.819 5 20 HJBD C[C@H]1C[C@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO1 542272313 NKTQKJZAGMROCD-JKSUJKDBSA-N 400.500 4.681 5 20 HJBD COc1cccc([C@@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2cccc([N+](=O)[O-])c2)c1 543306121 GFQAFLPSNOWDDM-UKILVPOCSA-N 416.477 4.903 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@H]1c1cccs1 544051291 XNWBAZSZJQYATH-IBGZPJMESA-N 416.549 4.984 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1)c1ccc([N+](=O)[O-])cc1F 544444880 XVLQWSUJUKHFTH-HUUCEWRRSA-N 411.433 4.771 5 20 HJBD C[C@@H](NC(=O)c1ccoc1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545780211 ROSNJWLYSCGHLK-CYBMUJFWSA-N 420.381 4.521 5 20 HJBD CC(C)(C(=O)NCc1ccc(Oc2cccc(F)c2)nc1)c1ccccc1[N+](=O)[O-] 550342271 JMIAIYMYCDDODX-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD COC(=O)C12CCC(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)(CC1)CC2 551240179 FGSFKKIZFBSRBA-UHFFFAOYSA-N 424.453 4.839 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3ccc(Cn4cnc5ccccc54)cc3)ncnc2s1 553008477 JFVMLEPLYNFAFL-UHFFFAOYSA-N 416.466 4.610 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1Br 556477713 XSCDYELTJIULAP-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC(=O)c1cc(NCC2(c3cccc(C(F)(F)F)c3)CCOCC2)ccc1[N+](=O)[O-] 559615908 BQXBZQLCNRVFRD-UHFFFAOYSA-N 422.403 4.977 5 20 HJBD CCSCc1ccnc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 570870676 OLAJSRFSWMIPTM-UHFFFAOYSA-N 410.293 4.566 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 576018434 ANFDMODSQADFOG-SFHVURJKSA-N 411.483 4.950 5 20 HJBD COc1ccc(OCCN[C@H](C)c2ccc(Oc3cccnc3)cc2)c([N+](=O)[O-])c1 579167723 CQYCIJZHJXEEAO-MRXNPFEDSA-N 409.442 4.520 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)n1 581501027 CWIUNANIGCCMQE-CQSZACIVSA-N 409.446 4.575 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)c1cccs1)c1cc2c(cc1[N+](=O)[O-])OCO2 603812866 ZEMOAYKDHHTPRO-GOSISDBHSA-N 416.842 4.558 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CCc1ccccc1)Cc1ccncc1 608879308 QBKCGWGZPIOQKW-UHFFFAOYSA-N 401.422 4.621 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@@H](c3ccc(F)c(F)c3)C2)c([N+](=O)[O-])c1 608973442 DCAUPZJYRHQLJP-JOCHJYFZSA-N 424.403 4.682 5 20 HJBD COc1cc(CSCc2cc3ccccc3nc2O)c([N+](=O)[O-])cc1OC(F)F 609548822 YYDPNEPFWCOLLR-UHFFFAOYSA-N 422.409 4.892 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)C1CC1 609761866 FOJPAIOKPGVKMA-GFCCVEGCSA-N 411.845 4.868 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N3CCCN(C)c4ccccc43)cc2[N+](=O)[O-])C1 609974252 YMZOIJXJPYJUIK-ROUUACIJSA-N 422.529 4.564 5 20 HJBD CC(C)(C)n1cc(C(=O)Nc2cccc([N+](=O)[O-])c2)c(-c2ccc(F)cc2F)n1 610220869 AXRFNTJGNAVVRX-UHFFFAOYSA-N 400.385 4.744 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)n1 611201631 GUJPOPQHVOGBTR-INIZCTEOSA-N 405.458 4.597 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 612504920 ILCPROJDCNLMSF-ZDUSSCGKSA-N 417.181 4.781 5 20 HJBD COc1cc(C(=O)OCCOC(c2ccccc2)c2ccccc2)ccc1[N+](=O)[O-] 725949244 XTFKAMBDYJHIKH-UHFFFAOYSA-N 407.422 4.566 5 20 HJBD O=C(OCc1cnc(-c2cccs2)o1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 739244624 JEIYTRVTDGYRPZ-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD CC(C)(C(=O)OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc([N+](=O)[O-])cc1 739566933 HUGRRUCWQAYXCE-UHFFFAOYSA-N 408.241 4.570 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1Oc1nn2cc(-c3ccccc3)nc2s1 742418669 UEDGONXWJAOINC-UHFFFAOYSA-N 417.244 4.921 5 20 HJBD O=C(O[C@H](c1ccccc1)c1ccncc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 744964798 AUDPQBRAKULGLS-JOCHJYFZSA-N 403.438 4.536 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NS(=O)(=O)c1ccc(Cl)c([N+](=O)[O-])c1 747617664 IGSQERBVJMZERD-UHFFFAOYSA-N 412.895 4.673 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)N2CCCCCC2)cc1 748288339 UGAPLAYJHGUOPF-VGOFMYFVSA-N 408.458 4.655 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 766589817 BYQRJPWZXHKXQB-NXEZZACHSA-N 402.319 4.814 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CC[C@@H](OCCCc2ccccc2)C1 769998703 SDPCTUKTGXLWSG-QGZVFWFLSA-N 403.866 4.504 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 780391650 CVJJZTMCIVBOOT-HUUCEWRRSA-N 408.298 4.540 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccc(OCC2CC2)cc1 791991756 XNNGMNCPTZFTBX-UHFFFAOYSA-N 413.473 4.687 5 20 HJBD CC(C)c1[nH]nc(C(=O)OCc2nc(-c3ccccc3Cl)cs2)c1[N+](=O)[O-] 796254853 FUNVEGOFXQRUQY-UHFFFAOYSA-N 406.851 4.575 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1 798542109 HNFCTJQLWKCGCH-UHFFFAOYSA-N 401.419 4.593 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c1 799402254 MXUFKFWGJVLNEM-UHFFFAOYSA-N 424.388 4.501 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3cc(N)ccc3[N+](=O)[O-])C2)n1 800870578 XTCXTXOLMLJHHY-CQSZACIVSA-N 410.499 4.693 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2noc3cc(F)ccc23)CC1 811603997 LCLFTGQWOPXCDA-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 813246985 UUEKLIFTYBZQTG-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CS(=O)(=O)c2ccc(C(F)(F)F)cc2)c1 814763985 JICQUNYZQRMQPG-UHFFFAOYSA-N 413.295 4.606 5 20 HJBD O=Cc1cc(Br)ccc1OCc1c(Br)cccc1[N+](=O)[O-] 915659130 YLWIIUJAWCBHLT-UHFFFAOYSA-N 415.037 4.511 5 20 HJBD Cc1sc(CNc2ccc([N+](=O)[O-])c3nonc23)nc1-c1ccc(Cl)cc1 919802476 RUZWGUNBRHEMDF-UHFFFAOYSA-N 401.835 4.828 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1ccc2c(c1)oc1ccccc12 1322551965 RTRKTGWJLUWSCW-UHFFFAOYSA-N 404.378 4.598 5 20 HJBD Cc1nc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)no1 1324567515 YWRCXAHZDRNHGZ-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD CCCOc1ccc(-c2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1OC 1330046059 ILIWMERRNRBSTP-UHFFFAOYSA-N 405.357 4.582 5 20 HJBD CC(C)CC(=O)NC1(c2ccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)cc2)CCC1 1786601606 BODUXMQUVWBDMG-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2C(C)C)cc1[N+](=O)[O-] 7853314 FUGOCFLJZGMXNA-CYBMUJFWSA-N 402.472 4.624 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 9855371 MSXGPPQVPLHYPU-JTQLQIEISA-N 406.414 4.553 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1cccc(Cl)c1Cl 11028649 MLJXVWDTQMSRSC-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4ccc([N+](=O)[O-])cc4Cl)CC3)c2c1 32336485 QGMNAXMNKFVQJI-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD CS(=O)(=O)c1ccc(N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 52454162 JHEZBHKZVOQOHW-OAHLLOKOSA-N 415.298 4.647 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52916554 ADDWCFNGHNTBOI-CQSZACIVSA-N 404.426 4.618 5 20 HJBD COc1cc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1OC1CCCC1 53438988 DFNQHSKKWFEYCL-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H]1CCSc2ccc(Cl)cc21 65720802 SVELNCXFHXPJLG-INIZCTEOSA-N 401.875 4.556 5 20 HJBD COc1cc(/C=C/c2ccc3cccc([N+](=O)[O-])c3n2)c(Br)cc1O 72042050 GIRSDIPIKMUWFL-SOFGYWHQSA-N 401.216 4.790 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)nn2-c2cccc(Cl)c2)c1 105410297 WIMNQZAKPUBNNM-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 106206019 JTHSCRADPQRPTK-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=[N+]([O-])c1cccc(OCCSc2nnc(-c3c[nH]c4ccccc34)n2C2CC2)c1 107757609 BKFDAJHKNLTDJB-UHFFFAOYSA-N 421.482 4.841 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](Oc1ccccc1Br)C(C)C 117235554 FPYSVEDGRVQIJG-QGZVFWFLSA-N 407.264 4.708 5 20 HJBD O=C(COc1cccc2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 195557833 HIUGTOUVIZNJLY-UHFFFAOYSA-N 418.371 4.718 5 20 HJBD COc1ccc2oc([C@@H](C)Nc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])c(C)c2c1 301199552 PKGIKSBGYKWOAO-CYBMUJFWSA-N 409.442 4.723 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccccc2Cl)n1 302611206 NOIWKTSXVABGLA-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(COCCOc3ccccc3)c2)c1 304002157 KIKQNEUEOZTIRZ-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1occc1Br 434128187 LKBQFOHDILGTEQ-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD C[C@]1(CCc2ccccc2)CCN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 434243625 OYLULDPDKMWTLR-VWLOTQADSA-N 421.541 4.683 5 20 HJBD Cc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnn1-c1ccccc1F 435549432 AOGSHCQQRHIWHS-UHFFFAOYSA-N 411.437 4.671 5 20 HJBD C[C@@H](CCc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435821078 ZVEKHQGEBSSKAA-AWEZNQCLSA-N 406.432 4.711 5 20 HJBD O=[N+]([O-])c1cnc(N[C@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)s1 443515032 IXIFFKUKYTTZSQ-QGZVFWFLSA-N 403.847 4.677 5 20 HJBD C[C@@H]1C[C@@H]1[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 461414077 RGTJVWMPLONGHF-SZELWCTASA-N 411.845 4.629 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](c2ccccc2)C1 463096174 ZMZKOOLZUNKIHF-LPHOPBHVSA-N 400.500 4.745 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)nc12 465268593 VJVBVNPQOTVUDX-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD COc1ccc(OCc2ccccn2)c(CNCc2ccc([N+](=O)[O-])cc2Cl)c1 466461338 HPWODADLDPYYPE-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD Cc1c([C@H](C)Nc2ccc(Cc3nnc4n3CCCCC4)cc2)cccc1[N+](=O)[O-] 467646425 LBIDOWFOLPKXAO-KRWDZBQOSA-N 405.502 4.985 5 20 HJBD CCC[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(F)cc1F 468359280 WRMLRHLXXKWIID-IBGZPJMESA-N 417.456 4.747 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 469184113 MTAYOJRNNIURJJ-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2F)c1 470511960 AWPDNZOELIXJGG-GOSISDBHSA-N 423.444 4.806 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ncc[nH]1 470699633 RFVPDHXTDPAEGM-OAHLLOKOSA-N 400.822 4.645 5 20 HJBD Cc1cc(Sc2nnc(Cc3ccccc3)n2-c2ccccc2)ncc1[N+](=O)[O-] 470872849 VSYDRGTXPLGIJH-UHFFFAOYSA-N 403.467 4.621 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 473687789 YURKAWSXXYTOGA-LJQANCHMSA-N 406.841 4.724 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccc([N+](=O)[O-])cc1Br 478540159 RLCGSSUFFAVKMH-AULYBMBSSA-N 409.667 4.687 5 20 HJBD CCOc1cc(C(=O)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC 479356391 XPJVLJJPFVGILG-QGZVFWFLSA-N 414.433 4.507 5 20 HJBD C[C@H]1CCc2nc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)sc2C1 485857635 KFUGQGPAOLHVOY-NSHDSACASA-N 407.470 4.654 5 20 HJBD CSc1cccc(C(=O)NCCc2nc(-c3ccccc3)c(C)s2)c1[N+](=O)[O-] 486234325 TVCGFKNQKWOOLM-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD CC[C@H](NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1)c1cccc([N+](=O)[O-])c1 489257577 PZSXUVJOQGNTAZ-NRFANRHFSA-N 424.432 4.872 5 20 HJBD COc1cc(CNCc2ccc(C)cc2SC)c([N+](=O)[O-])cc1OCC(F)F 493671191 XUXPVARPCWCFLW-UHFFFAOYSA-N 412.458 4.567 5 20 HJBD C[C@@H]1CCN([C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 494106539 KNLCRNYOEQMXER-KUHUBIRLSA-N 419.934 4.755 5 20 HJBD CCC(C)(C)NC(=O)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(C)C 498081445 CXFOPENXFIRECG-IBGZPJMESA-N 411.571 4.508 5 20 HJBD Cc1nc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)nn1-c1ccccc1C(C)C 502016289 XSPGTJLFYTZTSR-UHFFFAOYSA-N 421.501 4.658 5 20 HJBD CCc1cccc(CC)c1NC(=O)c1ccc([N+](=O)[O-])cc1I 508930840 YTUMQLBXIVAXHV-UHFFFAOYSA-N 424.238 4.577 5 20 HJBD CCc1cccc(C)c1NC(=O)c1cc([N+](=O)[O-])cc(I)c1C 509010115 DOSSBQYNMNGOLK-UHFFFAOYSA-N 424.238 4.631 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1NCc1ccc(-n2nc(C)cc2C)cc1C(F)(F)F 514812854 UZJUJHGGYQGSQN-UHFFFAOYSA-N 422.411 4.553 5 20 HJBD COc1ccc(CSCc2nc(Cc3ccccc3Cl)no2)cc1[N+](=O)[O-] 517628206 FSNJCDLSVKFWSO-UHFFFAOYSA-N 405.863 4.664 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)cc1[N+](=O)[O-] 518816539 CWPWGHLKAITRRB-UHFFFAOYSA-N 407.474 4.647 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC3(Cc4cccc(F)c4)CCOCC3)o2)c1 519763197 BMBPMNUYGFXWNJ-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1F 520142887 UKJOFIVYWBZYPJ-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n(-c2ccc(F)cc2)n1 522635163 BEQRLIKTXFYRDH-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD C[C@@H]1Cc2ccccc2CN1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 525074279 ZMVOJVXLRBWKKU-GFCCVEGCSA-N 410.417 4.679 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)ccc1F 534247858 GHBLWBCMTFLAFR-UHFFFAOYSA-N 422.372 4.621 5 20 HJBD CN(C(=O)c1cccc(Br)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150782 RCUMTLYGENJVCB-UHFFFAOYSA-N 418.272 4.757 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(OC(F)F)c2)nnc1-c1ccccc1OC 538894873 AATPRBRSIJSPRZ-UHFFFAOYSA-N 422.413 4.634 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCC(=O)C[C@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539541402 CZDNNNHEEJRNPJ-ZBFHGGJFSA-N 415.515 4.713 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC1CCN([C@H](C)c2ccncc2)CC1 540148687 AIVIUYLIRUGTPU-IEBWSBKVSA-N 414.575 4.676 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@@H](C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543547957 ROSVDAZNUBWKON-KXBFYZLASA-N 410.499 4.699 5 20 HJBD CCOc1cccc(NCc2ccc(OCC(F)(F)F)c(OC)c2)c1[N+](=O)[O-] 545865323 RLEALHWKBSIUPM-UHFFFAOYSA-N 400.353 4.555 5 20 HJBD C[C@H]1CCCN(c2ccc(-c3nc([C@@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])C1 547127112 MYDSLKOHYVTHKN-YCRPNKLZSA-N 420.469 4.543 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 557187792 JYFBCDIJCIXARC-DEOSSOPVSA-N 422.406 4.670 5 20 HJBD Cc1nc(NC(=O)COc2ccc([N+](=O)[O-])c3cccnc23)ccc1-c1ccccc1 563921425 BHTCOADJFXJGQM-UHFFFAOYSA-N 414.421 4.531 5 20 HJBD O=C(Nc1ccc(-c2cnc[nH]2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 569954269 XUSPPUTXHUNUIV-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc([C@@H](C)c2cccc([N+](=O)[O-])c2)n1 578800222 ZWMFHRRSCRANTF-ZBEGNZNMSA-N 418.247 4.628 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nc3ccc(C(F)(F)F)cc3[nH]2)cccc1[N+](=O)[O-] 603938201 MEXBKXOBFBCTBG-JTQLQIEISA-N 424.404 4.918 5 20 HJBD O=C(c1cc(NCCCCOc2ccc(Cl)cc2)ccc1[N+](=O)[O-])N1CCCC1 603943590 WEVXPBGTOFYVKH-UHFFFAOYSA-N 417.893 4.755 5 20 HJBD COc1ccc2c(-c3ccccc3)c(C(=O)NCc3cccc([N+](=O)[O-])c3)oc2c1 604470495 CNZCZHDLAWWWNM-UHFFFAOYSA-N 402.406 4.947 5 20 HJBD COc1ccc(-c2nsc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)n2)cc1 609127535 CJYNADMNCKMOCL-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD O=C(Cc1cccc(OCc2cccc(Cl)c2)c1)NCc1ccccc1[N+](=O)[O-] 609297894 RSVJVJCNERXEOT-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccc2nc(O)[nH]c2c1 609620530 SFISXAGHIKVDDT-NSHDSACASA-N 412.833 4.941 5 20 HJBD CCCn1c(Sc2ncc([N+](=O)[O-])cc2Cl)nc2cc(Cl)ccc2c1=O 609891013 XRWZQSYYJURZJQ-UHFFFAOYSA-N 411.270 4.568 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)cn2)cc1 610203556 IILAZZLUHDJDRL-UHFFFAOYSA-N 422.441 4.622 5 20 HJBD COc1ccccc1CCNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198201 XMHLKOMIDYFSPA-UHFFFAOYSA-N 400.500 4.611 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(Oc2ncnc3ccc([N+](=O)[O-])cc23)cc1 611708406 UYVVRWZEFQYWFB-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(C)CCCc1cc(-c2ccc(F)cc2)no1 615035454 GZKACDCPIHZOAR-UHFFFAOYSA-N 412.421 4.794 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1cc([N+](=O)[O-])ccc1F 728491014 CHAOYTKXULECON-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cc(-c2cccnc2)nc2ccccc12 730419107 GIQIJSORDVPWIH-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD O=C1OC(c2cccc(Cl)c2)=N/C1=C/c1csc(-c2cccc([N+](=O)[O-])c2)n1 733084839 AAKDOHJUMNPJCF-CXUHLZMHSA-N 411.826 4.716 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734905677 HPASSWYVCHCGTL-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1ccc(Cl)c2cccnc12 735147226 DEWJBCZRWUBUKJ-UHFFFAOYSA-N 421.236 4.962 5 20 HJBD O=C(NCCNc1ccccc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 736184072 OLHBUBZEWQGWIN-UHFFFAOYSA-N 424.888 4.702 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)c2ccc(N)c([N+](=O)[O-])c2)nc1-c1ccccc1 740727235 LSLWAMOBYSHSMD-SFHVURJKSA-N 420.469 4.933 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc(-c4cnn(C)c4)c3)n2)cc1[N+](=O)[O-] 741522410 GBEXWPYMFVOSOS-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 741573191 XTLWXGKBVOPJBM-UHFFFAOYSA-N 404.879 4.737 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])ccc21 748737621 BOJYIESTPPFMOR-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1cccc(Cl)c1[N+](=O)[O-] 749935353 RMEUYDOJAMGRAS-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD C[C@@H](OC(=O)CC1CCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 750566992 DGDOESOXBNYPBW-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 755031251 SIHCARCXLARZSB-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD CC[C@@H](C)n1ncc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C1CC1 760625244 GWNHGQSMTLUPHJ-CYBMUJFWSA-N 410.430 4.652 5 20 HJBD C[C@@H](OC[C@@H]1CCCO1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761856744 DERHFNKZRPRQNT-KUHUBIRLSA-N 411.414 4.694 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2c(Cl)cc(F)cc2Cl)cccc1[N+](=O)[O-] 763536803 GMOVMOQDEADAPB-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CC(C)=C[C@H]1[C@@H](C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)C1(C)C 765868306 GPSUSCWCLFTBSD-HKUYNNGSSA-N 412.490 4.620 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)CC2 769997192 IXIYNXVYWLTEPW-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1Cl 774654522 RVTXPRDTURCWDM-UHFFFAOYSA-N 413.642 4.998 5 20 HJBD O=C(NC1CCCC1)c1ccc(NCc2ccc(Br)c([N+](=O)[O-])c2)cc1 775178993 DUCKMWYJAUGHMM-UHFFFAOYSA-N 418.291 4.642 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCCc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775921855 KKSNDIMETLCVIP-CVEARBPZSA-N 409.442 4.908 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1c(F)cccc1F 780285573 FHXLLEXZTIYREF-NSHDSACASA-N 424.425 4.559 5 20 HJBD Cc1ccc(NC(=O)C[C@H](O)c2ccc(OCc3ccccc3)cc2)cc1[N+](=O)[O-] 780849520 MDPRHEZVHKAGCD-QFIPXVFZSA-N 406.438 4.544 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(CC(F)(F)F)c1ccccc1 782122843 LDWBYXOZDCMZKA-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 786653546 NXZXZBICYRSHPM-UHFFFAOYSA-N 404.879 4.957 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2ccncc2)cc1 790547464 VPGBCNXMBXBNKR-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2ccc(CNc3ccccc3)cc2)cc1[N+](=O)[O-] 791222560 VOJMUDDPFZXXAF-UHFFFAOYSA-N 408.433 4.664 5 20 HJBD Cc1cc(NC(=O)c2[nH]nc(C)c2[N+](=O)[O-])ccc1-c1ccc(Br)cc1 792837095 SJUOGVITQCUMCE-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD COc1cccc(-c2nc(COC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cs2)c1 796446450 XZUSJIVDTMCWAZ-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD CCc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c2c(CC(C)(C)C)noc2n1 799870142 UTYXPOOZPKLPDC-UHFFFAOYSA-N 418.400 4.813 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)C1(c2ccccc2)CC1 808510977 QMAGHRDXOHLPAK-CQSZACIVSA-N 422.462 4.565 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cnc(Cl)c(Br)c1 813402733 POIKHJRAUHXEKE-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)cc1 815509944 CRAFHWJVRFPLDX-LLVKDONJSA-N 421.331 4.890 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 914498403 TZYWCTNRNUOKEJ-YHYXMXQVSA-N 407.239 4.558 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 917721380 WJBAKUITECMAFW-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1c[nH]c(Br)c1 1251908749 LTTGKGPPNAZRSM-UHFFFAOYSA-N 402.204 4.730 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 1319409497 HEWZDLJQRAIRGO-UHFFFAOYSA-N 421.291 4.507 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)cc1OC(F)F 1319670786 SPEOYKPASBQMDH-QMMMGPOBSA-N 403.744 4.871 5 20 HJBD O=C(Nc1cccc(OCc2cccc(F)c2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339574500 HZZYYSALSIAWKX-UHFFFAOYSA-N 407.401 4.861 5 20 HJBD CCN(C(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C)C1CCCCC1 7169400 LLEKYFGKNWBRLK-MRXNPFEDSA-N 423.582 4.749 5 20 HJBD Cc1ccc(NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cc1 19179822 OLYMTGLQJNVYBV-UHFFFAOYSA-N 412.449 4.937 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1cccs1)Cc1cccs1 25303713 KYNMXAMRMIBNCB-UHFFFAOYSA-N 424.507 4.746 5 20 HJBD CC(C)(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2I)cc1 32481707 LTYLHJJZUXIUIQ-UHFFFAOYSA-N 424.238 4.749 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c(Br)c1 45625309 LOFKUXWDHGZMBK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53166439 QXUSJSXXZXIKHH-FOIQADDNSA-N 402.454 4.580 5 20 HJBD COc1cc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])ccc1OCCC(C)C 58952882 OLYLGISUMGOVAV-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc(Cl)c(Cl)c3)n2)cc1[N+](=O)[O-] 105353093 MISFTYFLTNLELD-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2cc(C)c([N+](=O)[O-])cn2)C3)cc1 301442754 PJHSNSFIUXVEMU-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD CCOc1cccc(N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)c1[N+](=O)[O-] 302150564 LKLMRUDFPLCRDV-NSHDSACASA-N 401.410 4.853 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1Cl 303637470 AGQAJXDPRZDUQX-CYBMUJFWSA-N 422.312 4.995 5 20 HJBD C[C@H](SCCC(=O)Nc1ccccc1[N+](=O)[O-])c1nc(-c2cccs2)no1 409840697 BGLGCJPRYOUYLP-NSHDSACASA-N 404.473 4.529 5 20 HJBD CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cnccn1 427440704 ZRGKOPMIGYMMQS-SFHVURJKSA-N 417.252 4.553 5 20 HJBD Cc1ccc(NC(=O)[C@@H](N[C@H](C)c2cccc(O)c2)c2ccccc2)c([N+](=O)[O-])c1 429311977 AKMRCPMCELYVKC-ZHRRBRCNSA-N 405.454 4.639 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1ccc(Br)cc1[N+](=O)[O-] 430598117 BTAYQHVWSFXBNV-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)n1 436298985 DUTNFLJCEVLYCJ-DDBAPUKQSA-N 408.424 4.830 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444248477 UKPFZVAVNFQNJJ-BXKMTCNYSA-N 412.489 4.579 5 20 HJBD O=C(NCc1ccc(Cl)cc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444328051 HDJCLEVEAKOUJH-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD O=C(NC[C@@H]1CCCC[C@@H]1C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 444994537 DQKQODUJJZVPLD-GUYCJALGSA-N 402.416 4.780 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 445939230 UAUQWMHTFVMSDC-UHFFFAOYSA-N 417.181 4.866 5 20 HJBD CNc1c(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cccc1[N+](=O)[O-] 462901738 XFHIMVAPHNNATE-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD O=C(N[C@H]1CCO[C@H](c2ccccc2)C1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 463090897 DKEBOHDOXKDOJO-REWPJTCUSA-N 417.465 4.989 5 20 HJBD COc1cc(CN2CC[C@H](CSc3ccccc3)C2)c([N+](=O)[O-])cc1OC(F)F 464765589 NTVLTRCUSKBJTE-AWEZNQCLSA-N 424.469 4.819 5 20 HJBD Cc1nc(-c2cccc(NC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)c2)oc1C 467174718 VVKPXNBCEKIHCT-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD O=C(Nc1cc(F)ccc1OC(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 470102379 KXEHIKSKPAFDOC-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1csc(-c2ccccc2)n1 478090302 YPUMKBIIWSULOR-AWEZNQCLSA-N 413.524 4.558 5 20 HJBD CSc1cccc(C(=O)NCc2ccccc2CN2CCCC[C@H]2C)c1[N+](=O)[O-] 485753066 OGJFXKFEJDHPLD-MRXNPFEDSA-N 413.543 4.621 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486461454 ZSGNJFDCHBQPMT-UHFFFAOYSA-N 417.256 4.988 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(CC(F)(F)F)C2CCC2)cc1[N+](=O)[O-])c1ccccn1 488679307 VAUGLTHYYXCKGB-CYBMUJFWSA-N 422.407 4.720 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCN(C)C)c(Cl)c1 489158299 QUHAPZIQAIDTNT-UHFFFAOYSA-N 423.922 4.553 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOC2(CCSCC2)C1 489173451 UZYVLRMCTMNPGD-OAHLLOKOSA-N 424.588 4.518 5 20 HJBD Cc1cccc([C@H](NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498254409 SUYAQDODPQYWLF-QHCPKHFHSA-N 418.497 4.710 5 20 HJBD CC[C@@H](C)Sc1ccc(NCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCO3)c(C)c1 498690059 PSVOWYDDRMOHCZ-CYBMUJFWSA-N 417.487 4.573 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCOc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 498781365 CTYYSWTWTZSABP-UHFFFAOYSA-N 401.419 4.573 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(F)cc1OCCC(F)(F)F 500223701 YLYLIJSMMBYJIR-UHFFFAOYSA-N 420.746 4.900 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCC1CCC(F)(F)CC1 501175473 NHPKKNBOUKAOSO-UHFFFAOYSA-N 412.380 4.647 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cccc(OCc2cscn2)c1 502006190 JVHUMLKZSLFXTJ-UHFFFAOYSA-N 411.483 4.681 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(F)cc1)c1ccccc1Cl 502372641 ZDJNCGTXUMUXBR-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccco1)N1CCCCC1 502532504 SOVRBPUBZNHPTE-SFHVURJKSA-N 417.531 4.647 5 20 HJBD CCOc1ccc([C@@H](C)NCCC(=O)Nc2cccc(Cl)c2C)cc1[N+](=O)[O-] 504899176 BUSYUUSWUXYRMU-CQSZACIVSA-N 405.882 4.635 5 20 HJBD COc1cc(C(=O)N(c2ccc(Cl)c(Cl)c2)C(C)C)c([N+](=O)[O-])cc1OC 505078171 JOZPBBODFIGABU-UHFFFAOYSA-N 413.257 4.974 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2c(cnn2Cc2nc3cc(Br)ccc3o2)c1 506393904 HKYFVUIQIXGFSF-UHFFFAOYSA-N 407.611 4.550 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 506651180 HPASTQSFIMLTQA-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD Cc1nn(C)c2ncc(/C=C\c3nc4cc([N+](=O)[O-])ccc4n3-c3ccccc3)cc12 508598296 KHIMJDSGNWZUER-FLIBITNWSA-N 410.437 4.694 5 20 HJBD Cc1c([C@H](C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cnn1C 509590416 DWJOAGHKMIBKBW-ZDUSSCGKSA-N 400.866 4.933 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1 511481318 ZSKFSKLWEJNMAA-UHFFFAOYSA-N 422.416 4.650 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1)c1ccccc1 515691859 FWXROGJCKBLXHM-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(CCNCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccccc2)cc1 517352509 AVBJWLCCLYYDAZ-UHFFFAOYSA-N 405.454 4.548 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NC1(c2ccc(Br)cc2)CCC1 520685484 PRIMKBCBMYGODH-UHFFFAOYSA-N 421.316 4.645 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 521384859 LMWRCWHCRWFDAT-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(-c2c(F)cccc2F)o1 522333194 KFDJXWMYXJSUNA-ZRDIBKRKSA-N 421.359 4.787 5 20 HJBD CS(=O)(=O)c1ccc(Oc2ccc(Nc3ccc(F)cc3[N+](=O)[O-])cc2)cc1 522622500 WWHMSTAKPUOUIU-UHFFFAOYSA-N 402.403 4.673 5 20 HJBD C[C@@H](NC(=O)N(CCc1ccc(Cl)cc1)CC1CC1)c1cccc([N+](=O)[O-])c1 523880617 NMMYTKQMHWCJBK-OAHLLOKOSA-N 401.894 4.974 5 20 HJBD CNc1ccc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc1[N+](=O)[O-] 524331234 MZPXQKKWFFENSE-UHFFFAOYSA-N 407.361 4.680 5 20 HJBD CN(C)CCc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 524405894 RESPCFSRGBOXAU-UHFFFAOYSA-N 418.497 4.563 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](c2ccccc2Cl)C(C)C)cc([N+](=O)[O-])c1OC 524983181 OQCXZAUMVHGCOG-GOSISDBHSA-N 406.866 4.735 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 525116313 SVEYYWQKDYEMIW-QFIPXVFZSA-N 420.465 4.634 5 20 HJBD C[S@](=O)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1F 535835211 NEDGLGRMIXHXSG-MHZLTWQESA-N 408.838 4.997 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 536258963 SVSBGVNNBUHYHI-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 537156544 PCTIAFCOXRWJMH-INIZCTEOSA-N 420.465 4.988 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCO[C@@]4(CCSC4)C3)o2)c(Cl)c1 538424113 FPTVSQUYDSTCLO-YJYMSZOUSA-N 408.907 4.653 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 539199619 IXNUYVHMAXGLOE-TUIFFPIZSA-N 408.857 4.967 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)C(=O)N(c2nccs2)C2CCCCC2)cc1[N+](=O)[O-] 539381469 ZLFJRNVJSDQHHH-HZPDHXFCSA-N 416.547 4.765 5 20 HJBD C[C@H](NC/C=C\c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 539516192 ZKUPAEDLRRLSKZ-AATOQMJSSA-N 402.454 4.606 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)c1cccc(C(F)(F)F)c1 542249093 XQXPNOAXYRKDOP-LBPRGKRZSA-N 411.380 4.525 5 20 HJBD COc1ccc(Br)cc1-c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 544210478 CLUJTKQWVQPETO-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC1CCOCC1)c1ccccc1 544371794 SVLAMHLATGZINY-BTYIYWSLSA-N 404.894 4.663 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 544622773 RIGWHYAQZHYDOR-SECBINFHSA-N 418.372 4.621 5 20 HJBD COc1c(-c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)cnc2ccccc12 545728331 NCXSOIUBACSGBR-UHFFFAOYSA-N 416.315 4.887 5 20 HJBD COCCC(C)(C)CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 546767860 ZBSIBOPNFSDNLL-UHFFFAOYSA-N 408.502 4.600 5 20 HJBD COc1cc(Cc2noc(/C=C(/c3ccccc3)C(F)(F)F)n2)ccc1[N+](=O)[O-] 547177343 TZSKNYCLNBKZOO-KAMYIIQDSA-N 405.332 4.680 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1 547371795 QYPGQHKDOFRZAE-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2cccnc2)CC1 551343799 AZAMVIPEXMHHAX-UHFFFAOYSA-N 403.479 4.593 5 20 HJBD Cc1c(C(=O)N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)cccc1[N+](=O)[O-] 557438507 QAUGSGKSROMSSG-XIKOKIGWSA-N 408.376 4.524 5 20 HJBD Cc1ccc(C2(CN[C@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)CCC2)cc1C 560537611 SHGCNCSZTJYBRP-JOCHJYFZSA-N 404.514 4.746 5 20 HJBD COCC[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Cl)c(Cl)c1 561319544 IQZUIQKDLDPECD-OAHLLOKOSA-N 424.284 4.976 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 564447880 YCVODNPNERZWQJ-NSHDSACASA-N 403.389 4.785 5 20 HJBD Cc1ccc(Sc2ccc(CNCC3(C(=O)O)CCCC3)cc2[N+](=O)[O-])cc1 571354289 WPPQYCPPCNNQNV-UHFFFAOYSA-N 400.500 4.789 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C(C)C)no1 572443315 CKWBEDPYTJJILP-PXNSSMCTSA-N 422.485 4.841 5 20 HJBD C[C@H](Cn1ccc2ccccc21)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 583838272 BMBXVFIFPNIJDA-CQSZACIVSA-N 401.426 4.808 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(F)cc2F)C1)c1cc2ccccc2c2cccnc12 592684388 UUFBJTMOTCHTMZ-KRWDZBQOSA-N 403.432 4.675 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)c1 602230990 NDIPKCPBMDYGOJ-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD CCCN(CC1CC1)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 603441431 KJQHKHNIRMLTNV-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1-c1ccc(F)cc1 603507301 OAPVGWQONZWYGQ-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(C)c1CC(=O)Nc1cccc([N+](=O)[O-])c1 603637466 LTOMVWIGKYAHQK-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCCc1ccc(OCc2ccccc2)cc1 603774750 RAJGMHDZPPKMSL-UHFFFAOYSA-N 422.506 4.625 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c(Cl)c1 603975253 NSQIZZJXQFFPDX-UHFFFAOYSA-N 419.846 4.581 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])cc1OC(F)F 609216160 IMZJHIGWKQNWBG-GOSISDBHSA-N 424.375 4.615 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])c1 609838398 KGYAUAQQZYWFIG-LLVKDONJSA-N 410.348 4.533 5 20 HJBD C[C@H](C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1)c1ccc([N+](=O)[O-])cc1F 612529090 LTEKZWZZUUHZJH-NSHDSACASA-N 401.825 4.557 5 20 HJBD CN1Cc2ccccc2[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 619657109 UIJBSCRDLYEUNS-LJQANCHMSA-N 419.506 4.663 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 732578062 BUYUTKDGWCGJIS-INIZCTEOSA-N 415.660 4.769 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1ccccc1 734398175 NATZGOUPXDETTB-HNNXBMFYSA-N 410.401 4.891 5 20 HJBD Cc1ccc([C@@H](O)CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)o1 741263000 XNRULWQXSKTWDV-KRWDZBQOSA-N 402.834 4.765 5 20 HJBD CC(C)C[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 743923391 GPTHVKWDVOFXLM-MRXNPFEDSA-N 424.284 4.744 5 20 HJBD COc1ccc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)CC2)cc1 744634511 FJHUEJFYBSEJDS-UHFFFAOYSA-N 423.513 4.614 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746435968 BJAKOSMRQOTUKQ-HNNXBMFYSA-N 423.265 4.839 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747417138 VQURXVAKCJPCGC-IBGZPJMESA-N 407.470 4.715 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Oc2ccccc2Oc2ccccn2)cc1[N+](=O)[O-] 748817772 AZVLILNQRHNENJ-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067657 OIXDCEGSFJPRGA-CQSZACIVSA-N 416.547 4.555 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 754799671 UMVPTNLDCKHLSR-LBPRGKRZSA-N 402.838 4.548 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 754828517 XERPPHBCAUOGPZ-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 755425437 XTTUHSIYBCKLIU-UWJYYQICSA-N 406.486 4.780 5 20 HJBD Cc1nn(-c2ccc(F)cc2F)c(C)c1CNCc1cc([N+](=O)[O-])ccc1Cl 756900670 WQXNVKVPCJOGAF-UHFFFAOYSA-N 406.820 4.619 5 20 HJBD Cc1ccc(-c2nc(CC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 756992938 MDTYPPDTSLJCMH-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763391741 AAGINVWUPYNFLF-UHFFFAOYSA-N 412.352 4.769 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763463958 IQHGVYDVEWRIRR-UHFFFAOYSA-N 414.412 4.917 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763717588 NFBWAAJLGZZMAZ-STQMWFEESA-N 404.850 4.946 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C[C@@H](C)N(C)C(=O)OC(C)(C)C)no1 764915006 IYCYXTQOXVSVBA-GDBMZVCRSA-N 418.494 4.512 5 20 HJBD CCn1c(NC(=O)c2cc([N+](=O)[O-])ccc2Br)nc2cc(Cl)ccc21 770888350 IVTKKZSCCIGPAZ-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD C[C@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775927673 GTQJRDOJKKBEQB-ZBEGNZNMSA-N 413.817 4.632 5 20 HJBD COc1cc(CN[C@H]2CC[C@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 776699564 GFXPYUKYJXVKSW-ROUUACIJSA-N 402.516 4.556 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc(Cl)c1Br 790172049 XXQKKNGAKRTBRF-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790552854 CMYSARGXCRRJPV-UHFFFAOYSA-N 423.828 4.916 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 791889764 SIDAUCFANRSWCK-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)Nc1c(C)cccc1C(C)C 795709949 RTNBHWCWJAQHLC-HNNXBMFYSA-N 414.458 4.609 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1 806401938 XUXUOIANRLJHLS-UHFFFAOYSA-N 418.444 4.570 5 20 HJBD C[C@H]1C[C@@H](N(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCN1Cc1ccccc1 809919818 HNIHKWKPEYHHBD-RDJZCZTQSA-N 416.909 4.765 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815191422 WUTGVAATLNFGEF-UHFFFAOYSA-N 412.345 4.996 5 20 HJBD CCC[C@@H](C(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 821452336 WSDFVYRLFWQDFV-OAHLLOKOSA-N 424.375 4.679 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3cc(Br)cs3)no2)c1 904394876 MAUQTPJWOMDBTA-UHFFFAOYSA-N 421.276 4.736 5 20 HJBD C[C@H](Nc1nc2ccccn2c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 917065405 ZCRFNUVPMQASMR-HNNXBMFYSA-N 401.426 4.668 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(OCc4ccccc4)cc3)n2)c1 917811505 RQCBIMBTDCBWJI-UHFFFAOYSA-N 402.410 4.836 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)/C=C\c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 917949648 GKKHDEFCUJQEAO-FLJMFPIGSA-N 422.462 4.901 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@@H]2OCCC[C@@H]2C1 1319452061 NEHLPQLNAGJYEC-BEFAXECRSA-N 416.861 4.682 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 1319607652 OZGRLYUDQNHJDQ-HHHXNRCGSA-N 404.513 4.619 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC3(C4CC4)CCOCC3)cc2[N+](=O)[O-])cc1 1320554534 KLSLDTSSRCWZOT-UHFFFAOYSA-N 412.511 4.743 5 20 HJBD COc1cc(CSCc2ncoc2C(C)C)c([N+](=O)[O-])cc1OCC(F)F 1325058860 XGKHMQZORDZTJE-UHFFFAOYSA-N 402.419 4.792 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3500548 KMBCWPUNKCEENQ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1ccc(NC(=O)[C@H](Sc2nnc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 7192410 YHKSDCFUIPIZSD-MRXNPFEDSA-N 400.485 4.535 5 20 HJBD Cc1cccc(Nc2nnc(SCC(=O)Nc3c(C)cccc3[N+](=O)[O-])s2)c1 8091001 AUMJTRPJDFHNAZ-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11669683 WZPWPZZCCGEEJM-IYNCYZAOSA-N 415.254 4.547 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCc4sccc4C3)cc2[N+](=O)[O-])n1 12214319 KKCSJXDZNLHWMY-UHFFFAOYSA-N 417.537 4.771 5 20 HJBD O=C(CSc1nccn1-c1cccc(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Cl 21421940 AFMGIPBULYEVNK-UHFFFAOYSA-N 423.281 4.818 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Br)[C@H](NC1CCCC1)c1ccccc1 21819748 MTFFGVFBMHRDGG-GOSISDBHSA-N 418.291 4.569 5 20 HJBD C[C@H](OC(=O)c1cc2ccc(F)cc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 26222013 DAOMJLDEYJXAQI-VIFPVBQESA-N 422.821 4.786 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2)nc2scc(-c3cccs3)c2c1=O 29738885 XPYUQUBUGRNXFV-UHFFFAOYSA-N 415.521 4.924 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCn4cccc4[C@H]3C)cc2[N+](=O)[O-])n1 30265656 GNOLFKXLKXWBQM-CYBMUJFWSA-N 414.512 4.529 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)c2ccccc2)c1 48483228 JQXLWMDCTWAFIN-UHFFFAOYSA-N 404.426 4.511 5 20 HJBD C[C@@H](NC(=O)N(CCc1ccccc1)Cc1cccnc1)c1cccc([N+](=O)[O-])c1 52550765 LYYVVHKRYATDGE-GOSISDBHSA-N 404.470 4.505 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3ccccc3s2)cn1 216525488 JKXFZOXOPMHYLK-UHFFFAOYSA-N 422.491 4.997 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@H]2c2nc3ccccc3s2)c(Br)c1 301490797 BBQOCQYLGUIFOL-ZDUSSCGKSA-N 405.277 4.704 5 20 HJBD Cc1ccc([C@@H](Nc2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)c(C)c1 302005434 UQMLQEAJBJQDGU-QFIPXVFZSA-N 410.495 4.817 5 20 HJBD CC(C)CN(C(=O)c1ccc(C(=O)N(CC(C)C)C(C)C)c([N+](=O)[O-])c1)C(C)C 426120659 SUDXFILDCBRSFN-UHFFFAOYSA-N 405.539 4.608 5 20 HJBD O=C(O)[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 426785358 XASXHFAONPBODQ-SFHVURJKSA-N 402.403 4.503 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN([C@@H](C)c2ccccc2[N+](=O)[O-])CC1 431168819 SPRJZUNIYLBJEO-INIZCTEOSA-N 401.532 4.734 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccccc1[N+](=O)[O-] 431385377 BXAAJKYJTLHGEB-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435442787 KJJMDCMNTISKCD-SECBINFHSA-N 419.812 4.743 5 20 HJBD C[C@@H](C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])cc1F 436190603 NQTATMTYPYBGGG-CQSZACIVSA-N 408.433 4.676 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1 438842204 NWWRWTUWWYMECD-QGZVFWFLSA-N 404.466 4.999 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 440522758 FFNDXQHHKOQWEY-SFHVURJKSA-N 423.538 4.794 5 20 HJBD Cc1cscc1NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 440953461 FGVNUTRMKZDXOW-UHFFFAOYSA-N 419.509 4.755 5 20 HJBD O=C(Cc1noc(/C=C\c2ccccc2[N+](=O)[O-])n1)Nc1cccc(Cl)c1Cl 445369259 RXDRXRFFVACADS-HJWRWDBZSA-N 419.224 4.636 5 20 HJBD C[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC[C@H]1c1ccccc1 460638741 GCEDDDJDAKMRIE-TZIWHRDSSA-N 410.517 4.807 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccccc3C(F)(F)F)on2)cc1[N+](=O)[O-] 462542327 LXAKMHOZGWWHJQ-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c2cccnc2c1C 464454204 XTLPBSQUDJBTMY-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 465142770 BZPDHEWEDJBJJC-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CCn1c(SCCOCC(F)(F)C(F)F)nc2cc3ccccc3cc2c1=O 468475902 BLEROOYAJHOOKH-UHFFFAOYSA-N 414.424 4.579 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 473391100 QLDDVHPRCZAGNE-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 474743528 QRCYUIGNTAPJBG-CABCVRRESA-N 412.877 4.698 5 20 HJBD CSc1ccc(C(=O)NCc2cccnc2Oc2cccc(F)c2)cc1[N+](=O)[O-] 479122940 YBRVPSAPEBKEAY-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(CSC3CCOCC3)c2)cccc1[N+](=O)[O-] 483995604 RWNWXHBEOUXJKY-UHFFFAOYSA-N 415.515 4.637 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)c(F)cc2N2CCCCC2)c1[N+](=O)[O-] 484880346 XOKFHIKEMZUROV-UHFFFAOYSA-N 407.442 4.838 5 20 HJBD Cc1ccc(Br)c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 486693961 LNUFKDGVMRAQTG-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD Cc1cccc(OCCCCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 486709672 LVGSAAAKCMLLRE-UHFFFAOYSA-N 410.392 4.788 5 20 HJBD O=C(c1ccc(SCc2ccccc2)cc1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487017733 WSPGTUULUARLIU-UHFFFAOYSA-N 422.510 4.561 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2CCN3CCCC[C@H]23)cc1 509616093 FYQXBEBJFDODEG-RBUKOAKNSA-N 401.894 4.757 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(NC(=O)c2cccs2)cc1 509712540 MRBUOYAUENXIKX-UHFFFAOYSA-N 423.494 4.959 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCO[C@@H]1c1ccccc1 509751356 PWSKCLQMKJZTJP-IFMALSPDSA-N 411.502 4.683 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cccc(COCCOc2ccccc2)c1 510475377 GPCTXNYEBRYVFN-UHFFFAOYSA-N 421.453 4.512 5 20 HJBD Cc1ccc(/C=C\c2nc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)n[nH]2)o1 511110790 RXLOEAPCEHNYOK-CLFYSBASSA-N 400.460 4.864 5 20 HJBD COc1ccc2cccc(CC(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2c1 513936910 ABUHLKKRRFRJCM-JOCHJYFZSA-N 408.479 4.573 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 515903969 SJZBKDVIJZEOIE-FZKQIMNGSA-N 418.291 4.965 5 20 HJBD COc1ccc(C(C)(C)CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 517985301 KCEMSTXFSIYQRF-UHFFFAOYSA-N 414.483 4.534 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCc2ccc(OC(C)C)cc2)c1 518221413 AAXMXGOIIUFEGS-INIZCTEOSA-N 400.475 4.532 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@H]1CCSc2ccc(F)cc21 524837294 HEUJPTICHGJBQR-SFHVURJKSA-N 403.479 4.593 5 20 HJBD CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(F)cc1)c1cccnc1 525148989 VGXQLAJSFHNFHL-HSZRJFAPSA-N 407.445 4.700 5 20 HJBD O=C(NC[C@H](CCO)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 525421444 PFPLCNDOPZMEOY-SFHVURJKSA-N 421.453 4.675 5 20 HJBD COCCc1nc2cc(NCc3ccc(-c4ccc(OC)cc4[N+](=O)[O-])o3)ccc2o1 530763809 BSUGKIJAIVFMQL-UHFFFAOYSA-N 423.425 4.806 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 531205172 ZUTCAALFKNZRJT-ZIAGYGMSSA-N 405.882 4.551 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN(C)[C@H](C)c1sc(C(C)C)nc1C 533765056 CWWWIGXRYCNDMK-OAHLLOKOSA-N 404.536 4.813 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCC1CCCCC1 536303056 TWNKVUYYOSORNF-AWEZNQCLSA-N 403.504 4.568 5 20 HJBD COc1ccc(CN[C@H](C)c2cnn(-c3cccc(Cl)c3)c2C)cc1[N+](=O)[O-] 536883429 WLBODVJQNCNHNI-CYBMUJFWSA-N 400.866 4.602 5 20 HJBD CCCc1cnc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 538433831 ZXAAPQZPTCVKPA-CYBMUJFWSA-N 411.487 4.824 5 20 HJBD C[C@H](NCC(=O)N(C)[C@@H](c1ccccc1)c1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 540377260 LHUJRXJVWNMYRI-XDHUDOTRSA-N 421.472 4.633 5 20 HJBD O=C(N[C@@H]1CCOC2(CCC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542528374 PQMKXZVXKHRYAE-MRXNPFEDSA-N 404.532 4.709 5 20 HJBD Cc1noc(C2CC2)c1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 544342592 BWKCKDIREZKDKV-UHFFFAOYSA-N 422.228 4.513 5 20 HJBD Cc1ccc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)c(Br)c1 544719166 HBYWOEOHMSDMJQ-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD C[C@H](c1nc(Cc2ccc(OC(F)(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 547021424 ZXDLNUUMPRHXTH-JTQLQIEISA-N 411.311 4.758 5 20 HJBD Cc1ccc(-c2cncc(-c3nc(CCNc4ccccc4[N+](=O)[O-])no3)c2)cc1 547087613 WCPXTERCTZJJHV-UHFFFAOYSA-N 401.426 4.670 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1 553870814 ZHOPTLQGGFCDHC-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559145822 DPFTXSNCZQLVPQ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cn1ccnc1[C@H](NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 559879005 WXCOEBNRXPISHQ-RTBURBONSA-N 418.419 4.744 5 20 HJBD CC(=O)c1cc(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 561200974 QUULZOZPYHTVMM-SFHVURJKSA-N 413.232 4.821 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3cn4c(C)cccc4n3)c([N+](=O)[O-])c2)cc1 561597713 WQEDCOMEGDZTLK-UHFFFAOYSA-N 415.453 4.724 5 20 HJBD CCc1ccc([C@H](NCCc2nc3cc(F)ccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 565565837 IJZFTYVJMVSIMC-HSZRJFAPSA-N 422.460 4.698 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](CC)NC(=O)c2ccccc2)no1 581850742 DUTZTBLUBFGOCJ-QFBILLFUSA-N 408.458 4.595 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc3cnn(C)c3c2)cc1[N+](=O)[O-] 583726883 DODAFNTUXXPAFI-UHFFFAOYSA-N 401.470 4.539 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2c1CCCC2 589744346 JSDCPKBJIMPYCP-UHFFFAOYSA-N 412.515 4.859 5 20 HJBD Cc1c(Cl)cccc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603643607 QDEJIJITTOWCTF-KRWDZBQOSA-N 419.865 4.761 5 20 HJBD CCOc1ccc(C(=O)N[C@H](C)CCc2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] 603985733 BCZMDUDAPJQRAR-MRXNPFEDSA-N 400.475 4.532 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ncc(Cc2ccccc2Cl)s1 604474234 TZBQQFLFZNOHGZ-UHFFFAOYSA-N 402.863 4.617 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccccc2)ncn1C1CC1 604537388 QDCSMNREFZIPQV-AWEZNQCLSA-N 408.483 4.913 5 20 HJBD CSc1ccc(C(=O)NC[C@H]2CCN(c3cc(Cl)ccc3C)C2)cc1[N+](=O)[O-] 608819291 UMJQUBVFSRJXJS-CQSZACIVSA-N 419.934 4.535 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCS[C@@H](C)[C@@H]2c2ccccc2)cc1SC 609819451 LKUCLGOMBHJHGI-ORAYPTAESA-N 418.540 4.644 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccccc3OCC3CC3)o2)c([N+](=O)[O-])c1 610089419 ULCGLISMYHTFCQ-UHFFFAOYSA-N 408.454 4.942 5 20 HJBD CC(=O)Nc1c(C)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1C 611398398 OYJBLNUMSJBXRS-UHFFFAOYSA-N 401.488 4.923 5 20 HJBD COc1ccc(SCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 728166679 LPHHZYUIUFJIDY-UHFFFAOYSA-N 406.891 4.792 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c1 729944606 MEIWQVXRUHQILB-UHFFFAOYSA-N 407.451 4.761 5 20 HJBD CC1(C)CCc2cc(/C=C\c3ccnc(-c4cccc([N+](=O)[O-])c4)[n+]3[O-])ccc2O1 731562471 BVQRRLAYYBBNEC-VURMDHGXSA-N 403.438 4.564 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Oc1cccc(Oc2ccccn2)c1 731921643 MEQXNLOIRFDOIU-UHFFFAOYSA-N 422.365 4.571 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cn2)c1C 734395569 ZOYZAFNTJBRMKG-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(C2CCCC2)n1 735149517 HJPACZPCLMMJRX-UHFFFAOYSA-N 410.879 4.875 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)COc1ccccc1OCC(F)(F)F 736159666 YBZRGCRCYQCKNU-UHFFFAOYSA-N 418.755 4.515 5 20 HJBD CC(C)(C)c1ncc(/C=C/C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)s1 744368503 ZBIQRLAEEWSQHV-KBOKABMXSA-N 403.529 4.636 5 20 HJBD CCCCN(C)c1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 744684051 ZBDUTCQBVBWKDP-OAHLLOKOSA-N 424.457 4.799 5 20 HJBD CN(Cc1nccs1)[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 746671910 PXNDREHCHOIBEZ-GOSISDBHSA-N 416.890 4.517 5 20 HJBD COCc1cccc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 747040283 VFGMDDDMMRUDCR-INIZCTEOSA-N 421.453 4.666 5 20 HJBD Cc1c(C(=O)Nc2ccccc2Cc2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748600782 CPQHMZAJEBXVSZ-UHFFFAOYSA-N 405.410 4.963 5 20 HJBD Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)nc(-c2ccc(Cl)cc2)n1 751860113 PKAQQYUSTLOPSF-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1cccc([N+](=O)[O-])c1Br 759340991 HKBKPGSGMABBPK-CABCVRRESA-N 403.276 4.814 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(C)C)c(O)cc1C 765197295 CXTQIVYRJPRJSY-UHFFFAOYSA-N 404.488 4.723 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H]1c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 769891281 SNUJZDLXVWUDPQ-OAHLLOKOSA-N 420.441 4.515 5 20 HJBD C[C@H]1CC(=O)CC[C@@H]1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 776290444 FRDMWHZAZZZJBO-BBRMVZONSA-N 416.861 4.771 5 20 HJBD C[C@@H](c1ccccn1)N(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)C)cc1 779465163 UBGBMNYYVIREKJ-WBNHJWIASA-N 416.481 4.863 5 20 HJBD C=CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC)ccc1F 780961794 SYCPNJOEJTWFBY-UHFFFAOYSA-N 403.435 4.613 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCC[C@H]1c1cccc2ccccc12 781608609 FENOWVXNIDIKHW-NRFANRHFSA-N 419.437 4.953 5 20 HJBD CC[C@H](NC(=S)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccs1 782389202 ZTZZSKOHNZLVOS-ZDUSSCGKSA-N 403.451 4.791 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1ccc(N)c([N+](=O)[O-])c1 788700745 CGTDYPYDKGCOMB-NSHDSACASA-N 400.822 4.849 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(F)c1Cl 789068134 HQVXYJFNMQKNKK-VIFPVBQESA-N 415.204 4.533 5 20 HJBD O=C(Nc1ccc(F)c(N2CCOC2=O)c1)c1cc2ccccc2c2cccnc12 794092292 CCUSPPUBVYPUSX-UHFFFAOYSA-N 401.397 4.736 5 20 HJBD CC(C)CN(C(=O)C[C@H]1CCCOC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 796900484 JGYFKYUMANZNMK-OAHLLOKOSA-N 403.504 4.524 5 20 HJBD Cc1ccc(O[C@H](C)C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c(Br)c1 800148344 AEFDYXFNUXWLIG-LLVKDONJSA-N 411.227 4.519 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)c1 804413095 KNCIOFXSPAZPHG-QFIPXVFZSA-N 422.506 4.693 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812928257 OCFSCGFNIOWKRN-UHFFFAOYSA-N 412.229 4.747 5 20 HJBD CNC(=O)Oc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 817022288 DCPCIQPWLCGVRH-UHFFFAOYSA-N 415.471 4.600 5 20 HJBD O=[N+]([O-])c1ccc(-c2cc(-c3nc(-c4ccsc4C(F)(F)F)no3)n[nH]2)cc1 904922035 WZIJGSGYXMHLIM-UHFFFAOYSA-N 407.333 4.782 5 20 HJBD COc1ccc(OC(=O)[C@@H]2CC=CC[C@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 916986344 DUXXCCQETLMZFU-HUUCEWRRSA-N 410.451 4.869 5 20 HJBD NC(=O)[C@@H](Nc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 1120855824 UPBPJVFNSFDYCE-ZDUSSCGKSA-N 408.163 4.559 5 20 HJBD Nc1c(C(=O)Nc2ccccc2SC(F)F)cc(Br)cc1[N+](=O)[O-] 1327147091 SNFQDFVCHUDUAK-UHFFFAOYSA-N 418.219 4.507 5 20 HJBD CCC1(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CCC1 1789199002 FAYOHFCQJMIBLD-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccco1)Cc1cccs1 24049796 GNANFUYZQDUBNG-AWEZNQCLSA-N 402.497 4.959 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-n2cccn2)cc1C(F)(F)F 31967571 DYOQLDCOOYGSKS-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OCC 36758736 UVOFZABIKSAYIS-GJZGRUSLSA-N 418.515 4.750 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Oc3ccc(C)c(C)c3)CC2)c1 46399035 URFPIFGNJPZPPD-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD Cc1c(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)cccc1[N+](=O)[O-] 55689171 RRBBBHOXGAXKLH-ZDUSSCGKSA-N 415.877 4.824 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 57070896 RHLRQPQPHHWBKM-NSHDSACASA-N 410.373 4.634 5 20 HJBD COc1cccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1OC1CCCC1 303552837 JJLCAOBSSPJZKA-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD Cc1cccnc1[C@@H](NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)C(C)C 303596782 JDNNOKKZHPIJER-NRFANRHFSA-N 410.518 4.662 5 20 HJBD O=C(C[C@@H]1C=CCC1)Nc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 409985880 ZXYYSQKCVIAMRG-CQSZACIVSA-N 405.410 4.888 5 20 HJBD O=C(O)[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 426821526 UBZTULGDIPIMIM-SFHVURJKSA-N 402.403 4.503 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)[C@H](c1ccc(Cl)cc1)c1cnccn1 427456570 PWYCWPCNNKBDQB-GOSISDBHSA-N 417.252 4.553 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1c[nH]cc1-c1ccc(F)cc1 427602467 QAZHUEIXRYEHRP-UHFFFAOYSA-N 407.323 4.678 5 20 HJBD C[C@@H](NC(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 429267102 ZOGSAVZGALYPMJ-GFCCVEGCSA-N 410.301 4.604 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1C 433216264 AAOWWGJWEYKRFT-FQEVSTJZSA-N 417.531 4.889 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)c1[N+](=O)[O-] 435805260 CCAJNEVCLHTYGD-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437248186 DQKZNPUGRLJFLV-GOSISDBHSA-N 407.392 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](CC(F)(F)F)c1ccccc1C 444778654 XAPYBVJSYWFHQM-GOSISDBHSA-N 409.408 4.824 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2Nc2cccc(-c3ncc4n3CCCC4)c2)n1 447566960 XYZIXKXAGVIATP-VQTJNVASSA-N 406.490 4.587 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccc(C(F)(F)F)cc3)c2)c1 448287221 IHVGCSVJDZKISM-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)[C@H](CC)Cc2ccc(C)cc2)cc1OC 460526710 RAXYEQPTFQRMIS-GOSISDBHSA-N 414.502 4.794 5 20 HJBD COc1cc(C(=O)Nc2ncc(-c3ccc(Cl)cc3)s2)c([N+](=O)[O-])cc1OC 461098297 NQKQWJDRVCKYLX-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC(C)(NC(=O)NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 462231041 VUPGAGRZVCBNNI-INIZCTEOSA-N 409.408 4.550 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])c2)n1 463106215 MXXMUVIUSRNMDA-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COCC1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 467724955 KDFSWEOSONQPTF-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD CN(CC[C@H]1CCCO1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 469510045 GPAXUOGYYQLVGO-QGZVFWFLSA-N 417.893 4.501 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 470270563 KWNWHCHKWJLXBI-QUCCMNQESA-N 423.494 4.677 5 20 HJBD C[C@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 471447613 VCALRUMFQHZVIY-MAUKXSAKSA-N 407.495 4.812 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(N2CCCCC2)c2ncccc12 471455918 VEIIRZHECHLBCU-UHFFFAOYSA-N 420.469 4.784 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c(-c2nc(C3CC3)no2)c1 471643412 IFPROSTUGZHYEL-UHFFFAOYSA-N 404.382 4.829 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)Nc3ncc(C(F)(F)F)s3)cc2[N+](=O)[O-])C1 475864257 NGJKTDCZKBPTDG-JTQLQIEISA-N 414.409 4.559 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 476206879 QPSBFWBBWYHVHX-UHFFFAOYSA-N 421.453 4.550 5 20 HJBD O=C(c1cc2ccccc2n1Cc1ccccc1F)N1CCc2cc([N+](=O)[O-])ccc21 478132899 PEFRKPYMUHWUJT-UHFFFAOYSA-N 415.424 4.940 5 20 HJBD CCCn1c(Sc2ccc([N+](=O)[O-])cc2Br)nnc1-c1ccncc1 478202298 HUSYNUUVACKZJG-UHFFFAOYSA-N 420.292 4.572 5 20 HJBD C[C@@H](C1CCN(C)CC1)N(C)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 479475832 WRYKMSWDQAHKBW-INIZCTEOSA-N 413.543 4.548 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cccc(SC)c3[N+](=O)[O-])n2)cc1 486406720 KKVWLJYTPBYRRH-UHFFFAOYSA-N 418.525 4.809 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ccc(OC)cc2)CC1 489900035 MZWGQLJDMTYFHJ-UHFFFAOYSA-N 400.500 4.735 5 20 HJBD Cc1cccc([C@@H](NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498254408 SUYAQDODPQYWLF-HSZRJFAPSA-N 418.497 4.710 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccc(Cl)cc1)C1CC1 498321919 GSIMBCLRQJJVOB-UHFFFAOYSA-N 413.905 4.656 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@@H]1c1nc2ccccc2s1 498580353 YTGGVWXZLCZWDI-GOSISDBHSA-N 406.467 4.523 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCc3ccccc3[N+](=O)[O-])c2C2CC2)cc1Cl 500761872 KZVZFUDQKATPDS-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(n2cc(Cl)cn2)CC1 504856299 DPLHAKIOEORQRI-UHFFFAOYSA-N 422.938 4.670 5 20 HJBD Cc1c(CC(=O)N2CCCC[C@H]2c2ncc(-c3ccccc3)[nH]2)cccc1[N+](=O)[O-] 507287822 ZSCHSRAZOJVLNO-NRFANRHFSA-N 404.470 4.590 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C(F)(F)F)cs1 508913687 LKCCEEXYFKUTSW-LURJTMIESA-N 413.299 4.580 5 20 HJBD COc1ccc(C[C@@H](C)C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1OC 511006589 CWEQQFJKCQUWJR-MRXNPFEDSA-N 412.486 4.766 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)Cc2ccccc2[N+](=O)[O-])cc1 511604268 FGWAKYANUQQUGE-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1CN1CCCC[C@H]1C)c1cccc([N+](=O)[O-])c1 515510795 SDGABMOFXDDJNC-MSOLQXFVSA-N 410.518 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](C)SCC(=O)Nc2ccc(F)cc2)n1 520467234 HXPFHRZBWIGBDN-LBPRGKRZSA-N 416.434 4.525 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H](C)Oc3ccc(F)c(F)c3)n2)cc1[N+](=O)[O-] 521545301 WWIXPNRSCDSNLM-LLVKDONJSA-N 419.409 4.711 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1)C1CCCCC1 522873511 BVVXNWWZHHJXCS-UHFFFAOYSA-N 407.470 4.707 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(O)cc1)Cc1ccco1 523871197 ZRXWLVLYURKHHB-HNNXBMFYSA-N 412.467 4.603 5 20 HJBD C[C@@H](C1CC1)N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1Br 524253096 WYIVHEDAIWJADW-ZDUSSCGKSA-N 403.276 4.798 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccc2c(c1)CCO2)C1CCCC1 534615929 WOTVUMKYDGIEIL-UHFFFAOYSA-N 419.481 4.525 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CCN3CCC(C)CC3)c2)c1 535805584 HEXQPAQIPDIQQO-UHFFFAOYSA-N 413.543 4.843 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cncc3ccccc23)cc1[N+](=O)[O-])c1ccccn1 536241108 WRFRNGOEJQZQMD-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)c(F)c2)C1 538273940 RJVRIVLNJOSCLB-GOSISDBHSA-N 422.453 4.587 5 20 HJBD CC(C)Oc1cc(-c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)ccn1 547098343 JYLVWXVCXCWTLZ-UHFFFAOYSA-N 409.427 4.618 5 20 HJBD COc1cc(Cc2noc(Cc3csc(-c4ccc(C)cc4)n3)n2)ccc1[N+](=O)[O-] 547171237 APRQCEFWQHVNPK-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD CC(C)(C(=O)Nc1ccc(NC(=O)c2cccs2)cc1)c1ccccc1[N+](=O)[O-] 550342462 MZMJHQMDMKVYRW-UHFFFAOYSA-N 409.467 4.825 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 554889398 NKQOFVFGZIKRTE-HNNXBMFYSA-N 407.474 4.681 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(CCCOCCc3ccccc3)no2)c1 556292737 NDXPHCHZKAFCQZ-UHFFFAOYSA-N 421.375 4.856 5 20 HJBD O=C(CNC1(c2ccc(Cl)c(Cl)c2)CC1)Nc1ccc([N+](=O)[O-])cc1Cl 604458114 PXURAXJFIKJLBN-UHFFFAOYSA-N 414.676 4.772 5 20 HJBD Cn1c(C(=O)Nc2nc(C3CC3)c(Cc3ccccc3Cl)s2)ccc1[N+](=O)[O-] 608850435 SUROTCOGXTXHRA-UHFFFAOYSA-N 416.890 4.764 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H](NC(C)C)C3)cc2[N+](=O)[O-])cc1 609135439 KMYJEMHJVIHGLK-SFHVURJKSA-N 413.543 4.657 5 20 HJBD CC(C)n1ncc2c(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc(C3CC3)nc21 610047025 XWKHLZPTPPJJSU-UHFFFAOYSA-N 421.501 4.551 5 20 HJBD CCO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 611202239 XPPBILSRWNSMIR-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD O=C(NC[C@@H]1CCOc2ccccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611204823 OTWZKRLJLJBVOA-HNNXBMFYSA-N 412.511 4.926 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 730499416 BQAPWUHSIOQXEK-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD Cc1c([C@@H](NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)C(C)C)oc2ccccc12 732645774 QEZKQVWYZKTEOB-NRFANRHFSA-N 406.442 4.513 5 20 HJBD C[C@H](Oc1ccccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1ccccc1 734415908 JPMDMUZJRIYDAP-AWEZNQCLSA-N 416.430 4.675 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc3c(c2)oc2ccccc23)cc1[N+](=O)[O-] 752137354 PTDOILNFWGBZDR-CQSZACIVSA-N 417.421 4.619 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccccc2)cs1 756934337 MRROREZLWBRXKW-LBPRGKRZSA-N 416.890 4.932 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 763419878 CPLQAGRNPMLRHU-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 764294022 HGBJATNBYZMLHY-ZDUSSCGKSA-N 405.907 4.603 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 766130330 ZEVFEXLCQIKGTJ-UHFFFAOYSA-N 419.315 4.553 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CCC(C(F)(F)F)CC1 766874340 URMWOOZJBGLCTD-LEHRNKBSSA-N 408.376 4.991 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2cc([N+](=O)[O-])ccc2C)c1 768953583 WCQKINZVDJQVQY-QHCPKHFHSA-N 419.481 4.979 5 20 HJBD CCCCCCN(C(=O)Cn1nccc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 769659853 KRFZGZXJMDAFSC-UHFFFAOYSA-N 413.503 4.528 5 20 HJBD COc1cccc([C@H](NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 779064589 MKTKADUDQDKGNX-YADHBBJMSA-N 412.873 4.669 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(O)(c4c(F)cccc4F)CC3)o2)cc1 779196565 XDFWYNAZZYWDEC-UHFFFAOYSA-N 414.408 4.617 5 20 HJBD CC(=O)Nc1cccc([C@H](C)OC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 781458424 KNPDYWQKEPQBFT-AWEZNQCLSA-N 400.434 4.558 5 20 HJBD O=C(Nc1cccc(NCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 791285599 ITTLJMCMSMDKFC-UHFFFAOYSA-N 422.407 4.812 5 20 HJBD COCCCC[C@@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 791909083 GHWYYZNYOCOXOM-QGZVFWFLSA-N 421.291 4.645 5 20 HJBD COCCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccccc3)cc2)cc1OC 798107052 DHNLTEJNUMIVOO-UHFFFAOYSA-N 422.437 4.548 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCCCC(=O)c1ccc(Br)cc1 798130199 KKIOVZTVOSEKKW-XGICHPGQSA-N 418.243 4.577 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1cc(F)cc([N+](=O)[O-])c1Br 800589710 SIYGSBWVHRAWCX-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD O=c1ccccn1C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1 810002928 CTCWANTTYKFMJQ-SFHVURJKSA-N 418.280 4.594 5 20 HJBD CN(C(=O)C1CC1)c1ccccc1C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811083602 NLKKLQDESCYBCP-UHFFFAOYSA-N 423.252 4.631 5 20 HJBD CC(C)(Oc1ccc(F)cc1)C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811219961 CGCAGBZOWRZNCA-UHFFFAOYSA-N 416.430 4.758 5 20 HJBD O=C(Nc1cc(Cl)cnc1Br)c1cc2cc([N+](=O)[O-])ccc2s1 813372596 ZOMAZMVPBZCKKW-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 816761850 MXZXOALPIHDXOI-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Cc3cccc(F)c3)o2)c(Br)c1 1320404294 VWLYYMAWNCCJDY-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(F)ccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 1321629794 NPWXJWUAMTWEJG-UHFFFAOYSA-N 418.425 4.765 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)o1 1322141284 UAKQOTVHNQLXLS-CQSZACIVSA-N 412.421 4.914 5 20 HJBD CSc1ccc(-c2nnc(-c3cc(F)ccc3Br)o2)cc1[N+](=O)[O-] 1322599457 MMSQAQFXHJLILV-UHFFFAOYSA-N 410.224 4.935 5 20 HJBD COc1ccc(-c2nnc(-c3c(Cl)cccc3[N+](=O)[O-])o2)cc1Br 1341659818 ZWIICQYLOFQJIB-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CC(C)CC(=O)Nc1ccc(C2(NC(=O)c3cccc(F)c3[N+](=O)[O-])CCC2)cc1 1787663424 DOHCKZZLZCUZQP-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 6375073 LDAAGNGNUHKYMQ-HSZRJFAPSA-N 418.449 4.759 5 20 HJBD Cc1ccc(Nc2nnc(SCC(=O)Nc3c(C)cccc3[N+](=O)[O-])s2)cc1 7758420 KMVLMWPGDYUIRV-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)CCCSc1ccc(Cl)cc1 8928664 LRXBPFVTLARHOO-UHFFFAOYSA-N 422.890 4.611 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2cc(C)oc2C)nc2onc(C)c12 39539702 PACCOLBUHCZCNE-UHFFFAOYSA-N 422.397 4.577 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3ccccc3o2)C1 43342296 NNKJSKXDDVYEQR-GFCCVEGCSA-N 400.822 4.801 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 52959800 BRIGNNCIOVAHDI-LBPRGKRZSA-N 418.375 4.505 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])sc2c1CCCC2 57772128 ANDSGHOXRFULIU-UHFFFAOYSA-N 420.512 4.686 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)cc1[N+](=O)[O-] 63984882 JFRIGGLSJARBKH-UHFFFAOYSA-N 415.453 4.677 5 20 HJBD C[C@@H](NC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)CCCC1)c1ccccc1 97570434 KLBIXOLGFARGIK-OAHLLOKOSA-N 401.894 4.642 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1 159148733 PWOQQDARWSTADI-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1cc(NCC2(N[C@@H](C)c3ccccc3)CCOCC2)c2cc([N+](=O)[O-])ccc2n1 302149299 HJUBIDPCCWJWLJ-SFHVURJKSA-N 420.513 4.763 5 20 HJBD COc1ccc(CSCC(=O)NC(C)(C)Cc2ccccc2Cl)cc1[N+](=O)[O-] 303218064 UKTSLOFTSJDMNA-UHFFFAOYSA-N 422.934 4.628 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426096321 BLKISQRBDMNYAS-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD CCn1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccccc2Cl)n1 427378806 YBOXNRGXUQVINV-KRWDZBQOSA-N 419.268 4.637 5 20 HJBD Cc1ccc(CC2CCN(Cc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1C 428704713 AKHOOLCNSOJTFI-UHFFFAOYSA-N 406.486 4.716 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCCc1cccc(OCC(F)(F)F)c1 432207250 WNIKHPMKXQUSBH-UHFFFAOYSA-N 411.380 4.517 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)CCC(F)(F)F 443808804 LSNKYRUEUVWDPC-UHFFFAOYSA-N 416.377 4.781 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc21 444289170 MPHHTNHLDDFDJY-UHFFFAOYSA-N 408.461 4.698 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444672539 LJYNKLCBNIXPDT-UHFFFAOYSA-N 413.458 4.763 5 20 HJBD CC(C)N(Cc1ccc(F)cc1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 446023372 CWLVFWGVHAJGRA-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cn2)cc1 446842466 FVCRGQOFOLPNAZ-UHFFFAOYSA-N 404.426 4.681 5 20 HJBD CN(C(=O)c1cccc2c1OCC2(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 448341282 HZDAGYXCGWGJMC-UHFFFAOYSA-N 409.467 4.665 5 20 HJBD COc1cc(OCC(=O)N(C)[C@@H](C)c2ccc(-c3ccccc3)cc2)ccc1[N+](=O)[O-] 464368543 VHLSYGMRTDPWTF-KRWDZBQOSA-N 420.465 4.869 5 20 HJBD CCCc1sc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1CC 464636678 HAYKNURSFBYOHJ-UHFFFAOYSA-N 402.516 4.855 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)c1ccc(F)cc1[N+](=O)[O-] 466286460 JQQBYCXRXGFKPW-NDEPHWFRSA-N 404.463 4.568 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 466897175 JBKDBYKWZRZMAX-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H](CO)CCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467990018 IKCNSKVOSISVRW-CYBMUJFWSA-N 408.907 4.538 5 20 HJBD C[C@H](CC(=O)Nc1ccccc1C(F)(F)F)NCc1ccc([N+](=O)[O-])cc1Cl 468039704 RLYBUCILHITSIM-LLVKDONJSA-N 415.799 4.774 5 20 HJBD CCc1ccc(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 471339265 AIKGQUUBCVSKTJ-MRXNPFEDSA-N 405.454 4.622 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCC[C@H]1c1nc2ccccc2o1 475217181 WQWATSUSEBDROV-IBGZPJMESA-N 420.469 4.704 5 20 HJBD COc1cccc([C@@H](NCc2ccc([N+](=O)[O-])cc2F)c2cc(F)ccc2OC)c1 478251959 LBEUCCPERJRWJA-JOCHJYFZSA-N 414.408 4.769 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C\c1ccc2cccc([N+](=O)[O-])c2n1 478370621 BHUZVWUFSCKSJB-BENRWUELSA-N 402.859 4.760 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 478404443 XTNHDTIHAPZNCC-IBGZPJMESA-N 405.458 4.656 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 481353441 VLNXOGILXILRQD-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD COC(=O)c1cc(OCc2csc(Cc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 481987404 XBGRYVJNMFFYKW-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ncc(-c4ccccc4)cn3)cc2[N+](=O)[O-])CC1 484690695 PPWBSSLJNYFYEM-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD Cc1ccc(S[C@@H](C)C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 486132601 IBHYQRVHWDMPLO-AWEZNQCLSA-N 412.433 4.975 5 20 HJBD O=C(Cc1ccc2c(c1)CCCC2)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486134808 NUBFNIVHEJOJPW-UHFFFAOYSA-N 406.404 4.607 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(-n3cnc4ccccc43)cc2)c1[N+](=O)[O-] 486241413 SOMTVNWVBDSUEC-UHFFFAOYSA-N 418.478 4.586 5 20 HJBD CCc1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 486390953 AKEIRBMWRXAQFB-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1nc2ccccc2[nH]1 487840931 LNRJWWZUWPRDSL-HXUWFJFHSA-N 407.474 4.542 5 20 HJBD Cc1ncsc1CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 488949489 VUTAWGVAIMYXFN-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1)c1ccccc1 497923746 CWAOLENBXSSFFF-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD CCc1cc(Br)ccc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 499508383 MTSASJINWHGYNM-UHFFFAOYSA-N 400.232 4.720 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1NC12CC3CC(CC(C3)C1)C2 500021151 GVEQAYLUFFOCFJ-UHFFFAOYSA-N 421.497 4.993 5 20 HJBD COc1cccc([C@@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506162904 GOPWPLZWRGBGTH-HZPDHXFCSA-N 420.469 4.663 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 506643786 WASHNAJGGJTHLB-UHFFFAOYSA-N 411.311 4.799 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 509588429 OTUNHHLFDLNPHA-UHFFFAOYSA-N 407.426 4.656 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)c1cc2cc([N+](=O)[O-])ccc2o1 509785307 ARWLHUHFUSDDMG-UHFFFAOYSA-N 404.407 4.970 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc2ccccc2cc1OC(F)F 513036499 JQFGQMHHBCAGNC-UHFFFAOYSA-N 400.381 4.664 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 513789589 KVGYGMQJFYDODL-RBDMOPTHSA-N 408.477 4.558 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2sc(C)nc2C)c([N+](=O)[O-])cc1OCC 518581798 MSWYJNPKJHDCCK-GFCCVEGCSA-N 422.507 4.690 5 20 HJBD COc1ccc([C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])o2)N2CCCC2)cc1 520210584 ZBHGXQWGOWRGDR-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(C4CC4)nn3)cc2[N+](=O)[O-])cc1 522539138 KXKGCZBYHIVPCO-UHFFFAOYSA-N 406.467 4.974 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 524255383 XLFLGPVHKZBMFG-UHFFFAOYSA-N 418.169 4.584 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cccc(COCCc2ccccc2)c1 524337250 UUYHWWIDVMLYTQ-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](Cc1ccccc1)c1cccs1 534676374 CXQZWKUNYHTPMY-FQEVSTJZSA-N 405.479 4.780 5 20 HJBD CC(C)c1ccc([C@@H]2CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 535497126 XMEPVJJOBKDRCE-NRFANRHFSA-N 405.454 4.949 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1nc2ccccc2[nH]1 538585377 HAOURFFJBLFBAI-UHFFFAOYSA-N 412.515 4.726 5 20 HJBD COc1ccc(-n2nc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2C)cc1 538840339 HPMQNYGNSHDYCN-UHFFFAOYSA-N 420.469 4.687 5 20 HJBD CN(C(=O)c1cc(F)cc2cccnc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452480 OGIFATKUAOEGFD-UHFFFAOYSA-N 408.414 4.682 5 20 HJBD CCC[C@H](Nc1cccc(NC(=O)[C@@H](C)n2cccn2)c1)c1cccc([N+](=O)[O-])c1 540737321 VHARUGRIXRMOOT-IERDGZPVSA-N 407.474 4.944 5 20 HJBD Cn1cccc1[C@@H]1CCCCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 541408089 ISWQEKKMOCYIPK-INIZCTEOSA-N 407.923 4.823 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543459366 RWOFCSXWKSDBQB-QRQLOZEOSA-N 404.532 4.565 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ccc(COCC(F)(F)F)cc2)no1 544050864 GSVBAOKCMXPAQG-MDZDMXLPSA-N 405.332 4.894 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2cc(Cl)ccc2O[C@@H]2CCOC2)no1 545146304 ZNVNAHPXGVGWIE-GFCCVEGCSA-N 422.224 4.786 5 20 HJBD COc1cc(/C=C\c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc(Cl)c1OC 545760639 LTEKFPDLQRTCEA-FPLPWBNLSA-N 415.833 4.718 5 20 HJBD COc1cc(Cc2noc(/C=C(/C)c3ccccc3OC(F)F)n2)ccc1[N+](=O)[O-] 545762479 HYSYKIJEBFXXFU-XFXZXTDPSA-N 417.368 4.739 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545828116 UERCZPJFGFYVRK-UHFFFAOYSA-N 403.435 4.577 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2C[C@@H]2c2cccc(Br)c2)n1 546947470 IPNLWWUAMXSRRQ-CABCVRRESA-N 400.232 4.602 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4cc(F)cc(F)c4)no3)cs2)c1 546975578 ONZDPDATPITPSI-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD CC(C)Oc1nc(C(F)(F)F)ccc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 561916193 YBQMYAZEBAVPRB-UHFFFAOYSA-N 400.338 4.574 5 20 HJBD Cn1ncc2ccc(CNC(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc21 567007612 XIQDBJSAGIMFGU-UHFFFAOYSA-N 417.425 4.596 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(Br)c(Cl)c2)c1 568121743 LMRFYTFLEJAKRM-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3noc4c3CCCC4)c([N+](=O)[O-])c2)cc1 575778724 YFZXPPIUSGOQAE-UHFFFAOYSA-N 406.442 4.634 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1ccncc1 581908131 VHXPVTCYNVFWAW-UHFFFAOYSA-N 410.861 4.922 5 20 HJBD CC[C@@H](C)c1ccc([C@H](Nc2ccc(S(N)(=O)=O)c([N+](=O)[O-])c2)C(C)C)cc1 600847475 DNGMBKFSWIHDEH-JLTOFOAXSA-N 405.520 4.565 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](c2cccs2)C2CC2)c([N+](=O)[O-])cc1OCC 603651299 FVCHGHWQDOMVFY-GOSISDBHSA-N 405.476 4.727 5 20 HJBD Cc1sc(NC(=O)c2cnc(-c3ccccn3)s2)nc1-c1cccc([N+](=O)[O-])c1 609011028 SFAFZGZFKPSRJZ-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD Cc1cc(C)cc(N(CC(=O)Nc2ccccc2)C(=O)c2cc(F)cc([N+](=O)[O-])c2)c1 609444252 MFDXOEGILCQEKK-UHFFFAOYSA-N 421.428 4.636 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(Oc4ccccc4)nc3)no2)c1 609475360 SNFKDEOMYOGVAL-UHFFFAOYSA-N 413.393 4.835 5 20 HJBD O=C(Nc1cc[nH]n1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609661083 QOGVKTVCUQYLNF-UHFFFAOYSA-N 408.361 4.740 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)c1[N+](=O)[O-] 609697944 KKMZQYBLVYMENX-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD O=C(COc1ccc(F)cc1F)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755051 AGIRBXADNPXCMQ-UHFFFAOYSA-N 400.337 4.683 5 20 HJBD CCOc1ccccc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852569 NLYBXBXPWYWLNY-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2c(F)cc(F)cc2F)cc1[N+](=O)[O-])c1ccccn1 610388629 WEAMNQRQOCEOEN-LLVKDONJSA-N 416.359 4.833 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccc(F)cc1-c1ccc(F)cc1 728483530 IKPORKXRSSSYNW-UHFFFAOYSA-N 408.364 4.528 5 20 HJBD O=C(c1cc(O)nc2ccc(F)cc12)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 737519525 LNAMGWGEXBLVID-UHFFFAOYSA-N 415.808 4.698 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 746263941 QIPJLZRFVOQDBG-FUHWJXTLSA-N 414.458 4.677 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 746932797 HWXORARVNQAQPY-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD O=C(Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 747192004 WDPJEYYDHHNXFE-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2cccs2)CC1 749876600 RUKKSIXXXKYKKR-INIZCTEOSA-N 407.923 4.513 5 20 HJBD O=C(Oc1ccc(Oc2nccs2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 750861101 VQCVXYNVGDELIC-UHFFFAOYSA-N 421.228 4.825 5 20 HJBD Cc1nc(NC(=O)c2cccc3c(=O)c(C)c(-c4ccccc4)oc23)ccc1[N+](=O)[O-] 753345227 CTIAWGZRMNGUME-UHFFFAOYSA-N 415.405 4.632 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 754778321 SKWXXWVMBKQUMD-LBPRGKRZSA-N 416.890 4.661 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Nc1ccc(-c2nc(C)c(C)o2)cc1 761891122 FILMOSFBIZAIEM-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1cc([N+](=O)[O-])ccc1Cl 762328782 SILXIFXFHRXYNV-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3Br)CC2)c1 770811401 QTONXODHVDJOCJ-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCSc2ccccc21 773434047 VJKWNFZJQAYFHT-CYBMUJFWSA-N 408.273 4.680 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1c(C)nn(Cc3ccc(Cl)cc3)c1C)CC2 774221973 FZGZGYFFBUASGV-UHFFFAOYSA-N 424.888 4.621 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)on1 774221994 GJLUEBGIGGJRRH-UHFFFAOYSA-N 409.467 4.695 5 20 HJBD CC(C)(C)c1nc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cs1 776137030 MELZEKRBYGYJMW-IBGZPJMESA-N 411.483 4.619 5 20 HJBD O=C(Nc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1cccs1 777976261 FKLWQMOEWPTWOA-UHFFFAOYSA-N 417.393 4.639 5 20 HJBD COc1ccc(-c2nc(COC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)cs2)cc1 778020869 VMEJVYQJBQUIOZ-UHFFFAOYSA-N 420.393 4.562 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 779160837 JZCAYHZPCQTGMA-HOTGVXAUSA-N 415.490 4.590 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@@H]1/C=C/c1ccccc1 780292674 ODJKQGRHACLHTG-XAVKZTDYSA-N 401.260 4.675 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1cc(F)cc(F)c1Br 785874013 QZQCZJFXRWTOJC-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1 786984570 ZYODVHHVJYGNBD-UHFFFAOYSA-N 418.331 4.635 5 20 HJBD O=C(Nc1cc2ccccc2nc1Br)c1c(Cl)cccc1[N+](=O)[O-] 788108825 BQYHGDXOOBPDTN-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 809914516 GBCFJCAYVGHXCX-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD C[C@H](Cc1cnc2ccccc2n1)C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 815464495 LHRBACHKRSRKBM-LLVKDONJSA-N 420.252 4.767 5 20 HJBD Cc1ccc(OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(Br)c1 836390720 AZXGZCCQTWWDPE-UHFFFAOYSA-N 422.278 4.831 5 20 HJBD Cc1cc(N2CCC[C@H](c3nc4ccccc4[nH]3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 1115861496 DGCNCFJXZATHEO-KRWDZBQOSA-N 414.469 4.621 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1ccc(Br)cc1[N+](=O)[O-] 1318957166 QWPDULUXTAUKBU-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD COc1cc(-c2nnc(CNc3ccccc3[N+](=O)[O-])o2)ccc1OCCC(C)C 1322467687 ZXVHWMVKNFNRHL-UHFFFAOYSA-N 412.446 4.690 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(OCc3ccncc3)cc2)n1 1345203093 ASCAWKQSLYGQCJ-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(-c2ccccc2Cl)o1 10965486 PYZVWQZGTOJHGF-UHFFFAOYSA-N 414.801 4.922 5 20 HJBD C[C@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 11443768 ULFRFPIVFULMPH-VIFPVBQESA-N 422.821 4.786 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)[nH]1)Nc1cc(Cl)ccc1[N+](=O)[O-] 17749552 CEVVQJOUHWBDRQ-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2ncc(-c3ccc(F)cc3)[nH]2)cccc1[N+](=O)[O-] 26889538 PHGFJCUCRFZLED-LBPRGKRZSA-N 400.435 4.552 5 20 HJBD Cc1ccc(CNC(=O)c2ccccc2NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 59341781 BBJSSCBCNZHFCN-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CSc2ccccn2)c1 110107697 YSWLMHZRFYJZFF-UHFFFAOYSA-N 408.483 4.600 5 20 HJBD COc1cccc([C@H](Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c2nccn2C)c1 301080403 JDKHQRREHFJYDY-KRWDZBQOSA-N 406.364 4.557 5 20 HJBD Cc1ccc(-c2nnc(Sc3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1 301109137 MNGOGHQJZMDFSQ-UHFFFAOYSA-N 405.439 4.870 5 20 HJBD CC(=O)c1ccc(Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)c([N+](=O)[O-])c1 301318185 PJIJTPMWAXNWAF-LJAQVGFWSA-N 408.479 4.990 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 426892155 UZBDUCQLDDZRPO-IAGOWNOFSA-N 400.500 4.954 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 432484496 GIIROWZSUVHSLT-SCLBCKFNSA-N 423.391 4.916 5 20 HJBD COc1ccc(-c2nc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)cc1 437112856 VRLJPMYEPXXUHO-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccc(C)cc1)c1ccccn1 439881558 WJOSWQXTGNCHOP-NRFANRHFSA-N 406.442 4.608 5 20 HJBD O=c1ccc([N+](=O)[O-])cn1CCSc1nc2c(Cl)c(Cl)cc(Cl)c2o1 440603849 QHMKSLUDAGHNSM-UHFFFAOYSA-N 420.661 4.650 5 20 HJBD CCC(CC)[C@H](CCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)OC 444255205 YKXPUMZOCUDDKT-FQEVSTJZSA-N 416.468 4.531 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1sc(Br)cc1[N+](=O)[O-] 445184409 NOMGKNQCTQBPBU-UHFFFAOYSA-N 424.103 4.698 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 460673252 QMEMMYYTEWOELQ-QGZVFWFLSA-N 423.391 4.501 5 20 HJBD O=C(c1cc(-c2ccc(Cl)cc2)[nH]n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 461676346 ACJOAVCSFFGRMM-IBGZPJMESA-N 414.874 4.526 5 20 HJBD Cc1cccc(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)c1[N+](=O)[O-] 464148894 GPUIUAWMJJTUAT-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464446482 JSQBIPLLTYYDES-QGZVFWFLSA-N 411.458 4.594 5 20 HJBD O=C([C@@H]1C[C@H]1c1c(F)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634753 SRBZRTAKRKOZHV-IAGOWNOFSA-N 418.852 4.561 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(Cl)c2)c1CC 466510284 OZSKWRFDNADDTC-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD O=C(Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cc2cc([N+](=O)[O-])ccc2o1 470632732 CFDUKZZXAPMZPT-UHFFFAOYSA-N 418.793 4.904 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475219964 MJVJJFUPEAGEML-KBXCAEBGSA-N 423.391 4.903 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2c(Br)ccc(F)c2C1 475565353 DPUBJPANTQQKRY-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)COc2ccc([N+](=O)[O-])c3cccnc23)cs1 477662780 LAPJRXGSZQNGAM-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 482018977 SSALVZUVRSGEDF-UHFFFAOYSA-N 410.257 4.763 5 20 HJBD CCc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 482800718 UGCYGUIBTWULQB-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(Cc2ccccc2)no1 483665418 WKAGJDBYXUOQDY-AWEZNQCLSA-N 421.482 4.543 5 20 HJBD CN(C(=O)[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 486441482 HZYIXSRHYRZJNM-SJORKVTESA-N 415.421 4.730 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc2c(n1)CCC(C)(C)C2 488454171 IQGRTARXNPCBPA-UHFFFAOYSA-N 400.504 4.653 5 20 HJBD COc1ccncc1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 498422892 LPSCVDBNOIDTQO-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD Cc1nn(CC(=O)Nc2c(Cl)cccc2C(F)(F)F)c2ccc([N+](=O)[O-])cc12 502870931 IRCOVVALZASZAN-UHFFFAOYSA-N 412.755 4.564 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 505453844 QLXHGMSQXIIUBB-UHFFFAOYSA-N 405.410 4.701 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccncc2)c(Cl)c1 505725981 ONXMFVLLFOGKJZ-UHFFFAOYSA-N 412.833 4.876 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C(=O)NC(C)(C)C)c1 509579540 MVTNOQPIQYKVAT-UHFFFAOYSA-N 412.490 4.566 5 20 HJBD CC1(C)C[C@H]2C[C@@](C)(CN2C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 512219394 AZTUBHZUDDIUDN-KPZWWZAWSA-N 400.397 4.577 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])s2)ccc1OCc1ccccc1 515689773 IZNGJOURTUMCFJ-CQSZACIVSA-N 412.467 4.735 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 518166289 VQODUBSSOVECCO-UHFFFAOYSA-N 424.432 4.986 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(OCC(F)(F)F)cc1 518687616 QHLALUIOXYJBGN-LLVKDONJSA-N 409.364 4.594 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 518907108 LZXXVOPJGCGSBR-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD O=C(NCCOc1ccccc1-c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 519048847 JFXAYZAEWMUFKL-UHFFFAOYSA-N 402.406 4.817 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 522523316 PSQMBBPKUOXSRU-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD Cn1cc(C(=O)N2Cc3ccccc3-c3ccccc3C2)c(-c2ccc([N+](=O)[O-])cc2)n1 523201779 PWTFVOAXZRYJJY-UHFFFAOYSA-N 424.460 4.818 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 524255101 FXSKMBGFBIBZLA-OAHLLOKOSA-N 403.276 4.513 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2cccc(OCc3cccnc3)c2)no1 524638937 HHIOEFSXFUGICX-UHFFFAOYSA-N 408.801 4.939 5 20 HJBD COC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C(C)C 525580631 FSAPGBPEJDRXFJ-QGZVFWFLSA-N 408.907 4.800 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 532899476 WLNDDKCRPWIYJP-MRXNPFEDSA-N 406.486 4.591 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2ccc(F)cc2)nc1 533916029 GIVFVPUBFJRDNY-UHFFFAOYSA-N 406.373 4.584 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N1c2ccccc2C[C@@H]1C 534058199 VRDCOYULFWRJOL-GJZGRUSLSA-N 423.494 4.849 5 20 HJBD C[C@H](c1ncc(C(C)(C)C)o1)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536308067 XMBDYYKPQPTMHS-BODITIBLSA-N 403.460 4.545 5 20 HJBD O=C(NCCSCC(F)(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 537700193 ZCBSAJNVTCCUMG-UHFFFAOYSA-N 416.446 4.771 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cnn(C)c1 537968650 PZTSIIXZZOXWQI-GFCCVEGCSA-N 404.829 4.764 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])cc2O1 539469076 HOJNFJZDNVHMJI-JOCHJYFZSA-N 405.410 4.807 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 539547913 VJPOKLNQOKFOET-ORAYPTAESA-N 401.463 4.723 5 20 HJBD O=C(CCc1ccc(N[C@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)N1CCCC1 539688731 SWHAEOHPHOOJJM-JOCHJYFZSA-N 407.514 4.583 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542527524 JQMXJTTWROZABF-PWIZWCRZSA-N 416.543 4.565 5 20 HJBD COc1ccc(NC(=O)c2[nH]c(-c3cccc(C(F)(F)F)c3)nc2C)cc1[N+](=O)[O-] 543929602 PQAKVPFJZCNISJ-UHFFFAOYSA-N 420.347 4.573 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 544221729 UARZCJAXQUZILK-YVEFUNNKSA-N 421.400 4.820 5 20 HJBD CC(=O)Nc1cc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc(C(F)(F)F)c1 545782690 JCCNAVMMJGLDQW-UHFFFAOYSA-N 420.347 4.521 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1c[nH]c2cccc([N+](=O)[O-])c12 557417816 OWIFINJSRPILGH-UHFFFAOYSA-N 411.215 4.639 5 20 HJBD O=C(Nc1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)cc1)c1ccc(F)cc1 557536204 UMGBJKZQBSTMTN-UHFFFAOYSA-N 423.429 4.603 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccnc(OC5CCC5)c4)no3)cc12 569270901 NXAYMRHFXVPNGQ-UHFFFAOYSA-N 410.433 4.725 5 20 HJBD O=C(O)C1(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CCCC1 573829701 QOTJZYFWNSBVFP-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(N[C@H](C)c3ccccc3)cc2)cc1[N+](=O)[O-] 598782597 CSTIACBXISHZJQ-MRXNPFEDSA-N 411.483 4.877 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)cn2)cc1 603776022 UPZOMZUWPXIACS-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N(Cc1ccccn1)c1ccc(F)cc1 603998474 SKPQALHCJPNUOX-UHFFFAOYSA-N 406.417 4.550 5 20 HJBD Cc1ccc(NC(=O)N(C)CCCc2cc(-c3ccc(F)cc3)no2)cc1[N+](=O)[O-] 608784604 RETQAJCFVYFWEK-UHFFFAOYSA-N 412.421 4.794 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CO[C@H]3CCC[C@@H](C)C3)c2)c(C)c1[N+](=O)[O-] 608806954 WVGDBDXXCHYABV-QRWLVFNGSA-N 414.506 4.532 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c(Cl)cccc1[N+](=O)[O-] 609499811 VPJNGWPRVVEDSA-HTQZYQBOSA-N 418.721 4.888 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](Cc1ccccc1)c1ccccc1F 610121399 RFRHDKFMMMFPRI-FQEVSTJZSA-N 408.429 4.603 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(CSC3CCCC3)c2)c(C)c1[N+](=O)[O-] 610127414 SFLPZIODVUMJFL-UHFFFAOYSA-N 402.520 4.613 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(C(F)F)cc3)n2)c(Br)c1 612956147 DYILZKXLXYCHSK-UHFFFAOYSA-N 410.174 4.936 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1ccc(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 730614877 UYHADBYSGZOUKG-GDLCADMTSA-N 423.252 4.576 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@@H]1c1cccc2ccccc12 730873718 YWUMFEIWVLJJOY-UXHICEINSA-N 415.405 4.645 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@H](c2ccccc2Br)C1 734835323 VDELJLMURYIPLT-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD O=C(CCCc1nc(-c2ccc(F)cc2)no1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800107 AJTSOLPEBJEKJM-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD CC(C)CO[C@H]1C[C@H](OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1(C)C 739297635 QQVUCEKPXHMVDP-VXKWHMMOSA-N 407.510 4.538 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccccc1C(F)(F)F 745224585 ZCSDMWOSAUTTAE-QWHCGFSZSA-N 424.375 4.678 5 20 HJBD C[C@@H](OC(=O)CC1C[C@@H]2CC[C@H](C1)N2C(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] 749027708 BHLROTVXZRCYGU-MGNMYUMUSA-N 418.490 4.767 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H](c2nc3ccccc3s2)C1 749876511 PUTKLYRCJKDEDA-LBPRGKRZSA-N 401.875 4.878 5 20 HJBD O=C(Nc1cccc(Br)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754957849 AEHLWMDGAFHRGP-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754978749 VLNBHPPKIZIMBN-AWEZNQCLSA-N 410.243 4.505 5 20 HJBD Cc1nn(CN(C)CCCc2cc(-c3ccc(F)cc3)no2)c2cc([N+](=O)[O-])ccc12 761292315 ZSYDBKBKLZWBRB-UHFFFAOYSA-N 423.448 4.569 5 20 HJBD O=C(Nc1nc2c(C(F)(F)F)cccc2s1)c1cc(F)c([N+](=O)[O-])cc1F 763381687 HCCANXPLWUKSTI-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1cc([N+](=O)[O-])ccc1Br 763470821 UXHPXAHXHWJMGF-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c(Cl)c1 763720519 WGNVDSJRMWRIGM-NSHDSACASA-N 411.241 4.702 5 20 HJBD C[C@H]1CN(c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)CCO1 770596391 YLLUVLPHCLNJRU-HNNXBMFYSA-N 410.499 4.556 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)cc1 773414196 MUAOXXITCOJLHQ-UHFFFAOYSA-N 421.419 4.699 5 20 HJBD CN(C)c1ccc(N(Cc2ccc[nH]2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 775787235 RRWBAXWJBBJKOM-UHFFFAOYSA-N 404.426 4.582 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CNC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cc1 776270296 CFXOFPUUAVILMO-URXFXBBRSA-N 401.466 4.531 5 20 HJBD O=c1oc2cc(Oc3ncnc4ccc([N+](=O)[O-])cc34)ccc2c2c1CCCCC2 782133405 OQYSDIPTBVXUAH-UHFFFAOYSA-N 403.394 4.706 5 20 HJBD Cc1cccc(C(=O)N2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)c1[N+](=O)[O-] 787665317 KQOUPRNHHVMRMQ-UHFFFAOYSA-N 416.477 4.694 5 20 HJBD CSc1cccc(-c2nc([C@H](NC(=O)OC(C)(C)C)C(C)C)no2)c1[N+](=O)[O-] 789765519 SWJAOIBGTYXIBF-CYBMUJFWSA-N 408.480 4.589 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2ccccc2)cn1 799341456 PWLWCUCCJWVCEI-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1cnc(NC(=O)OC(C)(C)C)s1 800506825 DKELAHBIDQHRMS-UHFFFAOYSA-N 406.508 4.809 5 20 HJBD COc1cc(Cc2noc([C@@H](C)c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 809435465 XUJWNFZVQVGHQT-NSHDSACASA-N 407.348 4.748 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930084 IGEHXUICIFUFGQ-HNNXBMFYSA-N 421.240 4.882 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@H](c2ccccc2Cl)C1 813440153 JOPSCXXPFCQGSQ-HNNXBMFYSA-N 415.660 4.769 5 20 HJBD O=C(NC[C@@H](O)c1ccc2ccccc2c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813481674 DXJWYEFKPLAEHA-QGZVFWFLSA-N 405.237 4.518 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1ccc(Oc2cccnc2)cc1 815058559 IUMOZQFKMACZCF-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD COc1cc(-c2noc(-c3ccc([N+](=O)[O-])c(OC(C)C)c3)n2)cc(Cl)c1OC 904404043 OXTBNWHABNFSNL-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD CC(C)(C)OC(=O)NC(C)(C)c1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 904761821 JVBBJHDWFMEPCD-UHFFFAOYSA-N 404.467 4.702 5 20 HJBD O=C(NCc1ccc(Br)cc1Cl)c1cc(Cl)ccc1[N+](=O)[O-] 921158473 AMBICEVVBVMNSE-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD COc1ccc([C@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2ccc(OC)cc2OC)cc1 1318408906 FAEGFPJKFNAMBV-DEOSSOPVSA-N 422.481 4.808 5 20 HJBD Cn1c(C(=O)OCc2nc3ccccc3c3ccccc23)cc(Br)c1C=O 1318557876 MXCNJRLSPOYWNZ-UHFFFAOYSA-N 423.266 4.659 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](N[C@H]1CCCc3c1cnn3Cc1ccccc1)CCC2 1320861432 BHDZQRZRNIJBHK-GOTSBHOMSA-N 402.498 4.884 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)N1Cc2ccc([N+](=O)[O-])cc2C1 1342979861 MZUNMZKEBFPHHE-UHFFFAOYSA-N 420.450 4.690 5 20 HJBD C[C@H](c1nnc(SCc2cccc([N+](=O)[O-])c2)n1-c1ccc(Cl)cc1)N(C)C 3376556 LYGUEMUSLZFCCO-CYBMUJFWSA-N 417.922 4.744 5 20 HJBD CC(C)N(Cc1ccccc1)C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 6538223 IANUAIGLYASTDB-UHFFFAOYSA-N 401.513 4.734 5 20 HJBD CC(C)c1ccc(N2C(=O)/C(=C\c3ccc(Cl)c([N+](=O)[O-])c3)NC2=S)cc1 7334988 IPMSTWRGSCHAGX-CXUHLZMHSA-N 401.875 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)CCCSc1ccc(Cl)cc1 8928661 BYKLFRGHWGMWBW-UHFFFAOYSA-N 422.890 4.611 5 20 HJBD COc1cccc(S[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1 27661281 NCWXDWRGZRTFTH-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD COc1ccc(CSc2nnc(-c3ccc(OC(F)F)cc3)o2)cc1[N+](=O)[O-] 42152130 JDBYANWTVKGUFY-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)Nc1cccc([N+](=O)[O-])c1C 58414666 QKISKANQVBSERQ-UHFFFAOYSA-N 410.243 4.859 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-n2nccc2C(F)(F)F)cc1 72023385 GERYVUWPOLLGRF-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)CC(C)(C)c2ccccc2)cc1[N+](=O)[O-] 109208563 XZEJJCVJLKKCTJ-MRXNPFEDSA-N 416.543 4.709 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 195719437 ZYNGWBWPVWXQNS-NRFANRHFSA-N 414.465 4.707 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1N1CCc2c(cccc2NC(=O)Nc2ccccc2F)C1 301287634 VUSOEFZRQDXULG-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 302225706 VNXQRCCPYGNXRK-UHFFFAOYSA-N 414.483 4.551 5 20 HJBD CCc1ccc([C@H](NCCc2nnc(C(C)C)s2)c2ccc([N+](=O)[O-])cc2)o1 426306927 MRWDVHBPXGCSBO-LJQANCHMSA-N 400.504 4.647 5 20 HJBD O=C(O)[C@@H](Cc1cccc(Cl)c1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426737958 RSCORKKLNKNVNO-GOSISDBHSA-N 416.886 4.762 5 20 HJBD C[C@@H](NC1(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)CCOCC1)c1ccccc1 433786569 OEAWFSAPIXZVRZ-OAHLLOKOSA-N 421.444 4.508 5 20 HJBD C[C@@H](Nc1cnn(-c2ccc(Cl)cc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 435972837 MBJDUWWHCTUVBB-LLVKDONJSA-N 420.256 4.526 5 20 HJBD COc1cccc(C(=O)NCC2(c3ccc(Cl)cc3Cl)CCC2)c1[N+](=O)[O-] 436041597 MOKNTGCYEJQUIP-UHFFFAOYSA-N 409.269 4.762 5 20 HJBD CC(C)(COc1ncc(Cl)cc1Cl)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 436042898 PVSIUSZSXUDVBM-UHFFFAOYSA-N 418.664 4.537 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(Br)s1 436464001 BJFUWCNWGADZAQ-NSHDSACASA-N 424.320 4.828 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 438627624 RDHUCMSULKHJTF-NXEZZACHSA-N 411.327 4.686 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(Oc3ccccn3)c2)c([N+](=O)[O-])c1 438662744 YVXQCYPOJAVDCT-UHFFFAOYSA-N 421.457 4.714 5 20 HJBD C[C@@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 439641763 NVTJVVYTLCFIKB-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC4(CCOCC4)C3)cc2[N+](=O)[O-])cc1 440596777 ANCDYSSEUNYLHY-UHFFFAOYSA-N 412.511 4.697 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CC1)c1sc(Br)cc1[N+](=O)[O-] 445179050 KNTOSJPRUXVLRQ-UHFFFAOYSA-N 415.696 4.534 5 20 HJBD COc1cc(C(=O)Nc2ncc(-c3ccc(Cl)cc3)s2)cc([N+](=O)[O-])c1OC 461102996 LYHIZMNMWRTVMS-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 464417068 BBORIQQVJFGBBY-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466447865 DZOAOWKONYLADQ-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD COc1cc(CN[C@H]2CCCc3sccc32)c([N+](=O)[O-])cc1OCC(F)(F)F 466456219 QNYHOTPMHOYHLV-ZDUSSCGKSA-N 416.421 4.773 5 20 HJBD O=C(NC[C@@H]1CCSC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468470895 DHKYLJRMTQRFTI-LBPRGKRZSA-N 408.932 4.882 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC(=Cc2ccc(F)c(F)c2)CC1 469020563 PMAONTKMTYCEHS-UHFFFAOYSA-N 404.438 4.671 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@@H](c2ccc(F)cc2)C1 471505145 AGQWGBNTXDVXAH-WBVHZDCISA-N 418.490 4.539 5 20 HJBD COc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c(OC(F)F)c1 475498463 UJNRFXSVJGSGOE-UHFFFAOYSA-N 406.385 4.794 5 20 HJBD C[C@@H](N[C@H](c1ccccc1)c1nccs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 479922501 WMVWOFXHXIIOCD-CXAGYDPISA-N 407.455 4.542 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480067050 IXADZGXRKLGOJZ-UHFFFAOYSA-N 416.783 4.852 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(-c2cnccn2)n1-c1ccc(Cl)cc1 481390843 DYGRANDPLRAIGA-UHFFFAOYSA-N 424.873 4.578 5 20 HJBD Cc1cnc(Oc2ccc(-n3c(C)nnc3-c3ccccc3C)cc2)c([N+](=O)[O-])c1 481828998 DMOBCTYJPJJOBW-UHFFFAOYSA-N 401.426 4.955 5 20 HJBD CCn1ccnc1[C@@H]1CCCCN1c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 485424262 ZWLSKQDXAXQYFC-FQEVSTJZSA-N 404.470 4.774 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N[C@H]3CSc4ccc(Br)cc43)c12 486497880 PODLCALSQLXMNU-LBPRGKRZSA-N 403.261 4.559 5 20 HJBD C[C@H](Cc1ccncc1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 487874687 KRNUSGDMNODWJR-CQSZACIVSA-N 411.845 4.797 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NCC(C)C)cc1C 488645782 MZPOLROQQANYLU-UHFFFAOYSA-N 415.515 4.653 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC[C@@H](C(F)(F)F)c2ccccc21 492198624 YQAPWWXJEGSYHZ-OAHLLOKOSA-N 405.376 4.866 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 496614650 YWDDGTUIOJLEAZ-SFHVURJKSA-N 403.410 4.581 5 20 HJBD Cc1ocnc1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 497518049 BRDVCAIJLIOABV-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD Cc1ccccc1-c1ccc(CN(C(=O)CCn2cc([N+](=O)[O-])cn2)C(C)C)s1 498394028 AHPUJAFGYDWZQD-UHFFFAOYSA-N 412.515 4.656 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 498945790 ZJWIMBFXKAVJPZ-SNVBAGLBSA-N 403.287 4.503 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)c1 502487844 PUBAQSJCWCULQS-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 502617663 KWPRFIYUAQNMRA-UHFFFAOYSA-N 409.486 4.771 5 20 HJBD CCOc1ccc([C@H](C)NCc2c(C)nn(Cc3ccccc3)c2C)cc1[N+](=O)[O-] 504911943 OBJVTOJWUWALCY-INIZCTEOSA-N 408.502 4.706 5 20 HJBD CSc1cc2c(cc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)OCCO2 505883438 RILZNPBFLAQPPM-UHFFFAOYSA-N 402.472 4.638 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(C(F)(F)F)cs1 506514428 UZHVTTVYJRQYIZ-UHFFFAOYSA-N 405.423 4.502 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCc1cccc(C(F)(F)F)c1 506997692 TWFOZZFTLMJSQG-CYBMUJFWSA-N 412.433 4.843 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 507285481 VABIYAPPUICVDX-HXUWFJFHSA-N 415.453 4.834 5 20 HJBD COc1ccc(CN(CCc2ccccn2)Cc2c(F)cc([N+](=O)[O-])cc2F)cc1 510761225 FZQUNOKIPSLQCW-UHFFFAOYSA-N 413.424 4.522 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)c2)cn1 512985276 NZJVJRQXKFPRCH-UHFFFAOYSA-N 403.394 4.972 5 20 HJBD O=C(c1ccc(Oc2ccccc2)cn1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 515033012 HLJZFHDXUYZWTN-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD CC(C)N1CCC[C@H](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 518274182 MBJAVKYVRVAWER-GOSISDBHSA-N 401.482 4.736 5 20 HJBD C[C@H](NC(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 520003890 CEEZZHCSTFWIGM-INIZCTEOSA-N 424.501 4.674 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccccc1)c1nc2ccccc2n1C 522991880 WQEASDRTVRUWSJ-NRFANRHFSA-N 415.453 4.701 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCc4sccc4[C@@H]3c3cccs3)n2)cc1 532745524 JLXSRLFPKSKQKF-LJQANCHMSA-N 424.507 4.916 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 535930701 QZCZTLVLUILLJL-AWEZNQCLSA-N 413.503 4.502 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(C(=O)N(C)C(C)C)ccc1Cl 537711665 XOBLMESNOIMKEC-UHFFFAOYSA-N 417.893 4.906 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 540443311 RSDPEUICJZUGAN-JTQLQIEISA-N 401.772 4.556 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@@](=O)Cc4ccccc4)c3)c12 543437238 HFXVUTCQSBVRRC-PMERELPUSA-N 418.478 4.731 5 20 HJBD C[C@H](C(=O)NCc1ccccc1COCc1ccccc1)c1ccc([N+](=O)[O-])cc1F 543464425 LELVSOQUMKXFCK-KRWDZBQOSA-N 422.456 4.871 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1F 543470657 YJWVFNHNCZJYMI-SWLSCSKDSA-N 422.840 4.687 5 20 HJBD Cc1cncnc1-c1noc(-c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 546438687 WCFISMFRFNDYMG-UHFFFAOYSA-N 409.789 4.856 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](c2ccc(Cl)cc2)c2ccn(C)n2)cc1[N+](=O)[O-] 546574541 JBXKPZOJIGKDGV-LHSJRXKWSA-N 414.893 4.821 5 20 HJBD CC(=O)c1cc(NCc2cc(Br)ccc2OC(F)F)ccc1[N+](=O)[O-] 552997981 LWVBOJAPJGYULH-UHFFFAOYSA-N 415.190 4.773 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc(OCC)ccc2[N+](=O)[O-])c1 554962803 ZDEYYCXLVSTGEC-UHFFFAOYSA-N 408.458 4.909 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(-n2ncc3ccccc32)cc1Cl 562466218 KWPAYCGLIUPBSP-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)C2)cc1 564738757 OBJHAAIHFJINSB-WMZOPIPTSA-N 414.527 4.565 5 20 HJBD Cc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c(OCC2CC2)c1 570831692 WAVPWQWAPBGIQE-UHFFFAOYSA-N 408.376 4.641 5 20 HJBD CC(C)OCc1nc([C@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)no1 584561453 JKMAGRIRCOFJIU-LBPRGKRZSA-N 420.853 4.667 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 589244464 VEGVQSXBHHARKX-KGLIPLIRSA-N 422.359 4.517 5 20 HJBD O=C(c1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)N1CCCCC1 600854381 MOJGSVPHNJLFOC-UHFFFAOYSA-N 407.392 4.852 5 20 HJBD O=[N+]([O-])c1ccc(-c2nccn2C[C@@H](O)COc2ccc(-c3ccccc3)cc2)cc1 603842828 NJWRYDABRUWXSR-JOCHJYFZSA-N 415.449 4.565 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(Cc1cccnc1)c1ccc(F)cc1 603992776 YQPJLHRXPJFDNO-UHFFFAOYSA-N 420.444 4.576 5 20 HJBD CCCCCN(Cc1ccc(Cl)cc1)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C 604160618 NRXOAVXLHQAOBP-UHFFFAOYSA-N 417.893 4.714 5 20 HJBD Cc1cc(N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604520502 YKWKIDOSUCLZJN-NZQKXSOJSA-N 418.497 4.964 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1csc(-c2ccc3c(c2)OCO3)n1 608832613 DPDXNFHWJVNHKT-UHFFFAOYSA-N 411.483 4.858 5 20 HJBD Cc1ccc2cccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])c2n1 608947391 UBGDBXBNCRGVAZ-UHFFFAOYSA-N 405.332 4.645 5 20 HJBD Cn1cc(C(=O)Nc2nc(-c3cccc(F)c3)cs2)c(-c2ccc([N+](=O)[O-])cc2)n1 609200405 BIHAOERCTLEOLF-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD COc1ccc([C@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccccc2)cc1 609585226 KHWXLDVBGKHVGQ-HSZRJFAPSA-N 403.438 4.690 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(OCc3ccccc3)cc2C)ccc1[N+](=O)[O-] 609755750 SHZMZVLDFVOMOX-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610042469 BFDYTWRKAKKKKO-KRWDZBQOSA-N 423.513 4.834 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)cn1 611701005 MQGHLVNFFXHSDH-UHFFFAOYSA-N 417.425 4.736 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](Oc2cccc(F)c2)C1 612183527 QUTKWOQFZGBNIK-KRWDZBQOSA-N 404.463 4.528 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CC([C@@H]2CCOC2)C1 619423323 UJRYPRJIKGWADR-CQSZACIVSA-N 418.902 4.508 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)N2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 726847055 QFMSIPUZEMDGQO-UHFFFAOYSA-N 414.531 4.840 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(Cl)c1 730643174 MYJKUJFDOYKINF-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 734891290 RCMMDZFZHKXSQQ-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD C[C@H](NC(=S)Nc1ccc(OC(F)F)c(Cl)c1)c1cccc([N+](=O)[O-])c1 735622207 DVUKFTJRIOVWQG-VIFPVBQESA-N 401.822 4.897 5 20 HJBD CC(C)[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)c1ccccc1 747954844 MJGMXPAJUJLLDY-IBGZPJMESA-N 410.451 4.783 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3cc(Cl)ccc3[N+](=O)[O-])cn2)cc1 751269966 YYUZFOKMDVBWPA-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cc(Br)c(F)cc2Cl)cc1 751448105 CPMGICUVGZNRKH-UHFFFAOYSA-N 404.648 4.959 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1c(F)ccc([N+](=O)[O-])c1F 752566802 GGSDKKSXTLDRPT-RYUDHWBXSA-N 406.385 4.571 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@H]1c1ccc(Cl)cc1 755035332 HEHZUYCMIMQSNN-AWEZNQCLSA-N 410.655 4.990 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 761108459 AXNSDZYSMQECPZ-UHFFFAOYSA-N 407.426 4.841 5 20 HJBD Cc1nccn1CCCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 762310422 XDUPRDBQZJWQDJ-UHFFFAOYSA-N 405.414 4.575 5 20 HJBD O=C(Nc1cc(Br)ccc1N1CCCC1)c1cc(Cl)ccc1[N+](=O)[O-] 764316690 FFPNGXFWRHOFMO-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C(Cl)=C/c3ccc(C)c([N+](=O)[O-])c3)nc2c1 764437876 LBZPMMLRPILYNI-ZSOIEALJSA-N 406.847 4.575 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1cnc(C2CCCC2)s1 767693068 XXOKDGJFNKWEPH-ZSOIEALJSA-N 403.847 4.707 5 20 HJBD CCc1ccc(NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)cc1 775386120 PUTLGAXNRFWXLW-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1CCSc2ccccc21 776219195 XWNPDNMPUORBIK-LAUBAEHRSA-N 406.463 4.902 5 20 HJBD CC[C@@H](C)Oc1cc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccn1 777117634 XNCQDOINMDVGAS-VFNWGFHPSA-N 407.426 4.509 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCCC[C@@H]1/C=C/c1ccccc1 782006829 RGHLMQOTMRMGHO-XEDBTPMOSA-N 423.469 4.920 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1Br 790954076 QPWXUKVEXFZZDV-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD COc1cc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)cc([N+](=O)[O-])c1C 791687417 GAWJXLLDIUYLNQ-HNNXBMFYSA-N 414.458 4.528 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 797448923 FNFOYXJBZXDZPE-NRFANRHFSA-N 420.421 4.530 5 20 HJBD CO[C@H](COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 801830386 UCIPBZAZPAEONU-KSFYIVLOSA-N 421.453 4.707 5 20 HJBD C[C@@H](OC(=O)c1sccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 803772063 YHUAFCUNLNEZLU-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 807687978 VZTDCMXTHPNBGC-USXIJHARSA-N 415.287 4.766 5 20 HJBD CC1(C)CN(Cc2ccccc2)CC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918083 QFKOYMQCBVRFRN-SFHVURJKSA-N 416.909 4.670 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NCc1c(Br)cccc1[N+](=O)[O-] 864018683 PLGZRSZGBHCBRR-UHFFFAOYSA-N 406.280 4.872 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc2ccccc2c1)c1ccccc1[N+](=O)[O-] 915303918 HFUONJWOHJMJSZ-FQEVSTJZSA-N 421.453 4.953 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCc3c(cnn3-c3ccccc3)C2)c([N+](=O)[O-])c1 917067455 KEGSXVCUIGRYQQ-UHFFFAOYSA-N 424.460 4.574 5 20 HJBD Cn1c(COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)nc2ccccc21 918523634 JWCGFFYOVHHCIP-UHFFFAOYSA-N 403.394 4.631 5 20 HJBD COCCCCN(Cc1ccccc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 920084131 GDYNAXXSGIEVSO-UHFFFAOYSA-N 401.488 4.758 5 20 HJBD Cc1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc(C)c1Br 1255193738 WZXFFRGNIALZEF-UHFFFAOYSA-N 419.279 4.566 5 20 HJBD CCN(CC)C1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 1318619770 BAGOKUGMRIEXJK-UHFFFAOYSA-N 407.580 4.679 5 20 HJBD O=C(NCCSc1ncc(C(F)(F)F)cc1Cl)Nc1ccc([N+](=O)[O-])cc1 1346261435 UQNNNRYMHWQPPH-UHFFFAOYSA-N 420.800 4.576 5 20 HJBD CSc1ccc(C(=O)OCC(=O)N[C@@H](C)c2ccc3ccccc3c2)cc1[N+](=O)[O-] 9620418 FUNPYOCLIOHPSO-AWEZNQCLSA-N 424.478 4.504 5 20 HJBD CC(C)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2I)c1 31698998 YSOPUOMEYUAITB-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc(-c2ccco2)cs1 47170538 QSRCHJZCXYIEBO-UHFFFAOYSA-N 401.447 4.510 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCc1ccccc1C(F)(F)F 65139421 CHHBHNWHKUMDPA-UHFFFAOYSA-N 424.422 4.532 5 20 HJBD Cc1nc(C)c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 237691344 CSJNYSGKDUWYIG-UHFFFAOYSA-N 422.304 4.980 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](c2ccc(Cl)cc2)C2CCC2)s1 302174882 MQNVCPCWQUHFHN-HNNXBMFYSA-N 400.909 4.667 5 20 HJBD Cc1csc(-c2cccc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)n[nH]3)c2)n1 303900589 VYGUDZXRRUVKGA-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1OC 409501932 NOHZOEPFFWZPMW-AWEZNQCLSA-N 417.462 4.674 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 426034293 HVAFOSCKLRHOMN-IFXJQAMLSA-N 405.882 4.647 5 20 HJBD C[C@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 428937503 UOAKZJMAKXZJNJ-SGTLLEGYSA-N 421.375 4.717 5 20 HJBD C[C@@H](NC(=O)C1(Cc2ccccc2Br)CC1)c1cccc([N+](=O)[O-])c1 429892084 SRGXPZZYPPHUNM-CYBMUJFWSA-N 403.276 4.557 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(-c2cccc(Cl)c2)o1 436270837 BVFLGLXQCIVOOO-UHFFFAOYSA-N 402.741 4.863 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)Nc4cc([N+](=O)[O-])ccc4O)cc3)o2)c1 436850449 HLIHWJRTJYIQNS-UHFFFAOYSA-N 416.393 4.578 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1OCC(F)F 437754213 ATOUMVVRLNFBMN-UHFFFAOYSA-N 405.401 4.744 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Sc1nnc(-c2ccccc2)o1 447679958 PFVGVYZXYMSQGL-UHFFFAOYSA-N 418.303 4.845 5 20 HJBD C[C@@H]1C[C@H](c2cccnc2)N(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447691228 PNYMCVWGYOTRGW-ZYHUDNBSSA-N 402.323 4.626 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 460673251 QMEMMYYTEWOELQ-KRWDZBQOSA-N 423.391 4.501 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(C)c1)c1ccccn1 462605330 WGSIIKDNHBOUHV-WMZHIEFXSA-N 403.482 4.773 5 20 HJBD CC(C)N(CC(F)(F)F)C(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 462693462 VZMUSLZFJKMRED-UHFFFAOYSA-N 402.394 4.501 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3csc(-c4ccccc4F)n3)CC2)cc1 464782805 AOUVYSOQXVOGHH-UHFFFAOYSA-N 413.474 4.901 5 20 HJBD O=C(Nc1ccc(OCc2cccc(F)c2)c(F)c1)c1ccc(F)cc1[N+](=O)[O-] 466331497 SJGJDBYDAFCRSS-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1cc(F)cc(Br)c1 466447864 DZOAOWKONYLADQ-INIZCTEOSA-N 408.227 4.865 5 20 HJBD C[C@@H](CCNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470869912 MRKPYRRGIMNTAD-LBPRGKRZSA-N 416.421 4.623 5 20 HJBD COC(=O)c1nc([C@H]2CCCN2Cc2ccc(C(C)C)c([N+](=O)[O-])c2)sc1C 475333000 GSJFLIIQXIKIJQ-MRXNPFEDSA-N 403.504 4.607 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)NCc3cccc([N+](=O)[O-])c3C)cc2)cs1 478925474 KWHICUJJFKEZGC-CQSZACIVSA-N 410.499 4.896 5 20 HJBD CC(C)(C)C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(F)(F)F 479242885 LIJJOKBFUSKWQH-SFHVURJKSA-N 404.432 4.638 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2cc([N+](=O)[O-])ccc21 480234433 RVLZZQSLAXBTPF-PKTZIBPZSA-N 414.461 4.867 5 20 HJBD COc1ccc(-c2nnc(S[C@@H](C)c3cc([N+](=O)[O-])ccc3C)o2)cc1OC 484593774 AGUILIAYLVWDGG-LBPRGKRZSA-N 401.444 4.824 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1ccc(OCC(F)(F)F)cc1 485234354 UIILCWJICFOHJO-CYBMUJFWSA-N 417.405 4.520 5 20 HJBD COc1ccc(CCCNC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 485739734 BMCJVQWGWYSJJP-UHFFFAOYSA-N 420.465 4.545 5 20 HJBD Cc1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cccc1-c1ccccn1 486738241 AGSOYYNDNWNTHH-UHFFFAOYSA-N 415.371 4.914 5 20 HJBD C[C@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2sc([N+](=O)[O-])cc12 488484590 UYVNPKNBYKOIIQ-HZMBPMFUSA-N 424.404 4.585 5 20 HJBD C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1cccc(OCc2ccccn2)c1 488621215 YETNNTWTNXLZGN-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD COCc1c(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)sc2cccc(F)c12 493236147 JSRWFKLZXFSPLT-UHFFFAOYSA-N 414.458 4.900 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1 498991465 VAJRMMMFCAWSMG-CABCVRRESA-N 415.515 4.610 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1ccccc1[N+](=O)[O-])C1CC1 502891742 GRQJASARCMRSLV-ZDUSSCGKSA-N 408.376 4.745 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 505610929 PDKFWQJHWVNDCC-UHFFFAOYSA-N 405.426 4.952 5 20 HJBD CCCC[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 507927750 AKGWWESQLKYBKJ-JOCHJYFZSA-N 424.545 4.791 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc(C)cc1[N+](=O)[O-] 508031498 YAVKZMDMRATJAC-CYBMUJFWSA-N 416.421 4.694 5 20 HJBD CCn1cnnc1CNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 509585734 KVJWNOOCRWJNHP-UHFFFAOYSA-N 409.490 4.586 5 20 HJBD CCOc1ccc(C2(CNc3ccc4ncccc4c3[N+](=O)[O-])CCOCC2)cc1 511722309 LETQQFWSWLFCMN-UHFFFAOYSA-N 407.470 4.702 5 20 HJBD CC(C)[C@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1nncn1C 512492114 NVSLRNPQDYTOMY-IBGZPJMESA-N 415.881 4.656 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CN1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 513105974 FOKJBTXULUPMTN-CJISVDGZSA-N 408.433 4.677 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC(F)F 513324836 CWGHXGRYNYESFX-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cn1ncc2c(O)nc(/C=C/c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)nc21 515606623 HRAIJQMNZPVJPK-RUDMXATFSA-N 423.816 4.593 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(OCc2cccnc2)cc1 516489432 ZNLKDLOJPSVMMO-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD COc1ccc(CC(=O)NCc2ccccc2Sc2ccccc2)cc1[N+](=O)[O-] 521913542 LDEKLUVTOMGJQZ-UHFFFAOYSA-N 408.479 4.614 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2cccc(Cl)c2)cc1)n1cc([N+](=O)[O-])cn1 522581793 GSLAZHAZTORUBD-UHFFFAOYSA-N 400.822 4.611 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2-c2ccccc2C1 523620762 PBAYLUXRYGMCNA-UHFFFAOYSA-N 401.466 4.996 5 20 HJBD Cc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1OCC1CCOCC1 524944202 QZNKCGFYBRUOQZ-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)cc2C(C)C)c([N+](=O)[O-])cc1OC 534808662 IFDKANUKRIBSSO-UHFFFAOYSA-N 423.263 4.750 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)s1 535469694 STAVCBBLAABIKQ-MRXNPFEDSA-N 421.482 4.651 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1coc(-c3ccc(C(F)(F)F)cc3)n1)CC2 535963471 KHPLFDUQZUPYOO-UHFFFAOYSA-N 403.360 4.827 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3cc(F)cc4c3OCOC4)co2)cc1 536302172 HVYRZORAUUJNOX-UHFFFAOYSA-N 402.403 4.689 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](COCc2ccccc2)C1 537151386 HAYSWQIHGQARSL-GOSISDBHSA-N 414.527 4.774 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)Cc1nccs1 539818469 QIDVRFNKHHGYEN-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCc1cc(-c2cccc(F)c2)n[nH]1 539840540 CIIXREATNZNAJW-UHFFFAOYSA-N 424.476 4.754 5 20 HJBD C[C@H]1C[C@H](CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 541370224 ZAJQMOZKHCTROK-RBUKOAKNSA-N 420.513 4.742 5 20 HJBD COc1ccc(NC(=O)N2CCSC[C@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 542030303 UQHRDTFUTWXXJV-INIZCTEOSA-N 407.879 4.579 5 20 HJBD CC(C)CN(C(=O)CCCOC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 543129176 HZODUYUMLZGRSR-UHFFFAOYSA-N 405.520 4.913 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543457405 KNJGAXWAMWPSQT-NEPJUHHUSA-N 409.255 4.820 5 20 HJBD NC(=O)Nc1ccc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cc1 547195405 IPWKIZSWWFEJAW-UHFFFAOYSA-N 417.381 4.595 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1csc(-c2ccncc2)n1 549727907 HRSVTOMJCALRQM-UHFFFAOYSA-N 400.485 4.866 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(-c3ccccc3OC(F)F)no2)c1 551339720 DBJHAJRJXVSJLM-UHFFFAOYSA-N 401.247 4.932 5 20 HJBD COc1ccc([C@H](Nc2nc(O)c3cc([N+](=O)[O-])ccc3n2)c2ccc(F)cc2)cc1 557519521 OKPSLKJSKCXLMK-HXUWFJFHSA-N 420.400 4.593 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 559361502 GHQKKLVKMHFNKQ-HRAATJIYSA-N 404.470 4.768 5 20 HJBD O=C(NCC1CC(F)(F)C1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 560704608 HKGHAILKHUQTIO-UHFFFAOYSA-N 409.820 4.635 5 20 HJBD C[C@@H](C(=O)Nc1sc2c(c1C(=O)c1ccncc1)CCC2)c1cccc([N+](=O)[O-])c1 562412777 DWHFCCSIUWXSGG-CYBMUJFWSA-N 421.478 4.513 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 565626245 NYXNSCNIOFHEDF-IBGZPJMESA-N 401.438 4.509 5 20 HJBD COC(=O)c1cccc(CNc2cc([N+](=O)[O-])ccc2Sc2cccs2)n1 581646729 RUTMHIZVWYNJIS-UHFFFAOYSA-N 401.469 4.601 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cc2)n1 582253599 OCNVSKGACZJLKX-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)ccc1[N+](=O)[O-] 583100705 TYYOVONPNIKMLQ-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD COc1cc(CN(Cc2ccc(F)cc2F)C2CC2)c([N+](=O)[O-])cc1OC(F)F 603855463 UNFSGXJPLPHWHA-UHFFFAOYSA-N 414.355 4.648 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccccc2-n2ccnc2)cc1[N+](=O)[O-] 604419140 RPGPEBJVXANHKS-UHFFFAOYSA-N 413.481 4.838 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](CC(F)(F)F)c1ccccc1 604447191 SFIWVFQLHSSKHS-SMDDNHRTSA-N 416.783 4.825 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)cc2s1 604465141 MWTPKYPJTGYCAL-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H]3CCN(c4ccc(F)c(F)c4)C3)o2)cc1 608836418 GENDYMMNZIJUQG-HNNXBMFYSA-N 413.424 4.749 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@@H](c3ccccc3F)C2)c([N+](=O)[O-])c1 608974034 PWBJDJXMJWNJSK-JOCHJYFZSA-N 406.413 4.543 5 20 HJBD CCCOc1cc(NC(=O)N2CC[C@H](c3ccco3)C2)c([N+](=O)[O-])cc1OCCC 609552453 BHVWOTUCQYFLRQ-HNNXBMFYSA-N 417.462 4.787 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CC2(CCCC2)c2c(F)cccc21 610043529 NOZONTBWZSDOFI-UHFFFAOYSA-N 419.250 4.969 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)nc(O)n2C1CCCC1 610070672 VJYOEOJBFINJHO-UHFFFAOYSA-N 422.485 4.518 5 20 HJBD COc1cc(C(=O)Nc2ccc(COCc3ccccc3)cc2)cc([N+](=O)[O-])c1OC 610184872 MJIAFQZNVXSFFS-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD Cc1noc(C(F)F)c1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 615040771 CZXAFVOHGYLGCI-UHFFFAOYSA-N 403.341 4.660 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCc2ccc(O)cc2CC1 619683854 VEKCFLWVHLZBGF-UHFFFAOYSA-N 420.490 4.693 5 20 HJBD C[C@H](OC(=O)[C@@]1(C(F)(F)F)CCN(Cc2ccccc2)C1)c1cccc([N+](=O)[O-])c1 728167996 YDTOQAYHFZJHMM-MGPUTAFESA-N 422.403 4.654 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2c(C)cc(C)c(C(C)=O)c2C)cc1SC 728651359 SZNNKONJQHBHHZ-UHFFFAOYSA-N 417.483 4.810 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1ccc(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 730614879 UYHADBYSGZOUKG-ZLKJLUDKSA-N 423.252 4.576 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 732578063 BUYUTKDGWCGJIS-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc(-c2ccc3c(c2)OCO3)s1 736158058 HBCITYXIXZMRDN-UHFFFAOYSA-N 417.830 4.661 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CCN2Cc1ncc(-c2ccccc2Br)o1 742257845 NBDHSXIMVVQPQT-UHFFFAOYSA-N 400.232 4.575 5 20 HJBD CSc1ccc(C(=O)OCc2ccc(OCc3ccccn3)cc2)cc1[N+](=O)[O-] 747919976 QCZXREBXQTWKJG-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](O)c1c(Cl)cccc1Cl 751993484 HDNKKKQFMBOLEU-ANRSDYALSA-N 418.664 4.799 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CC3(CCC3)Oc3ccccc32)ccc1Br 757708410 YCKCIJTVRHSVHX-INIZCTEOSA-N 403.276 4.893 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)c1[N+](=O)[O-] 758423164 ISXBAYMPIWSKRA-UHFFFAOYSA-N 418.318 4.712 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CCO2)cc1 763015586 HERZOSFZOPKHAC-XLIONFOSSA-N 401.434 4.783 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 763399816 HFFSIYFUUQWLAG-UHFFFAOYSA-N 402.319 4.943 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1cccc(Oc2ccccn2)c1 769893735 XMQLKQKNCJJTRH-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770005443 CRCQOBXGDPIGLJ-UHFFFAOYSA-N 414.849 5.000 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 772235013 FUGUWXLOPPHAJB-VIFPVBQESA-N 419.812 4.743 5 20 HJBD CN(C(=O)c1cc(Cl)nc(Cl)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495587 MLUUTJQXVZPHCP-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD C[C@@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 776291195 JHEMSYCTRXSCHE-UKRRQHHQSA-N 404.850 4.581 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1OCc1cscn1 778093053 KEFQCTAUVMQQMG-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD Cc1cc(C(=O)N(c2ccc(N(C)C)cc2)[C@@H](C)c2ccccn2)ccc1[N+](=O)[O-] 779465151 TZOGPTOTFJVGCT-KRWDZBQOSA-N 404.470 4.772 5 20 HJBD COc1cc(COC(=O)c2ccc(C(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)F 780064645 YWKKVPUIENFGJN-UHFFFAOYSA-N 417.311 4.542 5 20 HJBD O=C(Nc1ccccc1C(=O)OCc1c(F)cccc1[N+](=O)[O-])OCc1ccccc1 781687310 OJHJGGBDCINQCP-UHFFFAOYSA-N 424.384 4.840 5 20 HJBD C[C@@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1noc(-c2ccccc2)n1 784660107 TXHQWVVUXNBQQQ-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CC(=O)c1ccc2cc(OS(=O)(=O)c3cc(Cl)c([N+](=O)[O-])cc3F)ccc2c1 809822806 CEOWGWICMGTSJD-UHFFFAOYSA-N 423.805 4.511 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1 809936627 JVTXMGYSWGRVOX-UHFFFAOYSA-N 410.301 4.897 5 20 HJBD Cc1noc(C)c1[C@@H](C)CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218842 FYJXJBJZWYLFIW-NSHDSACASA-N 401.444 4.560 5 20 HJBD CN(C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-c2ccccc2)nn1 813044650 LWJHUUQYSNBYNZ-UHFFFAOYSA-N 403.225 4.635 5 20 HJBD CO[C@@H](c1ccccc1F)[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813147761 ZPNGUQVEKHIYDO-JDNHERCYSA-N 401.221 4.547 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(=Cc2cccc(F)c2)CC1 918611452 YTJKWPQMWHWLJC-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD Cc1ccc(OCCOC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 920546108 UUVKVPTZAXMQMY-KRWDZBQOSA-N 421.453 4.707 5 20 HJBD Cc1cccc2sc(C(=O)O[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c(Cl)c12 1115828443 VJSCFNIKPHDKPN-NSHDSACASA-N 418.858 4.955 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2nc3ccccc3o2)CC1 1320343752 BRWDRTOQDLGQTC-UHFFFAOYSA-N 411.483 4.868 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCCOCC(F)(F)C(F)F)o1 1321161957 NHQHWFUBWRCAQK-UHFFFAOYSA-N 410.751 4.515 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nnc(-c3cc(F)ccc3Br)o2)cc1 1326310287 ZYMDKAHXCCOBFE-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)oc1C1CC1 1337350107 CTGXYMXQMJLDDW-UHFFFAOYSA-N 411.845 4.753 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)c1 1345739594 HFCFJOVCZYWYCM-SNVBAGLBSA-N 420.219 4.556 5 20 HJBD C[C@@H](Sc1nc(-c2ccccc2)cs1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 5971907 DIXTVKCZRJAYFA-LLVKDONJSA-N 403.460 4.977 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(Cl)cc1Cl 11028643 AIRLBFDJHYMPMA-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(C(F)(F)F)c1 15694744 PPFUXQGWNYFRTC-AWEZNQCLSA-N 421.419 4.707 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)c1 16224957 UFVLJDBPWOPILB-ZDUSSCGKSA-N 413.524 4.946 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nccn2-c2cccc(Cl)c2)cccc1[N+](=O)[O-] 25408526 PBQXHCILDMRQHA-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)cccc1[N+](=O)[O-] 56027314 MZNWVGPNSVSERV-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CN1CCN(c2cccc([N+](=O)[O-])c2)CC1 61019967 LADVJGOVAPJIJN-UHFFFAOYSA-N 424.545 4.602 5 20 HJBD O=C(Nc1cccc(CNc2ncc(Cl)cc2[N+](=O)[O-])c1)c1ccc(F)cc1 301756848 YMGLFMFHHQDJDZ-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD CC(C)[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 302040544 HSAAHJHMTWZUAI-CQSZACIVSA-N 406.888 4.662 5 20 HJBD Cc1cc(N2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)c2cccc([N+](=O)[O-])c2n1 302162908 KHPLJMVWZGRWJQ-CYBMUJFWSA-N 405.380 4.508 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3CCC[C@@]3(C)C(=O)O)cc2[N+](=O)[O-])cc1 426745687 HQIYHTRZXDEMME-PZJWPPBQSA-N 400.500 4.787 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1CCO)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 428818170 OVEIKYIMAPHOIM-ACJLOTCBSA-N 420.918 4.680 5 20 HJBD CC(C)(NC(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 433159518 DVWJOIZFUOKWSW-LJAQVGFWSA-N 417.531 4.699 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 434244946 UWQOMPXQLLZHBF-OAHLLOKOSA-N 422.485 4.950 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 436325584 BUOBXRHNSFOZCV-MRXNPFEDSA-N 418.375 4.512 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)s1 436349105 OKHSAZZGYJYVIR-UHFFFAOYSA-N 418.503 4.822 5 20 HJBD COc1ccc(-c2noc(CS[C@H](C)c3ccccc3[N+](=O)[O-])n2)c(OC)c1 437297702 ZDZZUYBLUQCKRZ-GFCCVEGCSA-N 401.444 4.656 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1nnnn1-c1ccccc1 439599295 VJJNMHXERKBUQR-OAHLLOKOSA-N 402.414 4.536 5 20 HJBD CC(C)(C)c1ccccc1OCCNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463747452 CFUCOQLCYRNPDX-UHFFFAOYSA-N 410.392 4.720 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466256189 SYOONUYZVBUKFX-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD COc1cc(CNCc2cccc3cc[nH]c23)c([N+](=O)[O-])cc1OCc1ccccc1 466891777 ULDYYPPABQCUFZ-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD Cc1sc(CNC(=O)c2ccc(F)cc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 467170545 PVAOEDUXWZNHBV-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD C[C@@]1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCOC1 468353990 UCKGRBWOOMRDBQ-LJQANCHMSA-N 406.891 4.698 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(-c2nc(C3CC3)no2)c1 471620876 URMUUOGSWRDEFY-UHFFFAOYSA-N 412.833 4.665 5 20 HJBD CCCOc1ccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(C)c1 476541031 GBXQGRZOBAXESD-UHFFFAOYSA-N 400.478 4.709 5 20 HJBD COc1cccc(F)c1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 478644935 JANDDSPYBMHLMH-UHFFFAOYSA-N 419.459 4.911 5 20 HJBD O=C(Nc1ccc(Cl)c(-c2nnc3n2CCCCC3)c1)c1ccc([N+](=O)[O-])s1 484042131 ZHMQSLFOKWBOKX-UHFFFAOYSA-N 417.878 4.547 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@H]1n1ccnc1 488086665 VJZOTKAUGBAHFJ-IIBYNOLFSA-N 422.510 4.666 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(CCC3CCCCC3)o2)cccc1[N+](=O)[O-] 488805376 DQWHAAIMMPFMII-CQSZACIVSA-N 418.519 4.918 5 20 HJBD CC(C)(NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1)c1ccccc1[N+](=O)[O-] 488948575 SLQRDCHQASVHSR-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489179217 BQYRHYDMDUWFKN-HOTGVXAUSA-N 417.531 4.763 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C(C)C)ccc1NC(=O)c1[nH]ncc1[N+](=O)[O-] 489579158 SSSDLULNTSAPPC-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CC1(C)C[C@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccc(F)cc2O1 489739273 DXXFOWJCYVKQCS-INIZCTEOSA-N 401.438 4.571 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccco1 491385067 KYVCPOFUSYZOIL-JOCHJYFZSA-N 421.453 4.660 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 491684999 KMGNFYAQKMLSAV-UHFFFAOYSA-N 415.449 4.927 5 20 HJBD Cc1cc(C)c(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc1[N+](=O)[O-] 491762941 PXZJHQITLGUDAI-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD Cc1cc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc(C)c1Br 492482867 IYARHFQFXIBKMH-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=C(Nc1ncc(Cc2cccc(F)c2)s1)c1ccc([N+](=O)[O-])c2cccnc12 498873490 GXSXQLIXHXLXMD-UHFFFAOYSA-N 408.414 4.582 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2)cs1 504143793 FWLPGILJDDDGJC-AWEZNQCLSA-N 410.499 4.929 5 20 HJBD COc1cc(CN(C)Cc2csc(C)n2)c([N+](=O)[O-])cc1OCc1ccccc1 506676474 VITQNIQPERWBKV-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3c(F)cccc3F)cc2[N+](=O)[O-])C1 511413340 HMMDUDDOSYFVAD-OKILXGFUSA-N 403.429 4.632 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 513551802 DVERSQSAMUHXQU-OAQYLSRUSA-N 410.517 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 519161015 JOGFWJNXKMLOOY-NRFANRHFSA-N 418.428 4.563 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)NCCNc2ccc([N+](=O)[O-])cc2)c1 522073078 RVKRWLHQOWEBRW-UHFFFAOYSA-N 406.442 4.504 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](c1ccc(F)cc1)c1cccnc1 525149078 WWBXYHIEEPNLCQ-JOCHJYFZSA-N 423.444 4.779 5 20 HJBD CN(C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccccc2)cc1 525201992 OWXCXHGKHMJRJN-UHFFFAOYSA-N 412.449 4.939 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3noc(-c4ccsc4)n3)co2)cc1 536304068 QORMLJLZRKPVEF-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD C[C@H](N[C@@H](Cc1ccccc1)C(=O)N(C)c1ccccc1)c1cccc([N+](=O)[O-])c1 539086594 CNGWTHITVNKMOY-MBSDFSHPSA-N 403.482 4.520 5 20 HJBD COCCC[C@@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Br)cc1 539093876 OTNVBJJPOQJBFQ-QGZVFWFLSA-N 423.307 4.623 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3CN3CCCC3)no2)cc(C(F)(F)F)c1 544907879 KEYTUFQZDLLYED-UHFFFAOYSA-N 418.375 4.926 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(COc2ccc(Oc3ccccn3)cc2)n1 545691788 PNZREVMKZUHQEN-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)ccc21 553040216 TXQANSSIONCZHU-UHFFFAOYSA-N 424.501 4.727 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cccc1[N+](=O)[O-] 558863603 AERRLMLPJSZOKU-BFUOFWGJSA-N 421.419 4.990 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(C)c1OC 561717656 LZYMJZKIDAVBCL-LBPRGKRZSA-N 400.456 4.626 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 568134283 TXKWYORIDOZQKU-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD O=C(Nc1ccc([C@@H]2CCOC2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 590515641 RHRNAIGNSLEEOO-LJQANCHMSA-N 418.449 4.930 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 608837253 OWBSRPSCWFBCJK-UHFFFAOYSA-N 413.861 4.935 5 20 HJBD CCn1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1C 608948566 WJNDWHJGSHGRBH-UHFFFAOYSA-N 410.499 4.509 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2ccc(F)c(F)c2)CC1 609182949 FXRKGPXALCPGNN-CYBMUJFWSA-N 406.454 4.760 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H](c1ccc(C(C)C)cc1)c1cccnc1 609577320 STFMVTQPAHFDSE-JOCHJYFZSA-N 406.486 4.703 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 609807893 WSRSBXCYWNPIGE-KRWDZBQOSA-N 420.416 4.631 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Br)c1)c1cccc([N+](=O)[O-])c1 609816257 ZEUXXXAYNJFNAF-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1nc(-c2ccsc2)ccc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610209938 LMRXSKOFNWDFQD-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])sc2c1CC[C@H](C)C2 610220575 ISRFYSCZSQZKDF-LBPRGKRZSA-N 402.472 4.519 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCN(c2ccc(Cl)cc2)C1 613296941 RCBLLOOBTSYOQQ-OAHLLOKOSA-N 402.882 4.513 5 20 HJBD C[C@H](C(=O)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1)c1cccc([N+](=O)[O-])c1 617769735 WMCGSYSQRXCFSD-BTYIYWSLSA-N 422.460 4.981 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)C2CC2)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 617836442 JRWNLOGJTFBAKU-NSHDSACASA-N 421.375 4.704 5 20 HJBD CCS[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)C(C)C 727296797 YROKCZJWUSACBG-GOSISDBHSA-N 402.472 4.530 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 735026204 FJSRTGMTNBKEEA-UHFFFAOYSA-N 413.474 4.779 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C/C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742872430 FMNZNJWQGRLGFB-RORWEDLISA-N 424.497 4.855 5 20 HJBD CC(C)[C@@H](C(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 745551009 ZZEAHQRSLCHONL-GOSISDBHSA-N 424.375 4.535 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)o1 750599881 UYFIUBMXQJRGNK-NHYWBVRUSA-N 419.275 4.879 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CC[C@@H]1CCCN1C(=O)OC(C)(C)C 758653541 LDJKCATZJJSYFM-SFHVURJKSA-N 419.522 4.512 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)o2)cc1C 758910742 NWDVVPIEYHMECX-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 762100116 ZLIHVMUCQIOZQX-DVECYGJZSA-N 418.493 4.769 5 20 HJBD Cc1cc(C(=O)[C@@H](C)OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c(C)n1Cc1ccco1 763400013 BTMOTQJADHPTMH-DOTOQJQBSA-N 424.453 4.573 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 763402314 FABMNHHFQZSZRV-UHFFFAOYSA-N 411.336 4.611 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@H]2c2cccs2)c1=S 764860447 SBDTUSDXVJXCIJ-INIZCTEOSA-N 415.544 4.865 5 20 HJBD COc1cccc(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)c1[N+](=O)[O-] 769607403 WGEIQWVVUCSCHV-UHFFFAOYSA-N 414.458 4.646 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(-n2cnc3ccccc32)nc1 769666344 GLRLPAYIBJDLKH-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD C[C@H]1COCCN1c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 770585352 KUBDLNYYCMYPSO-HNNXBMFYSA-N 410.499 4.556 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1c(C)nn(Cc3ccccc3Cl)c1C)CC2 774221282 XKJUKGHBZYJOTD-UHFFFAOYSA-N 424.888 4.621 5 20 HJBD CC(=O)c1cccc(C(=O)O[C@@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])c1 774370361 VOTPIFWIJXISDD-KRWDZBQOSA-N 420.259 4.605 5 20 HJBD COC(=O)N(C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775489832 TYNFHDFGOIGLQG-UHFFFAOYSA-N 417.487 4.546 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 789431183 RBXTWMMELYVXLI-HXUWFJFHSA-N 417.893 4.843 5 20 HJBD COCN1C(=O)CCc2cc(NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)ccc21 789564360 YUOYSUTYHWJLGV-UHFFFAOYSA-N 423.494 4.819 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c2C1 790900289 ZKAITEHKTCKFML-UHFFFAOYSA-N 405.857 4.540 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccccc2[C@@H]1c1nc(-c2cccc([N+](=O)[O-])c2)no1 795742395 XIPAGCZLVNMZKE-GOSISDBHSA-N 422.441 4.527 5 20 HJBD CC(C)O[C@@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 797278074 DAANLMHVZQYKGU-LJQANCHMSA-N 412.467 4.928 5 20 HJBD COCc1nc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c(-c2ccccc2)s1 799329745 LUWJLESWJJUWEA-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD COc1c([N+](=O)[O-])cc(NC[C@@H]2CCCC(F)(F)C2)c([N+](=O)[O-])c1Br 799963798 OSIYOMQKESCWIV-MRVPVSSYSA-N 424.198 4.512 5 20 HJBD COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)c2c3ccccc3cc3ccccc23)C1 808900849 SFRNSEYRRXUAPY-NRFANRHFSA-N 401.384 4.561 5 20 HJBD C[C@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Br)c1 812455688 OEWBNFMUYORWQT-NSHDSACASA-N 411.683 4.773 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2ccccc2C(F)(F)F)on1 812856289 ONFSYPPIWPDIBJ-UHFFFAOYSA-N 413.258 4.799 5 20 HJBD O=C(NC[C@@H](O)c1ccc(Cl)cc1Cl)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813482892 PULAFQYBLCLFKY-CYBMUJFWSA-N 424.067 4.672 5 20 HJBD O=C(Nc1ccc(Nc2ncccn2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813542578 DRZYQDOIACHCSD-UHFFFAOYSA-N 404.213 4.688 5 20 HJBD COc1cc(Cl)c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1C 813876646 YYZDHGPXEDBMNN-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD Cn1ccnc1[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 915307797 WDCKRJCCEIBOMH-INIZCTEOSA-N 420.256 4.546 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1 918650710 PYSJGMNSAABFSB-NTCAYCPXSA-N 411.421 4.699 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921136476 JXMFSLJXTAIIDD-UHFFFAOYSA-N 406.822 4.583 5 20 HJBD CN(C)[C@H](CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccsc1 1116012516 BTZZYYLUYFEQFL-GOSISDBHSA-N 405.907 4.962 5 20 HJBD C[C@@H](Nc1ccc(-c2nnc(CCc3ccccc3)o2)cc1[N+](=O)[O-])c1ccccn1 1117257218 LBRSXIBDKHFUDB-MRXNPFEDSA-N 415.453 4.998 5 20 HJBD Cc1c(C(=O)O[C@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cccc1[N+](=O)[O-] 5779363 UDIFVTHGFKPOQF-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD CSc1ccc(C(=O)OCC(=O)N[C@@H](C)c2cccc3ccccc23)cc1[N+](=O)[O-] 19013584 BATUPHMVULVONJ-AWEZNQCLSA-N 424.478 4.504 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 24022455 AFGCKPZQYREMAG-UHFFFAOYSA-N 414.512 4.635 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1C 31844602 JZPDDHNDZQNMKA-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD C[C@H](NC(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 47550722 PNBGXAXCKOZRSW-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50556331 ALMREKHINGPCMG-IBGZPJMESA-N 409.467 4.704 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1F 60934464 QFDMQFXXOLMPQZ-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(Br)c(F)c1 64645277 IAQMFZFAMBBUEE-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1cc(Br)oc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 107840283 NWCZUOBZYGQMKC-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD O=[N+]([O-])c1cnc(N[C@H](Cc2ccccn2)c2ccc(Br)cc2)s1 409647805 MCLDOHNMNLCZDQ-CQSZACIVSA-N 405.277 4.605 5 20 HJBD CSc1cccc(C(=O)NCc2nc(-c3ccccc3F)cs2)c1[N+](=O)[O-] 428555089 WRUMCFJCDHJDKQ-UHFFFAOYSA-N 403.460 4.509 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 439643758 JTHGSLROBXECHI-UHFFFAOYSA-N 419.363 4.705 5 20 HJBD O=C(Nc1nc(C2CCC2)cs1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444533278 YYXYHEOFPAVJHY-UHFFFAOYSA-N 411.433 4.936 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(-c2ccc(Oc3ccc(Cl)cc3)c(F)c2)n1 445576085 VJCHUXGPQJGTRD-UHFFFAOYSA-N 415.768 4.630 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@H]1CCCN(C2CCC2)C1 447681252 XPOQIVJEOJBIQH-RYUDHWBXSA-N 422.398 4.709 5 20 HJBD CCOc1ccc([C@H]2CCCN2c2nnc(-c3cccc([N+](=O)[O-])c3)n2CC)cc1 460178777 PQFQQNXIVUUGRY-HXUWFJFHSA-N 407.474 4.613 5 20 HJBD COc1cccc([C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2Cl)c1 460206364 TXJMRGOJIJTGLN-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD COc1ccc2c(c1)[C@@H](N(Cc1ccccc1)C(=O)c1cccc([N+](=O)[O-])c1)CCO2 460255534 VVNNVMDCJHVRKF-QFIPXVFZSA-N 418.449 4.770 5 20 HJBD Cc1csc([C@H](NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C2CC2)n1 460306720 OITVAXCLJNSIQM-LJQANCHMSA-N 408.483 4.984 5 20 HJBD COc1cccc(-n2c(NC(=O)c3cccc([N+](=O)[O-])c3C)nc3ccccc32)c1 460405880 XIQUGNAIKWOXQG-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD CCC1(O)CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 461266074 UNXDBOOANQLKIE-UHFFFAOYSA-N 420.918 4.777 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 461307410 IYAGBBLVLGGAOY-DIFFPNOSSA-N 407.239 4.720 5 20 HJBD CC[C@H](C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 461422628 SJSFPUWQVLIFRU-KRWDZBQOSA-N 408.376 4.528 5 20 HJBD Cc1cccnc1C(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 461463968 HFLSVSHFDYUJBN-UHFFFAOYSA-N 401.532 4.754 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNc2nnc(-c3ccncc3)o2)cc1 462411293 QULYLZDGXKKCCK-UHFFFAOYSA-N 423.816 5.098 5 20 HJBD COc1cc(-c2nnc(S[C@@H](C)c3ccccc3[N+](=O)[O-])o2)cc(OC)c1OC 463032687 IYGOYUKXTAIVJY-NSHDSACASA-N 417.443 4.524 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc([S@@](C)=O)cc2)cc1[N+](=O)[O-] 464012473 DAKSDQPCAUOJOM-HHHXNRCGSA-N 406.529 4.723 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 465635103 JMIFKNWAKCPRBA-INIZCTEOSA-N 405.454 4.831 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)c1ccc(Br)cc1[N+](=O)[O-] 468386388 ZCAJYIJJANQTHJ-UHFFFAOYSA-N 419.206 4.686 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)c1ccc([N+](=O)[O-])cc1Br 468390336 UPDOUSHPOKVWSW-UHFFFAOYSA-N 419.206 4.686 5 20 HJBD COc1c(C)cnc(CN(C)C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1C 476199114 KBBBAUOXNNXWSX-UHFFFAOYSA-N 420.469 4.631 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nc4cc(F)cc(Cl)c4o3)n2)cc1 479503539 NSGBGPRPFTZVRB-UHFFFAOYSA-N 406.782 4.871 5 20 HJBD CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 481172611 XZRNBWGOBSHYLM-HUUCEWRRSA-N 402.413 4.871 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 481562927 AUHZVOIFHVDFQN-UHFFFAOYSA-N 418.881 4.597 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2ccccc2)CCCC1 481974188 NCWWAGZPSCFMDK-UHFFFAOYSA-N 414.527 4.575 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1nc(-c2cc3ccccc3o2)cs1 483353718 LEFDYKCHSPBRMP-UHFFFAOYSA-N 422.466 4.806 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)n1 484292049 QMMSQZIYGVBBGD-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])n1 485742342 HZVPLDZPCONYCZ-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1C 485858457 XMAFVYXXYOWUIN-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOc2ccc(F)cc2C1 488532838 GWWFQUKAXVLZKH-UHFFFAOYSA-N 423.400 4.953 5 20 HJBD COc1ccccc1[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccco1 489788072 GMKSVKRUSSCWBO-FQEVSTJZSA-N 409.442 4.520 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cc(F)c(OC(F)F)cc1F 491271220 MGUJUYNXJQQYRP-UHFFFAOYSA-N 415.343 4.619 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)Nc2cccnc2)cc1 491490211 KXCRNFOZYWKABE-UHFFFAOYSA-N 422.466 4.606 5 20 HJBD CCCN(C(=O)c1cc(SC)ccc1[N+](=O)[O-])[C@H](C)CC(=O)Nc1ccccc1 500695761 DVAXNMCLXFAJCQ-OAHLLOKOSA-N 415.515 4.586 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 501964147 NMQWNYUKLIMECZ-QAPCUYQASA-N 402.520 4.559 5 20 HJBD Cc1cc(C(=O)N(C)[C@H]2CCSc3ccc(Br)cc32)cc([N+](=O)[O-])c1 504558324 DAQPSAMPRMFVRK-INIZCTEOSA-N 421.316 4.975 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1 504704795 ZYFGGJHLSNWBJL-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H](CC(F)(F)F)c1ccc(F)cc1 509261518 CNZWUDQAZOEKHV-ZDUSSCGKSA-N 402.369 4.636 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(c1nnc(C3CCCC3)n1Cc1cccs1)CC2 515447247 KDUXCPAZESNVPT-UHFFFAOYSA-N 409.515 4.516 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 517079475 DXAVXSCHGHLENS-OKILXGFUSA-N 407.474 4.514 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1 521711506 AIRPTSNUFBCCCK-ZHRRBRCNSA-N 422.506 4.990 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 522762635 VPVBPYSGOAGXCM-UHFFFAOYSA-N 409.873 4.723 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1 522895817 BFHWQJMPBCWWPV-UHFFFAOYSA-N 422.281 4.736 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 523138889 PXOJKJGBGMQSOX-UHFFFAOYSA-N 422.388 4.529 5 20 HJBD Cc1ccc([C@@H](C)NCc2cn(-c3ccc(Cl)cc3Cl)nn2)cc1[N+](=O)[O-] 535960498 SZTTYHRGVBTIGG-GFCCVEGCSA-N 406.273 4.642 5 20 HJBD Cc1cc(C)cc(-n2nc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cc2C)c1 537443420 AUGQXRYPZSOOGL-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD NC(=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cccc(Cl)c1 538534730 BVFXXEIAKMJAOR-GOSISDBHSA-N 415.902 4.683 5 20 HJBD CC(C)c1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)o1 540806817 JDWQKATWDLFDSP-UHFFFAOYSA-N 407.224 4.736 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 541370606 GSGZRVCYPMQRGV-UHFFFAOYSA-N 406.442 4.747 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(OCc2ccccc2)CC1 542221850 NYQAZZWSMPTPFJ-UHFFFAOYSA-N 420.918 4.548 5 20 HJBD C[C@@H](CC(=O)c1ccc(Cl)cc1)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 545669132 IZEBBFRKEQENFM-ZDUSSCGKSA-N 414.849 4.662 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(SCc4ccccc4)cc3)n2)nc1 545785752 KZIQOSCNOZBYSV-UHFFFAOYSA-N 404.451 4.923 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CNC(C)(C)c3ccc([N+](=O)[O-])cc3)c2)cc1 545872845 APHRWPOELLEPJH-UHFFFAOYSA-N 419.481 4.881 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccnc1-c1ccccc1 550319090 AXXXLNVGALZQJT-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cn1ccc2ccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc2c1=O 551231408 PHPSDLVBQAPDOR-UHFFFAOYSA-N 419.412 4.990 5 20 HJBD CSc1cccc(C(=O)NCc2cc(F)c(C(F)(F)F)cc2F)c1[N+](=O)[O-] 554657037 HYEZDFDRVMIHQV-UHFFFAOYSA-N 406.332 4.544 5 20 HJBD COc1cc(CN[C@@H](C2CC2)C(F)(F)F)ccc1OCc1ccc([N+](=O)[O-])cc1 588932571 MQFDMWWZHQSTOL-IBGZPJMESA-N 410.392 4.613 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590422374 BHNGTYARTUTUBS-ZDUSSCGKSA-N 424.444 4.795 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2csc([N+](=O)[O-])c2)n(-c2ccccc2)n1 603760369 LJNBRVSZLHZMEF-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(OCc4cccc(F)c4)c3)n2)c1 604010740 UIRKHUJYXSWTHH-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)C1CC1 608940861 GRQSRXJQYMLTPZ-HNNXBMFYSA-N 418.449 4.885 5 20 HJBD CC(C)(C)c1ccc(CCNC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610040108 IPIFDTYNDGIZDA-UHFFFAOYSA-N 405.292 4.627 5 20 HJBD COc1cc(C(=O)N2[C@@H](C)[C@@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 610186746 MNBDZYMPSXPFRW-IPYPFGDCSA-N 420.412 4.612 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)NC1CC=CC1 610751698 WPLHVXTVGWAKKH-UHFFFAOYSA-N 405.813 4.697 5 20 HJBD CC(C)c1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)nn1-c1ccccc1 610932967 PKFUIHVJPCUVIZ-UHFFFAOYSA-N 416.384 4.758 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@@H](c3cc(F)cc(Br)c3)C3CC3)nc2c1 614227599 IRQULADGXSMWSO-GOSISDBHSA-N 420.238 4.879 5 20 HJBD CC(C)(Sc1ccc(Br)cc1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 736006735 RMZCSSYQUBNCDE-UHFFFAOYSA-N 423.332 4.587 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 742399429 RDEKLFCTRXXUGC-XJKSGUPXSA-N 407.348 4.538 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 743068910 PTIXIPHBGYKSBW-QGZVFWFLSA-N 416.909 4.624 5 20 HJBD COc1ccc(-c2ncc(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])s2)cc1 746079563 OQQOEFXFZXMMTP-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncc(C(C)(C)C)o1 747693770 IYEOJUMCGIVOGE-NSHDSACASA-N 401.444 4.922 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2ccccn2)cc1 747918517 IXFSWRPYCOKJMT-MRXNPFEDSA-N 424.478 4.793 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 750061604 VVYAWQNHYGKPIF-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cnn1-c1ccccc1 754697667 BNFDVWGIXLIQOE-UHFFFAOYSA-N 419.485 4.756 5 20 HJBD CCCCOc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754993657 JDRYYNXVIVKKLO-UHFFFAOYSA-N 411.227 4.928 5 20 HJBD O=C1CCc2cc(Cl)c(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc21 760011627 NFEXCTUCKWUFNC-UHFFFAOYSA-N 413.861 4.620 5 20 HJBD O=C(c1cc(Cl)cc(Cl)n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 762440615 YMOJMRRYFCBJPC-UHFFFAOYSA-N 408.163 4.501 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 769196289 UXWHZTZNPLXLOK-OAHLLOKOSA-N 417.531 4.670 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1OC 770006843 KJJPHPMMYDYOJC-HNNXBMFYSA-N 405.838 4.634 5 20 HJBD O=[N+]([O-])c1cccc(F)c1COc1c([N+](=O)[O-])cnc2ccc(Br)cc12 780814279 RFNNNYBPJZCZFO-UHFFFAOYSA-N 422.166 4.532 5 20 HJBD O=C(OCc1ccc(F)cc1F)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781257072 PJAKGKWBYCQVRB-UHFFFAOYSA-N 401.315 4.595 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(-c2cccc(F)c2)on1 786763420 AUOXKHLQGDUZOG-LBPRGKRZSA-N 402.403 4.613 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@H](c1ccc(C(C)C)cc1)c1cccnc1 786964490 JWCLHHWHLAVKNT-HSZRJFAPSA-N 418.497 4.947 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(O[C@H](C)c2ccccc2)nc1 798541976 BJDMXJIVWXECSD-OAHLLOKOSA-N 407.426 4.781 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 804412635 WWZYGJJXNKTQJJ-UZUQRXQVSA-N 422.456 4.600 5 20 HJBD COc1ccc(OC(F)F)c(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811484140 QJLNDZFBVLCSKF-UHFFFAOYSA-N 407.200 4.801 5 20 HJBD CC(C)(CCc1noc(C2(c3ccc(Br)cc3)CCCC2)n1)[N+](=O)[O-] 812812642 OJXASEDUOWULGB-UHFFFAOYSA-N 408.296 4.680 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Br 812995538 YNLWVUROWUVGBP-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 815407082 HGOWGSHRNGGDOD-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1ccc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cc1NC(=O)OC(C)(C)C 915165553 HVBOZAFMQHVAQY-GHXNOFRVSA-N 413.430 4.602 5 20 HJBD O=C(c1ccccc1OCc1c(Br)cccc1[N+](=O)[O-])N1CCCCC1 915661791 NWHKDEKUDNLQIJ-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD Cc1cc[n+](/C(C(=S)N[C@@H](C)c2ccccc2)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 917612630 NIKFTIZCKWRPKP-KRWDZBQOSA-O 420.514 4.753 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)Nc1ccc(OC2CCCC2)c(Cl)c1 919960542 SVZMLBUMICHYJM-UHFFFAOYSA-N 414.842 4.510 5 20 HJBD CC[C@@H](OC(=O)CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)c1ccc(Cl)cc1 920840840 DLJJGVVJNSTYIL-QGZVFWFLSA-N 418.833 4.631 5 20 HJBD COc1ccc(C(NC(=O)Nc2ccc([N+](=O)[O-])cc2C)c2ccc(OC)cc2)cc1 1116699539 LYMYDTQRCNMJIT-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cs1 1318229882 OQCDKYMTIFEGOC-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD O=C(N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1339236305 ILBGZHOXQFAYDU-OAHLLOKOSA-N 417.746 4.716 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Cl)cs2)c1 7108186 GTLBLVAIJVMNCF-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(/C=C(/C(=O)NCc2ccccc2)c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 7488777 JRBPEGMIHMZHGW-CPNJWEJPSA-N 412.449 4.637 5 20 HJBD C[C@H](OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 7567685 APHAONUMKGHMJZ-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD CCOc1cc(C(=O)OCc2cc3ccccc3nc2Cl)c([N+](=O)[O-])cc1OC 7568461 HOHRFYKMPWSGKZ-UHFFFAOYSA-N 416.817 4.561 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 22793392 VVQOHJQQMQALPH-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(Br)s1 23637698 COXNSOBUCXFTNZ-JTQLQIEISA-N 415.334 4.558 5 20 HJBD Cn1c(SCc2ccccc2[N+](=O)[O-])nc2scc(-c3ccccc3)c2c1=O 25115502 WQEJAJIOQWFJFW-UHFFFAOYSA-N 409.492 4.863 5 20 HJBD Cc1ccc(C[C@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 65261151 VSHJBBIDLJSIAQ-IEBWSBKVSA-N 406.486 4.969 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc12 106908986 JGQAUQUWFLCJAH-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)nc2ccccc21 110234876 BVYOQUAUOGYVOQ-KRWDZBQOSA-N 413.865 4.987 5 20 HJBD CCOc1cc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc1[N+](=O)[O-] 301544305 SVEXKXOHVOTICM-OAHLLOKOSA-N 412.421 4.567 5 20 HJBD C[C@H]1C[C@@H](CO)CCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 426148733 MSCDYUKFAISZOG-KBPBESRZSA-N 420.918 4.632 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C)c1 429044798 FGLUWAVOQAAPAC-HOTGVXAUSA-N 412.490 4.623 5 20 HJBD C[C@@H]1COc2ccccc2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443941849 ASFRPRVCYIRIGX-LLVKDONJSA-N 412.389 4.520 5 20 HJBD C[C@H](NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Cl)c2ccccc12 446896301 LOSZZNQAOISLIP-LBPRGKRZSA-N 423.860 4.838 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 462369628 KGRHBMUJVQVHNB-UHFFFAOYSA-N 412.339 4.574 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1 462604717 SVGKSLGURHNLLE-CQSZACIVSA-N 424.432 4.869 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463552456 LFJMOBQZUDDGRR-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 463916049 PPQLAXFLHZCMIQ-BFUOFWGJSA-N 407.873 4.571 5 20 HJBD COc1ccc(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 464142870 URNVVNHCWGTKCW-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)[C@H](CC)C2)cc1OC 470008320 KGVWRCDTBQJDIU-AEFFLSMTSA-N 412.486 4.658 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc(C(F)(F)F)cs1 470566149 OMMDWTUWEHIPEL-CMPLNLGQSA-N 401.410 4.516 5 20 HJBD C[C@@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 473514052 SAEBJSLYMDWNAR-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD CC(C)c1ccc2c(c1)[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)CCC2 477673785 CVPRYQFMCBRHJL-GOSISDBHSA-N 415.877 4.504 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)s1 480178724 JBMNSEARCBFSJN-HNNXBMFYSA-N 412.471 4.558 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCCc1nc2ccccc2[nH]1 481916944 FUYKQZQXERBQJM-UHFFFAOYSA-N 412.515 4.582 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@@H]1[C@H]2CCC[C@H]21 483160645 ZJXKTLUZZNCFAB-BDQBCWGISA-N 404.825 4.842 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(F)(F)F)nn1 484152677 VCYLOQSAWBMGJV-UHFFFAOYSA-N 400.382 4.548 5 20 HJBD CSc1cccc(C(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)c1[N+](=O)[O-] 486411020 RUGFTIQDBIZSLZ-ZDUSSCGKSA-N 402.369 4.879 5 20 HJBD O=C(Nc1ccc(Oc2cc(Cl)ccc2Cl)c(F)c1)c1n[nH]cc1[N+](=O)[O-] 486421956 KHTVYVSTBJROHC-UHFFFAOYSA-N 411.176 4.808 5 20 HJBD COc1cc(CNCc2csc3ccccc23)c([N+](=O)[O-])cc1OCC(F)F 491018472 ICIZKKCVTUXFMT-UHFFFAOYSA-N 408.426 4.752 5 20 HJBD Cn1ccc2c(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cccc2c1=O 500404941 QLOUIZZQOMSMIY-UHFFFAOYSA-N 409.829 4.972 5 20 HJBD C[C@H](NC(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1cccc([N+](=O)[O-])c1 501616870 SUWZCEIENFWSMJ-ZDUSSCGKSA-N 420.922 4.684 5 20 HJBD O=C(c1occc1-c1ccc(F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 505363452 BSRYBISTHNPKFW-UHFFFAOYSA-N 410.401 4.678 5 20 HJBD CCOc1ccc(C2=CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 509518998 ZCZOONWTUKLVNI-UHFFFAOYSA-N 405.454 4.938 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](c2cccc(OC(F)(F)F)c2)C2CC2)c2c(c1)COCO2 511568483 UWMRJJMEKQSCKI-SFHVURJKSA-N 424.375 4.601 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1csc(-c2cccc(Cl)c2)n1 513013687 AFSREIPTXLEOMY-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccc(NC(=O)Nc2ccccc2)cc1 515607275 CYFUOKMLNLXSOF-CQSZACIVSA-N 422.416 4.869 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 515904451 GJBLLDRUSJWTCL-GOEBONIOSA-N 410.499 4.855 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 516375007 GACXWTNRNCIJPW-OAHLLOKOSA-N 404.470 4.944 5 20 HJBD COc1ccc(OC)c(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 518770836 CXSBYYZFRKUBFC-UHFFFAOYSA-N 407.470 4.670 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3[nH]ccc3-c3c(Cl)cccc3Cl)n2)n1C 521510408 BIQXWHYERGSVPE-UHFFFAOYSA-N 419.228 4.656 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cc1OCC(F)(F)F 522246730 DQKOALXRCYFTDF-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD O=C(Nc1cccc(C[S@](=O)C2CCCCC2)c1)Nc1cccc([N+](=O)[O-])c1 523894777 LTHOAMKNKYSFEQ-NDEPHWFRSA-N 401.488 4.820 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2cccc(O)c2)cs1 524816367 KRKDFHQEBYCIQC-NSHDSACASA-N 401.469 4.543 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1 525133551 LOODPPPENUUXSB-UHFFFAOYSA-N 415.877 4.826 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 531258411 QTQFKDNLMNFRAU-UHFFFAOYSA-N 414.849 4.876 5 20 HJBD COc1ccc(OC)c([C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 532771950 FRBQVAWSZBMFQD-FQEVSTJZSA-N 423.469 4.647 5 20 HJBD Cc1cccc(Cl)c1NC(=O)COc1c(C)cc(Br)cc1[N+](=O)[O-] 535254413 GAGVJJHRLOAMOX-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1N1CCC[C@@H](c2nc3ccccc3s2)C1 535749520 VZRMELWWZDYTDJ-LLVKDONJSA-N 419.304 4.746 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1ccccn1 536331840 CJEYAHSHYHIDPI-NRFANRHFSA-N 406.442 4.526 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2nnc([C@@H]3Cc4ccccc4O3)o2)s1 537491507 CJMMIYYVRBDSRM-SFHVURJKSA-N 420.450 4.995 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 538759590 JRKXTBNNWJWISG-INIZCTEOSA-N 401.438 4.502 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 538759591 JRKXTBNNWJWISG-MRXNPFEDSA-N 401.438 4.502 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)n[nH]1 543618595 HVOZFTWZKFMRPL-JTQLQIEISA-N 418.812 4.528 5 20 HJBD COc1ccc(-c2ccc(CNc3nnc(-c4cc(C)oc4C)o3)o2)c([N+](=O)[O-])c1 543680091 OFKDJYPSBSZNNV-UHFFFAOYSA-N 410.386 4.735 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3cccc4cccnc34)no2)c(Br)c1 545601526 NALBSBFONJZSDO-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD COc1ccc(-c2nc(C)c(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)s2)cc1 546239553 HCNZPPSEBSCOJI-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=C(Nc1cccc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)c1)c1ccco1 546321453 XTSSBDAVYLWZKL-UHFFFAOYSA-N 422.422 4.782 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 546468456 APOZJGCMQPPKFH-UHFFFAOYSA-N 403.822 4.689 5 20 HJBD Cn1ccnc1[C@@H](NC1CCC(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 552068427 WXCSJBZPMJPYAA-QVHXHXEWSA-N 408.477 4.873 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(Br)cc3Cl)cc2N1 553428681 BTCCLWHOUHOCIO-UHFFFAOYSA-N 410.655 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)OC[C@H]1C 557438754 KPNIEPSOCWJGLA-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD O=C(CCC(=O)c1csc2ccccc12)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12 558151309 NOUCZZOADGFVIO-UHFFFAOYSA-N 421.478 4.613 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(c3ccccc3)CCOCC2)no1 574318490 VDUXUVXXPVFHPU-HNNXBMFYSA-N 411.483 4.928 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 574792411 RUASZZPWTSKIAJ-QGZVFWFLSA-N 407.348 4.508 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3c([N+](=O)[O-])cccc3C(F)(F)F)c2)n1 582813435 OGDKPBZYQDVBKS-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1 590616461 VRJXIDHJVFOISZ-UHFFFAOYSA-N 401.369 4.716 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)C3CCCCC3)o2)c(Br)c1 609042023 KMZGAQKNAXORPB-SFHVURJKSA-N 423.307 4.648 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc2O1 609509204 SMXOKMWQZNIRDI-HOTGVXAUSA-N 418.453 4.591 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(OCC3CCOCC3)cc2)cc1[N+](=O)[O-] 609908794 WKSMBYUKFYNQJN-UHFFFAOYSA-N 412.486 4.950 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@H]1c1ccc(Cl)cc1 610039691 WJCNWVJCOGLPFH-INIZCTEOSA-N 409.667 4.988 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@H](C)c1cccc(Cl)c1)c1ccccc1[N+](=O)[O-] 610222653 WWLWYKHVYZXXIL-RDTXWAMCSA-N 418.877 4.551 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 619215635 PGIIXSQPUPCXKF-LLVKDONJSA-N 408.863 4.529 5 20 HJBD COc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 725848876 AWQBWDCLIWUONT-UHFFFAOYSA-N 422.441 4.569 5 20 HJBD CCc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n[nH]1 732800996 YNXXFZHXZPWQRS-UHFFFAOYSA-N 416.890 4.615 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2ccc3c(c2)OCO3)c2ccccc2)cc1 743062412 ZRKSJCDANWURKD-UHFFFAOYSA-N 407.451 4.727 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)o1 744640056 NAENCWWONBLNNG-UHFFFAOYSA-N 424.456 4.862 5 20 HJBD CC(C)c1ccc(C(=O)[C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 745073526 IUNYAHFHJMWKNM-LBPRGKRZSA-N 420.259 4.909 5 20 HJBD O=C(Nc1ccc(N2CCCS2(=O)=O)cc1)c1c2ccccc2cc2ccccc12 746511942 LMDMWTSNELWWPP-UHFFFAOYSA-N 416.502 4.785 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)OCc1ccc([N+](=O)[O-])cc1F 746897901 WWLNPZNDORSHRG-UHFFFAOYSA-N 416.430 4.988 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 750178088 PSZCCGJHDRPCOH-OKILXGFUSA-N 415.877 4.619 5 20 HJBD Cc1c(C(=O)N2c3ccccc3S[C@@H](C)[C@@H]2C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 750877751 KUHHXLXGIQBAMM-STQMWFEESA-N 401.444 4.649 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1c(F)cccc1Cl 752379113 FQOWVTZCVWMJFX-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CCc1ccc([C@H](Nc2ccc3c(c2)NC(=O)[C@H](C)O3)c2ccc([N+](=O)[O-])cc2)o1 753700615 RKQUQBFIGVIAIO-YEJXKQKISA-N 407.426 4.671 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@@H]1COc1ccc(Cl)cc1 754451685 GAOJISKORHZCBO-MRXNPFEDSA-N 403.866 4.940 5 20 HJBD CC[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(F)cc1F 754978791 VPEJPMFINGAWGW-CYBMUJFWSA-N 417.181 4.656 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@@H](O)c3cc4ccccc4s3)cs2)c1 757703080 SVGSNZNXSGUHBO-QGZVFWFLSA-N 411.508 4.756 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@@H]2C[C@@H](O)c2ccco2)c([N+](=O)[O-])c1 758485762 DEFLMCOJXBCJIO-WIYYLYMNSA-N 406.438 4.511 5 20 HJBD Cc1ccc(N2CC[C@H](SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)C2=O)cc1 760253188 AGRIZTRHNVTPAA-IBGZPJMESA-N 409.467 4.597 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(Cl)n1 764290163 ZKWLZNGFAKCSES-UHFFFAOYSA-N 407.879 4.939 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)[C@H]2CCc3ccccc32)c1=S 764868264 GNMAVHJPNDEFNJ-IBGZPJMESA-N 409.515 4.586 5 20 HJBD O=C(O)C/C(=C\c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1nc2cc(Cl)ccc2s1 766035192 ULXTULDSGLPULO-BJMVGYQFSA-N 419.802 4.781 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)C3)cs1 771530310 OVCDRQXISWVVIN-UHFFFAOYSA-N 416.553 4.843 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132479 HYYXRWQHKYMGMR-JOCHJYFZSA-N 417.396 4.932 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)Cn2cc(Br)c(-c3ccc(Cl)cc3)n2)cc1 776277174 LOLUMBPBWHIJLA-MRXNPFEDSA-N 422.666 4.608 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H](C)c2nnc(C)s2)n1 782060564 DRPUHSKHTCHZEM-VIFPVBQESA-N 422.513 4.589 5 20 HJBD C[C@H](OC(=O)C[C@@H](O)c1ccc(OCc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 784631705 YDFJIYAVZYXHNJ-GAJHUEQPSA-N 421.449 4.902 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@H](O)c3ccccc3)CC2)nc1-c1ccc(F)cc1 797434636 SKBLJWPIBRQELE-HSZRJFAPSA-N 407.445 4.746 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CCCC(F)(F)C2)cc1)c1cccc([N+](=O)[O-])c1 799856959 IEVODKZHHMZASJ-AWEZNQCLSA-N 404.369 4.578 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1cc([N+](=O)[O-])ccc1Br 800125753 WQYNWFJDLBHNMS-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COCCC[C@H](CNC(=O)c1cc([N+](=O)[O-])cc(C)c1F)c1ccc(Cl)cc1 808830692 JUQYVVDMGREIQK-OAHLLOKOSA-N 408.857 4.636 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3c(Cl)nc4cc(Cl)ccn34)no2)cc1 809527815 HOJPNHYJXIUFAJ-UHFFFAOYSA-N 422.253 4.892 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1)CC1CC1 809912881 NLUDRQHSKWDHNG-UHFFFAOYSA-N 408.930 4.614 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809916266 XYFXLJUBWOODPN-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1[nH]c2ccccc2c1CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218846 GCCMIIKHSOJWHB-UHFFFAOYSA-N 407.451 4.794 5 20 HJBD O=C(NCCCCOCCc1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812919895 RHHAJXUWHRUKHQ-UHFFFAOYSA-N 411.285 4.671 5 20 HJBD COc1cc([C@@H](C)N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 815561606 KJRRMYFERKVRPO-HZPDHXFCSA-N 422.485 4.571 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cc1Cl 857639123 IWTCPCQPUROYMR-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1cc([N+](=O)[O-])ccc1Cl 913915056 CNXLNLBDMHTOKG-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Oc1c(Cl)cc(Cl)cc1Cl 915280553 ZJPNWMCCEBPLCZ-UHFFFAOYSA-N 400.605 4.510 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(CSc3ccc([N+](=O)[O-])cc3)o2)cc1 1117161905 RUTGBAJMIQTVRY-CYBMUJFWSA-N 401.444 4.809 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(-c3nnc(Cc4cccc([N+](=O)[O-])c4)o3)cc12 1117180937 AAIJXGVIMYRTDG-UHFFFAOYSA-N 417.450 4.944 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCC(=O)c2cccs2)n1 1317700790 XOKZWZHFVWLESS-UHFFFAOYSA-N 420.493 4.612 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc4cccc(OC)c4o3)o2)c([N+](=O)[O-])c1 1318372759 RUTSBUGTGAPYOF-UHFFFAOYSA-N 408.410 4.908 5 20 HJBD C[C@H](COc1ccccc1F)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 1322153605 MIEGTDQAJGLAMG-MRXNPFEDSA-N 424.428 4.510 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(CSCc2ccc(OC)c([N+](=O)[O-])c2)o1 1322244357 WXPQXYYJXXGEAC-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1nc(-c2ccccc2)oc1C1CC1 1341059278 MWHSHDCMHFXGMM-UHFFFAOYSA-N 400.337 4.762 5 20 HJBD C[C@H](N[C@@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1342349399 UCRSGNCTJMYLGO-QWRGUYRKSA-N 410.927 4.680 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(Cn2c3ccccc3c(=O)c3ccccc32)o1 1345515129 ZSHFUFIHAYUAIU-UHFFFAOYSA-N 417.852 4.915 5 20 HJBD O=C(CSc1ccccc1Br)Nc1cc(Cl)ccc1[N+](=O)[O-] 16360452 JRWKBCMEAJXFGZ-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD COc1ccccc1[C@@H](C)NC(=O)CNc1cc([N+](=O)[O-])ccc1Nc1ccccc1 24221631 KRQYLXIIHBLWAK-MRXNPFEDSA-N 420.469 4.636 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)Nc1cccc([N+](=O)[O-])c1 24502691 HQWINWTZNOHLKD-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD O=C1CCc2cc(F)c(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)cc2N1 33218914 YDTJTAHDOMUYTI-UHFFFAOYSA-N 420.400 4.615 5 20 HJBD Cc1c(CSc2nnc(-c3ccncc3)n2-c2ccccc2)cccc1[N+](=O)[O-] 42129553 JERRFARZYQCUGF-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2c2ccsc2)c([N+](=O)[O-])cc1OCC 45984683 XCFUOPCPNPVKGM-HNNXBMFYSA-N 405.476 4.823 5 20 HJBD Cc1cccn2cc(COc3ccccc3C(=O)Nc3ccc([N+](=O)[O-])cc3F)nc12 60371720 SSOJJXGJPDDJPI-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD COc1ccc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c2cccnc12 65870955 RSNRHOSNGRVNFX-UHFFFAOYSA-N 420.469 4.640 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(Cc3cn(-c4ccccc4)nc3-c3ccccc3)n2)c1 72086096 QAIUNJULHWTEQL-UHFFFAOYSA-N 422.448 4.754 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1 106169860 UCVVSSYDWZOEBB-JOCHJYFZSA-N 406.438 4.522 5 20 HJBD COc1ccc([C@H]2CCN(C(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)C2)cc1 109946718 FOGYVDXFNXSMGS-IBGZPJMESA-N 417.465 4.977 5 20 HJBD O=C1CCCN1Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 238061474 GSTONSFGBGSIQM-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCOC[C@H]1c1nc2ccccc2s1 409661199 VODBJMBKWMYYFY-HNNXBMFYSA-N 420.288 4.545 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-])c1ccccn1 410262331 UNFXXFODWSOXPJ-GJZGRUSLSA-N 418.444 4.718 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 427417673 WIJPYSVPTFXWJE-GFCCVEGCSA-N 413.886 4.866 5 20 HJBD O=C(NCc1ccc(OC(F)(F)F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435942983 PBWUIRBCYLPLPX-UHFFFAOYSA-N 412.367 4.510 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436055865 BXQWEYVPULFGFQ-UHFFFAOYSA-N 410.395 4.972 5 20 HJBD COc1cccc2sc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)nc12 436349184 PDJJGBFFIQVLDB-UHFFFAOYSA-N 401.447 4.552 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(OC(F)F)c1 437932725 MLYUBNVTNZZNOD-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC)c1 443865048 WAFBTYSXCNVARX-INIZCTEOSA-N 424.375 4.608 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(CC(F)(F)F)c2ccc(Br)cc2)c1 443907063 ZYNRXFGCZSCSBC-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1noc(Cc2ccc(Br)cc2)n1 444427145 ZFXJJNQRQIOBBH-UHFFFAOYSA-N 417.263 4.560 5 20 HJBD Cc1sc2ncnc(SCc3nc(-c4ccc([N+](=O)[O-])s4)no3)c2c1C 445486125 IQLCEERZBXLVMM-UHFFFAOYSA-N 405.486 4.620 5 20 HJBD CC(C)(NC(=O)CCC(=O)c1cc(Cl)sc1Cl)c1ccc([N+](=O)[O-])cc1 445946619 LZEOMVDNQMBJNX-UHFFFAOYSA-N 415.298 4.978 5 20 HJBD CCC(CC)n1ccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])n1 446435711 DKYJWGVKDUZJDJ-UHFFFAOYSA-N 408.458 4.984 5 20 HJBD CSc1cccc(C(=O)Nc2sc3c(c2C(=O)C2CC2)CCC3)c1[N+](=O)[O-] 446608455 CYMGHAAXWXWIOL-UHFFFAOYSA-N 402.497 4.712 5 20 HJBD CCc1ccc([C@H](NC(=O)CSc2ccc([N+](=O)[O-])cn2)c2cccs2)cc1 448107520 KSLNCDBNNDKPEQ-FQEVSTJZSA-N 413.524 4.612 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@](CO)(c4ccccc4)C3)o2)c(Cl)c1 463029169 CPMCQDYSXHHNFP-JOCHJYFZSA-N 412.873 4.644 5 20 HJBD CCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H](Cc1ccc(F)cc1)C(C)C 464791074 KVAMCXQGEYPESI-FQEVSTJZSA-N 412.465 4.873 5 20 HJBD Cc1noc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)n1 466876125 CNSDDJQILCNINA-JTQLQIEISA-N 418.862 4.582 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@H](C)c2ccccc2[N+](=O)[O-])CCOCC1 467834888 NPXQDJJMHRAOJE-OAHLLOKOSA-N 404.894 4.656 5 20 HJBD COc1cc(C(=O)NC[C@@H]2CCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 468466066 JBPVEEVIVDYVBL-LBPRGKRZSA-N 422.890 4.532 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 470571508 XXWBMGVBXSGIML-INIZCTEOSA-N 416.783 4.727 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](OCc2ccccc2F)C1 470684416 UNRMIMTZZMVZGQ-RXVVDRJESA-N 414.477 4.510 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OC 484499414 GRECVWMXFLILTQ-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD CSc1cccc(C(=O)Nc2n[nH]c(-c3cc(Cl)ccc3Cl)n2)c1[N+](=O)[O-] 485488354 HSWBLKYSFFYYAI-UHFFFAOYSA-N 424.269 4.661 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 487592602 OZQMPKGRWCZRJH-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 487639626 USOOVJUFAVKXBB-QHCPKHFHSA-N 418.497 4.947 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C(F)(F)F)cs1 490093746 PYGSSEJOHAHBDB-JTQLQIEISA-N 412.393 4.618 5 20 HJBD COc1ccc(C2(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CCCC2)cc1 491424404 UFWPLLLBMAHQGO-OAHLLOKOSA-N 400.500 4.670 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2Cl)o1)NCc1ccccc1[N+](=O)[O-] 500761464 GXAYMVDHCWYYQS-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2ccccc2F)C1 502139728 JMRAEVFLNACFLM-AWEZNQCLSA-N 404.416 4.589 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(n2c(C)ccc2C)CC1 506209268 VGEHPAPRLMSIMB-UHFFFAOYSA-N 401.532 4.993 5 20 HJBD CC1CCC(Oc2cc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)ccn2)CC1 506285759 SABRQEFHJBLBJU-UHFFFAOYSA-N 421.444 4.839 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(F)cc1OCC(F)(F)F)c1cccc([N+](=O)[O-])c1 506834609 AABXQONXLMHBJI-SNVBAGLBSA-N 401.316 4.558 5 20 HJBD Cc1ccc(-c2csc(CSc3ccc([N+](=O)[O-])c(S(C)(=O)=O)c3)n2)cc1 507102114 IEKGDZSHFYPGMN-UHFFFAOYSA-N 420.537 4.723 5 20 HJBD Cc1ccc(CNC(=O)C2CCN(Cc3ccc(C(C)C)c([N+](=O)[O-])c3)CC2)cc1 512687117 MPYVEDXEJSZKLZ-UHFFFAOYSA-N 409.530 4.555 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 514431987 YUTAFVUHDJCBEU-UHFFFAOYSA-N 408.395 4.751 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])cc2F)sc1Cc1ccccc1Cl 518309056 IYRQZVSYHDLBJP-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@H](C)c1ccccc1 518984346 QNRUTOZRCOTFIM-WBVHZDCISA-N 403.910 4.744 5 20 HJBD Cc1csc(-c2cccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2)n1 523261255 NVYBTMXPIGYFPX-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 531986284 UUPFHSARVUPLKA-QFIPXVFZSA-N 416.481 4.888 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc4c(c3)NC(=O)CS4)o2)c([N+](=O)[O-])c1 533211926 ZSPJHKGVEYMFBQ-UHFFFAOYSA-N 411.439 4.520 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 535468506 ITFDBTPSTTYXPG-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 539151026 DDDJCDCYSLDSCP-UZCIPKQKSA-N 424.881 4.514 5 20 HJBD CCc1ccc([C@H](N[C@@H](C)c2ccc([S@@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541565166 APVRULYLLUDRMC-MMZLIAALSA-N 412.511 4.928 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 541660512 NRVIAILYBJNQJS-LBPRGKRZSA-N 406.385 4.749 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@@H](C2CCOCC2)C1 544417358 CDZNEDMLOLBFQV-GOSISDBHSA-N 418.559 4.766 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(/C=C/c2ccc(OCc3ccccn3)cc2)n1 545532851 MPKJALRMUXVCBS-JLHYYAGUSA-N 414.421 4.713 5 20 HJBD COc1cc(-c2nc([C@H]3CCCO3)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 545770412 NMGFSLPMLPYKCT-MRXNPFEDSA-N 417.805 4.951 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3c[nH]c4ccc(Cl)cc34)no2)cc1 547168045 VFSBVPBFCHUKNR-UHFFFAOYSA-N 400.847 4.996 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3cc4ccccc4o3)no2)c2ccccc12 556381801 VHNXJSUUXAZTCB-UHFFFAOYSA-N 407.429 4.923 5 20 HJBD O=C(NC[C@@H](OCc1ccccc1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])o1 557730486 YIMWPBHVRFKHJD-GOSISDBHSA-N 400.818 4.529 5 20 HJBD O=[N+]([O-])c1cc2c(N3CC=C(c4ccccc4C(F)(F)F)CC3)ncnc2s1 561259737 UKKRIQKGEZRIQU-UHFFFAOYSA-N 406.389 4.912 5 20 HJBD C[C@@]1(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])OCCc2sccc21 562236987 PJHNJUNZGGCWGS-AWEZNQCLSA-N 423.363 4.605 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(CCc3ccccc3O2)C1 563922356 MEDNNJWZANRUBM-JOCHJYFZSA-N 412.511 4.705 5 20 HJBD Cc1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 589128644 HRCKYLLVZVKETG-UHFFFAOYSA-N 411.849 4.569 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3ccc([N+](=O)[O-])cc3F)CC2)cc1 603934110 XWBADJWIJFMTLV-UHFFFAOYSA-N 400.450 4.618 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)o1 603966719 MIIWELOTBINHRQ-MRXNPFEDSA-N 416.437 4.840 5 20 HJBD COc1ccc(-c2cc(C(=O)NCc3ccc(OC)c([N+](=O)[O-])c3)sc2C)cc1 604017310 KTFAYZJRRPTQKK-UHFFFAOYSA-N 412.467 4.579 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 604103721 JXHQTVOSSWCZGZ-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD CC[C@@H](Cc1ccccc1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 609033254 OJNVRMJIVQSUMZ-PXNSSMCTSA-N 418.497 4.914 5 20 HJBD COc1cc(C(=O)N(c2cc(C)ccc2C)C(C)C)c([N+](=O)[O-])cc1OC(F)F 609098442 BGNQFJQRDONYGW-UHFFFAOYSA-N 408.401 4.877 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 609182985 GXVRYZDDEAJJAH-UHFFFAOYSA-N 417.878 4.582 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCC2)c([N+](=O)[O-])cc1OC 609218475 KITMJEQMMZJSAC-HXUWFJFHSA-N 418.877 4.927 5 20 HJBD CC[C@@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])Cc1cccc(Br)c1 609868414 SZHLGVHVZGNSPR-CQSZACIVSA-N 405.292 4.664 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880701 IEYDIJUBZVKOFT-GOSISDBHSA-N 414.824 4.755 5 20 HJBD C[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc12)c1ccc(OCc2cccnc2)cc1 611700498 HJQBQYHWDFVRGC-HNNXBMFYSA-N 401.426 4.685 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC1CCN(c2cccs2)CC1 618585111 YJZVBCNXZWRQQT-UHFFFAOYSA-N 422.379 4.786 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)N[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 619503612 CCNKKUYCTKHEMK-ZIAGYGMSSA-N 410.499 4.705 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 726606006 PRTDCWHZLHJRCR-MRXNPFEDSA-N 415.490 4.592 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCc3ccc([N+](=O)[O-])cc3)c([N+](=O)[O-])c2)cc1 727431760 VOBMRTQIYNKVET-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1cc([N+](=O)[O-])ccc1Br 732643760 UMWJFCHTIBKIHG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 732987461 VIWQDCWZXQIRNR-OAHLLOKOSA-N 414.355 4.820 5 20 HJBD COc1cccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1OC1CCCC1 733238893 IHOPQHZUJNWNBP-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD C[C@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 747691155 QEEFIDNMMQQUEO-JTQLQIEISA-N 416.352 4.739 5 20 HJBD C[C@H](C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 755065270 OYSHYLXFKGQTID-HNNXBMFYSA-N 422.412 4.833 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@H](NC(=O)OC(C)(C)C)c2ccccc2)n1 762071944 PDTXZYCNXKLHJW-SFHVURJKSA-N 424.457 4.762 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 764193726 PHIOFKMMIYQWIT-OKILXGFUSA-N 415.877 4.619 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc3cncc(Br)c23)cc1 766548318 HBQQTNHJXUKGSL-UHFFFAOYSA-N 403.261 4.714 5 20 HJBD COc1cccc(C(=O)Nc2ccc(N3CCC[C@H]3C(C)C)c(F)c2)c1[N+](=O)[O-] 768188249 USQIHTAJKZZGBK-KRWDZBQOSA-N 401.438 4.620 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 770059536 XVOMPIJGDBIDCN-UHFFFAOYSA-N 418.421 4.786 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)C1=Cc2ccc(OC(F)F)cc2CC1 773381030 KEIUQBQLGYJBJR-UHFFFAOYSA-N 424.425 4.542 5 20 HJBD COc1cccc2cc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])oc12 776139863 RXXGTZWOGVSFCC-HXUWFJFHSA-N 404.378 4.691 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CC2(CCC2)Oc2ccccc21 784069670 NRHLZZRIPFQUKG-HNNXBMFYSA-N 418.902 4.903 5 20 HJBD CC(C)(C(=O)OCc1ccc(Cl)nc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 787714405 KRESXKDLHUJLLM-UHFFFAOYSA-N 402.756 4.683 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)O[C@@H](c1ccccn1)C(F)(F)F 790864101 AQVINFMDCBELID-ZDUSSCGKSA-N 419.153 4.521 5 20 HJBD Cc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(OCC(F)(F)F)c1 790876308 OCBLOABAIXWEMO-UHFFFAOYSA-N 420.746 4.818 5 20 HJBD Cc1ccc(-c2csc(CS(=O)(=O)Cc3cc([N+](=O)[O-])ccc3Cl)n2)cc1 795416595 ZPKGBZQWELKJSK-UHFFFAOYSA-N 422.915 4.795 5 20 HJBD CC[C@@H]1CCCC[C@H]1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796323131 DTYOUUXHHUNZAP-YRGRVCCFSA-N 416.396 4.700 5 20 HJBD C[C@H](CC(=O)c1ccccc1F)C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085216 DJMCHXWJQXPHNH-SNVBAGLBSA-N 414.216 4.993 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3noc4cc(F)ccc34)CC2)c(OC(F)F)c1 811848539 JLAGQCJBTUNXAU-UHFFFAOYSA-N 407.348 4.861 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1F 817038520 RFGPIKNNEPVWIO-UHFFFAOYSA-N 417.437 4.746 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2ccco2)nn1-c1ccccc1 897592732 VUUSSMOBQBZPLD-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)Nc1ccccc1Cc1ccccc1 919251005 JSVFHYFNUYHNEX-HNNXBMFYSA-N 422.412 4.509 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 920225323 SCXYUIAZNXTSHQ-AWEZNQCLSA-N 417.487 4.919 5 20 HJBD C[C@@H](CC(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 920721643 GXERTEVGHSHZQQ-RNODOKPDSA-N 416.861 4.907 5 20 HJBD COc1cc(OCC(=O)O[C@@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 921113307 OJPKENVDPZNDFY-JTQLQIEISA-N 400.214 4.593 5 20 HJBD COc1ccc([C@@H](CCO)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1116413516 CXKBFXUKOYBPQI-LJQANCHMSA-N 416.861 4.730 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@H](c4nc5ccccc5[nH]4)C3)co2)cc1 1319543458 GGRLWERJJNETBE-INIZCTEOSA-N 403.442 4.506 5 20 HJBD Cc1ccc2c(c1)S[C@@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C2 1342663690 KQDBNKUMSQOGDF-RTWAWAEBSA-N 406.463 4.648 5 20 HJBD COc1ccc([C@@H]2CCCN2c2ncnc3c(Cl)cc([N+](=O)[O-])cc23)c(OC)c1 1347049407 LWGMIFRSLUKZDR-KRWDZBQOSA-N 414.849 4.550 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2cccc(Br)c2)c([N+](=O)[O-])c1 1517708095 FQTOULPUFVZTJI-INIZCTEOSA-N 420.263 4.735 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1C 10082592 NRNQBKJZSDMDEH-ZDUSSCGKSA-N 401.513 4.791 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1OC 15306833 BOLXOLDWQWTAKX-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@@H]2c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 21360422 FHHDBYAICNHACB-QFIPXVFZSA-N 419.437 4.692 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCSc1ccc(Cl)cc1 22475505 YPQOLMLBQSFVDZ-UHFFFAOYSA-N 422.937 4.717 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(Cl)c1 22668454 RAYWHSWGWNIERQ-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(N[C@@H]1CCSc2ccccc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 42397717 XWRFFSZMELMCPP-CQSZACIVSA-N 412.389 4.503 5 20 HJBD Cc1nc(CSc2ccc(C(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)cs1 54167902 FMISUYGCRMLQNV-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C2CCCCC2)no1 237511392 MKGAQGSTSYDIIA-CYBMUJFWSA-N 413.503 5.000 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303653610 BSTMNJFCLUMGBK-MRXNPFEDSA-N 409.490 4.595 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc2ccccc2c(=O)o1 409929709 FDSWBBJWQJIODO-UHFFFAOYSA-N 416.389 4.533 5 20 HJBD COc1ccc(Br)cc1-c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426432527 HPHZBQCQYNKYJC-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD COc1ccc(C(C)(C)c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1OC 426627934 IVPHTBBEECMNER-UHFFFAOYSA-N 411.458 4.647 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429929241 SAMPKVLNBJXBGJ-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1cnc(C(C)(C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 430584806 ZZZBAZISROECFH-UHFFFAOYSA-N 419.450 4.522 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccco1 431102095 KIXSRWRPSPEPKO-SFHVURJKSA-N 420.343 4.735 5 20 HJBD CC(C)(NC(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 432667269 LMOUKSCQERWZFY-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 433955770 QPAGHUBDTJRPMZ-KDOFPFPSSA-N 410.499 4.677 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 435368858 CGHSPKMORQVPIF-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1cc(-n2cccn2)ccn1 435446733 CMUYPSMQRLHHSO-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD Cc1conc1NC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 436007732 ZOQDUQYCUZURIW-UHFFFAOYSA-N 423.372 5.314 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443897503 OFKUWHPFVTZTSY-HNNXBMFYSA-N 407.373 4.590 5 20 HJBD COc1cc(Cc2noc([C@H](C)Sc3ccc(C)cc3)n2)c([N+](=O)[O-])c(OC)c1 445815365 JXWFCRDDTNMIAZ-ZDUSSCGKSA-N 415.471 4.748 5 20 HJBD Cc1cc2c(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)ncnc2s1 447332619 GXGOEOMUNLPMCL-SECBINFHSA-N 408.892 4.681 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 462759135 WZYCHZYZVVTNJY-CQSZACIVSA-N 420.425 4.764 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(C)c(F)ccc3s2)cc1SC 465261767 CGVRLZACVDSKIG-UHFFFAOYSA-N 407.448 4.635 5 20 HJBD O=C(N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc(F)cc1[N+](=O)[O-] 466335332 WAMTTZSFYGIAKL-OAHLLOKOSA-N 415.421 4.804 5 20 HJBD CC[C@H](C)N(C(=O)c1cc(OC)c(OCc2cscn2)cc1[N+](=O)[O-])[C@H](C)CC 467207153 NGFVWJCJJSXGRO-OKILXGFUSA-N 421.519 4.678 5 20 HJBD C[C@@H](NCC1(c2ccc(Br)cc2)CCOCC1)c1cccc([N+](=O)[O-])c1 467834994 NVJFMHNBVCSXFL-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)c1ccc(NC2CC2)c([N+](=O)[O-])c1 478938389 ANJIPEJEMWNFHJ-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC3CCC3)c(F)c2)cc1SC 480171121 ZAUABSGEBYFFPR-UHFFFAOYSA-N 406.435 4.648 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 480417009 IKJVRYYQQTZLBW-GFCCVEGCSA-N 418.375 4.751 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])s2)cnn1-c1ccc(C(F)(F)F)cc1 481863743 JGORDKCHXAEAAI-UHFFFAOYSA-N 410.377 4.676 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1c(F)cccc1Cl 486202655 IXQRBMMTCAVCKS-ZCFIWIBFSA-N 407.648 4.702 5 20 HJBD Cc1cccc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Br 486715787 XJDXADKHMRUTJL-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc(OCC)c1OCC 487989505 QFIPXVRSFACQGL-HNNXBMFYSA-N 416.474 4.590 5 20 HJBD C[C@@H](Sc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(Br)c1 488749094 RDULUGPATIHONF-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD O=C([C@H]1C[C@H]1c1ccccc1C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492965146 YXHSQPZBMKPOQG-ROUUACIJSA-N 404.388 4.908 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccc(Br)s2)cc1[N+](=O)[O-] 493034698 RPBCYMQRBDVFBS-LLVKDONJSA-N 412.309 4.630 5 20 HJBD COc1cc(C(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)cc([N+](=O)[O-])c1OC 496814451 ATQKGFDECNEKAE-UHFFFAOYSA-N 421.620 4.530 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)cc([N+](=O)[O-])c1C 500236476 GSJUCXVFTRNRIE-UHFFFAOYSA-N 416.327 4.634 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(-c4ncco4)cc3)cc2[N+](=O)[O-])CC1 500409267 GNUZYJJASOEGDG-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD Cc1nn(Cc2coc(-c3ccc(C(F)(F)F)cc3)n2)c2ccc([N+](=O)[O-])cc12 501257917 BKZYNNJBDUYZDP-UHFFFAOYSA-N 402.332 4.975 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 501646856 RIFBOYOQRVOHSB-GFCCVEGCSA-N 406.467 4.999 5 20 HJBD CSc1nnc(CCCNc2ccc([N+](=O)[O-])c3ncccc23)n1C1CCCC1 501867250 NVLMJTQUHMTJBW-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD COC1CCN(C2(CNC(=O)c3cc4ccccc4c4cccnc34)CCCC2)CC1 502441950 HLPJWSPUCYLAHN-UHFFFAOYSA-N 417.553 4.541 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(COCCOc3ccccc3)c2)cc1[N+](=O)[O-] 502698755 HLCMVYHBUJOIRE-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 502832861 YLLLVPJNODNWPF-NRFANRHFSA-N 407.426 4.523 5 20 HJBD Cc1cc(C(=O)N(C)[C@@H]2CCSc3ccc(Br)cc32)ccc1[N+](=O)[O-] 504555044 XBPCHUMFDDKKEI-MRXNPFEDSA-N 421.316 4.975 5 20 HJBD CCOc1cc2c(cc1CN[C@@H](C)c1ccc(OCC)c([N+](=O)[O-])c1)O[C@H](C)C2 504900294 VIBKMYDXDGRAFX-CABCVRRESA-N 400.475 4.566 5 20 HJBD CCOc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)ccc1OCc1cccnc1 504981794 XWODRZWLZUHDMB-UHFFFAOYSA-N 418.409 4.685 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2ncc(-c3ccccc3)[nH]2)cc([N+](=O)[O-])c1C 507276967 BNPFVCOZZOVRKH-LJQANCHMSA-N 420.469 4.669 5 20 HJBD O=C(NCc1cccc(NC(=O)N2CCCC2)c1)c1cc2ccccc2c2cccnc12 509172884 VHHBNNJETDLYBD-UHFFFAOYSA-N 424.504 4.946 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCC(c3ccccc3)CC2)cc1[N+](=O)[O-] 509652085 WDGKKIBGNLTYIH-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(C)c2)C(F)(F)F)cc1SC 511821668 HLICDBPCUKOTHW-INIZCTEOSA-N 414.405 4.667 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 517079476 DXAVXSCHGHLENS-ZIAGYGMSSA-N 407.474 4.514 5 20 HJBD CCN1CCC(N(C)C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 517178086 PUJOQKVROKNYEJ-UHFFFAOYSA-N 413.543 4.611 5 20 HJBD COc1cc(CSCc2ccc(-n3cccn3)cc2)c([N+](=O)[O-])cc1OC(F)F 517178100 QCUURCPOCWNFRC-UHFFFAOYSA-N 421.425 4.824 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 530693900 BBFCVYCGLISEAF-CEKZQKLHSA-N 420.506 4.696 5 20 HJBD Cc1nnc(N(CCC(C)C)C(=O)CCOc2ccccc2[N+](=O)[O-])c2ccccc12 537902932 YKWNGMJLUBMXNA-UHFFFAOYSA-N 422.485 4.695 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)NC(c2cccs2)c2cccs2)c1 538427032 YGMZDKDIPQASMA-UHFFFAOYSA-N 402.497 4.701 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cs1 540480209 KIWKORIIPPWBSP-CQSZACIVSA-N 410.499 4.624 5 20 HJBD C[C@@H](C(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1F 544397495 DOCOTLQKSOAYOK-SNVBAGLBSA-N 414.368 4.543 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 546564692 WXOOJNYYWKQMLO-AWEZNQCLSA-N 420.506 4.627 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(C)c3)c2C(F)(F)F)cc1 554252693 BTRYMVXDLAKGTE-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(CCCOc4ccc(F)cc4)no3)cc12 561891147 IDRDMRLCJPUJAQ-UHFFFAOYSA-N 415.424 4.882 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cn2)c1 562395205 OPUJZCBEPOSGSG-KRWDZBQOSA-N 405.454 4.819 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 571858317 PXCCZMWUJHHOKI-HNNXBMFYSA-N 407.426 4.841 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CC(F)(F)F)cs1 583636368 TYIKXOVIMVGZBI-UHFFFAOYSA-N 405.423 4.909 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)c1OC 588787286 VQGGOXADAJHGDS-CQSZACIVSA-N 418.515 4.912 5 20 HJBD COc1cc([C@@H]2Nc3ccccc3C(=O)N2Cc2ccc(C)cc2)ccc1[N+](=O)[O-] 602762658 XOCPMINKHBLOOG-JOCHJYFZSA-N 403.438 4.679 5 20 HJBD CC[C@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 603568612 ZIFRSYMESYSYOY-INIZCTEOSA-N 408.907 4.758 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(C(C)(C)C)nn1Cc1ccccc1 603655014 UVTSYNRULZMLMM-UHFFFAOYSA-N 421.501 4.801 5 20 HJBD O=C(Nc1ccc(Br)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 603945689 NCLPVTCBKBHZMY-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1cc(C(=O)NC[C@@H](C)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 604396276 UJKUDWSHGDLOOT-GFCCVEGCSA-N 404.850 4.825 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609546085 RRCQCHUFHGFART-DIFFPNOSSA-N 410.257 4.896 5 20 HJBD Cc1ccc(COc2c(C)cc(CN(C)C(=O)c3cc([N+](=O)[O-])c[nH]3)cc2C)cc1 609665060 WOJICIMCPWAXRB-UHFFFAOYSA-N 407.470 4.699 5 20 HJBD CCOc1ccc(NC(=O)CSc2ncc(C(C)C)n2C(C)C)c([N+](=O)[O-])c1 610034123 RZDNLZQQHAWAQP-UHFFFAOYSA-N 406.508 4.625 5 20 HJBD CC[C@@](C)(NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1)c1nc(C)cs1 610184948 TWAWWIPNWKIPAE-OAQYLSRUSA-N 416.547 4.651 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 610304864 UZAOMULQDVKMSC-QVKFZJNVSA-N 420.444 4.889 5 20 HJBD Cc1cnc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 612517474 QSSSTAHYJHIOSY-GFCCVEGCSA-N 405.545 4.928 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2sc(Br)cc2C1 616118051 BDZWNTBMKJILHN-UHFFFAOYSA-N 410.293 4.626 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 619804491 FJOJYOXZQPWCBN-GOSISDBHSA-N 416.485 4.739 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccccc1-c1ccccc1 728155431 RRJTYFUJMOXIAC-CQSZACIVSA-N 408.385 4.585 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)nc1 733233943 GAKQPXNIILKHBG-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(OC(F)(F)F)cc1 735353424 AWQBHIOIGDZCIJ-SNVBAGLBSA-N 422.315 4.665 5 20 HJBD CC(C)C[C@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 741048569 CJSRPGKCROMSQP-INIZCTEOSA-N 404.850 4.560 5 20 HJBD CC/C(=C/C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 745755462 UIMRWTBQXDGODX-QBFSEMIESA-N 413.817 4.835 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@@H](c1c(F)cccc1Cl)N(C)C 747822243 DAUSOAPPMSSAOI-MRXNPFEDSA-N 400.237 4.591 5 20 HJBD O=[N+]([O-])c1ccc(/C=C(\Br)c2ncc(-c3ccc(F)cc3)o2)cc1O 748147496 OVMHARXHACTQHU-QPEQYQDCSA-N 405.179 4.988 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(C2SCCS2)cc1 751624159 MODOPRLDMYZVBW-UHFFFAOYSA-N 402.453 4.572 5 20 HJBD COc1ccc(CC(=O)O[C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 751703938 KCNKQPUUPGAIJT-GFCCVEGCSA-N 416.817 4.759 5 20 HJBD Cc1c(C(=O)N2CC=C(c3ccc(Cl)cc3)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 752455607 IMCRSDDBAAGGFH-UHFFFAOYSA-N 415.833 4.703 5 20 HJBD CCOc1cc(CNc2ccc(OC(F)F)cc2OC(F)F)ccc1[N+](=O)[O-] 754885546 OUEABHGGGAVSDX-UHFFFAOYSA-N 404.316 4.808 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1cc(Cl)ccc1[N+](=O)[O-] 769635491 DMFWKTGCPFGIBK-ALOPSCKCSA-N 409.914 4.658 5 20 HJBD C[C@H](CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(=O)c1cccc(F)c1 776136522 VTVYOENJZAMRQX-CMJOXMDJSA-N 422.412 4.671 5 20 HJBD CN(C[C@@H](O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCSc2ccc(Br)cc21 776581412 DZDMPHAHNORJCM-IAGOWNOFSA-N 423.332 4.560 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 777299482 CTPVDQCBGYTKHA-MRXNPFEDSA-N 404.422 4.694 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2cc(C)on2)cc1OC 782032554 LZPLSBIBJSBERN-KSSFIOAISA-N 403.479 4.662 5 20 HJBD COc1cccc([C@@H](OC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c2ccncc2)c1 782460160 VRKOXHOSAHHRCR-FQEVSTJZSA-N 410.451 4.667 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(O)c4ccc(Cl)cc4n3)sc2c1 788009030 QJLBEPBDHZGXOY-UHFFFAOYSA-N 404.860 4.799 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])o1 790746914 XNEPOCCGSKKQCE-UHFFFAOYSA-N 404.781 4.637 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)n2)cc1 790797729 VVKJOPFZRAIEAP-UHFFFAOYSA-N 404.448 4.535 5 20 HJBD COC(=O)Nc1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1C 797882095 ZEQFSHABLDYFLA-UHFFFAOYSA-N 411.414 4.962 5 20 HJBD CC(C)(C)OC(=O)Nc1ncc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)s1 800507627 UBSICOSBYYPEAI-UHFFFAOYSA-N 404.492 4.563 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC=C(c2c[nH]c3ncccc23)CC1 813392407 OBEVAGKBCRKLPG-UHFFFAOYSA-N 417.252 4.707 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Cc2ccccc2)cc1[N+](=O)[O-] 854572146 QBBOCQAEWDMOIY-KRWDZBQOSA-N 418.449 4.678 5 20 HJBD CCOc1ccc(CN(C)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 1116439176 LTDHWHYFWYMBGW-UHFFFAOYSA-N 413.499 4.758 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1254962432 HTKPOUVYQPKYRE-UHFFFAOYSA-N 405.454 4.761 5 20 HJBD COc1ccc(-c2cc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)ccc2OC)cc1 1318298961 ZNAXRXUFTVUMKV-RZNTYIFUSA-N 404.422 4.931 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 1318535424 FHXVEARPTVXNHG-UHFFFAOYSA-N 424.844 4.795 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 1319607653 OZGRLYUDQNHJDQ-MHZLTWQESA-N 404.513 4.619 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@H](NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1331105314 HJTFGQFZQCKWKA-CSUULGGQSA-N 410.923 4.678 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Br 7844246 HILUJWDRDVYXKP-SECBINFHSA-N 413.655 4.510 5 20 HJBD COc1ccc(S[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 21116781 IWWGFTLZQJTFAM-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD Cc1nc2ccccc2nc1S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 28190931 NIJQBTADFNMGCE-LLVKDONJSA-N 402.863 4.619 5 20 HJBD Cc1cc(NC(=O)Cc2coc3cc(C)c(C)cc23)n(-c2ccc([N+](=O)[O-])cc2)n1 105525815 CRPAKFCKCYVGBL-UHFFFAOYSA-N 404.426 4.633 5 20 HJBD O=C(CCc1ccc(Cl)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107868191 ITKZQRNDSQNQSI-UHFFFAOYSA-N 406.813 4.833 5 20 HJBD COc1ccc([C@@H](CNC(=O)c2csc([N+](=O)[O-])c2)c2c[nH]c3ccccc23)cc1 117276920 CQEOFNLTUQPYDS-GOSISDBHSA-N 421.478 4.708 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2c3ccccc3C[C@H]2O)cc1 238092419 JIGZYRLUUUTGOE-IRLDBZIGSA-N 410.857 4.788 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2c3ccccc3C[C@H]2O)ccc1Oc1ccccc1Cl 238093056 QTDLMBCMCPIEDP-KNQAVFIVSA-N 410.857 4.788 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 426858738 XJEONHQXSRJYIH-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD CCN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 429954358 VGJJDLRELKITKQ-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD CC(C)(NC(=O)Nc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1 431187611 QEXBQQRXAVGCGA-UHFFFAOYSA-N 406.442 4.626 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 431456411 LDHSELKLEQALBM-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1nn(CC(=O)Nc2cc(Cl)c(Cl)cc2Cl)c2ccc([N+](=O)[O-])cc12 432242282 YFVVXDSDOMIBDF-UHFFFAOYSA-N 413.648 4.852 5 20 HJBD CN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1cn2c(n1)CCCC2 432814721 XLBTXUXFLLQJLE-UHFFFAOYSA-N 410.449 4.691 5 20 HJBD CN(Cc1cc(F)cc(F)c1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 434001968 GHBZPDDERISGQG-UHFFFAOYSA-N 420.359 4.643 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 436333908 KLRUSWJVVHMVHM-SFHVURJKSA-N 418.375 4.512 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 437464394 CENCMYGYSXBTEW-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD O=C(Nc1ccc2oc(O)nc2c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440690277 HOYGRNNOXUZVIA-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=C(NCCC[C@H]1CCCCO1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441289698 WNGSTLPURKDDST-MRXNPFEDSA-N 400.500 4.825 5 20 HJBD CCN(CC)C[C@@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442681699 NTVNSCFHTCAQON-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD CCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@@H]1C[C@H](OCC)C1(C)C 444090936 ZXWHWPVJSQVETG-YADHBBJMSA-N 406.526 4.737 5 20 HJBD CCC(=O)c1cccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444241048 QPFACILVEMJVKR-UHFFFAOYSA-N 406.388 4.805 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444307888 MHKVKFKZTWNDDX-UPCLLVRISA-N 412.489 4.913 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(-c2nc([C@@H]3CCCOC3)no2)cc1 445527638 HVKVEUYJQIALTC-CYBMUJFWSA-N 401.806 4.985 5 20 HJBD Cc1ccc(CS(=O)(=O)[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1Br 445561814 UIQBUESZRPBQHD-CYBMUJFWSA-N 412.305 4.650 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2CC(F)(F)F)cc1SC 460467584 QJOGBLKEYALJFL-UHFFFAOYSA-N 400.378 4.682 5 20 HJBD O=C(N1CCOc2ccc([N+](=O)[O-])cc2C1)C1(Cc2cccs2)CCCCC1 461402083 NXPJWOGPHQENMC-UHFFFAOYSA-N 400.500 4.571 5 20 HJBD Cc1cc(N[C@@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)c(F)cc1[N+](=O)[O-] 461942315 BJKGXWITFOMIOI-NRFANRHFSA-N 414.458 4.647 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCO[C@H]1c1ccc(C)cc1 462641903 OIFLODLCGBPTMQ-LSWJPFSZSA-N 410.514 4.756 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2nc3ccccc3[nH]2)CC1 463349193 BILHOXYXQPQDKX-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD Cc1ccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1NC(=O)CCN(C)C 466452182 BOMFROYSZPEBNP-UHFFFAOYSA-N 422.485 4.666 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc2nc(Cc3ccccc3)oc2c1 467936307 XQOVYGWHDJZUJH-UHFFFAOYSA-N 402.410 4.649 5 20 HJBD CC[C@@H]1CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC[C@H]1c1ccccc1 469996408 NERSMFKCWHPGHB-DENIHFKCSA-N 421.541 4.854 5 20 HJBD CC(C)(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1F 471618572 BTECBTQIOOXTMM-UHFFFAOYSA-N 419.884 4.655 5 20 HJBD O=C(c1cc(NCC2(CCOCc3ccccc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 472487516 PUILTNLWKNNHHF-UHFFFAOYSA-N 423.513 4.630 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2N(C)C2CCCC2)c([N+](=O)[O-])cc1OC 475172725 IHGVRNDUXMMSFY-UHFFFAOYSA-N 413.474 4.633 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3nc(-c4cc5ccccc5o4)cs3)cc2N1 475734534 PHOMTWXEJDMUSC-UHFFFAOYSA-N 420.450 4.961 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 477081143 GXEWJMMOIMQIHI-UHFFFAOYSA-N 411.380 4.817 5 20 HJBD Cc1nn(CC(F)(F)F)cc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 477201709 IMESDHGFSBSILN-UHFFFAOYSA-N 420.347 4.707 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](c3cccc(F)c3)C2)cc1SC 478249368 IRBCPOYXBPXAOM-HIFRSBDPSA-N 404.463 4.531 5 20 HJBD COCCC[C@H](CNC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 480325535 DHWPCFOODRLMPS-OAHLLOKOSA-N 421.881 4.589 5 20 HJBD Cn1cc([C@H](N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cn1 480668191 ATSXCNLKXABDJJ-AMHTUMDSSA-N 408.477 4.558 5 20 HJBD O=[N+]([O-])c1c(Oc2cccc(NS(=O)(=O)c3ccccc3)c2)ccc2ncccc12 484702443 CVGPXJUTMWKREJ-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccccn2)n1-c1ccccc1 485385204 XQLCWHNMSKXOOD-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CCOc1cc(CNC(c2cccc(OC)c2)c2cccc(OC)c2)ccc1[N+](=O)[O-] 492611052 YUCBMAQDSSEWDM-UHFFFAOYSA-N 422.481 4.890 5 20 HJBD Cc1cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c(Br)s1 498153711 QZEHLDVVEJCHSP-HNNXBMFYSA-N 413.318 4.615 5 20 HJBD O=C(CCOCC(F)(F)C(F)F)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 498271083 FLYNNSDJWDKJQE-UHFFFAOYSA-N 416.327 4.633 5 20 HJBD O=C(Nc1ccc(Cl)c(Br)c1)c1ccc([N+](=O)[O-])c2cccnc12 498417202 NLMLJMDRPAOIEI-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)c([N+](=O)[O-])cc1OC 499115773 UJXCXKXEIUZNSK-UHFFFAOYSA-N 420.465 4.960 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCCC[C@H]2O)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 505204014 LZLZDOCGAYRLPN-MSOLQXFVSA-N 412.467 4.744 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@@H]1/C=C/c1ccccc1 505393279 BFSSRTVDDWBMFF-KBOKABMXSA-N 401.260 4.675 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3cccc(Cl)c3n2)cc1SC 505420468 ITBKSCKDAWGEEQ-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD COc1cc(CN[C@]2(C)CCCc3ccccc32)c([N+](=O)[O-])cc1OCC(F)F 505465854 YUQPPFPXMSCFKY-OAQYLSRUSA-N 406.429 4.589 5 20 HJBD COc1ccccc1C1(CNC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)CCCC1 507595358 ICSBOAGJUSZMKW-INIZCTEOSA-N 414.527 4.712 5 20 HJBD O=C(C[C@@H](c1cccs1)n1cccc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 509210768 VQKMECWSHDWZOK-INIZCTEOSA-N 423.416 4.773 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3c(c2)COC3)cc1 509956870 KNXVNWKSGNVZPJ-UHFFFAOYSA-N 406.394 4.678 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cn1 509991286 QYGRZXFIOMIOJO-HNNXBMFYSA-N 422.441 4.982 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Br)cc1Cl 510255959 PASVCCNLCUOBEE-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)CCSCc1ccc([N+](=O)[O-])cc1 513816490 OSTRGHZDWPGWEE-UHFFFAOYSA-N 410.499 4.659 5 20 HJBD CC(C)(C)Cc1nc(-c2cccc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c2)no1 513837554 CCMRNYCHIGGADX-UHFFFAOYSA-N 420.469 4.826 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 520017337 SMZWBDQXOCFQJL-LPHOPBHVSA-N 400.431 4.599 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2ccc(O)cc2)cs1 524815966 ATDDOWDQJIJUOD-LLVKDONJSA-N 401.469 4.543 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(OCC3CCOCC3)c2)c1 524949423 BLGIFPRKCHLLAR-UHFFFAOYSA-N 416.499 4.683 5 20 HJBD C[C@H](Oc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1)c1ccccc1 533464736 YHMGWDDNTYUFHZ-KRWDZBQOSA-N 417.465 4.935 5 20 HJBD CN(C(=O)c1cn(Cc2ccccc2)nc1-c1cccs1)c1cccc([N+](=O)[O-])c1 533606927 QOGZEJXVWSGHRO-UHFFFAOYSA-N 418.478 4.845 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 544167274 HTNPSPJFDYJJMK-ZDUSSCGKSA-N 424.482 4.950 5 20 HJBD CCc1nc([C@@H](C)N[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 544468579 LPZGMRZAHYKEFI-VFNWGFHPSA-N 424.526 4.953 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546539696 SUYRUSOOXPECON-SGTLLEGYSA-N 408.857 4.557 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551309080 ZARIHEBQRFNATF-MGPLVRAMSA-N 416.783 4.773 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2N2CCCC2)c1 554884986 DYPSBHSFJPDXOR-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD COc1cc(CNCc2ccc3sccc3c2)c([N+](=O)[O-])cc1OCC(F)F 555098105 ARMFWYOWGLBQCE-UHFFFAOYSA-N 408.426 4.752 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C(C)=O)[C@H]2C[C@H]2C)cc1 570192981 GLRRNSIYPVTEQL-LDJQZATESA-N 409.486 4.564 5 20 HJBD COc1cc(-c2nc(C(C)(C)c3ccc(Cl)cc3)no2)cc([N+](=O)[O-])c1OC 570859563 XXMDXJIDZCSRNW-UHFFFAOYSA-N 403.822 4.641 5 20 HJBD COc1cc(-c2noc(COc3cccc([N+](=O)[O-])c3)n2)cc(Cl)c1OC(C)C 573888565 QYGIGJZSIOKONG-UHFFFAOYSA-N 419.821 4.673 5 20 HJBD C[C@@H](C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 575855652 OGUDXIQQBCFDAB-JLTOFOAXSA-N 402.878 4.636 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CNC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 603971316 HSAQSJSMTPCAGL-GWCFXTLKSA-N 414.405 4.739 5 20 HJBD CN(Cc1nc2ccccc2[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 604437063 ABIWUKIWNSDRFP-UHFFFAOYSA-N 418.478 4.895 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC(C)(C)Cc2ccc(Br)cc2)c1 608949559 JORZEDQGWMHSDM-UHFFFAOYSA-N 423.332 4.830 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2O[C@H](C)c2ccccc2)cc1[N+](=O)[O-] 609652202 BLUUCSAVJKOTPE-MRXNPFEDSA-N 406.438 4.925 5 20 HJBD CCOC(=O)C[C@@H](c1ccccc1)C1CCN(c2ncc([N+](=O)[O-])cc2Cl)CC1 609894812 ZTSBIMSBVZDRIF-SFHVURJKSA-N 417.893 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCCCCSc2ccccc2Br)c1 610229252 KBOSGTZRDTWONC-UHFFFAOYSA-N 423.332 4.968 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(c3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 610597160 VFXVFGUGNNICBE-CQSZACIVSA-N 403.429 4.832 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccn([C@@H](C)c2ccccc2)n1 610745564 SNTBIGPKZRVYLZ-KRWDZBQOSA-N 407.474 4.843 5 20 HJBD COc1ccc2c(c1)OCC[C@@H]2Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 613181613 LESVJUXJMYMXLT-SFHVURJKSA-N 407.426 4.513 5 20 HJBD O=C(O[C@@H](c1ccc(Br)cc1)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 731552038 KNHLDVNLDYGWKV-ZDUSSCGKSA-N 422.128 4.957 5 20 HJBD CC(C)Oc1cccc([C@@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])c1 731972125 JLOMIZXCHQYWMN-GFCCVEGCSA-N 414.430 4.551 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)c2cc3ccccc3c3cccnc23)C1 734132570 QFNZTTPNOZCASY-KRWDZBQOSA-N 405.498 4.517 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC[C@H]1CCCN(Cc2ccccc2)C1 734298048 GXCMYFXHINCNMJ-MJGOQNOKSA-N 414.527 4.531 5 20 HJBD Cc1noc(C)c1COc1ccccc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl 734975065 LXRKRVGSELDBKD-UHFFFAOYSA-N 416.817 4.789 5 20 HJBD Cc1nc(Cc2ccccc2)sc1[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] 739592704 XGOBREPLHLBNOB-OAHLLOKOSA-N 412.467 4.634 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCc2ccc([N+](=O)[O-])cc2)c(Cl)c1 740782074 SYJZXKBULSHAJK-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nc(C(C)C)n(-c2ccccc2F)n1 741935628 IAMDVHLROITFRW-UHFFFAOYSA-N 417.828 4.652 5 20 HJBD O=C(CCC(=O)N1CCC2(C1)OCCO2)c1ccc2ccc3cccc4ccc1c2c34 746217753 BDSTYGHBXQOXQE-UHFFFAOYSA-N 413.473 4.522 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746895030 HRGBEOBGDQRMJP-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2ccccn2)cc1 747918515 IXFSWRPYCOKJMT-INIZCTEOSA-N 424.478 4.793 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)cs2)cc1 749119426 NCEOPWVGRRVFPQ-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])c(C)c2)s1 751198801 HMCODJKUWZRREL-UHFFFAOYSA-N 404.448 4.606 5 20 HJBD C[C@@H](CC(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(C(F)(F)F)cc1 751662301 SPSCHZRVMBKPHS-NSHDSACASA-N 408.332 4.992 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 754625146 KGWDJUCQUYREAZ-UHFFFAOYSA-N 401.419 4.769 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)c1 754959729 YISLEEDBTCTCNA-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755493080 OYRKUQKJAMOCAF-KSSFIOAISA-N 418.515 4.712 5 20 HJBD CC(C)(C)Nc1ccccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 760523736 IFXIPSDMXYDTQI-UHFFFAOYSA-N 410.243 4.959 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1cccc(Cl)c1Cl 763716911 MUXFIKBCUCNHEG-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CCOc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])c(Cl)c2)ccc1OC(F)F 764194013 WWBBUUCWALIXJP-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@@H](c3cccc(Cl)c3)c3ccccn3)CC2)cc1 766600621 OBMMAWFJMBZTFH-QHCPKHFHSA-N 422.916 4.991 5 20 HJBD Cc1cccc([C@@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 767841560 UJRMNTCREFCRHB-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(c2c[nH]c3ncccc23)CC1 768871770 LVBQLUHNKJSOFP-UHFFFAOYSA-N 415.291 4.613 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 770413304 QTEALOVURAREMM-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1cc(Br)cc([N+](=O)[O-])c1 778277009 USLHSNIYGGHXPI-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD Cc1nnc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 781847136 OKKOSHVMIHWGBU-NSHDSACASA-N 401.469 4.824 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)ccc1F 783536848 APLNSONZRYRLRZ-UHFFFAOYSA-N 408.357 4.577 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)c1ccccc1C(F)(F)F 789002024 RFYPWXBAGGYFDL-VIFPVBQESA-N 401.724 4.695 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(CSC3CCOCC3)c2)c1F 790529258 KHKIODSJJKQLIF-UHFFFAOYSA-N 404.463 4.707 5 20 HJBD Cc1ccc(CCCC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)s1 791173426 UDRAJXVVKPHZGJ-UHFFFAOYSA-N 423.332 4.635 5 20 HJBD CCC(=O)c1ccc(Cl)c(S(=O)(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)c1 794588947 DACMZYGNRMEAQJ-UHFFFAOYSA-N 417.270 4.604 5 20 HJBD Cc1ccc(F)c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1Br 797364766 UCGGCOZEOOZSML-UHFFFAOYSA-N 403.154 4.535 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(N2CCCNC2=O)cc1 812800794 FVTYWNADKDGXRI-INIZCTEOSA-N 420.469 4.625 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812814902 UFADBLLGSPFDPF-UHFFFAOYSA-N 411.245 4.995 5 20 HJBD CCOc1ccc(C(=O)N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)cc1[N+](=O)[O-] 817856395 FTRHORSBXOFLRG-SECBINFHSA-N 417.771 4.552 5 20 HJBD O=C(Nc1nc2ccc(Cl)nc2s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 819570269 YNSKMTORDZPFED-UHFFFAOYSA-N 417.859 4.629 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 829063960 YGNGBRXHXOFCCC-WQAKUFEDSA-N 415.254 4.547 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2cc(Cl)cc3cccnc23)c1 915440242 RPCWXELWYABWGG-UHFFFAOYSA-N 400.818 4.617 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1OC 916446201 DEGWCMBOOGRKNG-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD COC(=O)c1ccc(/C=C/c2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)nc1 917146529 PXUWYCPBAHPBBN-FPYGCLRLSA-N 420.421 4.534 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@@H](C)c3cc(F)ccc3F)cc2)c(C)c1[N+](=O)[O-] 917963888 JIZJFPIHGQQUSF-AWEZNQCLSA-N 415.396 4.653 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 919764505 RINJXMMMAXCCMY-JTQLQIEISA-N 404.435 4.953 5 20 HJBD CCNc1ccc(C(=O)N2CCC(c3nc4ccccc4s3)CC2)cc1[N+](=O)[O-] 8019536 RQQNAMDXMIHJSQ-UHFFFAOYSA-N 410.499 4.656 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)ccc21 8272247 CXCWJUAWYXCIDG-UHFFFAOYSA-N 417.421 4.746 5 20 HJBD CC(C)(C)CCNC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 27363778 MKSZOFCXUGENCB-UHFFFAOYSA-N 406.486 4.542 5 20 HJBD Cc1cc2occ(CC(=O)OCC(=O)Nc3c(C)cccc3[N+](=O)[O-])c2cc1C(C)C 28810206 XNESMTIEXBEXOM-UHFFFAOYSA-N 424.453 4.806 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 30770394 MFIJLDBKPHRPBN-UHFFFAOYSA-N 404.470 4.521 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CC=C(c3c[nH]c4ncccc34)CC2)cc1[N+](=O)[O-] 44223568 GLRUYWCJHWWODF-UHFFFAOYSA-N 404.470 4.698 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 51237615 JOQNFAQVZAAVGU-DNVCBOLYSA-N 403.866 4.880 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 51237617 JOQNFAQVZAAVGU-BEFAXECRSA-N 403.866 4.880 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1Cl 55804212 GKMKDIJGVVOSSO-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cccc(Cn3cccn3)c2)c([N+](=O)[O-])c1 59734387 NKIWPHPMRWVGNL-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD Cc1ccc(C(C)C)c(O[C@H](C)C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c1 410178432 HJBDHEKVMXNRLE-OAHLLOKOSA-N 414.458 4.594 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3ccc(Cl)cc3n2)cc1SC 410420545 SCTABCHUGUUTGH-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD CSC1(CN[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCC1 432519559 OTGULPVANPGIDM-GOSISDBHSA-N 419.934 4.803 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 432802266 DBXVHNOJKGLQFN-QGZVFWFLSA-N 423.513 4.834 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436069782 SWYCDLIJOJRBNE-UHFFFAOYSA-N 411.230 4.835 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(CCc2ccccn2)Cc2ccncc2)cc1[N+](=O)[O-] 438090942 WPBFPCRZGHKNNG-UHFFFAOYSA-N 418.497 4.567 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C 438984670 GGZBXEZIFZGLBG-ZDUSSCGKSA-N 413.474 4.894 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 441422668 LIYTWUDDRIBXEB-UHFFFAOYSA-N 407.648 4.878 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1 446000361 BRNKSEJQGHIMKZ-UHFFFAOYSA-N 413.817 4.665 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@H]([C@H](O)C(F)(F)F)C3)o2)c(Cl)c1 446976407 WKLGSFKZPXXZKV-GTNSWQLSSA-N 418.799 4.643 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccn1 447198774 IMTXJIZWWONELM-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD CNC(=O)c1ccc(N(C)[C@H](C)c2ccc(-c3ccccc3OC)cc2)c([N+](=O)[O-])c1 462912420 MYJPEKDLDQJDMF-MRXNPFEDSA-N 419.481 4.827 5 20 HJBD COc1cc(CNc2cnc3onc(C)c3c2)ccc1OCc1ccc([N+](=O)[O-])cc1 463248578 QWXLZLPPTRWIFQ-UHFFFAOYSA-N 420.425 4.639 5 20 HJBD CCc1nc2ccc(Cl)cn2c1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 463554381 YNMUSKXRPXZXPM-UHFFFAOYSA-N 400.866 4.509 5 20 HJBD Cc1nc(-c2ccccc2)ccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464666607 UCVOTNWMLUYFHG-UHFFFAOYSA-N 417.465 4.649 5 20 HJBD O=C(C[C@@H]1CCc2ccccc2C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 480137859 WKANMBCGMKUQIP-OAHLLOKOSA-N 406.404 4.681 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482313539 BZMBRTLUALSZRO-QGZVFWFLSA-N 419.485 4.720 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)cc1 486125412 WKYSZWLEOFUSBL-UHFFFAOYSA-N 423.494 4.922 5 20 HJBD Cc1ccc(OCc2cccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c2)cn1 486126859 XWNGAYGVLIRGEJ-UHFFFAOYSA-N 417.465 4.682 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1 486388091 QOTXIFGTACMTRO-UHFFFAOYSA-N 414.767 4.695 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487289464 GQUVKMRCSGVCJO-UHFFFAOYSA-N 402.454 4.531 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 489935547 KUMONWPEFDVHPH-ZCFIWIBFSA-N 413.299 4.580 5 20 HJBD O=C(c1cc(-c2ccc(Cl)cc2)on1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498160151 ZWVPWIPBQHWGNU-IBGZPJMESA-N 415.858 4.791 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 501065088 USIRQATWDJCBIK-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 502092458 NRAWGKQUGYOIJX-ZDUSSCGKSA-N 405.520 4.871 5 20 HJBD COc1cc(CNCc2ccc(F)c(C)c2)c([N+](=O)[O-])cc1OCc1ccccc1 509574652 ZMNAHQIZCDGJQI-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 510064382 JVAVIGPGBTYUBB-UHFFFAOYSA-N 401.463 4.835 5 20 HJBD COc1ccc(CNc2ccc(CN(C)C)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 512955059 MJPFYKBKXKXBQZ-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Cc1csc(-c2ccc(Cl)s2)n1 512994775 YSTMNAMOPBTQHA-UHFFFAOYSA-N 421.931 4.677 5 20 HJBD CC[C@@H](C)[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 518279093 BAOYNEGZGXAMQP-HXOBKFHXSA-N 424.545 4.646 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCC[C@H]1Cc1ccccc1 522587334 KRHSRFQIBOEGAN-IBGZPJMESA-N 407.495 4.956 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1ccc([N+](=O)[O-])cc1Br 523499509 UQMDSPSQGQHOOB-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(OC)c1 534074229 BZPCVSNATRLSPL-FQEVSTJZSA-N 409.442 4.604 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1C1CC1 536526141 MZDOQLNOCSTAFV-LLVKDONJSA-N 404.492 4.623 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)c1 536788212 AKOAKIQHHUDLPQ-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD CN(C(=O)CCc1c[nH]c2ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537153317 YKSVGAFGZXAKQW-UHFFFAOYSA-N 406.467 4.795 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537992044 UVUHGLCHKVXEQV-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccc(COCC(F)(F)F)cc1 538747869 DCDOLTIPPYVVPW-UHFFFAOYSA-N 410.392 4.529 5 20 HJBD C[C@H](CC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)C(=O)c1ccc(Cl)cc1 539688361 NEXYRVYTCZKYAP-CQSZACIVSA-N 400.862 4.648 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(C3CCCCC3)s2)cc1OC 543857572 BTNKEFCNAAQRQN-UHFFFAOYSA-N 405.476 4.759 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 544221728 UARZCJAXQUZILK-SJKOYZFVSA-N 421.400 4.820 5 20 HJBD Cc1ccc(-c2noc(-c3sc(NC(=O)c4ccco4)cc3C)n2)cc1[N+](=O)[O-] 544966505 ODUIVKSUPPMZIV-UHFFFAOYSA-N 410.411 4.835 5 20 HJBD CC1(C)CN(Cc2ccccc2)CC[C@@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 549163888 FELSYHCTYARDOJ-QFIPXVFZSA-N 420.513 4.640 5 20 HJBD CC(C)(NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cccc(C(F)(F)F)c1 551358839 QAQNBKQYNLKZEY-UHFFFAOYSA-N 406.364 4.689 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)c1 554871903 ZYAYJGMRAVKLCW-UHFFFAOYSA-N 423.400 4.637 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 574855242 VNPUFBBAOLWGCG-HYDGNGQDSA-N 414.527 4.918 5 20 HJBD COc1ccc(CC(=O)N[C@@H](C)c2cccc(OCc3ccccc3)c2)cc1[N+](=O)[O-] 577930489 QEUMWASKQBFNDC-KRWDZBQOSA-N 420.465 4.602 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC(Cc2ccccc2)Cc2ccccc2)c1 603522076 GXNYOROYLVMAKM-UHFFFAOYSA-N 406.507 4.901 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 603564067 FTNMALNWBRJRDS-UHFFFAOYSA-N 418.515 4.781 5 20 HJBD CC(C)c1ccccc1OCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742197 ODJJXDGNPGLCGX-UHFFFAOYSA-N 410.392 4.688 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)COc2ccc([N+](=O)[O-])cc2Cl)cc1 603900509 UDHBFCDQPIVVGM-LJQANCHMSA-N 418.877 4.780 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 604124662 AXOMGQRXIFHHAY-NSHDSACASA-N 402.501 4.649 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1nc(O)c2ccc(C(F)(F)F)cc2n1 604411545 KOCKQNWVBHEMPI-UHFFFAOYSA-N 420.391 4.673 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H]3CCCN(C(C)C)C3)c([N+](=O)[O-])c2)cc1 608973266 DHODVHDSWGQDNU-SFHVURJKSA-N 410.518 4.688 5 20 HJBD O=C(Cc1c[nH]c2ccc(F)cc12)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 609641338 NZMHBXKMVHPIBD-UHFFFAOYSA-N 417.396 4.627 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610089349 OAHIFSSUEHNXLW-UHFFFAOYSA-N 407.474 4.542 5 20 HJBD COc1cc(C(=O)N[C@@H](c2oc3ccccc3c2C)C(C)C)cc([N+](=O)[O-])c1OC 610175133 DLNBKMTVBNIQAZ-LJQANCHMSA-N 412.442 4.794 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291837 OWRKCEVMVPNRFW-UHFFFAOYSA-N 423.391 4.650 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(Cc2ccc(Cl)cc2)c1 610303859 VGPZIRYAXMTLCL-ZDUSSCGKSA-N 416.890 4.612 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC(OCc3ccccc3F)CC2)c1 611530965 ONLGHQJBJHIEKS-UHFFFAOYSA-N 410.392 4.517 5 20 HJBD Cc1ccc(-n2nc(C)c(NC(=O)CSc3ccc([N+](=O)[O-])cc3F)c2C)cc1 613525939 ABCISALPUINUNJ-UHFFFAOYSA-N 414.462 4.576 5 20 HJBD CCCCCc1ccc(OC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 732494865 PASMSGOXRAVNHE-QGZVFWFLSA-N 416.499 4.599 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCN(Cc2ccccc2)c2ccccc21 737621642 DWJUHRUSHASHRH-UHFFFAOYSA-N 405.429 4.791 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 739484377 DRWCPEBSRNNQLG-LBPRGKRZSA-N 403.385 4.922 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)OCc1ccc([N+](=O)[O-])cc1Br 739578684 NOFCGXSXWJNUKR-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD O=[N+]([O-])c1cc(NS(=O)(=O)c2c(Cl)cccc2C(F)(F)F)ccc1Cl 739667270 LOYQMSQUFYSYLP-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1c(NC(=O)[C@@H](C)[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 742469287 UWTZLDOHHMONSN-KXBFYZLASA-N 413.474 4.744 5 20 HJBD O=C(CCC(F)(F)F)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 744032355 UUGKXPAFQFHAKJ-UHFFFAOYSA-N 407.300 4.616 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C2CCC(c3ccccc3)CC2)cccc1[N+](=O)[O-] 745501519 NPVRKRNBEPWAHU-IVMQYODDSA-N 410.470 4.747 5 20 HJBD COc1ccccc1[C@@H](CN[C@@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)OC(C)(C)C 746049908 WKVNJUHNLBNBIF-HNAYVOBHSA-N 415.490 4.520 5 20 HJBD Cc1c(CC(=O)Nc2ccc([C@@H](C)NC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 747910040 IYOIKDZZZLLREG-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1ccc(Cl)c([N+](=O)[O-])c1 748663099 LNQSDHDAEARWNJ-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)oc2ccccc12 751094632 INFFCCRGJXWCEZ-LLVKDONJSA-N 403.232 4.903 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCCC[C@H]3C[C@H](O)c3ccccc3)n2)cc1 751559236 RDLGPKAPLXVIAV-SFTDATJTSA-N 422.485 4.513 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)[C@H](Oc1ccccc1)c1ccccc1 751646716 HRINOAIYZMBYPT-OAQYLSRUSA-N 404.378 4.600 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2c(C)nn(Cc3ccccc3)c2Cl)cc1[N+](=O)[O-] 752415842 AJJWMKROGOLHQF-CQSZACIVSA-N 412.877 4.601 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H](Cc2ccccc2)C1 754997373 MRPHVXOWFAPQMU-CQSZACIVSA-N 421.266 4.591 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])n1[C@@H]1CCC[C@@H](C)C1 758169384 OJYXELOMGMVFIA-BXUZGUMPSA-N 423.926 4.630 5 20 HJBD C[C@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 763006466 FPXUOPKXYNTFNT-LBPRGKRZSA-N 403.822 4.703 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nnc(-c2cccs2)o1 763815491 UGRXBBYXTBJAJZ-JTQLQIEISA-N 405.457 4.736 5 20 HJBD Cc1cccc([C@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)c1 773128322 FTBNLIOGMIFCLP-DEOSSOPVSA-N 402.498 4.646 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ncc(Cl)n1C 773890751 YBFIMFIFXHJJCY-GFCCVEGCSA-N 401.806 4.692 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cc(Cl)ccc1[N+](=O)[O-] 774921419 XCXZOYYTVPOOOU-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132485 HYYXRWQHKYMGMR-QFIPXVFZSA-N 417.396 4.932 5 20 HJBD O=C(C[C@H]1CCc2ccccc2C1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140475 YSOHYQZERBQRIE-XDHUDOTRSA-N 402.450 4.818 5 20 HJBD O=C(N1CCC[C@@H](c2nc3ccccc3s2)C1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778220566 YMEBSMPRRFIGTG-CYBMUJFWSA-N 417.437 4.703 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)C[C@@H](OC)C2 784239545 LOMIADRFIWENMA-SFHVURJKSA-N 400.500 4.853 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784530218 JIRFHLMXTJVVGL-NSHDSACASA-N 400.303 4.522 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3cccc(F)c3)c(F)c2)c([N+](=O)[O-])cc1OC 784738576 OIGGRMKFOUCPKF-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD Cc1cnc(Cl)c(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 787980772 OWXQVEPDCHAFJR-ZIAGYGMSSA-N 402.882 4.686 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 789241016 ZZTABBIRPDWTHP-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 792179331 HBCJZFYAQUJPJH-KBPBESRZSA-N 400.866 4.970 5 20 HJBD C[C@@H]1CC[C@H](CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 794254370 YZDNTSKWQNOOIO-KGLIPLIRSA-N 415.877 4.667 5 20 HJBD C[C@H](Nc1ccc(OC(F)F)c(C(F)(F)F)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 794891354 GAUQRYDCIKAZTP-VIFPVBQESA-N 419.306 4.654 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)c(OCc2cccnc2)c(C)c1 798542167 IYXNLBWXCPXIMJ-UHFFFAOYSA-N 421.453 4.837 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCSc1ccccc1Br 800143873 NAVBTZDBXXSJGU-UHFFFAOYSA-N 413.268 4.926 5 20 HJBD O=C(OCc1nc(-c2ccccn2)cs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 800338901 UBEPYHYQJNXRHE-UHFFFAOYSA-N 424.463 4.594 5 20 HJBD COc1ccc2cc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])ccc2c1 803453827 BJHOITNFPONDBR-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD COc1ccc(-n2ccn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2=S)cc1 813202736 LEUQXFXXOUFRBZ-UHFFFAOYSA-N 418.906 4.668 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 914511608 IOAJCWLZWPALOV-UHFFFAOYSA-N 405.410 4.654 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC1(c2ccc(Br)cc2)CCCC1 919673712 SHAIUCKQYQYURC-UHFFFAOYSA-N 405.292 4.925 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2ccc([N+](=O)[O-])c(F)c2)cs1 921266959 RQBPTSWSCZVFMA-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD C[C@](O)(CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc(F)cc1F 1116071320 ZBXRXKDZAFHPOR-FQEVSTJZSA-N 422.815 4.784 5 20 HJBD O=[N+]([O-])c1ccc(Sc2ccc(-c3nc([C@@H]4CN5CCC4CC5)no3)cc2)cc1 1254851929 XDBOCGUABOMNDB-LJQANCHMSA-N 408.483 4.605 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)c(Cl)c2c1 1317858692 SNRXHTNEFPHUPG-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD C[C@@H](OC(=O)CC12CC3CC(CC(C3)C1)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 8292027 BJYVAUHEAUJHGS-ZHHULCEESA-N 411.458 4.856 5 20 HJBD O=C(Cc1csc(-c2cccc(Br)c2)n1)Nc1cccc([N+](=O)[O-])c1 15629377 SKFSYLAMKHYXBD-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD COc1cc2ccccc2cc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528218 JGFLQBYPLQGBOC-ZDUSSCGKSA-N 419.393 4.725 5 20 HJBD COc1cc(C(=O)N[C@@H](C)C(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 33178124 SCDMOTNAPBHQJU-INIZCTEOSA-N 420.465 4.562 5 20 HJBD Cn1cc(C(=O)Nc2ccc3oc(C(C)(C)C)nc3c2)c(-c2ccc([N+](=O)[O-])cc2)n1 53475200 UOXCHRZPYHXPCJ-UHFFFAOYSA-N 419.441 4.686 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1C 53611781 BDNIXKXUZCNEFJ-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1C 53612069 ZDNRBHABPAUTLP-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)cc2)c1 55754448 XERRZXOTNHUTBV-AWEZNQCLSA-N 413.499 4.584 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 64420806 BPHOUZJEQRMZMZ-ZIAGYGMSSA-N 406.866 4.680 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 71878586 OBHAGHVWLJHYPR-UHFFFAOYSA-N 410.455 4.565 5 20 HJBD O=C(Nc1cc(-c2ccccc2)on1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 429277768 WDKXAJURIGQONE-UHFFFAOYSA-N 415.405 5.081 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 430616729 HGWWQNVFNRQDSP-YPMHNXCESA-N 407.239 4.515 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C/c2ccc(-c3ccccc3[N+](=O)[O-])s2)nc1O 432707623 YSOBVJSGNUPXMJ-ZRDIBKRKSA-N 411.439 4.556 5 20 HJBD Cc1noc(C)c1CCC(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435441709 HDOWRNNTLXECOG-UHFFFAOYSA-N 403.485 4.984 5 20 HJBD CC[C@H](Cc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436408263 FXXCUGIUMNJBCZ-MRXNPFEDSA-N 406.432 4.711 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(OC(F)(F)F)c2)c1 440824676 IBNDPZAQROXGLN-JTQLQIEISA-N 400.378 4.706 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OC(C)C 443974702 WBSBCJHQWHOVJO-HNNXBMFYSA-N 401.463 4.890 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1cccc(Oc2ccccc2)c1 445237250 INRHIKHRDUJAFW-UHFFFAOYSA-N 403.398 4.585 5 20 HJBD C[C@H]1C[C@H](c2cccnc2)N(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447691225 PNYMCVWGYOTRGW-CMPLNLGQSA-N 402.323 4.626 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc2c(c1)OC1(CCCCC1)O2 448102443 KQCJGBKOFJIXOC-CYBMUJFWSA-N 415.471 4.541 5 20 HJBD Cc1cccc([C@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 462186797 HSQUSTRKMCPJMU-OAHLLOKOSA-N 415.298 4.736 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1ccc(F)cc1[N+](=O)[O-] 466408412 FXEUPOGEFGOSFP-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cccc(C[S@@](=O)C2CCOCC2)c1 468584911 HARWMGCEWRAWSX-FCYNGFRYSA-N 414.527 4.538 5 20 HJBD CCC[C@@H](NC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469124878 TVROZHGGPHTDNE-MRXNPFEDSA-N 408.401 4.552 5 20 HJBD COc1cc(CN2CCC[C@@](C)(OC)CC2)c([N+](=O)[O-])cc1OCc1ccccc1 475569181 POGHIWNRFANCIR-HSZRJFAPSA-N 414.502 4.573 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)ccc2Br)c([N+](=O)[O-])cc1OCC 479077505 MGRDCCSMKWOFCY-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD COc1cc(C(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])c1C 480550417 HXYSJVMIUBKEHW-AWEZNQCLSA-N 408.376 4.560 5 20 HJBD Cc1c(CNC(=O)Cc2csc(-c3ccccc3Cl)n2)cccc1[N+](=O)[O-] 481473858 GPSSCCNSVAWTJR-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD CCCCn1ncc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C(C)C 482716814 BQLWMAMLDZZWKJ-CYBMUJFWSA-N 408.886 4.774 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1sc(Br)cc1[N+](=O)[O-] 485923536 HWMARRCBMSKTEE-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD CC[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1c(C)noc1C 486424313 MQSWNQNAMWIPNB-IBGZPJMESA-N 409.442 4.660 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1CCN(Cc2ccccc2)C1 486522444 YDWSWWIENBMBIR-FXAWDEMLSA-N 422.554 4.719 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cnn(-c3ccc(F)cc3)c2C(C)C)cc1[N+](=O)[O-] 488036360 APVQTOQTNDISJX-HNNXBMFYSA-N 410.449 4.842 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc2c(n1)CCC(C)(C)C2 488456030 KQFGYTSPRCXBDD-UHFFFAOYSA-N 414.531 4.900 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(n3ccc(C(F)(F)F)n3)CC2)o1 497196650 BDLJLVXCFRHERE-UHFFFAOYSA-N 420.391 4.907 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1ccc([N+](=O)[O-])c2cccnc12 498297850 MHWHBPLMTSJWGB-UHFFFAOYSA-N 404.451 4.505 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)NC(C)(C)C)c1 504078023 USTIYOOLFPKFDI-UHFFFAOYSA-N 415.515 4.878 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](OC)c1ccc(F)cc1 504535184 YXLLHLAHLLQQKB-DJJJIMSYSA-N 406.479 4.742 5 20 HJBD CN(C(=O)c1ccccc1[N+](=O)[O-])[C@H]1CCSc2ccc(Br)cc21 504552320 JPMSKHBEOOPBJB-AWEZNQCLSA-N 407.289 4.666 5 20 HJBD CS(=O)(=O)c1cc(SCc2coc(-c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 507637572 YAHMXWNTRKADBJ-UHFFFAOYSA-N 424.887 4.599 5 20 HJBD COc1ccc(Br)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509244682 DHDAIQSQKURNEH-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CC(C)NC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 513051448 MEOCJNVEGLZFRA-UHFFFAOYSA-N 422.323 4.580 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3NCc3ccccc3)cc2o1 514835513 QNNVLLOSZUSUDO-UHFFFAOYSA-N 402.410 4.909 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cncn3-c3ccc(F)cc3)n2)cc1[N+](=O)[O-] 515164745 UPRZSVSONFLLAY-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(Nc1ccc2[nH]c(-c3ccco3)nc2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 515583688 KSDUBIKOHVVXPU-UHFFFAOYSA-N 417.425 4.584 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCCc2cc(Br)cc(Cl)c21 517154735 ZRJAOHFXKLUPSZ-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD C[C@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N[C@H]1CCOc2ccccc21 517991634 ISHPMQUNVZURPA-JXFKEZNVSA-N 418.449 4.669 5 20 HJBD O=C(Nc1cccc(-c2ccc3ccccc3c2)n1)c1cc2c(cc1[N+](=O)[O-])OCO2 521507189 WLQKOJPXJFHALB-UHFFFAOYSA-N 413.389 4.791 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc21 523506620 ABRUGZJRVFWDPS-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@@H](Cc4ccccc4)C3)cc2[N+](=O)[O-])CC1 524641404 KGIYJYIVSGPVLW-FQEVSTJZSA-N 407.514 4.536 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 530743615 DURNROKKKHHLJW-LBPRGKRZSA-N 403.891 4.976 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccc(NC(=O)C2CCCC2)c1 536686262 AAXAHIAICICDBO-UHFFFAOYSA-N 409.486 4.579 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])C2CC2)cc1 537014322 JSTJSGCLONSWRN-HXUWFJFHSA-N 403.438 4.547 5 20 HJBD Cc1cc(NC(=O)c2c(-c3cccc(Br)c3)noc2C)ccc1[N+](=O)[O-] 537444342 HAUSYELKJCDUET-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Oc2ccc(Cl)c(C(F)(F)F)c2)s1 543031848 URASQIOVFMBVHK-UHFFFAOYSA-N 401.771 4.524 5 20 HJBD Cc1cc(Cc2noc(-c3cc(NC(=O)C(C)C)ccc3Cl)n2)ccc1[N+](=O)[O-] 545144597 OSTCGWBWEUXACR-UHFFFAOYSA-N 414.849 4.792 5 20 HJBD CC(C)CN(C(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@H](C)c1ccccc1Cl 569496173 VGWYBLJMBXBQCR-CYBMUJFWSA-N 421.856 4.572 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2nc3ccccc3n2C)cc1)c1cccc([N+](=O)[O-])c1 577452743 CTYRWGRLTXCHJT-OAHLLOKOSA-N 400.438 4.891 5 20 HJBD CCC(=O)N1CCCC[C@@H]1c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 578632524 AVIIUOSNRCXZNM-LJQANCHMSA-N 422.441 4.901 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc([C@H](C)c2cccc([N+](=O)[O-])c2)n1 578800220 ZWMFHRRSCRANTF-BZNIZROVSA-N 418.247 4.628 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)Nc1c(F)cc(F)cc1-c1ccccc1 581688496 FVMIKXQLFNLULO-UHFFFAOYSA-N 404.319 4.999 5 20 HJBD CC[C@H](C)N(Cc1ccccc1)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 603565351 DCWGSAANXSOVPA-INIZCTEOSA-N 402.516 4.664 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2ccc(OCc3ccccn3)cc2)cc1[N+](=O)[O-] 603983463 VYRRZWZQZZYQMA-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD COc1ccc(-c2ccc(CNCCCCc3nc(C)cs3)o2)c([N+](=O)[O-])c1 608910826 PZCGXTOVAQVSDR-UHFFFAOYSA-N 401.488 4.741 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc(F)c(Cl)c3)cs2)cc1[N+](=O)[O-] 609012427 WMFVRAPADUDDKU-UHFFFAOYSA-N 421.837 4.701 5 20 HJBD Cc1sc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)nc1-c1ccccc1 609547267 AVZFHUJBSAZIHS-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1 611826508 YKCNVDMSBFEYMQ-NRFANRHFSA-N 407.426 4.523 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828471 IYSAPLYHMLBCOR-JTQLQIEISA-N 422.812 4.649 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(Cl)cc21)c1cc([N+](=O)[O-])ccc1N1CCCC1 726933237 GYCUXYXVDLDXJR-QGZVFWFLSA-N 417.918 4.815 5 20 HJBD CC(=O)c1cccc(O[C@H](C)C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728166270 YZHIITHDTQCHLU-CQSZACIVSA-N 416.861 4.661 5 20 HJBD C[C@@H](NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(F)c(Br)c1 730982440 WEMXCBQZRXOWSD-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CCc1nnc([C@H](C)OC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)o1 732318548 HSNXUZOTUWDRSP-ZDUSSCGKSA-N 413.455 4.918 5 20 HJBD C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 735424199 IAMHDVIBYKGBCH-SNVBAGLBSA-N 409.776 4.840 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)o1 739611522 FYEAXPRULSWDDU-QGZVFWFLSA-N 401.419 4.724 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1c1ccc(F)c(F)c1 739729561 XSLQQVDZNWAZQD-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD CSC(C)(C)CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 743488013 DRIYUKSPEIGVSY-KRWDZBQOSA-N 407.923 4.659 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])C(C)C)cc1OCC 745375515 OTEKAYDFFZUWIU-FQEVSTJZSA-N 404.438 4.658 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CSCC(=O)c1ccc(Cl)cc1 747393094 OXHRDMBPDSGPQX-UHFFFAOYSA-N 413.282 4.765 5 20 HJBD CCc1oc2ccccc2c1CN(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748614442 RXWIKPXBGHZKCU-UHFFFAOYSA-N 411.414 4.701 5 20 HJBD Cc1c(C(=O)Nc2ccc3oc(C(C)(C)C)nc3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748711653 SPQWUIVZIKZWOS-UHFFFAOYSA-N 412.402 4.811 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)c1[N+](=O)[O-] 753092342 AAFSZKKSYZUGEC-HNNXBMFYSA-N 409.417 4.507 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 753941032 WGPQECWBLIUGJJ-MDKPJZGXSA-N 409.486 4.613 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)NCc1cc([N+](=O)[O-])ccc1Cl 756989624 CHMZNRGJPMNKDB-UHFFFAOYSA-N 421.689 4.896 5 20 HJBD CC(C)OCc1c(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)oc2ccccc12 756992856 JFQSCWDHKHKMCN-UHFFFAOYSA-N 402.834 4.849 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nc(C)c(Cc3cccc(F)c3)s2)c1[N+](=O)[O-] 760381879 HTOPCBSMFMPGFA-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(C[C@H]1CCCO1)c1nc2ccccc2s1 764150956 QSXLNOAWVDXIRP-CYBMUJFWSA-N 417.874 4.684 5 20 HJBD C[C@H]1C[C@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCN1Cc1ccccc1 770012589 COHGZBSSPVPKHX-MAUKXSAKSA-N 416.909 4.765 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3sccn23)no1 771325062 RDKWUTRCEYKQAM-MRVPVSSYSA-N 407.864 4.861 5 20 HJBD Cc1ccc(-c2nc(C(=O)OCc3ccc(Br)c([N+](=O)[O-])c3)cs2)o1 773396454 VQOXEXDSRCZTPB-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD C[C@@H](Nc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ccccc1 773498947 SZGMDJWTIJXHQV-CQSZACIVSA-N 406.398 4.928 5 20 HJBD C[C@@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 773601598 CAPUTIYTEAYBPS-SSDOTTSWSA-N 403.254 4.622 5 20 HJBD Cc1c(C(=O)COC(=O)c2sc(Br)cc2[N+](=O)[O-])oc2ccccc12 773605085 MMXZKPHODKBXDX-UHFFFAOYSA-N 424.228 4.513 5 20 HJBD Cc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1[N+](=O)[O-] 784646808 FZAHULDPSHCOAJ-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD Cc1ccc([C@@H]2C[C@H](C)N(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)cc1 786218309 OPJJLLKROIZBHU-DZGCQCFKSA-N 403.276 4.684 5 20 HJBD CC(C)(NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)C1CCOCC1 786338528 XQNCZAJLVSMQBT-UHFFFAOYSA-N 400.500 4.681 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 788073179 UJXIXNSRPXEJDQ-HNNXBMFYSA-N 405.288 4.678 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 801608462 SNNJYTFFUCMUPP-UHFFFAOYSA-N 416.743 4.783 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c([C@@H](C)O)c3)cc2[N+](=O)[O-])C1 804802162 FUTVXGNDQWRIKY-QLFBSQMISA-N 415.465 4.522 5 20 HJBD COc1cccc(CCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1F 808322772 SRJSYUCAVXGNTN-UHFFFAOYSA-N 406.841 4.772 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 810264577 WHUBMEYVGLEELK-IAGOWNOFSA-N 411.502 4.922 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)CC[C@H]1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813038210 SFYQXIVBRNGACI-FZKQIMNGSA-N 422.312 4.542 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 813235433 KONSPRQEIQDQDN-RXMQYKEDSA-N 414.192 4.868 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)c2nc(O)c3c(C)c(C)sc3n2)cc1[N+](=O)[O-] 815407309 OVEHEZIZJNRUHP-VIFPVBQESA-N 421.862 4.802 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)nc1Cl 817866890 OPUMNRHRUZBWLT-MRVPVSSYSA-N 422.190 4.735 5 20 HJBD Cc1ccc(CNc2ccc(NC(=O)[C@H]3CCCO3)cc2C(F)(F)F)cc1[N+](=O)[O-] 863997024 PGSQDYDCKBJTQJ-GOSISDBHSA-N 423.391 4.652 5 20 HJBD Cc1sc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c(C(N)=O)c1-c1ccccc1 913193628 VKZLMIZJNIEORV-UHFFFAOYSA-N 415.858 4.636 5 20 HJBD COc1cccc(-c2nc(/C=C3\C(=O)Nc4cc(Cl)c([N+](=O)[O-])cc43)cs2)c1 915114384 LTNBCDABEGJKEF-NSIKDUERSA-N 413.842 4.873 5 20 HJBD CCOC(=O)c1c(-c2ccc(F)cc2)csc1NC(=O)c1csc([N+](=O)[O-])c1 916032924 HPRKCYRMADOPIT-UHFFFAOYSA-N 420.443 4.953 5 20 HJBD O=C(OCc1nc2cc(Cl)ccc2n1Cc1ccccc1)c1ccc([N+](=O)[O-])o1 934275008 XZADJCBYBKSWHC-UHFFFAOYSA-N 411.801 4.596 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccccc1OC(F)F 1320413300 WSYZKDIXLBBPTD-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])cc3c2OCCO3)o1 1322205961 RTINOZMICVWAHB-ZDUSSCGKSA-N 419.393 4.709 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)c(C(F)(F)F)c1 8377465 RFCSQHABPZDVHO-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 10291032 QQJTYRLSWCVQSN-GOSISDBHSA-N 415.402 4.531 5 20 HJBD Cc1sc(NC(=O)Cc2ccccc2[N+](=O)[O-])nc1-c1ccc(OC(F)F)cc1 10955667 MCDAELXOKOWXQM-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD O=C(CSc1ccccc1Br)Nc1ccc([N+](=O)[O-])cc1Cl 16360435 PVWJZLXGHRCXGN-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD COc1ccc([C@H](CNC(=O)c2cccc([N+](=O)[O-])c2)c2c[nH]c3ccccc23)cc1 18074495 DAUXFYHXHALEFU-NRFANRHFSA-N 415.449 4.647 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 39517905 UEICSBVTGJXHEX-LLVKDONJSA-N 412.364 4.512 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCc2c(Cl)cccc21 55712695 KQHAFOJNXCTCRT-FQEVSTJZSA-N 402.881 4.612 5 20 HJBD COC(=O)c1ccc(-c2nc(COc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 60245534 KLUVOXIEOXZHBZ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1CCCN(Cc2ccccc2)C1 72086672 WUNMKDJSURXLRN-SFHVURJKSA-N 422.510 4.569 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c(Br)c1 161178721 XKAOOQGTQOSRFI-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(NCCOC1CCCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 195587974 QOBGFQDZJIJVQM-UHFFFAOYSA-N 404.850 4.730 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(OCC4CC4)nc3)o2)c(Cl)c1 237819346 NVOCTFLZIZUABK-UHFFFAOYSA-N 413.861 4.982 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccco3)n2Cc2ccco2)c(F)c1 301813366 ZBHLBFVFGMTCKM-UHFFFAOYSA-N 404.354 4.517 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C1 303505364 CEDUNTDZAGBMSN-QFIPXVFZSA-N 407.495 4.912 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCC1CC(F)(F)C1)c1ccccc1 427842030 ZYIPJYVQTXPBLN-QGZVFWFLSA-N 409.820 4.563 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 431135746 CJDSABXJCCUFPB-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(F)cc1Br 435253020 ZLSKOYVHBGXOFZ-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)[C@H]1Oc2ccccc2[C@@H]1C 444220290 BMJGQSPFUFMUAG-XACMVJRISA-N 416.452 4.503 5 20 HJBD C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444245562 XYQSQPZAOREKNN-KBXCAEBGSA-N 418.443 4.978 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1c(F)cccc1Sc1ccccc1 444630546 HKZJERLYAIBUJK-UHFFFAOYSA-N 422.441 4.691 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4c[nH]c5ccccc45)no3)cc2)c(C)c1[N+](=O)[O-] 445441637 KDVDHDWNICADGJ-UHFFFAOYSA-N 414.425 4.655 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)no1 462486359 QRHHZDJDJWEUSN-LJQANCHMSA-N 421.497 4.910 5 20 HJBD CC1CCN(c2ccc(CNc3ccc([N+](=O)[O-])cc3Br)cn2)CC1 462786231 WHZSIHHMDMIEJP-UHFFFAOYSA-N 405.296 4.601 5 20 HJBD CC(C)(NC(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])cc1 463710002 XWJDHTMSHBQRBR-UHFFFAOYSA-N 419.485 4.851 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ncc(-c4cccc(Cl)c4)o3)CC2)cc1 464770666 CFPJDPKTDRIVGF-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD C[C@@H](c1nc2ccccc2n1C(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464778387 NXHHHAXHMAXJPD-AWEZNQCLSA-N 416.428 4.944 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)c1c(N)cccc1[N+](=O)[O-] 468655738 OFFZUPZJICNKME-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD CC[C@@H]1CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)CC[C@H]1c1ccccc1 470009347 ODCRDACJDGSASK-WIYYLYMNSA-N 407.514 4.851 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@H]2C)cc1SC 470580521 GHEDUDKYUWLQIU-ZBFHGGJFSA-N 400.500 4.652 5 20 HJBD Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cc1NC(=O)Nc1ccccc1 470704129 HBRCFKBQTHDDII-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD COc1cc(C(=O)Nc2ncc(C)o2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 471133135 IWFANANKKKGKCL-UHFFFAOYSA-N 403.778 4.598 5 20 HJBD COCCCC[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 472170787 ITRKCKHKJFTJFB-LJQANCHMSA-N 405.882 4.605 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cc(SC)ccc3[N+](=O)[O-])s2)cc1 473482870 MGOSSHVQBITRCS-UHFFFAOYSA-N 414.508 4.984 5 20 HJBD Cc1ccc(C)c([C@@H]2CCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 475557881 BUVSTHBHRUTYNE-NRFANRHFSA-N 407.514 4.792 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 478936557 GCJUQBYFVGXXNV-UHFFFAOYSA-N 415.837 4.649 5 20 HJBD Cc1c(CNC(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)cccc1[N+](=O)[O-] 479676412 WGCRDCCTYGCQJG-HXUWFJFHSA-N 410.861 4.540 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2C)CC1 482561388 RKUNFQSFRNQQGN-ZWKOTPCHSA-N 414.525 4.596 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 493250675 DWDSEIVPKZMIIX-HNNXBMFYSA-N 412.490 4.704 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccc(F)cc1)CC(F)(F)F 497367522 RIEBQYQKXVGPLO-UHFFFAOYSA-N 422.281 4.540 5 20 HJBD Cc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cccc1C(=O)Nc1ccccc1 498928343 JJASILCPMDIILI-UHFFFAOYSA-N 418.453 4.819 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(OCC(F)(F)F)c(F)c1)c1cccc([N+](=O)[O-])c1 504966215 XBCMOBREDKBLFA-SNVBAGLBSA-N 401.316 4.558 5 20 HJBD Cc1ccc(C(=O)CCC(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)s1 505078056 HMHJYJANJVFJEE-UHFFFAOYSA-N 422.462 4.797 5 20 HJBD CC[C@@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 510743814 BGJXKYUWUFEUJK-MWLCHTKSSA-N 403.287 4.998 5 20 HJBD CN(CC(=O)Nc1ccc(Cl)c(Cl)c1)c1ccc([N+](=O)[O-])c2cccnc12 514692985 PDQHIFLWPBMINE-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD C[C@H]1CC[C@@H](C)N1[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 518866030 KSRWMFNUHJFOOT-NSHGMRRFSA-N 423.513 4.865 5 20 HJBD CC[C@@H](CC(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1)C(C)C 521524844 ZOIKWVZJHDKMHW-HNNXBMFYSA-N 405.520 4.770 5 20 HJBD CCOC(=O)c1cc(F)c(F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 525010629 BJCLHFRBAIBEQE-UHFFFAOYSA-N 406.366 4.517 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCN1C 525616574 SWEQABGRNBETOS-DZGCQCFKSA-N 419.934 4.612 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)CCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 532489908 XWFBYKFVKRVUER-CYBMUJFWSA-N 423.391 4.605 5 20 HJBD Cc1sc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)nc1-c1ccc(F)cc1 533922370 NBDTVYFVQLYYQI-UHFFFAOYSA-N 410.430 4.828 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnc([C@H]2CCCO2)s1 534093491 PYBLPWAOYBSMPS-QGZVFWFLSA-N 411.439 4.947 5 20 HJBD COc1cc(CNc2cnn(C(C)(C)C)c2)c([N+](=O)[O-])cc1OCc1ccccc1 536376563 AOYYBPZSLLWFAO-UHFFFAOYSA-N 410.474 4.746 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(-c4n[nH]c(C)n4)cc3)o2)c([N+](=O)[O-])c1 537777006 PHXBFSDEKFHCMW-UHFFFAOYSA-N 405.414 4.569 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Cc2cccc([N+](=O)[O-])c2C)C3)cs1 537931078 ZMZRETGSHUNYDE-UHFFFAOYSA-N 413.524 4.524 5 20 HJBD C[C@@H](N[C@@H](CO)c1c(F)cccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537983433 LNMGOHHXGDQJFD-WBMJQRKESA-N 404.438 4.986 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(S(=O)(=O)C2CCCC2)cc1 537991132 MAYXNFCYSVFKHP-JKSUJKDBSA-N 402.516 4.723 5 20 HJBD COc1cc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)ccc1[N+](=O)[O-] 538347623 YWYCNTOJZJEZKS-CQSZACIVSA-N 422.281 4.656 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cnn1-c1ccccc1F 539327358 WZBGCPQXQKTBCH-UHFFFAOYSA-N 414.462 4.981 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)Cc1ccco1 541396344 PMNRUXDMIHVSCU-UHFFFAOYSA-N 406.847 4.755 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(Cc2nc3ccccc3n2C(F)F)no1 545618351 WELDJBUDWDWCHK-VURMDHGXSA-N 415.331 4.623 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cccc(C(F)(F)F)n4)no3)cs2)c1 545753271 XECICCBAQVOSIK-UHFFFAOYSA-N 419.344 4.849 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2cc(-c3ccccc3Cl)on2)n1 547090516 QMZHFCUCAANCPK-UHFFFAOYSA-N 411.805 4.608 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 547173892 KKURVOAZKGHUHX-UHFFFAOYSA-N 421.862 4.712 5 20 HJBD C[C@H](C(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 552716451 QLDLYPHNNMGERE-SFHVURJKSA-N 416.477 4.630 5 20 HJBD O=C(c1ccc(OC[C@H]2CCCO2)cc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 554141630 IOLPPPKZMYWDRG-JOCHJYFZSA-N 410.470 4.742 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2cccnc2)cc1 564120543 OXUJCLRDACAAFV-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 565093856 VKYLBYPSMDGASV-LBPRGKRZSA-N 401.369 4.562 5 20 HJBD Cc1cc(C)nc(N(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 566788961 GZGASZDXFAUVNW-UHFFFAOYSA-N 412.833 4.724 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 574855241 VNPUFBBAOLWGCG-GUNSETOZSA-N 414.527 4.918 5 20 HJBD CCN(Cc1ccncc1)Cc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 583592164 VVFMTWCVBFTKGB-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(Cl)cn1 583708452 YFANSMZJZVQBIV-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CCS[C@@H](c2ccccc2)C1 585699515 ZGKSOIZUSXFOSS-QGZVFWFLSA-N 421.316 4.596 5 20 HJBD CC(C)Oc1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cn1 603681763 FMXAFPIOSCAJDX-UHFFFAOYSA-N 406.486 4.835 5 20 HJBD COc1cc(CNC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCCC(C)C 604129894 GDMKONMVEPCZKY-INIZCTEOSA-N 415.490 4.589 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3ccc(F)c(F)c3)cc2[N+](=O)[O-])C1 604343438 UXBBXHAFRVRCEQ-ZIAGYGMSSA-N 403.429 4.632 5 20 HJBD COc1ccc(CN2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)cc1[N+](=O)[O-] 609315847 CWEMPQNTPSYPMD-GOSISDBHSA-N 415.248 4.623 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC(F)(F)F 609722096 BTHIROWQTQVEKM-UHFFFAOYSA-N 404.728 4.554 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc4ccsc4s3)cc2[N+](=O)[O-])CC1 609795358 OIPLUJGOVROXJF-UHFFFAOYSA-N 402.501 4.755 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)C[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 610126605 VHSZMLQNVVIYSG-QWHCGFSZSA-N 404.850 4.920 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)Cc3cccc([N+](=O)[O-])c3)s2)cc1Cl 610222843 DVTGGIOXNCCBPL-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD Cc1noc(C)c1N(C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610414281 OVTKUHMNDYMEIC-UHFFFAOYSA-N 401.806 4.922 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(Br)c(F)cc1Cl 610578629 NDMVSTLRBCEIRV-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD CN(C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1CCCc2c1cnn2C 610973222 ACIDHRTVTNFGAS-FQEVSTJZSA-N 421.457 4.662 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(Oc2ccccc2Cl)CC1 611097963 PTPJSEMQQSANAW-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD Cc1nc([C@H]2CCCCN2Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)no1 611263285 NYVFOLUALURTOK-QGZVFWFLSA-N 402.838 4.927 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)cc1O 613032425 JOQDPISEQFAPFA-UHFFFAOYSA-N 421.240 4.662 5 20 HJBD Cc1cccc(C(=O)Nc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)c1[N+](=O)[O-] 729410341 PMKAREFRWZVWJK-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD Cc1cccc2c1CCN(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 729415177 PSUATKQWHJAGCR-KRWDZBQOSA-N 416.481 4.670 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 732389230 DXWWHKCRZNDIRR-CHWSQXEVSA-N 422.890 4.547 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)Cc1cc([N+](=O)[O-])ccc1Cl 735492655 RDDAHYULUAFNLJ-UHFFFAOYSA-N 415.799 4.728 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=S)Nc1ccc(OC(F)F)c(Cl)c1 735624048 BDZGHJONPNWXIK-UHFFFAOYSA-N 401.822 4.678 5 20 HJBD CCCn1cc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 742721696 MXFGHIMNSLXKPN-UHFFFAOYSA-N 400.822 4.899 5 20 HJBD C/C(=C\C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1Cl 742874177 KCCGUFBNTTWHIL-CCEZHUSRSA-N 400.862 4.722 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc([N+](=O)[O-])ccc3Cl)c2)cc1 746897782 VCMKXCLSTTYFSA-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 747285072 ARAKZDKPMNEAPJ-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1F 755067356 JHTAAWFLBKWARJ-NWDGAFQWSA-N 417.368 4.639 5 20 HJBD Cc1cc(NC(=O)C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1-c1ccccc1 762679112 UOJMZUBELHVGCN-KRWDZBQOSA-N 417.465 4.695 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC[C@H]1NC(=O)OC(C)(C)C 769428321 YFYJBYBOJMJAPB-DNVCBOLYSA-N 415.490 4.590 5 20 HJBD COc1ccc([C@H](C)CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776132039 HKNRTOBIIJFDKM-WAIKUNEKSA-N 406.438 4.825 5 20 HJBD Cc1cc(OCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])ccc1Cl 776132270 GWBXVZYYPGIYHZ-NRFANRHFSA-N 412.829 4.663 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cccc(Cl)c1[N+](=O)[O-] 778106623 SDLSTZVPEYJUMT-JTQLQIEISA-N 414.676 4.564 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780365030 JDJBIHSLICERFO-UHFFFAOYSA-N 401.422 4.502 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(-c2ccccc2)no1 781259113 JFHYEHWCOWSXQE-CQSZACIVSA-N 411.417 4.649 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 782836004 HTVRVDMMQBDSOD-HXUWFJFHSA-N 411.483 4.732 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OC[C@@H](O)c1ccccc1C(F)(F)F 790139858 QJKPNUDPMYGEBN-CYBMUJFWSA-N 424.144 4.628 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 791143355 LUXNKBSEZYDRND-UHFFFAOYSA-N 407.426 4.564 5 20 HJBD CCOC(=O)/C(=C/C1=Cc2cc(Cl)cc(Cl)c2OC1)c1ccc([N+](=O)[O-])cn1 794950405 UZNLCLBRXQQNCH-GIDUJCDVSA-N 421.236 4.719 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 800846678 UTYQPCVRNIZKHX-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC 809917800 BEDQJRIWJFSFHC-CQSZACIVSA-N 405.838 4.634 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cs2)cc1 811528117 DMHYRGBWIUZRAA-WDZFZDKYSA-N 410.451 4.695 5 20 HJBD O=C(Nc1cccc(OC[C@@H]2CCCO2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812876662 ODVOCMMVRYCPJU-AWEZNQCLSA-N 411.241 4.712 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cncc(-c2ccsc2)c1 816257326 VAQPWMZURXSKJI-ZDUSSCGKSA-N 400.481 4.942 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)Oc1cccc([N+](=O)[O-])c1 818790693 YVCZILDOIVQWCT-UHFFFAOYSA-N 408.357 4.885 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)NC2=S)c(C)c1 917608193 XYSRXNMMHYMWES-PDGQHHTCSA-N 419.462 4.734 5 20 HJBD Cc1csc(CCCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 1116300998 HFVSJFXFINDAAT-UHFFFAOYSA-N 413.524 4.874 5 20 HJBD O=[N+]([O-])c1ccnc(-c2nc(O)c3c4c(sc3n2)C[C@@H](c2ccccc2)CC4)c1 1255261978 KZEWYLQNZNGKBQ-ZDUSSCGKSA-N 404.451 4.640 5 20 HJBD C[C@@H](Cc1ccc(Br)cc1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1326927226 IZGGGNNLNRCSBY-LBPRGKRZSA-N 418.247 4.666 5 20 HJBD O=C(CCCC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)c(Cl)c1 1335622076 WIWWDIUBZRZRBJ-UHFFFAOYSA-N 407.253 4.797 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1ncc(C(F)(F)F)cc1Cl 9160155 FTYMEAQWYBKSFE-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD O=C(CSc1ncc(-c2ccc(Cl)cc2)o1)Nc1ccc(F)c([N+](=O)[O-])c1 11300185 IEIWGKMUYABRCX-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@H](C)OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 26342576 FPPUETSPSDPSJQ-ZDUSSCGKSA-N 402.422 4.524 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(-c3ccncc3)n2Cc2ccccc2)c1 28608879 VOKASUJNZKYYMF-UHFFFAOYSA-N 403.467 4.589 5 20 HJBD CC[C@@H](C)NC(=O)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1CC)C(C)C 31747632 JHRSQCNLLVAWMR-QRWLVFNGSA-N 411.571 4.601 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32146683 MDTVNUMAUVTVQZ-UHFFFAOYSA-N 422.388 4.687 5 20 HJBD Cc1ccc(NC(=O)CSc2ccccc2C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 68874621 BSAUZACLECHXGL-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c12 105499697 OCTAXAFIRVBGAA-UHFFFAOYSA-N 421.844 4.758 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)cc2-n2cccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 111216521 BRVDNTAUINDXPA-UHFFFAOYSA-N 400.778 4.508 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1)c1cccs1 115501970 JONWYPUUOYPEFR-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1cc(C(=O)Nc2ccnn2Cc2cccc(Cl)c2Cl)cc([N+](=O)[O-])c1 195691160 VAHKOLWYRMDFEE-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CCOCCCN1CC[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)[C@@H](C)C1 236866788 ZNMCPWSNXNACQR-PXNSSMCTSA-N 417.575 4.550 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cc(OC)c(OC)cc1-c1ccccc1 237194821 WSCZZOGTHMIQPB-UHFFFAOYSA-N 408.454 4.577 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1Cc2ccccc2-c2ccccc2C1 301407258 MNZRIJJRDZMLCG-UHFFFAOYSA-N 401.422 4.739 5 20 HJBD COc1cc(N2CCC(c3nc4cc(Cl)ccc4o3)CC2)c(F)cc1[N+](=O)[O-] 301995924 SOTSSCDPSKWUJQ-UHFFFAOYSA-N 405.813 4.921 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1nnc(COc2ccccc2Cl)n1C1CC1 302922625 VSJHRBCIKDIKOE-UHFFFAOYSA-N 402.863 4.905 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC[C@H](c2nccs2)C1 426456028 CODVIPQXHZNQAD-KRWDZBQOSA-N 423.494 4.650 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CCOC(c3ccccc3)c3ccccc3)n2)c1 426594268 SDXLUQMZHUSHFW-UHFFFAOYSA-N 400.438 4.660 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 429955077 WSXQIKVMYZXZHM-SNVBAGLBSA-N 420.288 4.800 5 20 HJBD Cc1cc(OCC(=O)N[C@H](C)c2sc(-c3ccccc3)nc2C)ccc1[N+](=O)[O-] 430404706 WXHRKCUCJFNGLH-CQSZACIVSA-N 411.483 4.591 5 20 HJBD CN(Cc1cc(F)cc(F)c1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 434341631 NIPMMUWHLZMXGI-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD O=C(NCc1cccc(C(F)(F)F)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435817166 JYNAQRDESUMQCU-UHFFFAOYSA-N 414.358 4.769 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(OCC2CCCC2)CC1 444232290 PIXLPYUGERIAHN-UHFFFAOYSA-N 422.500 4.632 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccoc3Br)n2)cc1[N+](=O)[O-] 447194489 SIZPPDFPDVJVCP-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)c1 462433981 ZFKJZDSBMGYNFS-CQSZACIVSA-N 403.866 4.804 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(OC(F)F)cc1 463308723 KIENVNJFGJTNPP-VIFPVBQESA-N 404.291 4.706 5 20 HJBD O=C(NCCc1ccn(-c2ccc(F)cc2)n1)c1cc2ccccc2c2cccnc12 463942006 CLNCWXHPUMHCHT-UHFFFAOYSA-N 410.452 4.685 5 20 HJBD CC[C@@H](C)[C@H](O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467921912 YPGVPQXZMGNMNT-MLGOLLRUSA-N 408.907 4.536 5 20 HJBD COc1cc(C(=O)NC[C@H]2CCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 468466065 JBPVEEVIVDYVBL-GFCCVEGCSA-N 422.890 4.532 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc(OCC(C)C)c(OC)c1 470814840 WIONWOURNZUGIW-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD Cc1nc(NC(=O)c2ccccc2-c2ncc(-c3ccccc3)o2)ccc1[N+](=O)[O-] 475313144 CIKQSKUFTMSUQA-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccc(COCC(F)(F)F)cc1 475548111 LXEYNPIJFIIODB-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 480545024 IUJLZZGIUGCXOH-UHFFFAOYSA-N 412.490 4.719 5 20 HJBD CCOc1cc(NC(=O)N2CCC(c3ccsc3)CC2)c([N+](=O)[O-])cc1OCC 486089368 LAZCDRLHQBXMDW-UHFFFAOYSA-N 419.503 4.865 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3ccc(Oc4cccc(F)c4)nc3)n2)cc1 486941564 TXONVIZQODPPRM-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(F)c2ccccc12 487959580 RODXSFWBEKCWSQ-ZIAGYGMSSA-N 411.433 4.574 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C2(c3ccc(Br)cc3)CC2)cc1[N+](=O)[O-] 488037554 FOMAPHZEJFOHCN-ZDUSSCGKSA-N 403.276 4.575 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cc3c(c([N+](=O)[O-])c2)OCO3)cc1)c1ccccc1 489027343 NAXMZSYJDIMGIL-CQSZACIVSA-N 405.410 4.749 5 20 HJBD C[C@H](NC(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccccc1[N+](=O)[O-] 490561144 XSINIKWQBOPUKV-JTQLQIEISA-N 421.335 4.546 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCOC1 494770620 OWFCTHOMKBJVAI-HNNXBMFYSA-N 418.877 4.841 5 20 HJBD Cc1ccc(-n2nc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2C)cc1 497912995 MVUZHLVRYCRVRG-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC(C)c1ccc(CN[C@@H](c2ccc(Cl)cc2)[C@@H]2CN(C)CCO2)cc1[N+](=O)[O-] 498359368 NYEULZWSBYXBFQ-VXKWHMMOSA-N 417.937 4.533 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)s1 510441994 OPIUOLNUMRFBTM-SNVBAGLBSA-N 412.309 4.572 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512123413 PRTRFEJTOMSEAJ-INIZCTEOSA-N 424.526 4.972 5 20 HJBD Cc1cccc(C(=O)N2CCCC2)c1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 512347737 RAMXPWRUBJMCFD-UHFFFAOYSA-N 409.467 4.606 5 20 HJBD CC[C@@H]1C[C@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)CCO1 514184027 QBVFLTJJNYOVGH-CRAIPNDOSA-N 424.457 4.574 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2cc3ccccc3o2)cc1[N+](=O)[O-])c1ccccn1 516601167 VZZZRFVWEGTQMA-OAHLLOKOSA-N 416.437 4.839 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 517554511 USKRTFNKSNQPHN-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3s2)cn1 518702484 WOSKZDSCAKJDQO-UHFFFAOYSA-N 420.450 4.674 5 20 HJBD Cc1cc2cc(CNC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)ccc2[nH]1 521450304 SBTAMQXFARKGKX-UHFFFAOYSA-N 417.415 4.679 5 20 HJBD CCC[C@H](C)N(C(=O)c1cc([N+](=O)[O-])ccc1C)c1nc(C)c(C(=O)OCC)s1 522960311 DUJYWGWOGRDBPJ-ZDUSSCGKSA-N 419.503 4.680 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc(-c4nnco4)cc3)c([N+](=O)[O-])c2)cc1 524171202 NYRBVDKUGUUUSH-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 525057670 WHPWVMGBHDMZDW-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD CCc1ccc(-c2noc(COc3ccc(Br)cc3[N+](=O)[O-])n2)cc1 525626972 SMZOFPTXROKBJR-UHFFFAOYSA-N 404.220 4.549 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)CSc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 533606951 RGZYEOMZCQIPSL-LLVKDONJSA-N 424.425 4.593 5 20 HJBD CN(C)c1cccc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)n1 533637225 GLYHVXKMOIUIAH-UHFFFAOYSA-N 412.877 4.791 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 535212788 REBYIWMVKLSJCK-GFCCVEGCSA-N 410.927 4.768 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC=C(c2ccc3c(c2)OCCO3)CC1 536152514 VPUUVHNFUNBHKY-UHFFFAOYSA-N 422.462 4.510 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNCC1(c2cccc(C(F)(F)F)c2)CCOCC1 537275892 PZLJEQMJONPHIN-XQRVVYSFSA-N 420.431 4.965 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)n(C(CC)CC)n2)c([N+](=O)[O-])cc1OCC 538448197 OTGGPXSSVDAEPK-UHFFFAOYSA-N 404.467 4.511 5 20 HJBD Cc1ccc([C@@H](C)NCc2nc(-c3cccc(Br)c3)no2)cc1[N+](=O)[O-] 539380739 RJRDTHMUGPQRJB-GFCCVEGCSA-N 417.263 4.567 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(c1cccc(F)c1)C1CCOCC1 540766626 HSCUYVGJQFUYMG-UHFFFAOYSA-N 412.339 4.579 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NCCC(=O)Nc1ccccc1[N+](=O)[O-] 543496993 WAVNSVWLHLZCEB-ZIAGYGMSSA-N 409.408 4.724 5 20 HJBD COc1cc(O)cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 544581510 KOAUQZBTWXHDMG-UHFFFAOYSA-N 402.472 4.844 5 20 HJBD COc1cc(-c2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1OC(C)C 545530725 FDZZZNXVPCLTNY-LBPRGKRZSA-N 401.394 4.732 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Nc1ccc([C@H]2CCOC2)cc1 549633848 CRFTYQDJZKBWKK-NSHDSACASA-N 403.307 4.891 5 20 HJBD C[C@@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)CCc1cccc([N+](=O)[O-])c1 558735406 MQNVXEVDJPPSGU-ZDUSSCGKSA-N 420.391 4.899 5 20 HJBD CC[C@@H](C)N[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccco1 561356579 RYJMTISNMIYBIK-ZYHUDNBSSA-N 422.354 4.778 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccccc1OC1CCCC1)c1ccc([N+](=O)[O-])cc1 570183778 ZUSFEVNPEYPIMC-QFIPXVFZSA-N 406.486 4.529 5 20 HJBD COc1cc(C(=O)N(C)C)ccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 575929944 WVSKGOUWIPDSDJ-UHFFFAOYSA-N 411.483 4.646 5 20 HJBD C[C@@H]1CN(C)CC[C@H]1N(C)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 584557272 RFLNVFNWNXWYPY-CRAIPNDOSA-N 403.910 4.813 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cncc(Cl)c2Br)cc1[N+](=O)[O-] 589015743 XNUYHGFCLBGJBH-UHFFFAOYSA-N 412.671 4.956 5 20 HJBD O=[N+]([O-])c1c[nH]c2ccc(Br)cc2c1=NCc1nc2ccccc2s1 603552761 ILPGFSNXVMGUAP-UHFFFAOYSA-N 415.272 4.549 5 20 HJBD COc1ccc(CNC(=O)[C@@H](Sc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 604018647 NNSYGCSFWPLSMO-NRFANRHFSA-N 408.479 4.753 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4c[nH]c5ccc([N+](=O)[O-])cc45)CC3)c[nH]c2c1 604547162 MCWUYYZIARCPHP-UHFFFAOYSA-N 400.438 4.795 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)co2)cc1 608817780 CYTZIMITINJNFO-UHFFFAOYSA-N 407.451 4.745 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H]1CCc1ccccc1 609730030 LIFKPMSRKSVIIF-SFHVURJKSA-N 402.878 4.641 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1CO 618864831 YMDKEVRQAJTQBI-UHFFFAOYSA-N 406.438 4.535 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(-n3cccn3)cc2)cs1 732746452 OHKXFXJPCDMNAM-WCIBSUBMSA-N 417.450 4.556 5 20 HJBD C[C@H]1C[C@@H]1C(=O)Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744284043 MYNPABPTWCLYDG-CABZTGNLSA-N 409.225 4.715 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1[C@H](O)C(F)(F)F 752756003 FBEIAYCNGFPPKA-HNNXBMFYSA-N 420.796 4.575 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCCCc2c(Br)cccc21 752822464 OGRRDROXKURXBS-UHFFFAOYSA-N 411.202 4.619 5 20 HJBD C[C@H](OC(=O)c1cc2cccc(Cl)c2o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753516578 NLPXRMKORZRAMO-JTQLQIEISA-N 413.773 4.962 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1nc2ccccc2s1 755010456 CXXAOPHCHDWCPN-QMMMGPOBSA-N 424.251 4.597 5 20 HJBD Cc1nnc(-c2cccc(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])c2)o1 761111217 OTYKVYNOKPAJIP-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC[C@H]1C[C@H](O)c1cccs1 770289490 DNMNTPIRTYFGKN-LRDDRELGSA-N 411.321 4.507 5 20 HJBD Cn1cc(CNc2ccc(OC(F)F)c(Cl)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 770480746 PJRQTEPTQXQZOJ-UHFFFAOYSA-N 408.792 4.862 5 20 HJBD O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)Nc1ccc(SC(F)F)cc1 774664234 UGNHDFSIQSAZNK-UHFFFAOYSA-N 412.805 4.551 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 782425621 SXKSSZKSNOQGEM-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD Cc1cc(N[C@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)ncc1[N+](=O)[O-] 811869061 BQPFJTUZCCPLMS-QGZVFWFLSA-N 400.479 4.756 5 20 HJBD CC(C)C[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813244343 XXUPCAOTKBPATN-INIZCTEOSA-N 419.865 4.528 5 20 HJBD Cc1ccccc1OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 876266188 VIUPDGKEAKINOX-UHFFFAOYSA-N 408.376 4.744 5 20 HJBD O=C(Nc1ccccc1NC1CCCCC1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 916565620 LCHGLPUZTOLHST-UHFFFAOYSA-N 405.458 4.777 5 20 HJBD CC(C)Oc1ccc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 918532690 DCVKEHZBSIXXML-UHFFFAOYSA-N 401.462 4.685 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccc(Br)cc1F 920788244 BPPQWMWBOPQDFQ-MRVPVSSYSA-N 418.602 4.523 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)Oc1cc(Cl)ccc1[N+](=O)[O-] 921132547 BFWNTQRZTRGCJN-UHFFFAOYSA-N 422.224 4.880 5 20 HJBD C[C@H]1CN(c2ccc(CNC(=O)c3c4ccccc4cc4ccccc34)cn2)CCO1 1318867312 MZJWHLCROXKVPE-SFHVURJKSA-N 411.505 4.543 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCO[C@H](c4ccc(Cl)s4)C3)c2c1 1318932247 HEWKPWQLURNLKI-ZDUSSCGKSA-N 411.892 4.618 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC=C(c2c[nH]c3ccccc23)CC1 14349153 WDGWMPQJRYFTSI-UHFFFAOYSA-N 416.481 4.606 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1 22495613 KSADGLKXOBZUIX-NSHDSACASA-N 411.241 4.702 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1nc2ccccc2s1 24581365 LGLNDEUJECCGNW-GOSISDBHSA-N 410.499 4.760 5 20 HJBD Cc1cnc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)cn1 32531745 FZTZEXWYJIKWJO-UHFFFAOYSA-N 417.347 4.708 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(OC)c1 43342718 IGZALIPAGCCIHS-KRWDZBQOSA-N 405.838 4.634 5 20 HJBD Cc1ccc(C)c(OC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 64631837 PWYZGZJXAZYSHC-KRWDZBQOSA-N 422.485 4.866 5 20 HJBD O=C(Nc1cccc(Br)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64860442 CJMHUDMAIZJFLX-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc(-c3ccc(C(C)(C)C)cc3)cs2)c1[N+](=O)[O-] 78773043 NUEUQGFMEZPXPN-UHFFFAOYSA-N 413.503 4.944 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(Cc2ccccc2)CC1 105568000 LXKCCXFVUHEACQ-UHFFFAOYSA-N 410.517 4.636 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1O)c1ccc(Cl)c(NC(=O)c2cccs2)c1 216596115 JNUATAXLQTVGJR-UHFFFAOYSA-N 417.830 4.520 5 20 HJBD CC1CCN(c2ccc(CNCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cn2)CC1 236738144 GCDSVFGVTNWRLH-UHFFFAOYSA-N 423.542 4.639 5 20 HJBD COc1ccc(C)cc1C1(CNc2ccc([N+](=O)[O-])c3cccnc23)CCOCC1 301097818 NNYCKTALNSOLRQ-UHFFFAOYSA-N 407.470 4.620 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(CCc3ccccc3)ccn2)cc1SC 427187602 YPAMUWSLDAHJSO-UHFFFAOYSA-N 423.494 4.758 5 20 HJBD Cc1c(NC(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 432733455 FLZSDIPUQNCZSX-KPZWWZAWSA-N 423.391 4.916 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Cc3nc4ccccc4s3)cc2)c1[N+](=O)[O-] 433851693 CVCTUTNOXGDXIG-UHFFFAOYSA-N 421.482 4.894 5 20 HJBD Cc1ccc2cccc(NS(=O)(=O)c3c(Cl)cc(Cl)cc3[N+](=O)[O-])c2n1 438772616 NROKIXBRKSKQRK-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD CC(=O)c1ccc(OCC(=O)N[C@@H](c2ccccc2)C2CCCCC2)c([N+](=O)[O-])c1 440820159 FHMWBBVFAPCXNJ-QHCPKHFHSA-N 410.470 4.614 5 20 HJBD CCCN(C(=O)c1ccc(NC(=O)CC(C)C)c(F)c1)c1cccc([N+](=O)[O-])c1 441439050 KQPUXKRPXGCVPX-UHFFFAOYSA-N 401.438 4.775 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Nc1ccc([N+](=O)[O-])cc1F 443864162 ZERSEMKBKXXVIK-UHFFFAOYSA-N 424.251 4.677 5 20 HJBD COc1ccc([C@@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C(C)C)cc1 445256403 WJGYRMCFKFIXFD-AWEZNQCLSA-N 413.293 4.555 5 20 HJBD CCN(C)Cc1cccc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c1 447693518 MTDCQELDEICEAR-UHFFFAOYSA-N 418.366 4.708 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[C@@H](C)CO1 460398715 GSPAFDVAQGYFQB-MGPUTAFESA-N 423.494 4.629 5 20 HJBD COc1ccc(-n2c(NC(=O)c3cccc([N+](=O)[O-])c3C)nc3ccccc32)cc1 460402062 OIKYZZSQNBTJMM-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD CCC(CC)[C@@H](NC(=O)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1cccs1 464029959 SZXDVMRQVUXPFW-GOSISDBHSA-N 403.504 4.505 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)Cc1cccc([N+](=O)[O-])c1 464244589 VTSVOIGTILYFRD-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)cc(Br)c1F 466508058 MVEKXRPKOQNVNZ-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD O=C(CCNC(=O)c1ccc([N+](=O)[O-])cc1)Nc1cc(-c2ccccc2)ccc1Cl 471448462 FQYAYOIVGDULTK-UHFFFAOYSA-N 423.856 4.674 5 20 HJBD CCn1ncnc1CNCc1ccc(Oc2cc(C)ccc2C(C)C)c([N+](=O)[O-])c1 475548121 ARRRZEXVZAEHHR-UHFFFAOYSA-N 409.490 4.720 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Cl 478029382 VHFXQSAWFYBHCB-UHFFFAOYSA-N 405.885 4.649 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC=C(c3ccc(F)cc3)CC2)cc1OC 479355808 WXSZZURMVHDRPH-KRWDZBQOSA-N 414.433 4.507 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccn(C(C)C)n2)cc1[N+](=O)[O-] 482741263 OQVRGKKZJBXACV-UHFFFAOYSA-N 424.482 4.577 5 20 HJBD CCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 485332390 STOOGRPBKYCMKO-UHFFFAOYSA-N 413.865 4.660 5 20 HJBD O=[N+]([O-])c1ccc(N2CC[C@H](n3ncc4ccccc43)C2)nc1-c1ccc(F)cc1 485411607 RVTVGMWLWYDEQQ-SFHVURJKSA-N 403.417 4.597 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(O)c1)c1ccc(OCc2ccc(F)cc2)cc1 490607888 NBLILUKWWQPWLP-AWEZNQCLSA-N 410.401 4.510 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N(Cc1ccccc1C(F)(F)F)C1CC1 499271705 ZRECZBKWJOIUSG-UHFFFAOYSA-N 415.371 4.967 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 499485793 FRIPQYWVKGIWHO-UHFFFAOYSA-N 408.401 4.646 5 20 HJBD C[C@H](NC1(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CCCC1)c1ccccc1 502810296 LNFRGYQNNGORQU-MSOLQXFVSA-N 410.518 4.619 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC)cc1F 510274752 XCWSBZVLEAHBFU-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD Cc1ccc(CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C(C)C)s1 512936438 WWZBBRPZPIMMDP-UHFFFAOYSA-N 416.421 4.957 5 20 HJBD CC(=O)N1CCCC[C@H]1c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 515708527 OSDGKLSXHWESQE-IBGZPJMESA-N 408.414 4.511 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cc(Cl)c3c(c2)OCCCO3)o1 517540960 RJPBQPDKHFFRRS-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)C2)cc1 518451824 SNCUXYVQWFJJKE-MRXNPFEDSA-N 410.495 4.913 5 20 HJBD Cc1ccc(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)cc1[N+](=O)[O-] 518567924 WKZWEFGQLVYJSG-QGZVFWFLSA-N 413.232 4.563 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2Cc3ccccc3-c3ccccc3C2)c(Cl)c1 523158699 PQJHGWRRQXHYCV-UHFFFAOYSA-N 414.870 4.620 5 20 HJBD O=C(NCC1(c2cccc(Br)c2)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 524508559 IMTFQFXBLQODRY-UHFFFAOYSA-N 415.243 4.565 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 534966148 FUNKVSFLJTXBFY-UHFFFAOYSA-N 405.838 4.783 5 20 HJBD CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1c(C)noc1C 535021555 DFCVEXNAXVHTMO-IBGZPJMESA-N 408.458 4.693 5 20 HJBD CC[C@@H](CSC)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc(OC)cc1 538055491 AMGVPXUNKRKALG-AWEZNQCLSA-N 404.488 4.609 5 20 HJBD CCOc1ccc([C@@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCC 540369345 NKVFAAXOLMNEIG-OAHLLOKOSA-N 411.458 4.898 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCCCc3nc(-c4ccc(F)cc4)no3)o2)c1 543237943 FMHAQZBBPDDXLP-UHFFFAOYSA-N 422.416 4.766 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 543466192 CAYDXFMKJBYOIN-ZDUSSCGKSA-N 412.421 4.958 5 20 HJBD C[C@H](Sc1cccc(O)c1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 543691185 NYOQBMHULCOYAK-NSHDSACASA-N 401.469 4.543 5 20 HJBD C[C@H](N[C@@H](C)c1nc(C(C)(C)C)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544487556 WBZFLDARMISFEG-QWRGUYRKSA-N 410.927 4.680 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(CCSc2ccc(Cl)cc2)n1 544577136 LEEVPIZOYIEEGI-UHFFFAOYSA-N 404.879 4.621 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1nc(Cc2c[nH]c3cccc(Br)c23)no1 545072830 BAFGITPKQWMSHU-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD Cc1c(Cc2noc(-c3cc(C4CC4)nc4c3cnn4C(C)C)n2)cccc1[N+](=O)[O-] 546494051 SDQODVLIIOQOJC-UHFFFAOYSA-N 418.457 4.747 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(OCC(F)F)n2)no1 546552583 HOJGHSWSNULSSK-SNVBAGLBSA-N 408.386 4.537 5 20 HJBD C[C@H](c1nc(Cc2coc(-c3cccs3)n2)no1)c1ccc([N+](=O)[O-])cc1F 547009131 ITGLLULDVFGBJE-JTQLQIEISA-N 400.391 4.576 5 20 HJBD COc1cccc(C2(CN[C@@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)CCCC2)c1 552047030 YGSGUCYHOJWDEI-QFIPXVFZSA-N 420.513 4.528 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)cc1[N+](=O)[O-] 553716449 AFMLDOAALNCAEX-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD O=C(Nc1ccnc(-c2cncs2)n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 554746465 YIRXDVKIYPUKCW-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD NC(=O)c1cccc(N2CCC(=Cc3cc(Cl)ccc3Cl)CC2)c1[N+](=O)[O-] 557627686 PBICVUMVBFXGEU-UHFFFAOYSA-N 406.269 4.684 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc([C@@H]2CCOc3ccccc32)no1 566881050 OBEINPBDOIKUSJ-CYBMUJFWSA-N 416.231 4.630 5 20 HJBD CCOc1cc(NC(=O)[C@H]2CCCC[C@@H]2C(F)(F)F)c([N+](=O)[O-])cc1OCC 567423468 QMZMNUMJLJYDNV-RYUDHWBXSA-N 404.385 4.699 5 20 HJBD Cc1nc(-c2noc(-c3ccc(NCc4ccccc4Cl)c([N+](=O)[O-])c3)n2)co1 575366382 DZVDXIKHCSYQRC-UHFFFAOYSA-N 411.805 4.874 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1(c2cccc(OC)c2)CC1 578585525 KWMZWBODXJYPJH-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])c1C 584316915 NDEJINJYSRBGNE-UHFFFAOYSA-N 402.756 4.861 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 589146644 XDVZEQXVIOCQRO-HUUCEWRRSA-N 408.458 4.824 5 20 HJBD CSc1cccc(C(=O)N2CC(Cc3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 590424190 RFYIDNDZKOULIN-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD COc1ccc(C)cc1CNCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 603557116 IPVRSRPWCGCGOY-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD C[C@H](NC(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cccc([N+](=O)[O-])c1 603827867 TXBPDDKTTJHTKM-NSHDSACASA-N 418.425 4.803 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(Cc2ccccc2)c2ccccc2)c(Cl)c1 604023967 JBQGTAWQWFPLDR-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCc2c(Br)cccc21 609022581 HPHCVHGLAKKBGS-GTNSWQLSSA-N 421.316 4.642 5 20 HJBD Cc1c(NC(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 609842785 NBGIOODPJNJHIQ-SFHVURJKSA-N 407.430 4.712 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(OC(C)(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 610183986 HSLXHVMAWZZURX-UHFFFAOYSA-N 422.485 4.886 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 615057419 KOFQVIJSQKQGQL-UHFFFAOYSA-N 413.543 4.986 5 20 HJBD O=C(COC(=O)c1cc(-c2ccccc2)ccc1Cl)Nc1ccccc1[N+](=O)[O-] 732406519 KJXRUNMPAODZCZ-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD C[C@H](OC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccncc1 735962306 BVVKIKDYGMUEDS-ZDUSSCGKSA-N 410.813 4.792 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC[C@@H]1c1ccccc1 741973380 IECHPXBJKWQYNZ-CJNGLKHVSA-N 403.276 4.623 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2cccc(Cl)c2[N+](=O)[O-])CC1 749918836 HMQJNPQZTBZDNO-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD O=C(C[C@H](NC(=O)c1ccccc1)c1ccccc1)Nc1cccc([N+](=O)[O-])c1Cl 752986060 YFFBDBCIACAWDG-SFHVURJKSA-N 423.856 4.748 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)C(F)(F)c1ccc([N+](=O)[O-])cc1 753015767 FCNWUOQRLAIVMH-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC[C@@H]1/C=C\c1ccccc1 780293893 SHLZBFHIXDNQHC-WHLNPGIBSA-N 401.260 4.675 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccc(N4CCCCC4)cc3)no2)C1 781613236 XDMGQTYNNCLDOL-FQEVSTJZSA-N 419.441 4.501 5 20 HJBD COCc1cc(NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)ncn1 782143375 RSSOWAAWTBJMFX-UHFFFAOYSA-N 418.812 4.728 5 20 HJBD CC(C)c1nc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2F)c2ccccc2n1 783450048 CEBRKUARNMXAJZ-UHFFFAOYSA-N 400.435 4.531 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)n1 791028257 LMRYLYHNBULVJX-WTKPLQERSA-N 418.331 4.624 5 20 HJBD C[C@@H](CC1CCOCC1)OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 803010994 NHTZXLKBSBCJJI-JKSUJKDBSA-N 413.474 4.525 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](O)c1ccc(C)cc1 804485319 PVYWUIMATKZARC-FOIQADDNSA-N 402.516 4.647 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373349 DVSQDHYNZCBYAZ-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cncc(-c2ccsc2)c1 816257325 VAQPWMZURXSKJI-CYBMUJFWSA-N 400.481 4.942 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 912646237 MMEGNDMDCNXODV-UHFFFAOYSA-N 408.502 4.828 5 20 HJBD COc1ccc([C@H](CCO)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1116413515 CXKBFXUKOYBPQI-IBGZPJMESA-N 416.861 4.730 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cn2)c1C 1318757303 NZTLKMKKBBOTPR-UHFFFAOYSA-N 404.426 4.947 5 20 HJBD O=C(c1nc(-c2ccccc2)oc1C1CC1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 1319439365 OLJCPOGTAVYOMQ-UHFFFAOYSA-N 403.438 4.932 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c2C2CC2)cc1Cl 1329738681 ONBBTEYHKOIONH-UHFFFAOYSA-N 422.872 4.776 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(COc3ccc(Cl)cc3)o2)c(Br)c1 1345496912 LXWCURBDEKIUAM-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)ccc1[N+](=O)[O-] 5635486 HAMQSMJDLNVOSQ-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2c1C 17725502 CUFICVGFOHHKBB-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD O=C(NC[C@@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])o1 32750611 BQXUSGOECCLAPE-HNNXBMFYSA-N 409.829 4.884 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 44694151 BEEYSABHGIEDAP-GOSISDBHSA-N 414.505 4.819 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 58448343 BSIQOOMPCBLKFB-UHFFFAOYSA-N 409.417 4.997 5 20 HJBD O=[N+]([O-])c1ccccc1Oc1nc(-c2cnccn2)nc2sc3c(c12)CCCC3 60858122 MVVQFKFSQGSBRQ-UHFFFAOYSA-N 405.439 4.728 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(CC(F)(F)F)C3CCC3)cc2[N+](=O)[O-])C1 427920853 CNSMRYVWEOYTQV-KBPBESRZSA-N 413.440 4.634 5 20 HJBD C[C@@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)NC2CC2)cc1 433145617 IBIXKRCSEGRUJG-SPLOXXLWSA-N 408.502 4.952 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(CO[C@@H]3CCC[C@@H](C)C3)c2)c1[N+](=O)[O-] 434191222 YDYRLNTWVAIIHT-RHSMWYFYSA-N 400.479 4.789 5 20 HJBD O=C(Nc1cccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1)c1ccoc1 436248081 XBMNVEGRIRYEGN-UHFFFAOYSA-N 423.428 4.779 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccnc1)Cc1ccccc1C 439919038 VDXFDFBVQWNKKC-UHFFFAOYSA-N 420.469 4.931 5 20 HJBD COc1cc(C)ccc1OCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440613505 LHXCVJSKCTZQOE-UHFFFAOYSA-N 406.438 4.524 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 441401111 BKBWCLXZBLUSCX-UHFFFAOYSA-N 418.428 4.625 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CCC(Nc2ccc(F)cc2)CC1 445170497 CLYRQQCMNNJWCH-UHFFFAOYSA-N 415.468 4.537 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccncc2)cc1 462502456 MYVVOQFXDFJKLD-IBGZPJMESA-N 405.454 4.776 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(CC(F)(F)F)c2)cc1[N+](=O)[O-] 464410851 KMEJEMQMLDJZRS-UHFFFAOYSA-N 414.405 4.580 5 20 HJBD Cc1ccc(F)cc1-c1nnc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)s1 469891728 SYEZWISIQMLRAG-LLVKDONJSA-N 418.475 4.680 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 472839248 YJFLSWGITWQBEL-ZDUSSCGKSA-N 418.375 4.980 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(C(=O)Nc2ccc(F)cc2)cc1 473687638 YCIRQNAJFLDRHN-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(COCc3ccccc3)cc2)c1 480252723 MXVVRCNXNMLSKR-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 480993452 QZJIKOUSRJOJQQ-ADLFWFRXSA-N 414.531 4.567 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484216533 DNTDPDAWDRENHS-UHFFFAOYSA-N 419.441 4.719 5 20 HJBD Cc1nc2sccn2c1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 484839685 ZCBZZXAATQHHAX-UHFFFAOYSA-N 418.544 4.633 5 20 HJBD CC(C)c1nc(C2CCN(c3ccc([N+](=O)[O-])c(-c4ccc(F)cc4)n3)CC2)no1 485393815 QHIWMGIJXFYVPK-UHFFFAOYSA-N 411.437 4.686 5 20 HJBD O=C(N[C@H]1CCCc2nc(O)ccc21)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 486856933 ITLVECDWPITDGL-FQEVSTJZSA-N 421.478 4.654 5 20 HJBD CC(C)Oc1ccc(CSc2ccc([N+](=O)[O-])c(S(C)(=O)=O)c2)cc1Cl 490859478 PANKBYOKMQZWAL-UHFFFAOYSA-N 415.920 4.731 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(Br)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492889627 KQVHPPBVWIPZDA-RBUKOAKNSA-N 415.287 4.652 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1cc(F)cc([N+](=O)[O-])c1 494226571 ISEOURPYORUDBG-MRXNPFEDSA-N 408.813 4.588 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 495147064 NLOWACNJXAAVDR-CYBMUJFWSA-N 415.902 4.936 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(F)cc1OCCC(F)(F)F 500245035 KDKUDICHPCQJBZ-UHFFFAOYSA-N 415.343 4.506 5 20 HJBD COc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1OC1CCCC1 500667199 RMESHCUOGSPQFV-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 501646860 RIFBOYOQRVOHSB-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C3CCOCC3)no2)ccc1NCc1ccccc1Cl 504667458 CIDDXBCCVQEVJG-UHFFFAOYSA-N 414.849 4.804 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 504928038 YGDOKSJOFGSDOW-OAHLLOKOSA-N 409.442 4.690 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 509948403 IPWAELRNSJHCSN-QGZVFWFLSA-N 422.510 4.719 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 509996314 SOLDLWFZRVETBO-DNVCBOLYSA-N 423.494 4.616 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)[C@H]1CCc2ccccc2C1 511931728 JXFBHUAMNHDFQS-QFIPXVFZSA-N 415.493 4.836 5 20 HJBD CN(Cc1cscn1)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 512081205 ACMVAJUIQVLTNB-UHFFFAOYSA-N 405.483 4.536 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 512753227 WCNHCKCHTOCHBZ-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COc2cc(C)ccc2NC(C)=O)cc1OC 513625390 PRYOUJAVUPOFOX-CQSZACIVSA-N 416.474 4.874 5 20 HJBD Cc1cc(Br)oc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 515622689 YDPJFQLZZLGWAU-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD COc1ccc(-c2ccc(CNC3(c4noc(C)n4)CCCCC3)o2)c([N+](=O)[O-])c1 518275897 WVYMBTFMNFAQKK-UHFFFAOYSA-N 412.446 4.504 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)cc2)n1 518879003 HKQMNEVYBGQORI-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CN(C)[C@@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1c(F)cccc1F 522369493 DYWOLJDZLAMJRS-IBGZPJMESA-N 401.413 4.526 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC(Sc2ccc(Cl)cc2)CC1 532566540 ZEOICSVERAEKQQ-UHFFFAOYSA-N 405.907 4.715 5 20 HJBD COc1ccc(NC(=O)[C@H](C)c2cccc(C(=O)c3ccccc3)c2)c([N+](=O)[O-])c1 534170481 AFHRYHWJOFDAPK-OAHLLOKOSA-N 404.422 4.577 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1)c1cccc(OCc2cn3ccccc3n2)c1 536878162 QDJFPOJCTAMROX-QGZVFWFLSA-N 402.454 4.672 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537330322 OLUNKIJONBCSCW-AWEZNQCLSA-N 404.430 4.527 5 20 HJBD COc1cc(CN[C@H](C)c2ccccc2OC(F)F)c([N+](=O)[O-])cc1OC(F)F 538146429 ZJHOXMFHJTWYHD-SNVBAGLBSA-N 418.343 4.657 5 20 HJBD CN(C(=O)c1cccc(-n2cccc2)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251884 SHEZLWDOVJKJLH-UHFFFAOYSA-N 404.451 4.786 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CCn4nc(C)nc4C3)cc2[N+](=O)[O-])c1 539836835 JANYMZRXUVYHNS-UHFFFAOYSA-N 421.501 4.735 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(Cn3cccn3)cc2)c2ccc([N+](=O)[O-])cc2)o1 541550227 VEZHVOYLOVZKHL-DEOSSOPVSA-N 416.481 4.874 5 20 HJBD CCCN(Cc1ccc([N+](=O)[O-])cc1Cl)C1CCN(C(=O)c2ccccc2)CC1 543859586 MNRIVUOWYCGPTF-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544461301 DUQCCBPCZFLYGE-OALUTQOASA-N 400.450 4.651 5 20 HJBD CSCc1ccccc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 546767088 ZAQXDFIOQADACD-UHFFFAOYSA-N 410.499 4.572 5 20 HJBD CC(=O)c1ccc(NC2(Cc3ccc(F)cc3Cl)CCOCC2)c([N+](=O)[O-])c1 549641604 TUHUPPWUMPYVQQ-UHFFFAOYSA-N 406.841 4.794 5 20 HJBD CC(C)(C)Oc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 551492453 OWDCMUOANVLANM-UHFFFAOYSA-N 413.474 4.647 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNC3(c4ccc(Br)cc4)CC3)co2)cc1 552920141 PDKAPARLPZOADD-UHFFFAOYSA-N 414.259 4.791 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)N1CCc2ccc([N+](=O)[O-])cc2C1 555078028 ISSYICXEFGSHHE-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 562912780 ZLLXTYNIXYLLDR-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD C[C@@H](C(=O)N(C[C@@H]1CCCO1)c1nc2ccccc2s1)c1cccc([N+](=O)[O-])c1 570579604 PPFRVIKKOIBDFW-PBHICJAKSA-N 411.483 4.520 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 603665902 MQYXUQIIUJYLHU-UHFFFAOYSA-N 405.435 4.927 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603734617 DTSRRNWTSXDLIA-SNVBAGLBSA-N 418.318 4.647 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccnc(N3CCCCC3)c2)c([N+](=O)[O-])c1 603866093 CVDHRKSYOYPNMU-UHFFFAOYSA-N 416.481 4.823 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 604188083 SRSYBNUVAIFAJP-UHFFFAOYSA-N 409.417 4.997 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 604298007 DALNHDXMASUQAI-GOSISDBHSA-N 418.497 4.916 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)NCCNc3ccccc3[N+](=O)[O-])cs2)cc1 608803035 YDZSZICLFIGFCN-UHFFFAOYSA-N 424.526 4.613 5 20 HJBD Cc1nn(C)cc1[C@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609025825 NTCBYICHJQLQAS-LBPRGKRZSA-N 414.849 4.573 5 20 HJBD Cc1ccccc1CC(C)(C)NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609104991 HMOIAXSJUJKDTG-UHFFFAOYSA-N 410.392 4.595 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)cc1 609375881 RKMPBKCFXZKNCT-UHFFFAOYSA-N 410.401 4.967 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccn2)cc1 609678359 JNHOIOOVJHJJJY-VGOFRKELSA-N 404.470 4.642 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)CC1 609696061 BAQXPHPALGONQD-KRWDZBQOSA-N 409.530 4.848 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1)c1ccccc1 609855961 BUNSYLZAHRCURU-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CCCN(c3nc4ccccc4s3)C2)n1 612864472 HIQZYTLORWPKOR-HNNXBMFYSA-N 421.482 4.562 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H](Cn2cnc3ccccc32)C1 619544691 VQOKHOFOHFEIRY-OAHLLOKOSA-N 420.494 4.712 5 20 HJBD CC[C@H](C)CN(C)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 732126888 PLGPKXLYUPNYTE-ZDUSSCGKSA-N 403.866 4.619 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)OCc1cc([N+](=O)[O-])ccc1Cl 734780974 NEDZQZUCOBXXSH-UHFFFAOYSA-N 420.849 4.721 5 20 HJBD O=C(c1nc(Cl)c(Cl)c(Cl)c1Cl)N1CCc2c1cccc2[N+](=O)[O-] 737585042 ZEJAVDCYJCDQRX-UHFFFAOYSA-N 407.040 4.806 5 20 HJBD COc1cc(N2CCC(OC(=O)c3cccc4c(C)c(C)[nH]c34)CC2)ccc1[N+](=O)[O-] 739386452 VYXILQRCTRQAIW-UHFFFAOYSA-N 423.469 4.527 5 20 HJBD CC(C)C[C@H](C(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1 741113964 ARALJCMAFZQUDS-NRFANRHFSA-N 411.502 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C(C)(C)c1ccc2ccccc2c1 745418193 QLMWLHPWTYCNSX-UHFFFAOYSA-N 406.438 4.516 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 747806633 QKMKICYZYOGZPB-UZLBHIALSA-N 413.499 4.929 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(CNc3ccccc3)cc2)cc1[N+](=O)[O-] 748393189 WSODXZWBSHXRKY-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(N3CCSCC3)c(Cl)c2)cc1 748596330 FLEHYHVPUSSTCF-UHFFFAOYSA-N 408.936 4.610 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749909859 TUDYEMGSTIISHZ-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750185004 HGVDGMWZUXQJDM-SFHVURJKSA-N 410.861 4.931 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1cccc(Cl)c1Cl 750535328 LYKBKKNQXNQCFS-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(C)c1 750542671 WODHHEHPZLJSSU-UHFFFAOYSA-N 404.850 4.749 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754967939 LJKKWWZQQZAYSG-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Oc1ccc(Oc2ccccc2)nc1 758153771 FETKJRBYHRVFCD-UHFFFAOYSA-N 422.365 4.571 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])ccc21 758468298 ZNELSRLDEGRNJV-UHFFFAOYSA-N 412.465 4.591 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)O[C@@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 759947718 LCKHKKNHFHBNIX-BZUAXINKSA-N 411.380 4.554 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(OCc3noc(C4CC4)n3)cc2)cc1Cl 761517589 UPCNNRCRQVTFRS-UHFFFAOYSA-N 400.822 4.700 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1C 761893066 ADPNQYRRERWDGV-UHFFFAOYSA-N 417.874 4.728 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)NCCc2ccc(Cl)cc2Cl)C2CC2)cc1 763308152 RSUSSVJBSHVRHE-UHFFFAOYSA-N 424.353 4.983 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1ccc(Cl)cc1 769843107 WPNYOARGFFDDKT-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD C[C@@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c[nH]c2ccccc12 783633381 OOBJUNVUDVVUGP-AWEZNQCLSA-N 405.410 4.968 5 20 HJBD COc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1NC(N)=O 785563378 OJQCSAQQCOREFC-UHFFFAOYSA-N 416.821 4.620 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2c(F)cccc2F)cc1C 786995615 DKVMMWQRMHLUIL-UHFFFAOYSA-N 406.776 4.581 5 20 HJBD COc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OC1CCCC1 790527222 FOZZWESPJAAVJO-UHFFFAOYSA-N 422.840 4.899 5 20 HJBD O=C(CCSCc1ccccc1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 791692335 YFVVHRRIPCEFDV-QFIPXVFZSA-N 408.479 4.946 5 20 HJBD CNC(=O)Oc1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 795009755 DWLYNSCSQZEFOP-UHFFFAOYSA-N 423.450 4.717 5 20 HJBD COc1c(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)cccc1[N+](=O)[O-] 796669753 BCCHLRPSKSVENU-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(C(F)(F)F)cc(Cl)n1 798158046 YVNUIDGDTKUWES-UHFFFAOYSA-N 408.163 4.588 5 20 HJBD Cc1c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(Cl)cc1 808916498 XVPXMKABIODODI-UHFFFAOYSA-N 420.256 4.717 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(N2CCCOC2=O)cc1 814264533 VRJVABXSLJWVIE-GFCCVEGCSA-N 420.263 4.877 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c1 815060980 WUEFISFWSCYVBC-XJKSGUPXSA-N 400.422 4.763 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl 815117769 PULCUKIYKYYPDC-INIZCTEOSA-N 414.676 4.983 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 815353813 QYJCORIDUZMEMB-LBPRGKRZSA-N 419.865 4.513 5 20 HJBD CC(C)(C)OC(=O)Nc1cc2c(cc1NCc1ccc([N+](=O)[O-])c(Cl)c1)OCO2 816602978 UPTBBUVIJXJBDG-UHFFFAOYSA-N 421.837 4.936 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cc2c(Cl)cccc2s1 914796612 AAWXZUNTQJFONQ-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 915806087 FRAZAZMKGQAJTC-UHFFFAOYSA-N 410.367 4.625 5 20 HJBD Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])cc2)c1NC(=O)c1ccccc1F 918499397 KJKDTAHXLXXPMN-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD O=C(CCCNC(=O)c1ccc(F)cc1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920329319 HYFMYFRSOLMENS-UHFFFAOYSA-N 422.412 4.517 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H]4OCCC[C@H]4C3)cc2[N+](=O)[O-])cc1 1253208106 NDKFSEDVNWZPCR-PXNSSMCTSA-N 412.511 4.696 5 20 HJBD O=C(c1cccs1)C1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 1318997016 NNMQASCFKQHHDK-UHFFFAOYSA-N 400.481 4.606 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(cc2[N+](=O)[O-])OCO3)o1 1322150975 GJQSOCASFSEGMS-GFCCVEGCSA-N 405.366 4.667 5 20 HJBD CC(C)c1c(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccc(Cl)cc1 1322171754 XGHMYOSNAQIINF-UHFFFAOYSA-N 410.861 4.713 5 20 HJBD C[C@H](COc1ccccc1F)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 1324071929 QQBJAZBBTDUAFM-MRXNPFEDSA-N 423.444 4.543 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nnc(-c4ccc(F)cc4Br)o3)cc2c1 1327806758 OTZWPFQNMJKAEM-UHFFFAOYSA-N 404.151 4.960 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1cc(Cl)ccc1[N+](=O)[O-] 10714813 PQMOYCOYCANRBY-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1nc(-c2c[nH]c3ccccc23)cs1 10894765 RBESOWQYXFIQHM-UHFFFAOYSA-N 407.455 4.640 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccc(OC)cc3)c(C)s2)cc1[N+](=O)[O-] 19005383 FVFMMIKUDAMGGM-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=C(COC(=O)CSc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1ccccc1 24709740 VNACNXYAVNBUTJ-UHFFFAOYSA-N 422.462 4.536 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 31189669 DIBRKZTUVNMMGO-UHFFFAOYSA-N 422.484 4.633 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(F)cc2F)cc1 32652371 GHFADOWJZLEBRU-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cc([N+](=O)[O-])ccc1Br 45625327 YTCQJJUJLOTBAE-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(C(F)(F)F)c1 53111807 VUUWTTLKMOAQSY-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD CCCOc1ccc(OCCSCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 58690288 CYCJRWICAVFXDP-UHFFFAOYSA-N 405.472 4.562 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64897966 MIQREABTUQOTDS-OAHLLOKOSA-N 415.471 4.824 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cn(-c2ccccc2)nc1-c1ccccc1 237202571 VQVGTMWVOYWXDC-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD Cc1ccc([C@@H](Nc2cccc(F)c2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301414826 ANKRLTLGSTXPKO-HXUWFJFHSA-N 414.458 4.647 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(c3ccccc3F)CC2)cc1[N+](=O)[O-])c1ccccn1 303748250 GXBMZMLEYPBZLJ-OAHLLOKOSA-N 420.444 4.721 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccccc12)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426675430 SASGPJALKNLFKG-HXUWFJFHSA-N 421.478 4.590 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CC[C@H](CSc2ccccc2)C1 431502198 LQIWRMSWBNQOKY-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444120081 CFIRTZADEPDUSY-UONOGXRCSA-N 416.493 4.706 5 20 HJBD O=C(c1cc(NCc2ccc(-c3ccco3)cc2F)ccc1[N+](=O)[O-])N1CCCC1 447809666 BQCUPZIRZAYUKS-UHFFFAOYSA-N 409.417 4.842 5 20 HJBD CCn1c(Cc2ccc3ccccc3c2)nnc1N1CCc2ccc([N+](=O)[O-])cc2C1 460296493 NZFINLIVPZBLBX-UHFFFAOYSA-N 413.481 4.513 5 20 HJBD COc1cc(C(=O)N2CCCCCc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 460489780 OXOYGXJORHTIOQ-UHFFFAOYSA-N 406.385 4.578 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(Br)c1 462248286 QKEOHHWAKJQFHE-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD O=C([C@H]1SCCc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463847129 CLQRNUNFYUOTLU-KRWDZBQOSA-N 410.417 4.516 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)c2ccccc2)c1 464717950 ZYIPOTUSXKDGFP-UHFFFAOYSA-N 403.438 4.970 5 20 HJBD C[C@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)cc1OC(F)F 467992493 GHJMSKYLFLIRPL-NSHDSACASA-N 402.344 4.691 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN(C)[C@H](C)c1sc(-c2ccccc2)nc1C 468986123 NVCIBYLZNFXPDR-MRXNPFEDSA-N 424.526 4.967 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1C 471752216 VXUCPZWFVNFYBT-UHFFFAOYSA-N 416.384 4.505 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)s1 472716549 SGBXQDRJZLWWOH-UHFFFAOYSA-N 412.309 4.502 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2[C@H]1C 479762484 IXSQAVDMAGATGE-GFCCVEGCSA-N 404.264 4.817 5 20 HJBD COc1cc(CNc2ccccc2N(C)C)ccc1OCc1ccc([N+](=O)[O-])cc1 482564464 SGDLEVOUROCUHI-UHFFFAOYSA-N 407.470 4.861 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccccc2OCc2c(C)noc2C)cc1[N+](=O)[O-] 488045548 DADSTNFNKNAXFV-AWEZNQCLSA-N 409.442 4.578 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)c(C(=O)N3CCCCC3)c2)c1[N+](=O)[O-] 488864816 ZQEHMZMBNMGVCK-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cnn([C@H](C)C3CC3)c2)cc1[N+](=O)[O-])c1ccccn1 490925984 KLBBTIVHDXFXCQ-LSDHHAIUSA-N 420.473 4.583 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCCn2c(cc3ccccc32)C1 491511323 DYMAUNZZROFGBP-UHFFFAOYSA-N 412.449 4.658 5 20 HJBD Cc1cc(Br)ccc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 495166710 XFWCMWNSTMEPGW-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498514825 QQNTVLOSBNSOGY-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)[C@H](C)CO1 501596643 LJOCRHZUOICZAA-OLZOCXBDSA-N 406.891 4.649 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccc(OC)c(Br)c2)cc1[N+](=O)[O-] 504917429 BRHLLXVUYUOWPA-LBPRGKRZSA-N 409.280 4.615 5 20 HJBD O=C(c1cccc(NCc2c(F)cc([N+](=O)[O-])cc2F)c1)N1CCc2ccccc21 506295500 RGDVNVLKBSBLDP-UHFFFAOYSA-N 409.392 4.688 5 20 HJBD CCn1nc(C)c(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)c1C 507965674 CLDBTWDFCAMRCA-UHFFFAOYSA-N 416.485 4.893 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 510290109 URPQSTWXIGQRHV-LBPRGKRZSA-N 421.906 4.565 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](c2ccc(Cl)cc2)C2CCC2)cc1[N+](=O)[O-] 511010938 CGOKLGYIYDUSRJ-LJQANCHMSA-N 403.866 4.597 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 512520965 XADZYMJFGWVGNG-AWEZNQCLSA-N 406.417 4.505 5 20 HJBD O=C(Nc1cccc(OC(F)(F)F)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514065304 SLEYPKHPJIZTCS-UHFFFAOYSA-N 424.253 4.687 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Br)cc2)C2CCC2)c1 521163328 ZAOVVWLGZRGHEL-SFHVURJKSA-N 419.275 4.637 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(CSC2CCOCC2)c1)c1cccc([N+](=O)[O-])c1 522598235 UERRSGSJEBQYAD-OAHLLOKOSA-N 415.515 4.890 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(-c2ccc(Cl)s2)o1 525518754 PXLLKRHGFZOTAB-UHFFFAOYSA-N 424.891 4.789 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)ccc2OCC(F)(F)F)cc1[N+](=O)[O-] 531214875 SYVLGYMOFIFMJO-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc([C@@H]4CCCO4)s3)n2)cc1[N+](=O)[O-] 534788726 ATXBWCVUQJQDTL-AWEZNQCLSA-N 416.484 4.587 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nc(Cc4ccccc4)no3)co2)cc1 536302787 RIFZCBJNZFCUPW-UHFFFAOYSA-N 408.439 4.657 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 536515171 WBIXXVJGAZRJEY-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](NCCCc1nc(-c2ccncc2)cs1)C1CC1 536870366 MLEPKOAZZFPEEF-IBGZPJMESA-N 408.527 4.657 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](C[C@@H]3CCOC3)c3ccccc3)o2)c1 539325156 FPBRMHYBVNJVDM-LAUBAEHRSA-N 407.470 4.550 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(C)c2ccccc2n1 539634121 LYZLDIFEFVUTSD-UHFFFAOYSA-N 410.499 4.669 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1C 541678918 DUYNWOVDUMNEOL-OAHLLOKOSA-N 424.476 4.607 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 544453912 XFHWZGLOPPLCNV-NVXWUHKLSA-N 424.881 4.516 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1 545053114 DPCPYQBHZLYXHW-INIZCTEOSA-N 400.822 4.569 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 545783964 GLSVACRAFMVMKO-GOSISDBHSA-N 408.458 4.575 5 20 HJBD C[C@@H](c1nc(Cc2csc(-c3ccccc3)n2)no1)c1ccc([N+](=O)[O-])cc1F 546244733 OAURQKJSICQNJD-GFCCVEGCSA-N 410.430 4.983 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546777921 SIIHQFDAWDDCKJ-MRXNPFEDSA-N 416.524 4.750 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550313522 BUEMCMLDSBLIOB-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(Nc1ccc(COc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 552695205 XOPJQKJPQODNFO-UHFFFAOYSA-N 403.438 4.764 5 20 HJBD O=C(NC[C@@H](NCc1ccccc1)c1ccco1)c1cc2cc([N+](=O)[O-])ccc2s1 552868816 IFVLREFDMNWZEZ-GOSISDBHSA-N 421.478 4.663 5 20 HJBD Cc1c(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 553380157 CNZRNUNSCBDDDY-KPZWWZAWSA-N 408.376 4.524 5 20 HJBD Cc1c(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])oc2c(Br)cccc12 557079151 VPOBXIVBXBFMLY-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc2cc(Br)cnc12 568677751 LVECGNMHEOQXJD-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(C(F)F)sc2c1 583349152 WINMVLIPDQVQMY-JTQLQIEISA-N 406.414 4.971 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 584757531 IJQPUDZCAHQQMT-KSSFIOAISA-N 418.877 4.938 5 20 HJBD CSc1ccc(C(=O)Nc2ccc3nc(N4CCCC4)sc3c2)cc1[N+](=O)[O-] 589980538 VKDOLSQWTCRCEK-UHFFFAOYSA-N 414.512 4.779 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2cc(F)ccc2F)c([N+](=O)[O-])cc1OC(F)F 603855340 YQUMIWGVNKIVNY-JTQLQIEISA-N 402.344 4.676 5 20 HJBD CC(C)n1cc2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc2n1 605366601 CRYSEZDKYYBNAB-UHFFFAOYSA-N 410.477 4.724 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 609289484 SQQVZRYZIFAOAR-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1nc(-c2cccs2)cs1 609723402 OOXSUBUXMIJHTI-UHFFFAOYSA-N 409.876 4.841 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1nc(C(C)(C)C)cs1 610044565 UCFRJYXTZJRZCC-VIFPVBQESA-N 412.309 4.602 5 20 HJBD COc1ccc([C@H](Nc2ncnc3ccc([N+](=O)[O-])cc23)c2ccccc2OC)cc1 611711938 BSKYQMFXGHVQBZ-QFIPXVFZSA-N 416.437 4.757 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)c1Br 612442204 UMWMZAIVGQYQLX-MAUKXSAKSA-N 420.307 4.665 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 619638754 AKSLZOSKWWCFSA-UWJYYQICSA-N 413.474 4.625 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=S)NCc1cccc(C(F)(F)F)c1 726450917 KJEXYNSLODKFEC-UHFFFAOYSA-N 417.840 4.774 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 728990603 IQWRESSNQQFVNC-SKLAPFLYSA-N 412.255 4.511 5 20 HJBD Cc1ccc(Oc2ccc(N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)cc2)nc1 733323153 GJFPLGYMIILQDM-INIZCTEOSA-N 406.442 4.838 5 20 HJBD Cc1ccccc1[C@@H](O)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368396 PHEGWUGCTOZEHM-NRFANRHFSA-N 407.426 4.551 5 20 HJBD CC(C)(Oc1ccc(Cl)cc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445762 YIKISAURWVRNTR-UHFFFAOYSA-N 416.817 4.804 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NCC(=O)Nc1cc([N+](=O)[O-])ccc1C 753907771 BPWVBCMZYYUPQM-UHFFFAOYSA-N 414.462 4.609 5 20 HJBD COc1cccc(-c2nc(CN3CCc4cc(C)c([N+](=O)[O-])cc43)cs2)c1OC 754115134 RBVSXTXDCVHXHT-UHFFFAOYSA-N 411.483 4.607 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@@H]1C[C@H](O)c1ccco1 759181412 OHHDUUFQEYOXKJ-DYVFJYSZSA-N 407.854 4.741 5 20 HJBD C[C@@H](OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1)c1cccc([N+](=O)[O-])c1 761952612 BSZZLPAKLWXWJO-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD O=C(Nc1c(-c2cccs2)nc2ccccn12)c1cc(F)c([N+](=O)[O-])cc1F 763443326 IQIADELIDTZOFL-UHFFFAOYSA-N 400.366 4.502 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)c1cc(F)c([N+](=O)[O-])cc1F 763503574 MGSWZRDQOVZXCE-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCC[C@@H](C(F)(F)F)C1 773399813 CPEGZBSLHVEAAQ-WDEREUQCSA-N 410.186 4.769 5 20 HJBD CCC(=O)c1ccc(OCCCCC(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1 774218640 MNBICOAECQDJMS-UHFFFAOYSA-N 410.470 4.634 5 20 HJBD C[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nnc(-c2cccc(Cl)c2)o1 784085660 XKJGDZLNQGESET-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD Cc1cnc(COC(=O)Cc2csc(-c3ccc(Cl)s3)n2)c(C)c1[N+](=O)[O-] 789440967 RIZLQRFIGGMTJD-UHFFFAOYSA-N 423.903 4.731 5 20 HJBD Cc1ccc([C@@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)cc1 792130176 TUUGQVLPDQNPRN-HXUWFJFHSA-N 410.861 4.540 5 20 HJBD CC(C)c1[nH]nc(C(=O)O[C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 796255359 QECTUTOWSRGJAK-JTQLQIEISA-N 404.810 4.668 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](c2nc3ccccc3o2)C(F)(F)F)c1 798241697 MIWUWHHIRVKYHE-CQSZACIVSA-N 412.345 4.918 5 20 HJBD Cc1nc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c2ccc(Cl)cc2)no1 809911221 JSWQXFPJOKXTPM-HNNXBMFYSA-N 422.228 4.504 5 20 HJBD CC(=O)Nc1ccc(Cl)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812951989 YKFZKRCOCXWVMY-UHFFFAOYSA-N 402.621 4.766 5 20 HJBD CCOc1ccc2c(c1)[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])CCO2 813191858 XBACMKIZKULGAW-HNNXBMFYSA-N 411.241 4.554 5 20 HJBD CC(C)CCSc1ccc(C(=O)Oc2ccc(C(=O)N(C)C)cc2)cc1[N+](=O)[O-] 915160050 PARCIRSCWVARTJ-UHFFFAOYSA-N 416.499 4.654 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)OCc3cccc([N+](=O)[O-])c3)c12 919194657 BWAQFUHCGVEJNZ-UHFFFAOYSA-N 408.458 4.637 5 20 HJBD Cc1cc(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)cc([N+](=O)[O-])c1 920906007 OMEXSJXFIZNYJB-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD Cc1ccc(Oc2ccc(OCC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)cc1 1322147569 LYHRJIJLEOHCJU-UHFFFAOYSA-N 404.422 4.617 5 20 HJBD Cc1ccc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)cc1[N+](=O)[O-] 1328072109 BPZVBOZESSOUJC-UHFFFAOYSA-N 424.420 4.868 5 20 HJBD CC(C)CC(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])CCC2)cc1 1787664953 RGJZMRJWMIOLRF-MDWZMJQESA-N 421.497 4.788 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCc3csc(C)n3)cc2[N+](=O)[O-])cc1 29498735 UFWNQMZWLUMRIM-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 45239370 JRRIYFBDDFKQGT-GOSISDBHSA-N 414.505 4.819 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c1 47345284 SOJAGLJULCCNPY-UHFFFAOYSA-N 411.458 4.867 5 20 HJBD O=C(N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1)c1ccc([N+](=O)[O-])cc1F 61315442 SJXMDOUMORZDII-FQEVSTJZSA-N 400.356 4.726 5 20 HJBD CN(C)c1ccc(Cl)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 105512154 CYWSSIJRYZKLRK-UHFFFAOYSA-N 405.885 4.513 5 20 HJBD CCOc1ccc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)cc1OC 237002190 PCGKXRAEJGBFEA-UHFFFAOYSA-N 412.442 4.561 5 20 HJBD COc1cc(CNCc2sc(C)nc2C)c([N+](=O)[O-])cc1OCc1ccccc1 237136217 UAICLTZCFOOQNJ-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2cccc(Cn3ccnc3)c2)s1 237963085 XSELEAIIFGPJGY-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(Sc3ccncc3)c([N+](=O)[O-])c2)c(C)c1 301756479 DRGUSOPPIMFHEH-UHFFFAOYSA-N 415.496 4.559 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CC2)cc1 301837797 WHIUWYNFHLNIQX-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1ccc(Cl)cc1[N+](=O)[O-] 326731763 SANHRZQDYMHSEX-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1cc(CN2CCC[C@H](CSC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 430195691 JOKZCICZLANUQY-IBGZPJMESA-N 416.543 4.757 5 20 HJBD Cc1cc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1NC(=O)c1ccco1 432410977 UCZPIWVKCNTIAS-UHFFFAOYSA-N 407.426 4.665 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(OC(F)F)c(C(F)(F)F)c1 432976123 FZLGYOSSRSUERH-UHFFFAOYSA-N 419.306 4.879 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc(Oc2cccc(F)c2)cc1 445244723 RPBUYPOEONORPB-UHFFFAOYSA-N 421.388 4.724 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1)Nc1cc(Cl)cc(Cl)c1 445375714 HRNHLFCVTFDVOF-UTCJRWHESA-N 419.224 4.636 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 445659250 UZDHNOHYOSWPRF-UHFFFAOYSA-N 403.398 4.912 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2cc(Br)c(C)c([N+](=O)[O-])c2)n1 445785426 RQWFGAPNKZAYQF-INIZCTEOSA-N 418.247 4.842 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)CC1)c1ccccc1 447539085 FOWDJSKCPORYNR-LADGPHEKSA-N 421.541 4.747 5 20 HJBD CC(C)Oc1cc(Br)cc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 465061262 WKUPNACRFRJFAR-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCC2CC2)c(F)c1 469456707 JRWVBCUQTWPWQM-AWEZNQCLSA-N 400.450 4.678 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)c(C)c1 471338880 XWIMVZMOSDVSNX-KRWDZBQOSA-N 407.495 4.965 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 472838524 ZARSHFBJBIOCJM-ZDUSSCGKSA-N 418.375 4.980 5 20 HJBD CC(C)n1cc2cc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)ccc2n1 475387226 CSENGYOYGFVHLN-UHFFFAOYSA-N 423.473 4.601 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@H](c1ccc(C)cc1)c1cccnc1 475906802 RDNNVAHDDLAMQD-OAQYLSRUSA-N 405.454 4.559 5 20 HJBD CCC[C@H](CCO)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 476799832 RNYGWBWMWQGNRG-CQSZACIVSA-N 406.866 4.569 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1Br 478945788 ZIFBJHVUQDILLK-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479789392 RBLMHRSCMHPTRM-MGPLVRAMSA-N 416.783 4.773 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])NCc1cccc(COCc2ccccc2)c1 480320926 XASGJKYAQBVWHW-UHFFFAOYSA-N 422.506 4.720 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)O[C@@H](C)C2 480467556 VZRHXPOUXDDGAO-AWEZNQCLSA-N 411.458 4.513 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCC[C@H]1C(F)(F)F 484025354 KYEUBIDODZPMLY-NVXWUHKLSA-N 401.429 4.884 5 20 HJBD O=C(NCc1ccc(Cl)s1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485765061 UTZMWWRJTOWWPZ-UHFFFAOYSA-N 402.859 4.819 5 20 HJBD COCC[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc(C)o1 486141378 CKIAGSLQZPCJQI-FQEVSTJZSA-N 424.453 4.583 5 20 HJBD Cc1cccc(NC(=O)N2CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)c1 486182010 YWMDJFLONBCWNN-UHFFFAOYSA-N 424.504 4.686 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1OCCOC 488299517 YTPIOZZCLDDOQU-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(C(F)(F)F)c1 488437358 YPXOPZNJLFYTOR-UHFFFAOYSA-N 414.405 4.913 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 488812510 DYAHAEPEIUEXEH-OAHLLOKOSA-N 417.531 4.629 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497795676 PQBWDPKCCVBXOC-UHFFFAOYSA-N 420.290 4.866 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](Oc3ccccc3Cl)C2)c1 504669649 MFSNYSFOYWKWHH-ZDUSSCGKSA-N 406.891 4.654 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(C(F)(F)F)cs1 504900251 UQYWEDBBBLQSNO-LLVKDONJSA-N 405.467 4.773 5 20 HJBD COc1ccc([C@@H](NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C(F)(F)F)cc1 505863029 RLRPFYYMBYTGSP-QGZVFWFLSA-N 407.348 4.652 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 507293362 ZAUSWYDSYADVCW-FQEVSTJZSA-N 415.453 4.834 5 20 HJBD CC(C)Cn1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1C1CC1 510810760 KGCZUQDXQGLVQH-UHFFFAOYSA-N 411.487 4.701 5 20 HJBD CCCCCC(=O)NC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 512633346 MJUNBFYEAONJEF-UHFFFAOYSA-N 415.559 4.984 5 20 HJBD O=C(c1ccn(Cc2ccccc2)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 513694907 WMCYZZPYSUPXFZ-UHFFFAOYSA-N 417.387 4.649 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(OCc2cccc(F)c2)c1 515658211 WWIKNKIUHWYKIJ-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)NC[C@H](c1ccccc1)c1c[nH]c2ccccc12 515776268 GMOXHCJYDVGQHX-OAQYLSRUSA-N 414.465 4.707 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 517977861 JEAPBKLAVQXAMY-UHFFFAOYSA-N 412.452 4.616 5 20 HJBD CSc1ccc(C(=O)NCCc2nc(C3CCCCC3)cs2)cc1[N+](=O)[O-] 518432444 UHTGWZRITOONCA-UHFFFAOYSA-N 405.545 4.793 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])cc3F)s2)c1Cl 520406748 STHWMUJVQKGERL-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N(Cc2ccc(Cl)cc2)c2ccccc2)cc1 522024816 KRNAQATWCJGDLL-UHFFFAOYSA-N 416.886 4.785 5 20 HJBD CCc1noc2ncc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc12 522672328 HHAILMIUZFCJQQ-UHFFFAOYSA-N 404.382 4.738 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccc(C)c(C)c3)nc2)cc([N+](=O)[O-])c1OC 525557723 AGMSOTQPVFWESU-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD Cc1ccccc1Cc1nnc(COc2c(C)cc(Br)cc2[N+](=O)[O-])o1 532363455 FBKDACCTKHNPBM-UHFFFAOYSA-N 418.247 4.527 5 20 HJBD O=[N+]([O-])c1ccccc1OCCN1CCC(c2nc3cc(Cl)ccc3o2)CC1 533029149 OVEWMPLSNPAOKN-UHFFFAOYSA-N 401.850 4.648 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@H](c4nc5ccccc5s4)C3)n2)cc1 533334708 DYLWZMBKJHASSJ-HNNXBMFYSA-N 421.482 4.634 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1c(-c2cccs2)nc2ccccn12 535465995 ISIQUDAKCKLARE-UHFFFAOYSA-N 417.450 4.634 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1ccccc1Br 536318392 AMMLJQMWSKXJFJ-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD CC[C@@H](Oc1ccccc1F)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251066 CFRIOKABSVBHSP-QGZVFWFLSA-N 415.446 4.678 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Nc1ccc(OC)c([N+](=O)[O-])c1 541105957 GQDONPFVTWTFPX-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD CS[C@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(C)(C)C 541279695 ZGNZGDGNVDVGJK-AUUYWEPGSA-N 416.547 4.670 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n(-c2ccc(Cl)cc2)n1 542536535 IGGPGLGCTUJREN-GFCCVEGCSA-N 402.813 4.624 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 542594893 GVIIPDUWSOWJCJ-XOBRGWDASA-N 410.449 4.571 5 20 HJBD Cc1ccc([C@H](C)NCc2cn(-c3ccc(Cl)c(Cl)c3)nn2)cc1[N+](=O)[O-] 542980394 BHJQULZHJRGLOY-LBPRGKRZSA-N 406.273 4.642 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1 543645537 XRBKHSJZJJNFDX-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD CCCc1noc2nc(C3CC3)cc(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)c12 545143688 FYNXOBLCBNMVQY-UHFFFAOYSA-N 419.441 4.910 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1c(-c2ccccc2)nc2ccccn12 547412871 GRPHIFITYQBJJC-UHFFFAOYSA-N 404.451 4.640 5 20 HJBD CCSc1cccc(CNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 557728060 IVJMVSXGYCSYLC-UHFFFAOYSA-N 414.405 4.578 5 20 HJBD COCCC[C@@H](CNC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(Cl)cc1 571233679 QGXJKEBUSXDIOD-HNNXBMFYSA-N 415.877 4.670 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Oc3ncccn3)cc2)no1 572642439 FHAZGWPZUAABKF-INIZCTEOSA-N 417.425 4.963 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 580612807 AXJPJDNGEXTCTR-OAHLLOKOSA-N 419.437 4.598 5 20 HJBD C[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCC1(F)F 583844705 XWEDQSUNVFJHPE-CYBMUJFWSA-N 423.847 4.978 5 20 HJBD C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 588821992 UXXYWVFBAXWAHI-UCKNYWNBSA-N 402.535 4.684 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1C[C@H]1c1cccc(C(F)(F)F)c1 588937129 UECKMCZFHKHDLG-WMZOPIPTSA-N 417.387 4.557 5 20 HJBD Cc1cc(NC2CCN([C@H](C)c3ccncc3)CC2)c([N+](=O)[O-])cc1C(F)(F)F 590139434 XFZXLSVJDWPHSH-CQSZACIVSA-N 408.424 4.955 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OCC(F)(F)F 603952229 DAHIKUCJWAJHQR-UHFFFAOYSA-N 418.755 4.597 5 20 HJBD CC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)s1 610045667 VLMZIGPKZYHDGJ-NSHDSACASA-N 403.685 4.953 5 20 HJBD CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 726036071 POHBAQWTRPDLGD-MSOLQXFVSA-N 404.510 4.716 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)sc2C)s1 728837912 RCKAGRNEMCXGRH-UHFFFAOYSA-N 418.456 4.866 5 20 HJBD Cc1ccc(-c2ccc(C(=O)O[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c(F)c2)cc1 730345264 HCVWJAQIMCOPKW-OAHLLOKOSA-N 422.412 4.893 5 20 HJBD CN(Cc1ccccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)OC(C)(C)C 731981546 DILXMIUPVOLDCE-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD COc1ccc(OC(=O)c2ccc3c(c2)nc(C(F)(F)F)n3C(C)C)c([N+](=O)[O-])c1 734388944 LYRIIIGZCZVNNC-UHFFFAOYSA-N 423.347 4.772 5 20 HJBD O=C(NCCSC(F)(F)F)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735367801 BHBAWADHUSPSLL-UHFFFAOYSA-N 401.366 4.762 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1cccc(C(F)(F)F)c1 745482628 GNTFKIODZDIILB-XFXZXTDPSA-N 422.359 4.507 5 20 HJBD O=C(COc1ccc(Oc2ccccn2)cc1)OCc1ccc(Cl)cc1[N+](=O)[O-] 746930193 GRWXMRKDPYLJOP-UHFFFAOYSA-N 414.801 4.558 5 20 HJBD Cc1c(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cccc1C(=O)Nc1ccccc1 748198660 DVWZASRIHWMWPY-NTCAYCPXSA-N 401.422 4.807 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc(F)cc(C)c4[N+](=O)[O-])CC3)c2c1 753448238 BIJFUTMKYGHLIQ-UHFFFAOYSA-N 411.433 4.552 5 20 HJBD C[C@H](C(=O)OCc1cccc(NC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755066832 PAFSUYMATZMYLI-ZDUSSCGKSA-N 418.421 4.928 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCCC(C)(C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 756267262 YCIJREUEOYINPF-NWDGAFQWSA-N 416.396 4.700 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(COc3ccc4ccccc4c3)no2)C1 761734316 NMAPQONXDZOBRW-FQEVSTJZSA-N 416.393 4.576 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nnc(-c2cccs2)o1 763815493 UGRXBBYXTBJAJZ-SNVBAGLBSA-N 405.457 4.736 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 765058790 SSZZUVFPNFZMLU-OCCSQVGLSA-N 400.866 4.571 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133984 JGALQBQDIGUITI-OAQYLSRUSA-N 415.405 4.900 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)[C@H]1CC(c2ccccc2[N+](=O)[O-])=NO1 781685313 XIKLJABSKLEPQG-HXUWFJFHSA-N 413.499 4.677 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 782256418 WJTZCUSSNWHUQP-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)ccc1Cl)C(C)C 791031271 LQVDMWCINKHSTO-UHFFFAOYSA-N 421.856 4.819 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccc(Cl)cc2)no1 792182039 WMQXMPLHHQVPGU-JTQLQIEISA-N 424.791 4.750 5 20 HJBD C[C@H](OC(=O)CCSCc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 795844858 MHPXOTSZLJXHJP-AWEZNQCLSA-N 413.455 4.573 5 20 HJBD COc1c(C(=O)O[C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 796310213 SERNDZDBSBGLMD-NSHDSACASA-N 402.790 4.830 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 799838426 WRBMOEUZHOCIBC-LLVKDONJSA-N 419.846 4.522 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2nccc3ccncc23)cc1 801696995 LOCIVPKHKBRLKY-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD COc1ccc2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc2c1 804308373 ZKOAOVBNLJHHLU-UHFFFAOYSA-N 408.457 4.955 5 20 HJBD O=C(c1ccc(F)cc1)C1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809917205 BYRPCMQZDAUEIY-UHFFFAOYSA-N 405.813 4.514 5 20 HJBD COc1ccc(CSCC(=O)OCc2csc3ccccc23)cc1[N+](=O)[O-] 920824080 ULKGAHPVOGYPIJ-UHFFFAOYSA-N 403.481 4.795 5 20 HJBD CCOC(=O)c1sc2nc(/C(Cl)=C\c3ccc([N+](=O)[O-])cc3)nc(O)c2c1C 1317702821 AXAAARNASAPBKC-XYOKQWHBSA-N 419.846 4.527 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(C23C[C@@H]4C[C@H](C2)CC(C(F)(F)F)(C4)C3)o1 1329260926 ACIWMCBQQYPQFP-NFAYLAGKSA-N 423.391 4.957 5 20 HJBD O=c1c2ccccc2n(Cc2nnc(-c3ccc4ccccc4n3)o2)c2ccccc12 1345494096 GWWAHIMTMNIJQY-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD CCCCCOc1ccc(/C=C2\CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1 7700582 HHYJREVWBVOACG-SAPNQHFASA-N 405.454 4.818 5 20 HJBD C[C@@H](OC(=O)/C=C/c1nc2ccccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17672867 PPPNMSCUJICQKR-HCRIHEDKSA-N 422.422 4.572 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 28630976 XPCWQOBBIZMQFY-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CN(CCOc1ccccc1Cl)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50294966 JTTMTEYJRNMYEN-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)Cc2ccccc2[N+](=O)[O-])n1 58981498 JWXRUQCXTOZUNC-UHFFFAOYSA-N 418.375 4.597 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)s2)c(C)c1 65082930 GZNWOEZHNJRAFN-UHFFFAOYSA-N 423.498 4.583 5 20 HJBD COc1ccc(CN[C@H](C)Cc2ccc(O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 237162831 YJSCUCUVXUVJPI-QGZVFWFLSA-N 422.481 4.609 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(OCc3noc(C4CC4)n3)cc2)c2ccncc12 301415834 LVCWBQYMTFPZQK-UHFFFAOYSA-N 403.398 4.726 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Sc1nnc(-c2cc3ccccc3o2)o1 301669865 DUGOPNICJPCAHV-UHFFFAOYSA-N 419.216 4.700 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2c2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 302213832 ZITSAUMOAPCQQA-OAHLLOKOSA-N 408.545 4.699 5 20 HJBD Cc1cccc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 303611242 YWWASQULGNBERV-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] 303938492 WWLXEMQIDWUNBQ-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD CCC(CC)(CC)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 303964840 XXWUJVZISQZKPP-UHFFFAOYSA-N 415.456 4.915 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1nc(C(C)(C)C)cs1 426390498 PGQFKNGZHDAOQG-CYBMUJFWSA-N 402.520 4.784 5 20 HJBD Cc1[nH]nc(C(=O)N(CC2(c3ccc(Cl)c(Cl)c3)CCC2)C2CC2)c1[N+](=O)[O-] 427527356 IKRAXNKEKSZIOV-UHFFFAOYSA-N 423.300 4.660 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433770110 BJIVHMSRDHLERE-JTQLQIEISA-N 417.466 4.503 5 20 HJBD Cc1c(NC(=O)N(C)Cc2cccc3[nH]ccc23)cc(Br)cc1[N+](=O)[O-] 435327658 ICKVUOVDASVKFI-UHFFFAOYSA-N 417.263 4.811 5 20 HJBD COc1cccc(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)c1[N+](=O)[O-] 435864497 HYADIISVGXSYEJ-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OC 436091277 ILSXIDMBIHCELO-OAHLLOKOSA-N 416.477 4.580 5 20 HJBD Cc1ccc(Br)cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437397884 VLDYCYXOWADPCF-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CC3)c2)cc1[N+](=O)[O-] 439118552 XBHQBGVYAIQNAS-UHFFFAOYSA-N 401.850 4.516 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2I)cc1[N+](=O)[O-] 439226185 VTVBBOCRAKYYAY-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD COc1ccc(OCCN2CCc3sccc3[C@H]2c2cccs2)c([N+](=O)[O-])c1 441257411 IKMFGONKJCTQDI-FQEVSTJZSA-N 416.524 4.753 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H]([C@H]4CCOC4)C3)cc2[N+](=O)[O-])cc1 441539192 ALIYHYLYTORJCS-MSOLQXFVSA-N 412.511 4.553 5 20 HJBD C[C@@H](Cc1ccc(O)cc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442639941 VPEATKWKNRLBMY-HNNXBMFYSA-N 408.479 4.813 5 20 HJBD C[C@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444096571 KNUHVNQVWYIEED-FUHWJXTLSA-N 414.480 4.914 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)c1sc(Br)cc1[N+](=O)[O-] 446079654 JYJVWURPDBUFLO-AWEZNQCLSA-N 413.268 4.829 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 447539602 HEIIPXXAEIISMG-GAJHUEQPSA-N 422.529 4.579 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 463269243 XYVOWCRRIGYHQV-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 470012170 PTARTMIPGOWMCZ-QGZVFWFLSA-N 416.481 4.748 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CC2)c1 472927940 XTDSNSWRWZPNLQ-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474735395 LTLABSYRCJQVFG-ZIAGYGMSSA-N 420.893 4.920 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1C 477563187 RBTNKORNNPXOSS-UHFFFAOYSA-N 418.881 4.659 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)ccc2C)n1 478520720 WMXJBHHLOVGDLL-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1OCC 478981192 IIHRTFWCLPIBOB-AWEZNQCLSA-N 418.515 4.995 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)cc2-c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 485689134 HPIPRQLTBRNZBY-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)OC(C)(C)C)CC1 485921658 PVISLIJMVBXYPI-UHFFFAOYSA-N 422.547 4.537 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 485926625 ODYUVIGTZKAAFT-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1 486246971 XLTZEZXDJCHDJR-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(-c2ccncc2)cs1 488122913 OTEGCTOOHKUGPE-GFCCVEGCSA-N 414.512 4.716 5 20 HJBD CN(Cc1nc2cc([N+](=O)[O-])ccc2o1)Cc1cc(Br)ccc1Cl 494243131 XXHWNHAMCPNNFY-UHFFFAOYSA-N 410.655 4.784 5 20 HJBD COC(=O)[C@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 494788841 VREVAQLZWNUVFV-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD CSc1ccc(N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 495109435 PILVGSDADVQKMM-UHFFFAOYSA-N 400.378 4.534 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)n1 498629719 ACWPJFJRWVFIKI-UHFFFAOYSA-N 424.413 4.667 5 20 HJBD Cn1c(C(C)(C)C)nc2cc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)ccc21 498650694 YIGPKLCZKFAJEB-UHFFFAOYSA-N 403.442 4.580 5 20 HJBD COc1cc(C(=O)N[C@H]2CCCC[C@@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 499160219 XULPPHOZQHONAI-STQMWFEESA-N 400.422 4.540 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)O[C@H](C)C2 499629076 ZFYOBCVUVYKNRO-HFTRVMKXSA-N 410.470 4.676 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1c(C)cccc1[N+](=O)[O-] 502286571 QETMAGMGDWNYSB-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD COCC[C@@H](N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1ccco1 502646017 DLPATWGUEYMPMU-KNQAVFIVSA-N 423.469 4.543 5 20 HJBD O=C(CC[S@](=O)Cc1ccc(C2CCCCC2)cc1)Nc1ccccc1[N+](=O)[O-] 505768684 ZQVVKKRJCVRONR-LJAQVGFWSA-N 414.527 4.920 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)co2)cc1 510097677 CRNWYBPTGZKRRR-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1COCc1ccccc1 511099785 SLEYUZPKQMBASF-UHFFFAOYSA-N 405.454 4.671 5 20 HJBD COc1cccc(C(=O)c2c(NC(=O)c3ccccc3[N+](=O)[O-])sc3c2CCC3)c1 511490893 NOHWWVNXSRTPQR-UHFFFAOYSA-N 422.462 4.637 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 513575006 SPBRKOVCSLHSSM-QAPCUYQASA-N 402.878 4.558 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 517993158 ILIHOEVVIYRCTE-INIZCTEOSA-N 404.422 4.870 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(Cc2cccc(F)c2)C2CCCC2)c(Cl)c1 520155259 ZHACYGJANHKEDW-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD Cc1nn(CCC(=O)N(c2ccccc2)c2nc3ccccc3s2)c(C)c1[N+](=O)[O-] 523228095 GTLNTQJDRLPHNJ-UHFFFAOYSA-N 421.482 4.773 5 20 HJBD COc1cccc([C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 525526197 WVKJAHDMZPOXAQ-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD Cc1cccc([C@@H]2CCCN2c2c([N+](=O)[O-])cnc3ccc(Br)cc23)n1 531706423 VSYNAOYDGRBZME-KRWDZBQOSA-N 413.275 4.950 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)NCCc3cccc([N+](=O)[O-])c3)cc2)cc1 535534152 CGWHKNXFEBHYEJ-UHFFFAOYSA-N 404.422 4.562 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1cccc(Cl)c1Cl 537111966 ZHONVDIELSFPCX-NSHDSACASA-N 412.273 4.590 5 20 HJBD Cc1ccccc1[C@H](OC[C@H](O)CN[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 538174492 BNEHYSSIJYBOCS-RZPHLTDDSA-N 420.509 4.721 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cccc(N3CCN(CC4CC4)CC3)c2)cc1[N+](=O)[O-] 538527199 WIRVWLHMGOOSHF-GOSISDBHSA-N 424.545 4.699 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)c1ccon1 540914866 RVTSWABOMYFZIY-NSHDSACASA-N 403.847 4.878 5 20 HJBD CC[C@@](C)(NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc(C)cs1 543444901 GBCVKDADNSAUAG-OAHLLOKOSA-N 402.398 4.825 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC1Cc2ccccc2C1)c1ccccc1 545828914 FEAQQYHPTADFQQ-JOCHJYFZSA-N 421.884 4.685 5 20 HJBD Cc1c(Br)ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c1F 549227859 HUEHXWZDTPLYDA-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD O=C(Nc1cc(Br)cc([N+](=O)[O-])c1)c1cnc(-c2ccsc2)s1 551682292 LJURHQUJKCZHHI-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD COCCC[C@H](CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(Cl)cc1 552580412 DXPKDSROLMJIBZ-OAHLLOKOSA-N 416.861 4.935 5 20 HJBD C[C@@H]1CSC[C@@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 557106230 JCQJUSBCUQQDQH-ABAIWWIYSA-N 408.932 4.881 5 20 HJBD C[C@H](C(=O)N1CC=C(c2cccc(Br)c2)CC1)c1cccc([N+](=O)[O-])c1 557625242 SMPQYBVKFVGLKG-AWEZNQCLSA-N 415.287 4.777 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H]1CCN(Cc2ccccc2)C1 579527627 VCMVSKWQNWAZIM-MAUKXSAKSA-N 407.436 4.614 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)C(F)(F)F 589093917 JVILYCZUYMIQOP-JTQLQIEISA-N 402.756 4.759 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590423115 JOJGOMFMFFBSIX-UHFFFAOYSA-N 404.344 4.675 5 20 HJBD COC(=O)c1cc(C(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)cc([N+](=O)[O-])c1 603531408 LBDWDVAOLFYKNX-OAHLLOKOSA-N 424.478 4.847 5 20 HJBD Cc1sc(NC(=O)c2ccc(C(F)(F)F)cn2)nc1-c1cccc([N+](=O)[O-])c1 603997069 QDJKLJLPYOEFTK-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 604244272 PJYIUASALXGFKM-NVXWUHKLSA-N 409.511 4.963 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(CO[C@H]3CCC[C@H](C)C3)c2)cc1[N+](=O)[O-] 609012331 VOJPEFGEMFJFKY-JXFKEZNVSA-N 412.486 4.880 5 20 HJBD C[C@@H](Sc1ccccc1Cl)C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 609453566 OTFVBRAQHINIPK-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD CC[C@H](c1ccccc1)N(CC(C)C)C(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 609509883 WCTYMNWBBAESGM-GOSISDBHSA-N 400.475 4.862 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 609639758 DFQUPTUDQHHZOV-HSZRJFAPSA-N 424.545 4.742 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc2ccccc21 609852392 MVZUJEAAXQNPPB-CQSZACIVSA-N 407.474 4.591 5 20 HJBD O=C(Nc1cccc(F)c1F)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609856633 SXPQZBURHIMITH-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 731829609 HHWJWIVPJKZUPN-FQEVSTJZSA-N 414.845 4.580 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 732000704 FSRGLUSGODNYJB-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD C[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H]1CCCN(C(=O)OC(C)(C)C)C1 732568093 JKVFRBACRVRECZ-GJZGRUSLSA-N 400.479 4.591 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 741973924 MNXDWDUCDDERDU-UHFFFAOYSA-N 420.318 4.874 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c(Cl)c1 745073842 LFOFBFZQYNMBEV-AWEZNQCLSA-N 418.877 4.713 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1ccccc1Sc1ccccc1 745407823 MOXLUJNLWJUVRR-UHFFFAOYSA-N 422.462 4.850 5 20 HJBD Cc1c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cccc1C(=O)Nc1ccccc1 748198661 DVWZASRIHWMWPY-QINSGFPZSA-N 401.422 4.807 5 20 HJBD C[C@@H](OC(=O)c1csc(COc2ccc(F)cc2)n1)c1ccccc1[N+](=O)[O-] 749056302 NVNLQMQPMTUDSY-GFCCVEGCSA-N 402.403 4.687 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 750887794 UAFKRVQAFIWMII-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD O=C(Nc1ccc(Cl)cc1C(=O)c1ccc[nH]1)c1cc([N+](=O)[O-])ccc1Cl 755370627 PASBOYKQDWZMPS-UHFFFAOYSA-N 404.209 4.713 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763468414 YJOQYCXYIRIQHZ-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc([N+](=O)[O-])c(Cl)c1 764148417 CXUOGLKGRBJGPA-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 764217193 RRIGDOCRPRQLJG-UHFFFAOYSA-N 410.213 4.867 5 20 HJBD CC(C)(C)Oc1cccc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 768558789 LBMQQXQYDGIQOQ-UHFFFAOYSA-N 413.455 4.648 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1sc(Cc3ccc(F)cc3)nc1C)CC2 773987859 BGDBDMIQJVKFQU-UHFFFAOYSA-N 411.458 4.601 5 20 HJBD O=C(Nc1cc(Cl)ccc1OCC1CCC1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 786669842 HBSRTWYQESHQQO-UHFFFAOYSA-N 405.794 4.588 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CC=C(c2c[nH]c3ccccc23)CC1 790490514 PHKAQRIKYJJMNZ-UHFFFAOYSA-N 413.836 4.727 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4cn5c(n4)CCCC5)n3)cc2)cc1 809363514 OCYONBRXKSRUFK-UHFFFAOYSA-N 403.398 4.637 5 20 HJBD O=c1cc(O)n(C2CCCC2)c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809955707 PIVVGKGJBURVDW-UHFFFAOYSA-N 416.286 4.571 5 20 HJBD Cc1c(C(=O)N2Cc3ccccc3[C@@H](c3ccccc3)C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 901384944 CLQRMBSYSAIQGD-OAQYLSRUSA-N 417.421 4.599 5 20 HJBD O=[N+]([O-])c1ccc(-c2cc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)n[nH]2)cc1 904314668 NLKIEWVABNJUDB-UHFFFAOYSA-N 401.304 4.721 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=S)Nc3ccc([N+](=O)[O-])cc3)cn2)c1 916003668 GOESESQXTFZKJJ-UHFFFAOYSA-N 408.483 4.886 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 916419301 VMJLXZOWENKHRS-SFHVURJKSA-N 414.820 4.937 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](c1ccccc1)c1cccc(Cl)c1 921266519 LGKRABSOLYLKDV-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD C[C@H]1Oc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2NC1=O 1116318710 CFKBWZSRTONFDQ-LLVKDONJSA-N 413.817 4.840 5 20 HJBD CC(C)(C)n1c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)nc2ccccc21 1251292940 HJZMGYSTGKTMLZ-UHFFFAOYSA-N 421.501 4.555 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 7375219 WUCXCMDKYWAVJO-VHSXEESVSA-N 400.237 4.719 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](C)c1cc(F)c(Cl)cc1Cl 8248983 QZPWOJYOYMLGNE-SNVBAGLBSA-N 400.237 4.638 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2Cl)c1 18229268 KWEVVPDMSAJYFU-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD COc1cc([C@@H]2Nc3ccccc3C(=O)N2c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 24079859 GRGYJEDQWXAQBV-OAQYLSRUSA-N 423.400 4.522 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 56196526 WXNPCVZHVFKOKF-MNOVXSKESA-N 415.799 4.945 5 20 HJBD COc1cc(CN(Cc2ccc(C)s2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 60042004 ASSRHWOWTKJECV-UHFFFAOYSA-N 400.447 4.985 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64917969 NODCPHAEIAOBFN-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1ccc(C[C@@H]2CCCN(c3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)C2)cc1 65230616 SVOVRBQNEJLDDT-FQEVSTJZSA-N 407.514 4.598 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(COc2cccc(F)c2)c1 65444571 ZQPHNTFHOLIBKP-UHFFFAOYSA-N 409.417 4.677 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1cc2cc([N+](=O)[O-])ccc2s1 65857096 NMLZNVRKSNDNMA-MRXNPFEDSA-N 408.439 4.790 5 20 HJBD Cc1cc2c(Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)ncnc2s1 301878765 ONIPCGVGTKZXDD-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3c([nH]c4ccccc34)C2)nc1OCc1ccccc1 302151020 BNCCLZYYDMNQPS-UHFFFAOYSA-N 400.438 4.613 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])n1 303396145 QNXYLMCCDJPZQK-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 426882051 MJXKYCRPABAFQW-NVXWUHKLSA-N 423.307 4.501 5 20 HJBD Cc1cc([C@H]2CCCN2C(=O)Cc2cccc([N+](=O)[O-])c2)ccc1Br 431153705 WGOFCWKATHUYGH-GOSISDBHSA-N 403.276 4.572 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 431408150 UDCQDFPYUYOYDT-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(Cc4c(F)cccc4Cl)o3)nc2c1 434802530 LEOXDKTVCLDXSQ-UHFFFAOYSA-N 420.809 4.795 5 20 HJBD Cc1ccc(NC(=O)N(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 437911923 CMMIXPIKSJPVIO-UHFFFAOYSA-N 416.503 4.750 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2cccc3cnccc23)cc1 439220392 DOIHJTWIKYCWEG-UHFFFAOYSA-N 419.462 4.539 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCc2c1cccc2C(F)(F)F 444325823 ZTSLXGZDLOMNJE-UHFFFAOYSA-N 408.379 4.903 5 20 HJBD CCOc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c(C(F)(F)F)c1 446898761 NJRHCXYQEKWKQG-UHFFFAOYSA-N 409.364 4.603 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccc(C)c(C)c2)CC1 462427824 KNJYFFSFWBYNAF-FQEVSTJZSA-N 410.514 4.850 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 464431134 UJLXRZKUHDPWFD-UHFFFAOYSA-N 407.392 4.555 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)NCc1ccccc1[N+](=O)[O-] 465549101 ISUFUDQUMAXTMM-UHFFFAOYSA-N 413.380 4.665 5 20 HJBD Cc1cc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])on1 466278293 XQKGAVULLHXNAY-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1OC[C@H]1CCCCO1 467163708 VDWNNHWSDSKYIR-MRXNPFEDSA-N 419.865 4.518 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)c1ccc(-c2csc(C)n2)cc1 470795733 GMCNTXIGMFDTGO-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@@H](c2ccc(F)cc2)C1 471528253 LUUMOQLZGNMYHU-QUCCMNQESA-N 414.480 4.746 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 475637366 KQYLCTXILODIPD-GOSISDBHSA-N 423.391 4.836 5 20 HJBD CCC[C@](C)(NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(=O)OC 478131495 JKFABZQQEUXDDO-FQEVSTJZSA-N 420.849 4.502 5 20 HJBD O=C(Nc1cccc(Oc2cccnn2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 479821249 HBMSYPNRLNTJNX-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2nc(C)ccc2[N+](=O)[O-])cc1 481982923 INIGNSSSAGCPKM-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1Cl 482778933 LKJRYZIFPNYVKD-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C(C)C 483079354 BPFYTGUWVFOBLU-QFIPXVFZSA-N 420.513 4.892 5 20 HJBD CCc1c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 485315051 HNTTZSDPISOSJO-ZDUSSCGKSA-N 413.865 4.879 5 20 HJBD COC(=O)c1cc(NC(=O)c2oc3ccc(Cl)cc3c2C)c(C)c([N+](=O)[O-])c1 485941426 PVYJFUBCESAJGB-UHFFFAOYSA-N 402.790 4.650 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C)c2nc(C(F)(F)F)cs2)c1Br 486477860 JENVFQFEKCQFTM-LURJTMIESA-N 411.203 4.709 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N(C)[C@H](C)c2cc3ccccc3o2)cc1[N+](=O)[O-] 486594540 NJEVULCCNHITMX-GFCCVEGCSA-N 408.863 4.685 5 20 HJBD C[C@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 487102176 XSZOBYRMRUEADM-ZDUSSCGKSA-N 414.849 4.506 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2nc(CN3CCCCC3)cs2)cc1[N+](=O)[O-] 488099456 JAJSWKVBJMBIFO-UHFFFAOYSA-N 402.520 4.587 5 20 HJBD COc1ccc(NC(=O)c2cccc(NCc3c(Cl)cccc3[N+](=O)[O-])c2)cn1 488151779 PTMYYSPUFWIFJW-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)Cc3cccc4[nH]ccc34)cc2[N+](=O)[O-])CC1 495113255 YDSBMJXHIOUHID-UHFFFAOYSA-N 406.486 4.585 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)c1ccc(Br)cc1 499635398 ZLXJDJSBYOCEPV-AWEZNQCLSA-N 405.292 4.898 5 20 HJBD Cn1cnnc1Sc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 502464193 CNISDFTUSASEHN-UHFFFAOYSA-N 411.490 4.920 5 20 HJBD O=C(c1cnc(-c2ccco2)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 504326047 XOHLRSMIASKUIW-UHFFFAOYSA-N 411.361 4.516 5 20 HJBD CCOc1ccc([C@@H](C)NCc2c(C)nn(Cc3ccccc3)c2C)cc1[N+](=O)[O-] 504911944 OBJVTOJWUWALCY-MRXNPFEDSA-N 408.502 4.706 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc2ccccc21 506152672 INHOHTRLOZUTKO-HXUWFJFHSA-N 419.485 4.516 5 20 HJBD Cc1cc2cc(NC(=O)N[C@H](CC(=O)OC(C)C)c3ccccc3[N+](=O)[O-])ccc2[nH]1 510003591 VNNDRKJWQAQJKC-LJQANCHMSA-N 424.457 4.589 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510862874 XDMTVRBOYZTVQS-UHFFFAOYSA-N 419.306 4.879 5 20 HJBD C[C@@H](N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 511588461 OYTLSWVWVHXREU-XIKOKIGWSA-N 423.391 4.561 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511922902 NOSYOSOOSYOIOM-CYBMUJFWSA-N 405.279 4.621 5 20 HJBD C[C@H](Nc1ccc(-c2nc(Cc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 521019280 KTSSVWNUSLFVDJ-HNNXBMFYSA-N 401.426 4.804 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3c([N+](=O)[O-])cccc3C(F)(F)F)CC2)cc1C 521941952 QQTWSDCUEKWYFC-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(Oc3ccnc4ccccc34)c2)c1[N+](=O)[O-] 523756450 RDYDMFCDAFKCSB-UHFFFAOYSA-N 417.425 4.863 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(COCc2ccccc2)cc1 525051797 DCRVFJXSAKINMV-UHFFFAOYSA-N 406.438 4.719 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2sc3c(c2C(=O)OC(C)C)CCCC3)c1 525652944 GFAXZRXZNVSDQE-UHFFFAOYSA-N 402.472 4.661 5 20 HJBD CC[C@@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc(Cl)cc1[N+](=O)[O-] 533056548 YMVKCFSQJZQHCS-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cccc(C[S@@](C)=O)c2)cc1[N+](=O)[O-] 533219644 MMEYBPQUBRKTFI-GDLZYMKVSA-N 409.511 4.853 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cccc1[N+](=O)[O-] 533355666 CUHFWVIDUDHALC-OAHLLOKOSA-N 422.460 4.640 5 20 HJBD Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)n1[C@H]1CCC[C@H](C)C1 534607489 CXLCKQQWEMIOFF-WFASDCNBSA-N 414.491 4.588 5 20 HJBD CN(C(=O)c1cnc(-c2ccco2)s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537152813 TZCCYRAZTLTXHF-UHFFFAOYSA-N 412.452 4.711 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cnn(CC(F)(F)F)c3)o2)c(Cl)c1 538423490 CJWMGPFJJKTQHM-UHFFFAOYSA-N 414.771 4.557 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(OC(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 539226876 WPAPPIFBDSYYTI-CYBMUJFWSA-N 410.417 4.843 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(N(C)C)nc2c1 539372583 HJHHMGZJAXZARX-UHFFFAOYSA-N 400.460 4.555 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCCC2=O)cc1 540692431 PZWNDMMVKJWLRD-UHFFFAOYSA-N 413.499 4.866 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)c1 540728728 LBYZHNWXOMKGRM-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD Cc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nn1-c1cccc(Cl)c1 540765845 PRNXINZXYNXCSJ-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1F 542635359 YVBTXKRDIHLDSX-UONOGXRCSA-N 413.474 4.853 5 20 HJBD Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)c(N2CCCC2)n1 545666472 IEXRARNINSIMOK-UHFFFAOYSA-N 419.363 4.634 5 20 HJBD CC1CCN(c2ccc(-c3nc([C@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])CC1 547135893 CZHYYPVSACWILO-NRFANRHFSA-N 420.469 4.543 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4cc(F)c(F)c(F)c4)cc3)n2)nc1 547190191 CPNAGXOZJXDBLV-UHFFFAOYSA-N 412.327 4.715 5 20 HJBD Cc1ccc(NC(=O)C2CCN(c3noc4ccc(Cl)cc34)CC2)cc1[N+](=O)[O-] 549260192 FCHLCAPIGXDVNV-UHFFFAOYSA-N 414.849 4.553 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 553380011 BGLNJTDWUGQWHC-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 553380013 BGLNJTDWUGQWHC-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD O=[N+]([O-])c1cc2c(N3CC[C@@H](Oc4ccc(Cl)c(Cl)c4)C3)ncnc2s1 553483398 XUBFRRYJOYKJPL-SNVBAGLBSA-N 411.270 4.564 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)Nc3ccc(C(N)=O)cc3[N+](=O)[O-])cc2)c1 556293766 YOZRQKJJFRBYOX-INIZCTEOSA-N 405.454 4.754 5 20 HJBD O=C(O)c1cc(NCc2cn(-c3ccccc3)nc2-c2ccccc2)ccc1[N+](=O)[O-] 556729464 UGEFPDVQFNUNIQ-UHFFFAOYSA-N 414.421 4.758 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cc(Cl)ccc2Cl)no1 561910689 DUWBAEOAXPQOCH-SNVBAGLBSA-N 421.240 4.618 5 20 HJBD O=C(Nc1ccc(Oc2cc(Cl)ccc2Cl)c(F)c1)c1c[nH]nc1[N+](=O)[O-] 566867557 AWHHPWAXXGYXFE-UHFFFAOYSA-N 411.176 4.808 5 20 HJBD COC(=O)CCSc1cc(Cl)ccc1NCc1ccc(OC)c([N+](=O)[O-])c1 600851935 SMXMZPDTAWXGTF-UHFFFAOYSA-N 410.879 4.524 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccco2)c2ccccc2)cc1[N+](=O)[O-] 603566069 KLVABQRGNKBFHO-UHFFFAOYSA-N 412.467 4.663 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 603727471 SPTQADVNQAPMME-HNNXBMFYSA-N 423.450 4.777 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cc(Cl)ccc1Br 608890615 HFLROZLPGBGYEO-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD COc1ccc(COc2ccccc2C(=O)NCCCc2ccccc2)cc1[N+](=O)[O-] 609227860 SFDNTTZWVKPUGG-UHFFFAOYSA-N 420.465 4.545 5 20 HJBD COc1cc(CN2CCCC[C@@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OC(F)F 609280661 JAIBOLTWVVIDKR-MRXNPFEDSA-N 422.428 4.941 5 20 HJBD CS[C@H]1CCC[C@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 609759144 DGAYIXCNTWZRSS-KBPBESRZSA-N 423.585 4.915 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3ccc([N+](=O)[O-])c(O)c3)s2)cc1 610091219 VCBADQVORSHEJE-CQSZACIVSA-N 411.483 4.874 5 20 HJBD CS(=O)(=O)c1cccc([C@@H](Nc2ccc([N+](=O)[O-])s2)c2ccc(F)c(F)c2)c1 610283036 IVBKHTKCWYQTLK-GOSISDBHSA-N 424.450 4.540 5 20 HJBD Cc1cc(C)c(OC(=O)c2nc(C)n(-c3c(Cl)cccc3Cl)n2)c([N+](=O)[O-])c1 728235010 UXRMVOOJDGWLCO-UHFFFAOYSA-N 421.240 4.627 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 728528665 KURJMJJXDUIEJG-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc([N+](=O)[O-])c1 731804087 JVJNIAWSXSDEFY-UHFFFAOYSA-N 423.316 4.546 5 20 HJBD COc1ccc(C2(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)CCCC2)cc1 746823878 UMKWPKOQJFVRLL-UHFFFAOYSA-N 402.878 4.727 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl 753322768 WSEXPYLIOYTQTI-AWEZNQCLSA-N 410.257 4.567 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H]2CCO[C@H](c3ccc(C)cc3)C2)cc1SC 757486501 IJQLPEMMEYAMTR-QAPCUYQASA-N 417.483 4.711 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)c1cc(F)ccc1[N+](=O)[O-] 760071938 QDWAGXMINMEIBT-MRXNPFEDSA-N 408.861 4.526 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763462562 PBLXUPNRPYJKSC-SECBINFHSA-N 421.400 4.935 5 20 HJBD CC(C)(C(=O)NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 768557125 NOBFULSWVIAHJV-QFIPXVFZSA-N 422.456 4.528 5 20 HJBD O=C(OCc1ccc(OCCC(F)(F)F)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 776382819 YALLGFWTFBYZNW-UHFFFAOYSA-N 405.275 4.561 5 20 HJBD CSc1cccc(C(=O)OCc2ccccc2NC(=O)c2ccco2)c1[N+](=O)[O-] 777326053 UGNSSOFVIQDTLD-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD Cc1nc(CCC(=O)OCc2ccc([N+](=O)[O-])cc2Cl)oc1-c1ccccc1 778092919 HQSFGXNCSBTVAL-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD Cc1ccc(NC(=O)CS[C@H](C)C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778758444 TUXIDZBEUOUFCG-JKSUJKDBSA-N 416.499 4.576 5 20 HJBD COC1CCC(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CC1 782226416 OERXEERQLXAPPC-UHFFFAOYSA-N 418.877 4.971 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])O[C@H](c1ccccn1)C(F)(F)F 784082129 FMWXXMDPRFKNFH-CQSZACIVSA-N 406.769 4.582 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 784639510 WBLUTOFEWOEXDH-UHFFFAOYSA-N 421.497 4.596 5 20 HJBD Cc1c(Br)cccc1CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 790244102 DEOPSZYAHJPVPU-UHFFFAOYSA-N 411.683 4.520 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n(Cc2ccccc2)n1 812874200 XYWRIRWIKDJASJ-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CCOC(=O)/C=C/c1ccc(NCc2ccc(Br)cc2[N+](=O)[O-])cc1 864000707 YTLIPSXWEYBEQM-BJMVGYQFSA-N 405.248 4.546 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC(F)F)c(F)c2)CC1 917713753 POVVJXSTLCSCRZ-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD COCC(=O)Nc1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1116450101 RHYQJLCJTJPIRS-UHFFFAOYSA-N 415.833 4.705 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNc2ccc(S(=O)(=O)Nc3ccccc3)cc2)c1 1262672862 PKAJYVFWQDNHEL-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C)n1Cc1ccco1 1319759814 GMJMNSRFOSJPNL-UHFFFAOYSA-N 421.409 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccc(SC(F)(F)F)cc1 1320351162 FUBYKHMAJYMLHO-UHFFFAOYSA-N 401.366 4.537 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccc(F)cc1 1320376183 NPSORLUAKIZEAD-IBGZPJMESA-N 418.490 4.886 5 20 HJBD O=C(CSc1ccc(Br)cc1)Nc1cc(Cl)ccc1[N+](=O)[O-] 7604256 ZZBAAVYIFSOODG-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@H](OC(=O)CC(c1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 7645693 VWKQISZWZJKJON-KRWDZBQOSA-N 418.449 4.687 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 8347390 JYTLPFGARFQAAQ-QWHCGFSZSA-N 401.513 4.905 5 20 HJBD COc1ccc(-c2nc(COC(=O)CSc3ccc([N+](=O)[O-])cc3)cs2)cc1 9352758 LXSNSPDENUCHEU-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD Cc1c(C(=O)NCCC(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 15962569 CMCWDLVTTRWZSE-UHFFFAOYSA-N 419.437 4.763 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)Cc3ccc(Cl)s3)cc2[N+](=O)[O-])CC1 60450818 YKBHBWOMVAKAOS-UHFFFAOYSA-N 407.923 4.818 5 20 HJBD C[C@@H](C1CC1)N(C(=O)COc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 60867610 NFGAFQKUVAMGLU-ZDUSSCGKSA-N 408.376 4.824 5 20 HJBD CN(Cc1ccc2ccccc2c1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 61374961 CDCHAOBHOQDLIU-UHFFFAOYSA-N 418.371 4.961 5 20 HJBD CCc1cc(N[C@H](C)c2ccc3c(c2)CCC(=O)N3)nc(-c2ccc([N+](=O)[O-])cc2)n1 64914546 YZUFHKQARPNWCC-CQSZACIVSA-N 417.469 4.672 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1cc([N+](=O)[O-])ccc1N1CCCC1 111180614 AXGRNXULJYLDMU-UHFFFAOYSA-N 400.504 4.563 5 20 HJBD Cc1sc(NC(=O)c2nc(C(C)C)ncc2Cl)nc1-c1cccc([N+](=O)[O-])c1 195721381 YNHBGZXZXCQJOS-UHFFFAOYSA-N 417.878 4.846 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 410099170 ZHEHUUMJIIIMSK-OAHLLOKOSA-N 415.515 4.631 5 20 HJBD CC(C)NC(=O)Nc1cccc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)c1 426392432 YVVZUTNROGAHPM-UHFFFAOYSA-N 409.446 4.501 5 20 HJBD O=[N+]([O-])c1ccc(OCc2csc(-c3ccc(Br)cc3)n2)cc1CO 428089555 JMRPNSYXYHEZAY-UHFFFAOYSA-N 421.272 4.552 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435442196 HFGGVMIWNMMGIV-SECBINFHSA-N 419.812 4.743 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1OC 435823127 YPTBZNNBMHGQQH-UHFFFAOYSA-N 424.403 4.537 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 437009444 ICTXGRAJQDRNBC-QFIPXVFZSA-N 416.496 4.677 5 20 HJBD O=C(N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 438688672 SVUPSCGRLFKMSA-QZTJIDSGSA-N 414.480 4.962 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440941603 RPCSTEVFQJYMFA-UHFFFAOYSA-N 420.450 4.628 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccnc(OCc2ccccc2)c1 463952261 DYXIJACORBWSFM-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2ccccc12)Nc1ccccc1Br 464795522 QLVHCTJJZYZILI-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2 465139038 MALAEWAUUXKGQG-UHFFFAOYSA-N 422.890 4.701 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 467219439 SJSNNDUHVVQORF-IBGZPJMESA-N 403.866 4.694 5 20 HJBD O=C(Nc1cc(F)ccc1OC(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 470103391 DRMXQOAJGGJYGL-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD CC(C)c1c(C(=O)NCCc2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 478851153 UHQLDTKWXCAHGI-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD COC(=O)c1nc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)sc1C 480576871 ICBONYFYDBVIDM-CYBMUJFWSA-N 415.393 4.507 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)c2nc3ccccc3s2)cc1SC 481898807 HPTUBQUKSOFXSE-LLVKDONJSA-N 417.512 4.768 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3ccc(C)cc3C)s2)c1[N+](=O)[O-] 486227129 BFTQYLCZOUHYPP-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cncc(Br)c1 489063477 RFNVOQFRBXZDIY-UHFFFAOYSA-N 410.293 4.753 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F 505434593 NRELKXCCKIQBQH-BJOHPYRUSA-N 420.359 4.773 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CN2CCCCC2)cs1 505730276 WDQZQCIBHCNEBG-UHFFFAOYSA-N 420.560 4.792 5 20 HJBD COC(=O)c1cc2cc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)ccc2o1 509193841 FMHNQXYBYLHFHF-UHFFFAOYSA-N 411.414 4.570 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COc1ccc(Br)cc1Cl 513252394 YIXBVOZQVODAAQ-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)[C@@H](C)c3ccc(F)c(F)c3)cc2[N+](=O)[O-])CC1 514342744 KAGYCYDVUDJOES-HNNXBMFYSA-N 417.456 4.943 5 20 HJBD C[C@H](SCC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2[nH]1 515215221 KKPBCUNOFCRQPA-JTQLQIEISA-N 422.413 4.505 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 516990287 DCSGOQHAVQXPLJ-INIZCTEOSA-N 412.412 4.718 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](c1ccccc1)c1ccc(F)cc1 517362067 WVGXNSVKSNSUKP-QFIPXVFZSA-N 408.429 4.994 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 517686233 VTQANHOSSCINAR-UHFFFAOYSA-N 407.451 4.993 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3ccc([N+](=O)[O-])cc3)o1)O[C@H](C)C2 518769582 GEXCAKHWSFYCFC-OAHLLOKOSA-N 408.454 4.867 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(COc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 518856962 YVIYYRSJCPPYQO-OAHLLOKOSA-N 417.425 4.792 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCc1ccccc1F 522716023 MDCSQBHCUCUZBE-OAHLLOKOSA-N 409.417 4.643 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ncc(Cc3ccc(Cl)cc3C)s2)c1[N+](=O)[O-] 524636453 ZZBZOPFGBKCQBL-UHFFFAOYSA-N 419.894 4.532 5 20 HJBD C[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 531262689 SMCROTWFOOCEGF-KRWDZBQOSA-N 417.465 4.657 5 20 HJBD COc1ccc(-c2ccc(CNCc3nnc(-c4ccccc4)s3)o2)c([N+](=O)[O-])c1 532104532 SOFVLVRKUNENJE-UHFFFAOYSA-N 422.466 4.672 5 20 HJBD COc1cc(CN[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 533021036 VBKQOEAXHMVOLT-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD CC(=O)N1CC[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c2ccccc21 533803799 KZUSGUIBKKPUBZ-LJQANCHMSA-N 407.495 4.911 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1F 534770166 WTLOXNBSYRFPOD-UHFFFAOYSA-N 419.428 4.760 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc3c2CN(c2nccs2)C3)cc1[N+](=O)[O-] 536270339 VALXQONIERNBMD-AWEZNQCLSA-N 423.498 4.763 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)cc1 536309533 VYQBFDHBWNHFHX-UHFFFAOYSA-N 416.433 4.788 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)NCCc2nc(C3CCCCC3)cs2)c1 538429541 RDVIVLDENWTEGZ-UHFFFAOYSA-N 417.531 4.535 5 20 HJBD Cc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)nn1-c1ccc(Cl)cc1Cl 538448435 NYBBLOPVVOJVHV-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD CC(C)C[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 538564425 HUQHMUTWKFZCNS-ICSRJNTNSA-N 411.502 4.530 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)cc1 541692932 ZYZQHHFIJDDPCB-HNNXBMFYSA-N 410.474 4.845 5 20 HJBD Cc1nc(-c2ccccc2)c(NC(=O)c2ccc(OC(F)F)c([N+](=O)[O-])c2)s1 542851868 LEOHADLOANSZPN-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H](C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccc([N+](=O)[O-])cc1F 544427238 HKEJEKDUYGGXLG-BBATYDOGSA-N 408.376 4.633 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1C[C@@]2(CCCO2)[C@H]1C1CC1 568908996 LGKLLZHBQWIPOD-IRLDBZIGSA-N 410.495 4.530 5 20 HJBD O=C(c1cn(-c2ccccc2)nc1-c1cccs1)N1CCc2ccc([N+](=O)[O-])cc21 589980546 XLJPZQZLAFZPOT-UHFFFAOYSA-N 416.462 4.712 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C\c3ccc(C(C)C)c([N+](=O)[O-])c3)nc2c1 603784289 JOAFYDNRYRSYGW-POHAHGRESA-N 400.456 4.823 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@H](OCC(F)(F)F)C1 603982902 QWMHCHFVTGCJSV-INIZCTEOSA-N 424.375 4.571 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2[nH]c3ccc(Cl)cc3c2C1 604104012 XWSAINSRLWGEGC-LBPRGKRZSA-N 415.902 4.795 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N1CCC(c2nc(-c3ccccc3)cs2)CC1 609488497 PDAFHQUZDSOBMW-UHFFFAOYSA-N 423.494 4.503 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1cc(Cl)cc([N+](=O)[O-])c1 609857583 YVSNNSQDWXBCCG-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCc3ccc(O)cc3CC2)cc1[N+](=O)[O-] 609920760 HRLPTKNZXNCFAP-UHFFFAOYSA-N 414.527 4.680 5 20 HJBD CN(C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1CCCc2c1cnn2C 610973223 ACIDHRTVTNFGAS-HXUWFJFHSA-N 421.457 4.662 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1CSc1ccc([N+](=O)[O-])cc1F 613526062 QVHHKHZWERJWPS-UHFFFAOYSA-N 402.403 4.858 5 20 HJBD Cc1cc(-n2cccn2)ccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 616106449 UUUJTUFVQVLFPG-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)cc1 726193438 LNIJEVFZGLYOPK-UHFFFAOYSA-N 423.429 4.584 5 20 HJBD CC(C)[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1cccc(C(F)(F)F)c1 726515761 BVGKILCCANLFHU-GOSISDBHSA-N 414.355 4.645 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2coc(-c3ccc(C)cc3)n2)cc1SC 728651309 SEURFZDXCYSFRB-UHFFFAOYSA-N 414.439 4.646 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cc(C)nc21 734899597 QZQVDPYYQBCOKQ-GFCCVEGCSA-N 402.838 4.629 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800350 HKOXTOSZVDTDCE-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD C[C@@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 741309166 AJGDZRZUONVWCS-OAHLLOKOSA-N 407.426 4.768 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C[C@H](C)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744202661 APESROWWOLQVML-KRWDZBQOSA-N 412.486 4.699 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1C(F)(F)F 745309058 QMMORENWPBJJGU-NSHDSACASA-N 421.331 4.511 5 20 HJBD O=C(c1ccc(C2SCCS2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 746938527 WOZYQMSWIWXJCJ-UHFFFAOYSA-N 400.525 4.878 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-])C(C)C 771515024 QXNJGSOITOHUIJ-UHFFFAOYSA-N 415.515 4.830 5 20 HJBD Cc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1N1CCOC1=O 771769327 WPTMPGKLXQHIOX-UHFFFAOYSA-N 424.335 4.523 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 772277729 QTZCNINZTYHSPJ-UHFFFAOYSA-N 420.628 4.541 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cnc3ccccc3c1C(F)(F)F)CC2 774220776 VNCGOMLORPDEJD-UHFFFAOYSA-N 401.344 4.673 5 20 HJBD CCn1c(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])nc2ccccc21 774661279 WFDCVEGVTUBFTL-UHFFFAOYSA-N 415.322 4.626 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 777954289 JHEKIHWVMRTKKA-REWPJTCUSA-N 406.486 4.516 5 20 HJBD O=C(Nc1cccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1)C1CCCCC1 778028737 PLNXQXLRZWUGLQ-UHFFFAOYSA-N 417.412 4.844 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)c2ccc([N+](=O)[O-])cc2F)on1 778105707 VFQIPUHQZGZRNF-UHFFFAOYSA-N 422.368 4.908 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cc1ccc(OCc2ccccn2)cc1 778829778 UIGQTPACCQCQNZ-KRWDZBQOSA-N 406.438 4.724 5 20 HJBD Cc1cnc(COC(=O)c2ncoc2-c2cccc(C(F)(F)F)c2)c(C)c1[N+](=O)[O-] 789437815 JKPJZFTZXVMMEM-UHFFFAOYSA-N 421.331 4.637 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1Br 794496028 XAKUVOLYGJXAFK-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 796597890 AUJAWGALDBPHRY-OAQYLSRUSA-N 409.417 4.502 5 20 HJBD Cc1sc(Br)c(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1C 799348761 OHCMAOLKPVRRGK-UHFFFAOYSA-N 403.685 4.619 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c2ncccc12 800895077 YKVVYOMMIHJYLJ-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1cc(F)cc(C(=O)NC[C@@H](OCC2CC2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 804126703 PJORPLLFSJRHNT-GOSISDBHSA-N 406.841 4.593 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc(-c2ccc(Cl)cc2)no1 809916666 RTXXQTOGBSJHTM-SECBINFHSA-N 422.228 4.834 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 810319203 BBWHGLNUBSNCGC-FQEVSTJZSA-N 417.893 4.788 5 20 HJBD CC(C)c1scnc1C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 810533753 VILIECDODWVYOL-UHFFFAOYSA-N 411.439 4.646 5 20 HJBD COc1cccc(C2CCN(C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)c1 812954986 IESOVIGKEHCBSB-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD Cn1cc2c(n1)CCC[C@@H]2c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 1343107216 XNNWUGJJKWLQTR-SFHVURJKSA-N 417.425 4.639 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](Sc1ncccn1)c1ccccc1 6910924 NTCJXDPJSHCWMF-INIZCTEOSA-N 400.847 4.510 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 8176374 NMZFRPRPNCUBGL-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 16800338 FWPQQNXQUCPBHM-LLVKDONJSA-N 418.858 4.955 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)c1 24476460 QCDNENBDOSWPSZ-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc(C(C)C)nc3ccccc23)cccc1[N+](=O)[O-] 26850641 KSEFTHSMWGPZEQ-OAHLLOKOSA-N 421.453 4.759 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(Cc2ccccc2)C2CC2)cc1[N+](=O)[O-])c1ccccn1 53298763 NMLRVEPUHLKVFA-QGZVFWFLSA-N 416.481 4.968 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(C(F)(F)F)c1 64918136 AGPKEYULNVXKPG-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3cc4ccccc4[nH]3)CC2)cc1OC 116071096 AVZRHNXBICPYGZ-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc([N+](=O)[O-])ccc1Cl 217731380 MHTSCUDRXKPQRR-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD CCOc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)cc(Br)c1OC 236909721 HQESWZDZGUMEHI-UHFFFAOYSA-N 423.307 4.790 5 20 HJBD C[C@H](Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccc(NC(=O)c2ccncc2)cc1 301287962 PBJCGLQXGBFMAS-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1 301299396 QHXYBDVBCFEMHV-UHFFFAOYSA-N 415.371 4.660 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccc([N+](=O)[O-])c3cccnc23)CC1 301959628 PERYVOKAJPTJAU-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD Cc1cc(N2CCO[C@H](c3cccc(OC(F)F)c3)C2)c2cc([N+](=O)[O-])ccc2n1 302111466 ZTTFASYUOQUFDK-FQEVSTJZSA-N 415.396 4.631 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](c2ccccc2)C(C)C)cc1[N+](=O)[O-] 303544491 USDNUQWVTOSWBS-NRFANRHFSA-N 402.516 4.692 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(OCc3ccncc3)cc2)cc1[N+](=O)[O-] 429085039 PVTKHBHMIMUJBN-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD O=C(N[C@H]1Cc2cccc(O)c2C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 430225068 IROUWBNKSIENAO-INIZCTEOSA-N 424.840 4.643 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])cc1 430550999 PIKBKHJGCAWHOS-UHFFFAOYSA-N 413.861 4.887 5 20 HJBD C[C@@H](C(=O)Nc1cccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1)n1cccn1 433238923 IPMPRUFYKUPQRC-BTYIYWSLSA-N 419.485 4.826 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(OC(F)F)cc1 435874022 HCQUJBWILKKHFE-ZDUSSCGKSA-N 408.404 4.774 5 20 HJBD Cn1c(O)nc2ccc(NC(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)cc21 443183490 RTKOIORLMRGDLW-UHFFFAOYSA-N 420.450 4.591 5 20 HJBD Cc1sc([C@H](C)Nc2ccc(C(N)=O)cc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 443513479 VWCYVHBWDGXZGL-JTQLQIEISA-N 416.890 4.952 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 444777879 HGNDLGRYVFDEMS-MRXNPFEDSA-N 406.364 4.737 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 447196506 ZYZOOTCRWQMSQP-ZDUSSCGKSA-N 414.512 4.850 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463130687 YGFCBFPSQTZEGP-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD Cn1c(C(=O)Nc2cccnc2Oc2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-] 469896980 LLQFEUWHHVKHSE-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C(=O)N[C@H](CC1CCCCC1)c1ccccc1 472596628 AEYGCYNLRPBLQR-HXUWFJFHSA-N 413.449 4.500 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCSc3ccc(Cl)cc32)cc1SC 481971265 CAMWVZIXTLXRDO-ZDUSSCGKSA-N 424.931 4.946 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(Br)c1Cl 485448992 AGCVYVVNLGSXCJ-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@@H]1c1ccccc1 485964105 CPSQQTGJAWMPOF-OXQOHEQNSA-N 400.500 4.605 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 487474552 OMMGUQJGYWMHOH-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(-c4cnco4)cc3)cc2[N+](=O)[O-])CC1 487505860 FUAIENPQAUGSSN-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD C[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)C(=O)Nc1ccccc1 487776718 NITVILIRYYDIDA-HNNXBMFYSA-N 421.478 4.503 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncc(Cl)cc1[N+](=O)[O-] 488485972 ZFNWOYLTSOANGP-BMIGLBTASA-N 401.772 4.628 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496500993 YKJKGCZKIHDSPY-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 497900757 VOKHUTFZACORBD-UHFFFAOYSA-N 414.259 4.568 5 20 HJBD Cc1ccccc1CN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C1CC1 498337431 RJMJTFBDNKBEER-UHFFFAOYSA-N 418.497 4.570 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 498480704 AHCQONUADMQDSN-UHFFFAOYSA-N 409.467 4.606 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCCOc2c(F)cccc21 498830204 PKYIKRFMXDXKTE-LJQANCHMSA-N 401.438 4.568 5 20 HJBD CCC(CC)(CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccccc1 503704709 VUQBNFBCBQOETN-GOSISDBHSA-N 412.534 4.646 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cccc(NC(=O)C3CCCC3)c2)cc1[N+](=O)[O-] 504925310 AVARXXZHBMFVMB-MRXNPFEDSA-N 411.502 4.973 5 20 HJBD CCN(C(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1cccc2ccccc12 505586103 RCVGLHQPUILBFW-UHFFFAOYSA-N 400.438 4.665 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 506175908 NEFMEMLIVHSXKL-OAHLLOKOSA-N 422.437 4.895 5 20 HJBD COc1c(Cl)cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1Cl 506329462 QLDOWBAAPUFYGJ-UHFFFAOYSA-N 410.257 4.763 5 20 HJBD CCOc1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3OC)cs2)cc1OC 506779852 JEHRDVFXIPLCDE-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN[C@H](Cc2ccc(Cl)cc2)c2ccccn2)c(F)c1 508989711 FAGADERTZOSLQO-HXUWFJFHSA-N 403.816 4.995 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1 510361105 IGDUMRRXLLEVAM-GOSISDBHSA-N 423.391 4.786 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1[nH]c2ccc(Br)cc2c1Cl 516493630 JCZJVXQNYQGGJH-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCC2 520338194 XVHCAHVOZYQOOT-MRXNPFEDSA-N 414.512 4.633 5 20 HJBD O=C1[C@H](Nc2cccc(OCc3cccc(F)c3)c2)CCN1c1cccc([N+](=O)[O-])c1 520970680 ZTEGQTQDCXCISY-JOCHJYFZSA-N 421.428 4.530 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](c2ccccc2)c2nc3ccccc3n2C)cc1[N+](=O)[O-] 522249105 GCRMIKLXQKBHAU-NRFANRHFSA-N 415.453 4.701 5 20 HJBD O=[N+]([O-])c1cccnc1N1CCN([C@@H](c2ccccc2)c2ccccc2Cl)CC1 524161218 KMKWQTYNOUFBCY-NRFANRHFSA-N 408.889 4.555 5 20 HJBD O=C(c1cc(Br)cc(C(F)(F)F)c1)N1CCc2c1cccc2[N+](=O)[O-] 534397156 JAOBDLBVOWTHGU-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)ccc3OC[C@H]3CCCO3)co2)cc1 536301476 COOYAWBZNVUEAQ-GOSISDBHSA-N 413.405 4.559 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)cc1F 537679939 XHXRMYBSTADVTQ-AWEZNQCLSA-N 412.421 4.509 5 20 HJBD C[C@H](CCOc1ccccc1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538250995 BLLMDTOFLYGVME-OAHLLOKOSA-N 411.483 4.786 5 20 HJBD C[C@@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)s1 540893863 LBBFZIJSTDTNKW-SECBINFHSA-N 417.712 4.535 5 20 HJBD COc1ccc(NC(=O)c2ccccc2SCc2c(C)noc2C)cc1[N+](=O)[O-] 541144157 OUOYVGTWOVUPHV-UHFFFAOYSA-N 413.455 4.753 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 541913307 FKRCYMFSLBNPDV-SUMWQHHRSA-N 423.307 4.530 5 20 HJBD O=[N+]([O-])c1ccc(CNC2CCN(c3nc4ccccc4s3)CC2)c(Cl)c1 542487150 XYKCAPXVIBWCAG-UHFFFAOYSA-N 402.907 4.617 5 20 HJBD Cc1ncc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 542538160 MLPZWXPAWJHFMG-UHFFFAOYSA-N 405.545 4.914 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 543518478 AXCCRCNLOGXRCK-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 543661236 GCLSPIYTCOKEGR-UHFFFAOYSA-N 412.895 4.754 5 20 HJBD COc1cc(Cc2noc(-c3cc(C4CC4)nc4ccc(F)cc34)n2)ccc1[N+](=O)[O-] 547171523 RMWJACKYLNSTEX-UHFFFAOYSA-N 420.400 4.809 5 20 HJBD Cc1c(Cc2noc(C3(c4cccc(Cl)c4)CCOCC3)n2)cccc1[N+](=O)[O-] 547197208 HOIHYLADGPFFGQ-UHFFFAOYSA-N 413.861 4.627 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC(c1ccccc1)c1ccccc1 554243637 SYCDQIJXLGJPSO-UHFFFAOYSA-N 403.482 4.611 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3nccc3C(F)(F)F)cc2)c1[N+](=O)[O-] 554335187 FCSIKSKTKBVQSN-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD Cc1ccc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1[N+](=O)[O-] 557175164 WQKHPXCEINJVMT-IBGZPJMESA-N 422.403 4.820 5 20 HJBD C[C@H](Nc1ccc(Nc2nccc(C(N)=O)c2[N+](=O)[O-])cc1Cl)c1ccccc1 558597062 WRVSQIWPUBJQSM-LBPRGKRZSA-N 411.849 4.659 5 20 HJBD CC(C)N(Cc1nc(O)c2ccccc2n1)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 559129102 ACMJOCIQQSCKDX-UHFFFAOYSA-N 420.391 4.673 5 20 HJBD COCC(C)(C)CCCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 568801889 RBUJHVBCMUAAKI-UHFFFAOYSA-N 402.516 4.929 5 20 HJBD CC(C)[C@@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 569701597 FNXBBNBGEVBXTC-LJQANCHMSA-N 403.866 4.533 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCCC2(CCOCC2)C1 580678970 PPCITRZFHFIXLB-UHFFFAOYSA-N 418.559 4.910 5 20 HJBD C[C@@H](C(=O)NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1cccc([N+](=O)[O-])c1 580808407 LIARVTJNZKHQGH-GFCCVEGCSA-N 410.367 4.704 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Br)cn2)no1 583168853 TVSGWUHYRNYLKF-LBPRGKRZSA-N 403.236 4.539 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC3(CCOCC3)CC2)ccc1Oc1ccc(F)cc1 585767936 IOZIEQADXCJFDO-UHFFFAOYSA-N 400.450 4.919 5 20 HJBD Cc1nc(CSc2ccc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc2)cs1 591602035 HKFYOMTWCKIZBQ-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)CSC(C)C 603514374 MFIXEQZWYLOZBH-UHFFFAOYSA-N 408.501 4.580 5 20 HJBD CCCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@@H](C)c1ccccc1Br 603962612 KLRGPTZXNVXDHP-AWEZNQCLSA-N 405.292 4.900 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1)c1ccccc1 603989803 BMNHBYDHTHDLQI-UHFFFAOYSA-N 409.467 4.504 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(OCc3ccc(F)cc3)c2)cc1[N+](=O)[O-] 609010194 NBOWGYAIIHVKLI-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD COc1cc(CNC[C@H]2Cc3ccccc32)c([N+](=O)[O-])cc1OCc1ccccc1 609222495 POPBMVTVPAEAKV-LJQANCHMSA-N 404.466 4.612 5 20 HJBD O=C1[C@@H](Nc2cccc(COc3cccc(F)c3)c2)CCN1c1cccc([N+](=O)[O-])c1 609451173 PCWWYYAQUFFFGK-QFIPXVFZSA-N 421.428 4.530 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(CCc1ccc(Cl)cc1)CC1CC1 609725798 ANCYBXXUWZBGHZ-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(NC(=O)c2cccs2)cc1 727711460 YOIWQDIUPMOFSG-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(Nc1cccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1)C1CC1 728166344 DDDOTXRBMMLZGF-UHFFFAOYSA-N 413.861 4.654 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(Cl)nc3)cc2[N+](=O)[O-])n1 729173027 IFEJCYGKLKTXFD-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD Cc1c([C@H](NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)C(C)C)oc2ccccc12 732645714 NWPHYXKYCYWZKR-HXUWFJFHSA-N 400.406 4.681 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cccc(N(C)C)c2)cc1[N+](=O)[O-])c1ccccn1 741952900 UYWJOKBRHLSGFU-INIZCTEOSA-N 420.469 4.586 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743789803 WRNAYQQVJBJFAP-UHFFFAOYSA-N 420.425 4.538 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 753939778 QJBVVIIJMDNAKJ-DJPFJPOOSA-N 409.486 4.613 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Oc2cc(Cl)cnc2Br)c1Br 754060613 XKHYILTZYVSFSZ-UHFFFAOYSA-N 423.448 4.664 5 20 HJBD C[C@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)Nc1ccccc1-c1ccccc1 758113053 IUFDUJGTBKPYEB-AWEZNQCLSA-N 408.385 4.585 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760470283 JLMFIIMQZOZIMM-UHFFFAOYSA-N 421.434 4.659 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 761513642 BPLZYGOEGGVCDC-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1ccc([N+](=O)[O-])c(Cl)c1 764279628 OUENODHXSYHFEA-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD CCCCn1c(SCc2ccc(Cl)c([N+](=O)[O-])c2)nnc1[C@@H](CC)N(C)C 767884439 RTQVFOXJHKSCGE-OAHLLOKOSA-N 411.959 4.945 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1ccc2c(c1)CC(=O)N2 775248831 PVLCDQUQXGTRDA-GFCCVEGCSA-N 400.456 4.508 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1Br 776219814 ZYDIKKCRJXOJIW-GOSISDBHSA-N 413.227 4.699 5 20 HJBD O=C(OCc1nnc(-c2ccco2)o1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 778957927 JUWKFMSVNOHTBJ-UHFFFAOYSA-N 423.406 4.746 5 20 HJBD O=C(Nc1nc2c(Cl)cccc2s1)c1cc([N+](=O)[O-])ccc1Br 792712365 FLVHZCMIDBUQAT-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccc(OC(F)F)c(C(F)(F)F)c1 795049437 MXENUAMCASMSES-UHFFFAOYSA-N 419.306 4.574 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)nc1 803063116 GUVFDHMIIBGQGT-MRVPVSSYSA-N 406.263 4.528 5 20 HJBD Cc1cc(F)cc(C(=O)NC[C@H](OCC2CC2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 804126704 PJORPLLFSJRHNT-SFHVURJKSA-N 406.841 4.593 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(C(F)(F)F)nc1 808954816 LOWFMTTWJUIUIJ-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccncc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812835319 OMGZZNBMSCNMEF-QGZVFWFLSA-N 402.237 4.816 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813020059 JIPCBXKUOGRQLA-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H](O)c1c(F)cccc1Cl 813490234 BMVLOTHDUWQIIV-NZFNHWASSA-N 421.639 4.546 5 20 HJBD C[C@@H](OC(=O)c1cnc(-c2ccccc2)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 915550662 XHXKQXTVFCLECT-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD COC(=O)Nc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 917382531 RVHUOEWOGPDZDO-UHFFFAOYSA-N 406.398 4.769 5 20 HJBD Cc1cccc(N2C(=O)/C(=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)NC2=S)c1C 917606877 HKWFEKLLSWUKRR-PDGQHHTCSA-N 419.462 4.734 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccc(OCc2ccccn2)c1 917755918 GEQUBRXHMQPHCW-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 1263378195 YKUWGDSYGWRLAG-SJORKVTESA-N 424.457 4.910 5 20 HJBD O=C(Cc1csc(-c2c(Cl)cccc2Cl)n1)NCc1ccccc1[N+](=O)[O-] 1317890676 AYCIEXOSIVRHTJ-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD COc1ccc(Cl)cc1CCNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 1318566810 REVQBZAERHUSHF-UHFFFAOYSA-N 403.891 4.713 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1nc(-c2ccccc2)oc1C1CC1 1319500761 QJIUPYJOVYWRBQ-UHFFFAOYSA-N 400.394 4.928 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)o1 1326971954 ACOZBBPMDVFISW-LBPRGKRZSA-N 420.853 4.873 5 20 HJBD COc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc(Cl)c1OC 1341097641 VALIBRWUSVNOIF-UHFFFAOYSA-N 424.906 4.696 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 4219425 HEWRFAJDVZOSQV-HNNXBMFYSA-N 416.499 4.689 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(Cl)cc1Cl 10665269 CCSMDRILUULBJZ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 16176140 CJNIIPJQEHJYKT-HXUWFJFHSA-N 400.500 4.839 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n2C)cc1 17728503 DAQJSZNMGROKNC-CYBMUJFWSA-N 422.470 4.602 5 20 HJBD C[C@H](OC(=O)Cc1coc2ccc3ccccc3c12)C(=O)Nc1ccccc1[N+](=O)[O-] 21746344 PZPIRELRQQSSOW-AWEZNQCLSA-N 418.405 4.607 5 20 HJBD CC(=O)Nc1cccc([C@H](C)NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 31307920 IIYZPVBJGGMERS-HNNXBMFYSA-N 418.453 4.788 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cc(F)c(Cl)cc2Cl)c([N+](=O)[O-])cc1OC 42673702 NTTSESVCXSAKIV-MRVPVSSYSA-N 417.220 4.549 5 20 HJBD O=C(Nc1ccc2c(c1)oc1ccccc12)N1CCN(c2ccccc2[N+](=O)[O-])CC1 48328446 GMUNHVUIWHMYKB-UHFFFAOYSA-N 416.437 4.848 5 20 HJBD CCSc1nnc(Sc2cc(CC)nc(-c3ccc([N+](=O)[O-])cc3)n2)s1 64904953 QWJFNRLVZVZBQL-UHFFFAOYSA-N 405.530 4.729 5 20 HJBD Cn1cccc1[C@@H]1CCCCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 65095484 XVGCWHYHECSOCY-IBGZPJMESA-N 410.499 4.814 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1 71891883 DDKQBOROTLYVSS-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 115176505 YISIAOXFQGOKDF-OAHLLOKOSA-N 415.453 4.574 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3csc(N(C)C)n3)cc2[N+](=O)[O-])cc1 237156120 AYJAZVLXMSUWHG-UHFFFAOYSA-N 414.556 4.867 5 20 HJBD COc1ccc(CN[C@H]2c3cc(Br)ccc3SC[C@H]2C)cc1[N+](=O)[O-] 237322399 DDJWEGFESQYFOY-ADLMAVQZSA-N 423.332 4.939 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Sc1nnc(-c2cccc(Cl)c2)o1 301702364 UFHUKQGBTCOAJP-UHFFFAOYSA-N 413.640 4.607 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(F)cc1)CC(F)(F)F 303972841 FIYREVHNCFRKPT-GFCCVEGCSA-N 416.396 4.806 5 20 HJBD C[C@H]1CC[C@@](CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426630708 VQLVZAQHIHHGTB-SBUREZEXSA-N 411.502 4.733 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3OC)c2C)c1 427400163 PWEUVCRWPLOKNL-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD COc1ccc(CN2CCC[C@@H](CSC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 430191563 KNLZCDBKJZYFIO-LJQANCHMSA-N 416.543 4.757 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1ccc([N+](=O)[O-])s1 433952949 YMYMZBRQISWDRD-UHFFFAOYSA-N 411.226 4.798 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)s1 437819100 XNOPQXVINQOVHS-SNVBAGLBSA-N 414.434 4.748 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 438509380 OVMVHMHHJXVTPE-QJPTWQEYSA-N 408.804 4.500 5 20 HJBD Cn1nc([C@H]2CCCO2)cc1NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440898346 XSHQRJDAXPTKQQ-QGZVFWFLSA-N 424.482 4.583 5 20 HJBD CC(C)(CC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])CC(=O)c1ccccc1 441620224 LOKWCTNFPAYWAE-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)[C@H]1SCCc2sccc21 443836838 QRAKTTLZQPVFMA-FQEVSTJZSA-N 424.503 4.856 5 20 HJBD COc1cc(C(=O)Nc2cc(C)c(C)c3ncccc23)c([N+](=O)[O-])cc1OC(F)F 443923726 COGBMVHDUZKAIV-UHFFFAOYSA-N 417.368 4.622 5 20 HJBD CC[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(Cl)c1 444233740 VBICMYAPBDXRDL-KRWDZBQOSA-N 420.896 4.922 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1nnc(-c2cc3ccccc3o2)o1 444728118 QJMIFAZVOMIBEQ-UHFFFAOYSA-N 406.398 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2-c2nc(C)no2)c1 448454612 MOMFDYOLALBRLT-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@@H]2CCN(Cc3ccccc3)C[C@@H]2C1 461444424 IICOUGLJDMPORL-XZOQPEGZSA-N 421.544 4.982 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(Br)cc3c2OCC3)cc1[N+](=O)[O-] 462836188 DDDMGYAMEIWUCV-LBPRGKRZSA-N 421.291 4.542 5 20 HJBD Cc1ccnc(Oc2cc(Nc3ccc(S(C)(=O)=O)cc3[N+](=O)[O-])ccc2C)c1 463086342 SFYYCBBIANHDLE-UHFFFAOYSA-N 413.455 4.546 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OC(C)C 463956688 ZXHYUDZWSSEYHB-HNNXBMFYSA-N 401.463 4.890 5 20 HJBD COCCN(C(=O)C[C@@H]1CC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 468991361 KEVMFGIFSREJGK-HUUCEWRRSA-N 403.504 4.524 5 20 HJBD Cc1cccc(CN(CCC(=O)Nc2cccc([N+](=O)[O-])c2C)Cc2ccccn2)c1 471169496 OQMNGDUUBVDHQF-UHFFFAOYSA-N 418.497 4.638 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 475642117 VEHRLYRBIIYDBM-HNNXBMFYSA-N 414.531 4.958 5 20 HJBD CCOC1CC(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 475865730 DFIWVMUMZMBNMZ-UHFFFAOYSA-N 406.548 4.812 5 20 HJBD COc1ccccc1C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C 477558494 VWTVNZPUZXYYFK-INIZCTEOSA-N 410.392 4.619 5 20 HJBD CCC(=O)c1ccc(NCc2cccnc2Oc2cccc(OC)c2)c([N+](=O)[O-])c1 479995497 OSLADIWZEYTFKT-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2C)cnn1-c1ccc(C(F)(F)F)cc1 481867642 MJZGDZFDWVDUNM-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3ccc4ncccc4c3[N+](=O)[O-])o2)c1 484941542 BDMOXHXALWQOAU-UHFFFAOYSA-N 408.439 4.873 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 487461931 NSKGHNLPLJINIB-UHFFFAOYSA-N 415.515 4.814 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1cc([N+](=O)[O-])ccc1OC(F)F 487715094 MBJBYJBKAIWLOD-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](C)c1cc(Cl)ccc1Cl 488143083 TVZVTFFSCROSGB-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCc3ccc4ccccc4c3)cc2)c(C)c1[N+](=O)[O-] 498402887 FOLOLWNIYOZEJA-UHFFFAOYSA-N 414.465 4.540 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)c1 499124121 KYDLEZJVMWRYSG-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2OC(F)F)C(F)(F)F)c1 499352434 YJOAAEXPEWZIEU-CQSZACIVSA-N 404.291 4.538 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 502147242 RXKGFOOSJVCZTO-GFCCVEGCSA-N 409.373 4.641 5 20 HJBD COc1cc(CN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 503372774 VVQPVYKWFXEQIH-WYRIXSBYSA-N 412.820 4.883 5 20 HJBD O=C(c1csc(-c2ccc(F)c(F)c2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 503656449 VHIOKRMRKYEEDO-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])cc21 504730584 LEGRDNQYJBCCDA-UHFFFAOYSA-N 422.363 4.719 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 505144742 FNXMLZJHRDFAKA-UHFFFAOYSA-N 422.441 4.893 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(F)cc3C(F)(F)F)no2)c1 508261897 CABHCNZELVVXGJ-UHFFFAOYSA-N 406.295 4.806 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccco2)c2ccc(Cl)cc2)c([N+](=O)[O-])c1 509557683 QFJAQEVOHMELMC-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD C[C@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2cccnc2)cc1 514592727 CBOVGHSICWEDSR-HNNXBMFYSA-N 417.425 4.683 5 20 HJBD CS(=O)(=O)c1ccc(/C=C/c2nc3cc([N+](=O)[O-])ccc3n2-c2ccccc2)cc1 515866595 WOQDBWZPPWIRCH-NTEUORMPSA-N 419.462 4.508 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)C1CC1 518299119 FOWBWVADZKJIAK-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD COc1cc(C(=O)N(c2ccccc2)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 521760831 ALWOPQVSOAUJHA-UHFFFAOYSA-N 406.385 4.794 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 522496709 HOADUAMSNBMPJQ-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD Cc1cccc(NC2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 533393209 IFPDIHHPIHXWPU-MRXNPFEDSA-N 407.474 4.591 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 535674326 FDHJOHNLUUOBLX-UHFFFAOYSA-N 421.457 4.736 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(Cl)c3c(c2)OCCCO3)cc1[N+](=O)[O-] 539075044 REFREIABIHFIGR-CYBMUJFWSA-N 406.866 4.659 5 20 HJBD CC(C)C[C@H]1C[C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 540762126 LLPJNGLTSLGAPN-UXHICEINSA-N 411.502 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2c[nH]c3cccc(Br)c23)n1 545694673 BYZOLWRNUCFTDI-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3OC(F)F)no2)ccc1N1CCCC1 546328399 NAYGGFXWGUOXOZ-UHFFFAOYSA-N 402.357 4.513 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3cnc(Oc4ccccc4)cn3)no2)cc1 546521996 ZYXQIFKYKXVTEZ-UHFFFAOYSA-N 407.411 4.519 5 20 HJBD C[C@H](O)[C@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 546653196 VHZZDAJMKMTHLT-KBPBESRZSA-N 420.918 4.632 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)c2ccccc2)c1 546665173 JBXGYPUOSLGZAH-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H]1OCCc2sccc21 552264417 IOCVUPZCQLSELI-SGTLLEGYSA-N 406.529 4.589 5 20 HJBD O=C(NC[C@@H](OCc1ccccc1)c1ccc(Cl)cc1)c1ccccc1[N+](=O)[O-] 553323020 MYZUSVHEZMCNFR-OAQYLSRUSA-N 410.857 4.936 5 20 HJBD Cn1ccnc1[C@H](NCCCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 560526201 AXRKUVNWPIAJND-LJQANCHMSA-N 419.312 4.947 5 20 HJBD CN(C)c1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c(F)c1 574843867 PXSHEQVCZBKUGW-UHFFFAOYSA-N 400.413 4.603 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(C(F)(F)F)cc1 574967897 FQXAFUXLDDLYDO-VIFPVBQESA-N 417.181 4.867 5 20 HJBD CC[C@H](Nc1ccc(Oc2ccnc(C(=O)NC)c2)c(F)c1)c1cccc([N+](=O)[O-])c1 579338957 IYEPMPYSEPFHAG-IBGZPJMESA-N 424.432 4.844 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)[C@H](C)c1cc2ccccc2o1 608941083 USKMEWMIQNAMEL-CQSZACIVSA-N 410.426 4.726 5 20 HJBD CS[C@@H]1CCC[C@@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 609759148 DGAYIXCNTWZRSS-ZIAGYGMSSA-N 423.585 4.915 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)c2c1 609781517 UFUGXRODMDZJMV-UHFFFAOYSA-N 402.288 4.584 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nc(Cc3nc4cc(C(F)(F)F)ccc4s3)no2)c1 612881938 QWPSPXUBLOQSHT-UHFFFAOYSA-N 420.372 4.788 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n[nH]1 617119748 HBDWXXMAWGHTRR-OLZOCXBDSA-N 417.535 4.752 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 642077099 GXTXLICNZVOKCV-UHFFFAOYSA-N 414.505 4.853 5 20 HJBD Cc1ccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1C 727585827 MRBHRVQIQYYPQP-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2cccc(CNC(=O)OC(C)(C)C)c2)c1 730200486 PPSWELIZUGRELE-UHFFFAOYSA-N 418.471 4.561 5 20 HJBD Cc1ccc(COc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)c2)cc1 732010871 AHQUPVKNBNPOML-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 734790648 XJQOUJOYXKBYKK-NRZVBSRUSA-N 420.490 4.696 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCc1ccc(O)nc1 746281886 IGLGRLMRGQMPRA-UHFFFAOYSA-N 408.457 4.964 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 748428212 CDBCCSILILGNHO-LBPRGKRZSA-N 410.879 4.511 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1 749056197 RSGYIRPIFWXWIW-NRFANRHFSA-N 415.405 4.520 5 20 HJBD O=Cc1cc(-c2ccccc2)ccc1OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 749457376 NALLXCKVWYVYHN-UHFFFAOYSA-N 401.378 4.703 5 20 HJBD CN(CCCc1cc(-c2ccccc2)no1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 750684696 ZAAVHFKRJKEOPX-UHFFFAOYSA-N 414.849 5.000 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cs1 750878462 HPVDPXGLMFJEGT-ZETCQYMHSA-N 418.700 4.521 5 20 HJBD COc1ccc(COC(=O)c2ncoc2-c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 752201939 PLTVGODECLUTED-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD O=C(COc1ccc(Br)cc1Cl)Nc1cccc([N+](=O)[O-])c1Cl 752975045 DZESGITZEKOIGO-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD C[C@@H](NC(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc([N+](=O)[O-])c1 753101838 KZIWARNBCMNFJY-MEBBXXQBSA-N 410.257 4.786 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(SC(F)F)cc1 755033185 YUCYXMNSPKNGKQ-UHFFFAOYSA-N 417.231 4.853 5 20 HJBD Cc1csc(SCc2ccc(C(=O)NCCc3cccc([N+](=O)[O-])c3)cc2)n1 759871101 VDLZTZGYEDMSQY-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)Nc1ccc([N+](=O)[O-])c(Cl)c1 762303833 ILFMJQUJVUQEFQ-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD COc1ccc(-c2ncc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)cc1OC 766398074 GTZSICYQKUYIQY-LBPRGKRZSA-N 414.439 4.654 5 20 HJBD COc1cc(C(=O)Nc2cccc(F)n2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 767170329 CRTGLNXSQWNWOW-UHFFFAOYSA-N 417.780 4.836 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770006787 BWUKTEAVSFJNDN-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1cc(Cl)ccc1[N+](=O)[O-] 770430811 VEVPOIRJDWZVJT-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 772238552 WADKQBWURJFINK-SECBINFHSA-N 419.812 4.743 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772999387 JRUIHLHKLVNXRZ-VFNWGFHPSA-N 403.910 4.583 5 20 HJBD O=C(OCC1(c2ccccc2)CCOCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 774375010 NXWCZDNSJQBNLX-UHFFFAOYSA-N 409.360 4.519 5 20 HJBD COc1c(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)cccc1[N+](=O)[O-] 793731685 HSXYTNDUQHPOQF-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 795711678 PBKBAYYJIKKEKY-NSHDSACASA-N 417.805 4.615 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NCc1nc(-c2cc(Br)cs2)no1 799971458 HYJXKLOVBQWKPE-UHFFFAOYSA-N 413.228 4.528 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 801912421 QHLGIWJNMMKHDB-CQSZACIVSA-N 423.444 4.825 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 804345163 UZTNRKQHNPSEII-YUMQZZPRSA-N 401.263 4.824 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2ccc(NC(=O)OC(C)(C)C)cc2Cl)n1 804648748 KEDQLKZODQFGOH-UHFFFAOYSA-N 423.809 4.569 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3ccc(Cl)c([N+](=O)[O-])c3)CC2)cc1 812776904 RSOYXPARXYLKMF-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812976523 VKJATUCCEQOUNT-CQSZACIVSA-N 408.266 4.878 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C\c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC1=S 817932873 YAMWBEXWPUWRFB-SFQUDFHCSA-N 421.503 4.894 5 20 HJBD O=C(c1cc(Br)cc(C(F)(F)F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1253663167 QFGPYVTUHAPNFC-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD CCC(CC)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)N[C@H](C)c1ccccc1 1318835666 ZNELUFMVEYGBSE-QGZVFWFLSA-N 410.518 4.530 5 20 HJBD COc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)ccc1OCc1ccccc1 1324019239 MBNCTLWFBQBZSY-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CCc1ccccc1NC(=S)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 7379173 IDABYIYWZPWWQW-UHFFFAOYSA-N 412.437 4.575 5 20 HJBD COc1cc(COC(=O)/C=C/c2ccc(Cl)s2)c([N+](=O)[O-])cc1OC(F)F 11672974 RICFFJNNNSPCHZ-HWKANZROSA-N 419.789 4.676 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)cc1[N+](=O)[O-] 110596492 YNAAHXOKMOSAGA-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ccccc1 211545062 ULJUYOIKUMTTAX-VZVUQDNOSA-N 416.433 4.845 5 20 HJBD CCOCCCN1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)[C@@H](C)C1 236866637 GJETXLBYGRRUNK-UWJYYQICSA-N 417.575 4.550 5 20 HJBD O=[N+]([O-])c1cc(CNC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cs1 237672824 FZGSFWKPNZYIAE-UHFFFAOYSA-N 400.331 4.717 5 20 HJBD Cc1nn(C)c(C)c1CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237711140 PZMVDLFOYZCWJZ-UHFFFAOYSA-N 400.866 4.681 5 20 HJBD CCS(=O)(=O)c1ccccc1Oc1ccc(Nc2ncc(C)cc2[N+](=O)[O-])cc1 301436008 RNKUPZGGNUWYOI-UHFFFAOYSA-N 413.455 4.628 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)cc2)n1 301742704 LYFNSFDIHDEBAY-MRXNPFEDSA-N 421.457 4.527 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC(n2cc(Cl)cn2)CC1 302035883 PFURKYHVMFIQKU-UHFFFAOYSA-N 409.195 4.958 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccc(F)cc3)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 302959761 ONKITEAOEPSPAM-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1sccc1Br 391218822 JYOWYIDZTXQXRZ-UHFFFAOYSA-N 410.167 4.699 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426075090 GUZFZVOKUKGQTH-UHFFFAOYSA-N 424.482 4.827 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1cc(Cl)ccc1Cl 426127494 INQZOYSPSYCATO-NSHDSACASA-N 412.273 4.590 5 20 HJBD CC1(C)C[C@@H](NCCc2nc3ccccc3n2C(F)F)c2cc([N+](=O)[O-])ccc21 433280573 CMRBHJYZQOEYSN-QGZVFWFLSA-N 400.429 4.894 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 435986221 ZDVCCOAQCMYSLS-INIZCTEOSA-N 400.478 4.960 5 20 HJBD O=C(N[C@@H]1CCCOc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436281071 DBIGDVCOCOMUKI-LJQANCHMSA-N 420.415 4.603 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(COCc2ccccc2)cc1 438382517 XRKJQNLANBFZBU-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD COc1ccc(NC(C)=O)cc1N(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)C(C)C 441600097 CYPAETYNNVFRTN-UHFFFAOYSA-N 413.474 4.740 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1c(F)cc(F)cc1F 443913814 CVHSAXLABUMFAP-UHFFFAOYSA-N 410.295 4.762 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)nc2ccccc21 443971780 WNDRHCVPZNWUNE-LJQANCHMSA-N 407.474 4.950 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1OCC(F)F 445195957 WQIPBKJIMVFQKZ-UHFFFAOYSA-N 421.219 4.623 5 20 HJBD C[C@@H]1CCc2c(sc3nc([C@@H](C)Sc4ccc([N+](=O)[O-])cn4)nc(O)c23)C1 448102619 LORWDHOHQFLOLK-NXEZZACHSA-N 402.501 4.678 5 20 HJBD CC(C)(C)N(Cc1cccc(F)c1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 460456989 CCCNZQYBLKNNMC-UHFFFAOYSA-N 413.493 4.778 5 20 HJBD COc1ccc(C2CCN(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)CC2)cc1 465976701 FVTARWKYBXGYGI-UHFFFAOYSA-N 411.502 4.515 5 20 HJBD CC(C)(C)c1csc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)n1 468654295 VSOMYPKUHUNQDO-UHFFFAOYSA-N 413.449 4.966 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 472952453 MTWSJYWCQYWJGD-UHFFFAOYSA-N 423.296 4.652 5 20 HJBD CN(c1ccccc1)C1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 475212369 DPWPBOUFSSWAFG-UHFFFAOYSA-N 422.407 4.746 5 20 HJBD C[C@H](Sc1ccccc1O)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 476490502 ZMTUJTNFRNAVJX-NSHDSACASA-N 401.469 4.543 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])n1 477223739 XLSFLAXSIVNRKF-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD CCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)[C@H](C)C(F)(F)F 477982275 VOJKRTIFUFADGX-SNVBAGLBSA-N 419.450 4.924 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2cccc(Cl)c2)CC1 478246365 CRSWVXYFAYUETQ-UHFFFAOYSA-N 410.804 4.869 5 20 HJBD C[C@@H](SCCC(F)(F)F)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 478752881 HQGWQHYAJRNBFM-SECBINFHSA-N 405.423 4.731 5 20 HJBD CCNC(=O)c1ccc(CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 480611789 OZMQLFXXJYSGHI-HNNXBMFYSA-N 409.511 4.924 5 20 HJBD CCOc1cc(N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)ccc1[N+](=O)[O-] 481048983 MLVXHTVOBFWCIO-AWEZNQCLSA-N 404.772 4.811 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC(c2ccsc2)CC1 485710349 HOYUFEKOGUELDF-UHFFFAOYSA-N 414.405 4.617 5 20 HJBD Cc1cc(Cl)ccc1OCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 486125121 VTKRXKLAKUVZSQ-UHFFFAOYSA-N 416.783 4.527 5 20 HJBD O=C(c1csc(CCc2ccccc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486130788 BWISZRBKMSMQON-UHFFFAOYSA-N 407.495 4.641 5 20 HJBD O=C(Nc1nc(-c2ccco2)cs1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 486216551 AHXIRGGDKKXJQA-UHFFFAOYSA-N 423.400 4.928 5 20 HJBD CSc1cccc(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486228972 GBRJGWDMKCRFRX-SFHVURJKSA-N 422.510 5.000 5 20 HJBD CCOc1cc(CN(C)[C@H](c2ccc(Cl)cc2)c2cnccn2)ccc1[N+](=O)[O-] 492718689 BFOLRWKOJDJNCG-OAQYLSRUSA-N 412.877 4.658 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 497159883 HPEDSDVNTNJETC-LHSJRXKWSA-N 414.893 4.515 5 20 HJBD Cc1cccc(CN(Cc2ccco2)Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 497748568 BCPXOBYKBLMYCO-UHFFFAOYSA-N 404.426 4.749 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1SCCO 498037709 FGDGGVYYQGQOHI-UHFFFAOYSA-N 424.478 4.511 5 20 HJBD COc1cc([C@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])ccc1OCCC(C)C 501415297 WLQXKJSXDXEAKT-INIZCTEOSA-N 400.475 4.828 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])cc1OC 508283593 HLYNSFORCUCASC-UHFFFAOYSA-N 400.475 4.575 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1Cl)c1ccco1 515984263 HKUYRHXUXLVBHC-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 520155057 NUPZPLSLCYQDIP-CQSZACIVSA-N 420.487 4.748 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCSC[C@@H]1c1ccc(Cl)cc1 522731649 ZZSJDENMMXJTRZ-QGZVFWFLSA-N 411.310 4.761 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OC(F)F)cc1OC(F)F 522740307 NTHUODLHGPOXJT-UHFFFAOYSA-N 422.718 4.632 5 20 HJBD Cc1cccc2c(CCC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c[nH]c12 525560293 NABSLQBUABTSGU-UHFFFAOYSA-N 419.403 4.908 5 20 HJBD COc1ccc(CC(=O)Nc2cc(Cl)ccc2OCC2CCC2)cc1[N+](=O)[O-] 527285437 NPJWACYPDHIAPX-UHFFFAOYSA-N 404.850 4.617 5 20 HJBD O=C(Nc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1)c1cccs1 534984420 HXSRXIWVGXZHPD-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2ccccc2)o1 535007524 COBATGINSGODMW-UHFFFAOYSA-N 411.483 4.928 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1 536686683 MYARQZJVAYQIPR-UHFFFAOYSA-N 404.470 4.972 5 20 HJBD COc1ccccc1COC1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 536720713 WIINMIRWMRTAMM-UHFFFAOYSA-N 410.392 4.808 5 20 HJBD CC(=O)c1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])nc1C 537047208 TXIYQCUBFLVWNV-UHFFFAOYSA-N 418.453 4.674 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCC(=O)N2C 539622955 HFHVFRHRHBCUPF-UHFFFAOYSA-N 413.499 4.648 5 20 HJBD CCOc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 541307788 SJFFACVLTGUKJP-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1OC 541787251 MDSXUWQFIHNIDT-UHFFFAOYSA-N 419.453 4.975 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)CSc1ccccc1[N+](=O)[O-] 542216808 IITKAOXRKRXNBL-UHFFFAOYSA-N 412.471 4.807 5 20 HJBD CCc1ccc([C@H](N[C@@H]2CCC[C@@H](C(=O)NC(C)C)C2)c2ccc([N+](=O)[O-])cc2)o1 543400765 LPKSVUAVFQUKHF-JBYIUTFZSA-N 413.518 4.513 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCc1cccc([N+](=O)[O-])c1 543899769 OGQHCSVYKVNCDL-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccccc1COCc1ccccc1 544217556 BKPHKHJOZZNIJL-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)s1 544448095 MUXPCNGIFQZQLA-DOMZBBRYSA-N 414.462 4.870 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CC(OCc2ccccc2)C1 545217710 OSJFQYGPNFOCEP-UHFFFAOYSA-N 400.500 4.573 5 20 HJBD COc1ccc(-c2nc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)cs2)cc1 545774690 FLKXHIIIFAMERW-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)Cc2ccccc2[N+](=O)[O-])cc1 546071741 ZWTAERWXXFVOCT-UHFFFAOYSA-N 402.497 4.501 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(Cn4cccn4)cc3)no2)cc(C(F)(F)F)c1 546320798 RGWLAIHKKLXNHE-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCOC[C@@H](C2CCC2)C1 553757443 PQISBVWYPIHNAN-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560540167 QZJUEDSJNNTLAA-YUXAGFNASA-N 421.497 4.733 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3N3CCC(CO)CC3)o2)cc1 562848162 ZYYZXQIEKOTONF-UHFFFAOYSA-N 407.470 4.676 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C(=O)Nc2ccc(Cl)cn2)c1)c1cccc([N+](=O)[O-])c1 564700233 BYJUEGOBMLCFHE-CYBMUJFWSA-N 424.844 4.638 5 20 HJBD Cc1cccc(CN(CC(C)C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 603530344 XYGQSVMKAPFRSB-UHFFFAOYSA-N 409.530 4.804 5 20 HJBD COc1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)ccc1OC(F)F 603838840 PABLPQCXPUWQDU-UHFFFAOYSA-N 421.278 4.868 5 20 HJBD O=[N+]([O-])c1c(N2CCc3[nH]c4ccc(Br)cc4c3C2)ccc2ncccc12 603849849 FNSFGQUTIDJZFL-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD O=C(NC[C@H]1CCN(c2ccc(Cl)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 604466725 YZWRPAXUQKJHPJ-CYBMUJFWSA-N 415.902 4.719 5 20 HJBD COc1nc(C)cc(C)c1CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 608983070 ZUPZWYFEMTZKFP-UHFFFAOYSA-N 411.433 4.836 5 20 HJBD O=C(N=c1nc(-c2ccsc2)[nH]s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 609445529 VIPDANQOHCNUSV-UHFFFAOYSA-N 424.463 4.641 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@H](c4ccco4)C3)cc2[N+](=O)[O-])n1 609529663 WZSDIPFDZXBXGQ-AWEZNQCLSA-N 415.496 4.734 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)Nc1cccc(Oc2ccnc3ccccc23)c1 609658131 PXEOXLNBXVPRER-UHFFFAOYSA-N 421.434 4.736 5 20 HJBD CCOC(=O)c1cc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1Cl 611166208 NSTYXGGDJKUCPL-UHFFFAOYSA-N 401.806 4.692 5 20 HJBD O=c1c2cc3ccccc3cc2ncn1C[C@H](O)COc1ccc(-c2ccccc2)cc1 648436812 FISYIIQQIFSOAW-QHCPKHFHSA-N 422.484 4.657 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 727340981 CHDCJAKNGJRWRO-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD C/C(=C\C(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1Cl 727574059 GCJDPNGFVCURKW-GCZGRYASSA-N 402.834 4.530 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)OCc3ccc([N+](=O)[O-])cc3)c12 727705871 CXWFDMQWTRNDDU-UHFFFAOYSA-N 407.382 4.871 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2coc(-c3ccc(F)c(F)c3)n2)c1 728618104 UOQAVMJWWGAGIC-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(-c2noc(C3CC3)n2)cc1 729216791 DLNQWJBIYIHETD-LBPRGKRZSA-N 411.439 4.608 5 20 HJBD CC(C)Oc1ccc([C@H](O)CNC(=O)c2cc3ccccc3c3cccnc23)cc1 734873808 KLYGVKPVPUFOEG-HSZRJFAPSA-N 400.478 4.639 5 20 HJBD O=C(NC[C@H]1COc2ccccc2C1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368400 PJMYMHUSOCQYKK-INIZCTEOSA-N 419.437 4.760 5 20 HJBD C[C@@H](OC(=O)/C=C\c1nc2ccccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746098973 PPPNMSCUJICQKR-NLYDNYMLSA-N 422.422 4.572 5 20 HJBD Cc1csc(-c2cccc(C(=O)O[C@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)c2)n1 759928086 GMFDRZONRBBIHQ-IRXDYDNUSA-N 412.471 4.564 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764196908 KPCMPAWTYLKMQZ-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@H](CC(F)(F)F)c3ccc(F)cc3)CC2)cc1 773143707 CHYJYRYQFNGBFE-LJQANCHMSA-N 411.399 4.986 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)Cc1csc(-c3ccc(Cl)cc3)n1)CC2 773988590 IKPIFQZSHNDVOB-UHFFFAOYSA-N 413.886 4.812 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccc(F)cc1F 775087815 RESWLSONSVPRLW-LLVKDONJSA-N 424.425 4.558 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1ccc2ccccc2c1 775542939 QNWHSVUDCSSKNP-HXUWFJFHSA-N 410.495 4.712 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H](C(F)(F)F)CC[C@@H]2C)CC1 780277392 QCDOISNIPUBAMA-LSDHHAIUSA-N 413.440 4.634 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N)nc1-c1ccc(Cl)cc1 787111951 BIDNEAHYMKLMMF-JTQLQIEISA-N 416.890 4.753 5 20 HJBD CC(C)n1c([C@@H]2CCCN2Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nc2ccccc21 792873501 SRFWDWYLYIQMQS-IBGZPJMESA-N 409.446 4.771 5 20 HJBD O=C(Nc1cc(F)c(Br)cc1Cl)c1cc(F)c(F)cc1[N+](=O)[O-] 798531175 MYNYIACCSTXZFB-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD C[C@@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798668507 ANFXAISOBUOOGP-MRVPVSSYSA-N 406.719 4.648 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1F 813242697 VBUZRTULUAUMGR-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cc1ccc(SCCC(=O)O[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1C 856692616 CFUBYEBRFCMGLL-MRXNPFEDSA-N 416.499 4.573 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C(C)C)c1C 877053850 YSWDEUDBGWNKDP-SFHVURJKSA-N 404.850 4.685 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 918967023 DDSLWGBTJCZXBA-NSHDSACASA-N 419.846 4.522 5 20 HJBD Cc1sc(NC(=O)c2ccc(-n3cccn3)c(F)c2)nc1-c1cccc([N+](=O)[O-])c1 1318296534 SBXHHLNPZHNOPV-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CC(C)(C)OC(=O)N[C@H](c1ccccc1)c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 1318487491 TZWWFNGYEYQXFT-FIIODCPWSA-N 422.441 4.762 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c(C)c1 1340683507 YOHRKHSYMJBJDQ-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2nc3ccccc3s2)CC1 9891587 SJAKASKAWJFLHJ-UHFFFAOYSA-N 424.526 4.713 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc(Br)c([N+](=O)[O-])c1 14576846 MGFYBBRSFSZYAM-UHFFFAOYSA-N 419.231 4.651 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 25958588 NEKAZYRMHKLEKF-LBPRGKRZSA-N 416.380 4.849 5 20 HJBD O=C(O)CC1(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCC1 426655509 SPWSGERFUYZECK-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD CN(Cc1cc(Cl)ccc1F)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 434343864 XSYHHGWWBQPOPM-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437156670 UUMXTMSGTOEIIP-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1c(F)cccc1Br 438444892 KOVMFJMQHCHUEA-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 438591792 MKTOGSXVKDNEDI-DYVFJYSZSA-N 424.423 4.870 5 20 HJBD CC(C)c1ccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2nccn2C)cc1[N+](=O)[O-] 439141395 SDOHWRAIFSXJJI-IBGZPJMESA-N 412.877 4.625 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])n2)s1 439253960 CQYPVUHYISFJDB-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD O=C(N[C@H]1CCC[C@H]1c1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444009722 DDLZUCZEZDPRSI-KKSFZXQISA-N 400.453 4.887 5 20 HJBD COc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1OC(C)C 444329280 VBQPZKZMRRWVAC-UHFFFAOYSA-N 402.450 4.729 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(OC(F)F)c1 444856941 KLGDXMIJJRCSHU-SSDOTTSWSA-N 421.219 4.511 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(Br)cc3F)no2)c1 445446287 OKFUTSTYOLBUCL-UHFFFAOYSA-N 417.194 4.549 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 446260193 KJBPIXCGBCOVDS-ZWKOTPCHSA-N 424.284 4.640 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)cc1OC[C@H]1CCCO1 462478549 CSKRFIMREBKOND-UYAOXDASSA-N 412.486 4.668 5 20 HJBD COc1ccc(Cl)cc1CN(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463421027 XBPFAHGMCMGDMX-UHFFFAOYSA-N 402.756 4.548 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464644833 TVJCOQSOSZDZIE-UHFFFAOYSA-N 419.481 4.593 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)s1 470132513 LDEUARXWMDRYQX-GFCCVEGCSA-N 414.256 4.927 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470707190 KQMVILGBGHUIKD-UHFFFAOYSA-N 416.409 4.613 5 20 HJBD O=C(NCc1ccc2ncccc2c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 471536671 KGHVSALAGOLPLM-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD C[C@@H](Nc1cccc2ccn(C)c12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 471836453 QHVPMQKJQLNEQX-LLVKDONJSA-N 406.364 4.544 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 472833423 KUUYJZHDAIKIQH-HOTGVXAUSA-N 410.499 4.828 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3nc4ccc([N+](=O)[O-])cc4[nH]3)o2)cc1 473796225 WSHWIVWCFJPUBZ-UHFFFAOYSA-N 424.486 4.660 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475213885 ZFZRECJKSCPSKP-HNNXBMFYSA-N 409.364 4.991 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)cc1 478299102 NWPCBNFDOBKDLX-INIZCTEOSA-N 421.682 4.946 5 20 HJBD Cc1coc(-c2cc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])ccc2C)n1 478484156 AKZQASOSTMERKU-UHFFFAOYSA-N 415.833 4.928 5 20 HJBD C[C@H](NC(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1cccc([N+](=O)[O-])c1 481006269 XQBWVGKHADJKRC-AWEZNQCLSA-N 410.449 4.865 5 20 HJBD CSc1cccc(C(=O)NCc2cc(Oc3ccc(F)cc3)ccn2)c1[N+](=O)[O-] 486202061 FKQWYYUVBWEADT-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486249731 JPACGDCZWIRINY-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD O=C(NC1(c2ccc(F)cc2F)CCC1)c1sc(Br)cc1[N+](=O)[O-] 486464488 VIYWUQIZEPKSIK-UHFFFAOYSA-N 417.231 4.506 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1nc(-c2ccccc2F)cs1 486483446 AJDGHIPMSXXWQG-UHFFFAOYSA-N 409.240 4.627 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCC[C@@H]2c2ccc(C)cc2)c(C)c([N+](=O)[O-])c1 486903647 RGXFNJRCJOUQNS-LJQANCHMSA-N 411.458 4.757 5 20 HJBD CCSc1cc(Cl)ccc1C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C 489751996 DJLWMIJPVZJZJZ-UHFFFAOYSA-N 408.863 4.708 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(NC(=O)NC2CC2)cc1 494526300 LTIXUDXZCLAZLW-LBPRGKRZSA-N 422.407 4.748 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](OC)c1ccc(OC)cc1 504564588 WMLOJXQCCKUKSR-XOBRGWDASA-N 418.515 4.612 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1C 505548602 CYGYZUGXCMTCES-UHFFFAOYSA-N 416.437 4.636 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(F)cc2OCC(F)(F)F)cc1[N+](=O)[O-] 505562926 YKDABAFFDLKNDZ-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD CNC(=O)c1ccc(NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c([N+](=O)[O-])c1 507109400 DEDZAJWEZAFTSO-IBGZPJMESA-N 414.465 4.680 5 20 HJBD CS(=O)(=O)c1cc(SCc2csc(C3CCCCC3)n2)ccc1[N+](=O)[O-] 507651545 PYBOLUKYXVWEHQ-UHFFFAOYSA-N 412.558 4.795 5 20 HJBD NC(=O)c1ccc(CN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)C2CC2)cc1 508644019 ACNCPNIFLOQHBT-UHFFFAOYSA-N 407.495 4.587 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 509464930 PUJIUPCRKPLLRI-MRXNPFEDSA-N 415.490 4.683 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3ccc(C)c([N+](=O)[O-])c3)ccn2)cc1 511279686 WOUJOIUXSQEJCL-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD Cc1ccc([C@@H](C)NCc2cnn(-c3ccc(C(F)(F)F)cc3)c2)cc1[N+](=O)[O-] 512044171 CVWYMPWRQWLLBX-CQSZACIVSA-N 404.392 4.959 5 20 HJBD O=C(N[C@H]1CCOc2c(-c3ccccc3)cccc21)c1c[nH]c2ccc([N+](=O)[O-])cc12 512451951 OCJKGGNEEIQJJC-QFIPXVFZSA-N 413.433 4.997 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Oc2ccc(Cl)c(C)c2)c([N+](=O)[O-])cc1OCC 515603484 RLJOTINCDCAZBL-ZDUSSCGKSA-N 422.865 4.760 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(-c2c(F)cccc2F)o1 522333193 KFDJXWMYXJSUNA-BENRWUELSA-N 421.359 4.787 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc3c2CN(CCC(C)C)C3)cccc1[N+](=O)[O-] 524406511 IEWWAYFNDWTNGR-KRWDZBQOSA-N 410.518 4.704 5 20 HJBD COc1cc(C(=O)Nc2nc3ccccc3n2C2CCCCC2)cc([N+](=O)[O-])c1OC 525043097 DSRONDGOBABKSV-UHFFFAOYSA-N 424.457 4.719 5 20 HJBD CNc1ccc(C(=O)Nc2ncccc2OCc2cccc(Cl)c2)cc1[N+](=O)[O-] 531311492 ACYAVRYOCXSQFZ-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H](C)Cc1ccc(F)c(Br)c1 533195318 NMILYOKUNZHDPN-LLVKDONJSA-N 409.255 4.931 5 20 HJBD CSc1nnc(CCCNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1CC(C)C 534497925 SGVKSEJZLWAXCH-UHFFFAOYSA-N 417.457 4.628 5 20 HJBD CCN(CC)C(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539292432 LZWMFQQYCFGEKT-UHFFFAOYSA-N 415.515 4.830 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1 540106876 NPRLJSBGSTVDHQ-UHFFFAOYSA-N 421.501 4.993 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)cc1[N+](=O)[O-] 540824106 JGKNLIONBWKZHG-CQSZACIVSA-N 417.425 4.989 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H](C)c2cnn(C(C)C)c2)c2ccccc2)c([N+](=O)[O-])c1 542572228 CVJWNHSJGDBJDD-VGOFRKELSA-N 421.501 4.711 5 20 HJBD CCc1noc(-c2ccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c(Cl)c2)n1 543408191 ZRKZNLWVEFIKSV-UHFFFAOYSA-N 418.862 4.591 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NC[C@H]2CCCO[C@H]2c2ccccc2)c1Br 543450014 XSUQMQPTZOFCOT-PBHICJAKSA-N 406.280 4.641 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CSCc1coc(-c2ccccc2)n1 545251111 AAGOPPGMYDZOLL-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD CC(C)OCc1ccccc1-c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 547057569 VYAMXYFKVAYFRT-UHFFFAOYSA-N 418.247 4.999 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](C)c2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 549364565 KRYVEQAVCPNQQK-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3c[nH]c4ccc(F)cc34)no2)c2ccccc12 564013742 SHYHHYADXDEELI-UHFFFAOYSA-N 424.435 4.797 5 20 HJBD O=C1CSc2ccc(Nc3ccc([N+](=O)[O-])c4cnccc34)cc2N1CC(F)F 575204543 RHDFGBXISPLSTI-UHFFFAOYSA-N 416.409 4.590 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ncc(Cc2ccccc2Cl)s1 603648993 HCKAERVLNVCCMR-UHFFFAOYSA-N 416.890 4.959 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc2ccccc2n1C(F)F 603733681 ABLCAKMHLJEOCP-SNVBAGLBSA-N 400.341 4.577 5 20 HJBD Cc1ccccc1OCCCC(=O)Nc1ccc(CNc2ncccc2[N+](=O)[O-])cc1 603861606 HETXUFKQFAPJCR-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CC[C@@H](c3ccco3)C2)c([N+](=O)[O-])c1 609540183 SCLLRDBVRBOUNL-XMSQKQJNSA-N 405.454 4.666 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C)(C)c2cccs2)cc1[N+](=O)[O-])c1ccccn1 609726404 ADTHWJDCIQRNGI-AWEZNQCLSA-N 410.499 4.890 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(Br)ccc1F 609730014 JVGJAIFISMCDEZ-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD C[C@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1C(F)(F)F 610305546 MHYBPAIRNSEHBX-AWEZNQCLSA-N 422.403 4.787 5 20 HJBD CSc1ccncc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 610544150 PAFZTPQZIUCDKH-ZDUSSCGKSA-N 409.471 4.532 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)ccc21 610900219 IPFKQOKCCZRFMC-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD COc1ccc([C@@H](NCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2)cc1 611565389 WZLFLOBHARRSPE-QFIPXVFZSA-N 416.437 4.533 5 20 HJBD C[C@H]1COCCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 613401264 FJJQDXUSTXERPJ-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD CC(C)[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccnn1C 615943523 VRRCYBFHAMOOMO-KRWDZBQOSA-N 402.882 4.771 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1-c1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 617843267 QOYACKOSPXBNKG-NSHDSACASA-N 412.833 4.918 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(SC(F)F)c2)cc1[N+](=O)[O-] 727344416 NSXIJORRTBYPNP-UHFFFAOYSA-N 414.455 4.790 5 20 HJBD CCOc1cc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc(OCC)c1OCC 727711941 RDRGCNWRQCRQCI-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD CCN(CC)C(=O)CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727767576 FBIAPUJUKNSXTM-UHFFFAOYSA-N 414.505 4.532 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1ccccc1 734398177 NATZGOUPXDETTB-OAHLLOKOSA-N 410.401 4.891 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N1CCCCC[C@H]1c1ccc(Cl)cc1 735265147 XPYKNEUOSUWBPG-SFHVURJKSA-N 406.841 4.910 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 735430713 GPUJQEUIBRYSPU-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD COc1ccc(Cn2cnc(-c3ccc([N+](=O)[O-])cc3)n2)cc1SC(F)(F)F 741852821 KISOWWNOUOFIJY-UHFFFAOYSA-N 410.377 4.522 5 20 HJBD CC(C)(C)n1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(-c2ccc(F)cc2F)n1 741890060 XJZVELVDONRKFH-UHFFFAOYSA-N 418.375 4.883 5 20 HJBD C[C@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H](OC1CCOCC1)c1ccccc1 746717684 ZIRZUMLGVRXDEY-XOBRGWDASA-N 413.499 4.942 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(SC(F)F)cc2s1 747414658 HOVNWNALXLUGTK-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD CCCn1ccc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)ccc21 751126512 MAVMVPNYFMSOPW-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD C[C@@H](OC(=O)CC1(C)CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 753533933 QFCNYELHBKPWNC-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1cccc([N+](=O)[O-])c1Br 759340992 HKBKPGSGMABBPK-GJZGRUSLSA-N 403.276 4.814 5 20 HJBD Cc1ccccc1-c1nc(C(=O)O[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cs1 759928843 HIAOTIJTBJVEGO-IAGOWNOFSA-N 412.471 4.564 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCO2)c1F 764436589 HFCWBUQNXAQCJJ-CQSZACIVSA-N 408.813 4.506 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] 769288641 WMATUJRIVRFKGK-BZNIZROVSA-N 414.330 4.958 5 20 HJBD CCN(Cc1cccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 770829927 FYCAQURDXJPUHX-UHFFFAOYSA-N 413.474 4.913 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cc(F)cc([N+](=O)[O-])c1)oc1ccccc12 771143985 MSWDGDYQNLOGBW-UHFFFAOYSA-N 410.357 4.659 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1OC(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774881717 CUOPNKLDSKOMLA-MNOVXSKESA-N 413.808 4.527 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135762 RSUWIEBEOGYFBB-LJQANCHMSA-N 411.483 4.900 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1cccc([N+](=O)[O-])c1Br 782118262 HOCLFJJLFBBDOD-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CC(C)c1nc(SCC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2ccccc2n1 783442273 UNEHKSGGCVZIJH-UHFFFAOYSA-N 400.435 4.531 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)ccc1Oc1ccncc1 786024504 UEYFXRGLYLKTEX-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(COc4ccc(Cl)nc4)CC3)sc2c1 788560591 QGXCBIKPVNHLFN-UHFFFAOYSA-N 404.879 4.548 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1cccc([N+](=O)[O-])c1Br 791993622 BNTNXSIHWGOWLX-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H]2C[C@@H](O)c2cccs2)nc1-c1ccc(F)cc1 797436045 VGQVSZFMJWVVLG-FUHWJXTLSA-N 413.474 4.950 5 20 HJBD Cc1c(C(=O)N(CC2CC2)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 813283141 KJFYEBZWDUATPD-UHFFFAOYSA-N 423.347 4.887 5 20 HJBD C[C@@H](CC(=O)c1ccccc1F)C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815216055 WNAGIRMDYFVEIY-NSHDSACASA-N 413.323 4.705 5 20 HJBD CC(C)Sc1ccccc1C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 844169036 LKBZDVKGLVMWIU-GFCCVEGCSA-N 422.890 4.933 5 20 HJBD CCOc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1OC(F)F 914737552 OTJZEKBXFOKMMK-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 915496757 VUEOCCNCSJSUAR-QWRGUYRKSA-N 412.211 4.568 5 20 HJBD O=C(CCCc1ccccc1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 915811394 SALFDFZZSMRMAS-UHFFFAOYSA-N 404.422 4.775 5 20 HJBD CCNc1ccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cccc2C(C)C)cc1[N+](=O)[O-] 916605055 MZWPGIKHXHVNNI-OAHLLOKOSA-N 413.474 4.642 5 20 HJBD CN(C(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)cc1Cl 919869203 QWVLNQSZRFUBBS-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc([C@@H](C)Oc3ccccc3F)o2)cc1SC 1117175936 BHAHGCBIBPFJBK-SNVBAGLBSA-N 405.407 4.654 5 20 HJBD CCN(CC)Cc1ccc(-c2nnc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)o1 1257835130 MYLXZEYFHFSHRY-CYBMUJFWSA-N 402.476 4.933 5 20 HJBD O=C([C@H](Oc1ccc(Cl)cc1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318796121 ZHOHDMKTPCBTHK-OAQYLSRUSA-N 408.841 4.911 5 20 HJBD COc1cc(-c2nnc(Cc3cccc([N+](=O)[O-])c3C)o2)ccc1OCCC(C)C 1325764198 VWDGSPRHYFOGSY-UHFFFAOYSA-N 411.458 4.978 5 20 HJBD Cc1ccc(Oc2ccc(NCc3ccc([N+](=O)[O-])cc3Br)cc2)nn1 1342915342 LZWLVVWMNGEDIN-UHFFFAOYSA-N 415.247 4.860 5 20 HJBD O=C(CSc1ccccc1Cl)Nc1ccc([N+](=O)[O-])cc1Br 11481334 YZTBVXFOGNKITC-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3C)n2)cc1Cl 20017475 ZGHVFBYDFXQCMP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 22134089 BFEADTXMSOFFJN-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccccc3F)n2Cc2ccccc2)nc1 23616067 LOCRDCIZPUMRCW-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD CN(C)c1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 41843311 CKOCIICDFIZZDJ-UHFFFAOYSA-N 408.380 4.506 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 56174292 ULSXZFFXAAGWFI-MRXNPFEDSA-N 418.453 4.595 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cc2cccc([N+](=O)[O-])c2[nH]1 56274724 LWJRSUCSRCTIFI-CQSZACIVSA-N 415.833 4.540 5 20 HJBD CCOc1ccc(C(=O)NCc2cccc(COCc3ccccc3)c2)cc1[N+](=O)[O-] 60413550 WLUISAXVEVZLFZ-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD O=C(Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 65065488 JBLMDOOYMLFZHK-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD O=C(CSc1nc(-c2ccc(F)cc2)cs1)N1CCc2ccc([N+](=O)[O-])cc21 218623052 UOWASNUYPYTQJQ-UHFFFAOYSA-N 415.471 4.539 5 20 HJBD Cc1sc(CCNc2ccc([N+](=O)[O-])cc2N2CCOC2=O)nc1-c1ccccc1 301744452 NFSQDUYUCDSGQE-UHFFFAOYSA-N 424.482 4.638 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 409991502 GXUTVEIYHDUYNO-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 433918066 HAXPJLUKIYPBTB-UHFFFAOYSA-N 409.446 4.829 5 20 HJBD CCN(c1ccccc1)c1ccc(CNC(=O)c2cccc(OC)c2[N+](=O)[O-])cc1 435817418 OHZKIMPYTFYKSI-UHFFFAOYSA-N 405.454 4.691 5 20 HJBD O=C(N[C@@H]1CCc2cc(Cl)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436147663 GEULFVOZLLCBLY-LJQANCHMSA-N 406.844 4.891 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@H](Cc2ccccc2)C1 438683248 UZXXHOQIIDEYBX-NHCUHLMSSA-N 410.517 4.682 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCCCC(F)(F)F)c1ccccc1 439581926 OFFGSYMPKHZMEJ-MRXNPFEDSA-N 415.799 4.860 5 20 HJBD CC1(c2ccc(Br)cc2)CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 441143203 DWYVYIQGKBBRGO-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H](C)c3c(C)noc3C)c([N+](=O)[O-])c2)cc1 443894706 IBYMLVJUYAJNPQ-CQSZACIVSA-N 408.458 4.976 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 444286811 JCFZGMDCORXLBJ-IBGZPJMESA-N 418.880 4.978 5 20 HJBD COc1cccc(C2=CCN(C(=O)c3cccc4c(=O)c5ccccc5[nH]c34)CC2)c1 445001561 VJJYQGQYZYLRDN-UHFFFAOYSA-N 410.473 4.619 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(-c3ccc(O)cc3F)no2)cc1[N+](=O)[O-])c1ccccn1 445454060 DYFCVGZNNSESLR-GFCCVEGCSA-N 421.388 4.725 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1 445681889 FUMZPDCSEZZCPM-GOSISDBHSA-N 403.276 4.572 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccsc1Cl)c1ccccc1[N+](=O)[O-] 446473814 OOZOPZWNPILIPO-ZDUSSCGKSA-N 411.867 4.514 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3nccc(C)c3[N+](=O)[O-])C2)n1 447671146 PDHNXVQNJLEVHL-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)c(C)c1 448195329 BOYUHWYEHQPZCX-LBPRGKRZSA-N 423.391 4.703 5 20 HJBD CCCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@@H](c1ccc(F)cc1)c1cccnc1 460113644 KXURGFUCOYFMAJ-QFIPXVFZSA-N 423.444 4.779 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)c(C)c1 462514849 VSOUBULJOJWGCL-WMZOPIPTSA-N 411.502 4.639 5 20 HJBD Cc1ccc(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cc1[N+](=O)[O-] 463183427 PMBOYTKGUHWNBQ-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD Cc1csc(CCCCNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1 475210632 JIBJYMJPVGDZFA-UHFFFAOYSA-N 402.398 4.523 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 478564799 YQOPZCMWCQMYOV-XLIONFOSSA-N 416.481 4.620 5 20 HJBD COC(=O)c1cc(NCc2cnoc2-c2ccc(Cl)s2)c(C)c([N+](=O)[O-])c1 486221530 XJBAJCHJUJEVQS-UHFFFAOYSA-N 407.835 4.672 5 20 HJBD COc1cccc2c1CC[C@H]2NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486277124 BYAQWKCXXVVHSG-OAQYLSRUSA-N 418.449 4.600 5 20 HJBD C[C@@H](NC(=O)Nc1c(F)cc(F)cc1Br)c1cccc([N+](=O)[O-])c1 487213054 WILXGSYLCHFSDA-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD C[C@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488709419 BIOUTTBMVSEZAL-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD CC(C)(NC(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 489919934 XUNHDLCUYVNHKV-HNNXBMFYSA-N 413.371 4.962 5 20 HJBD C[C@@H](Cc1ccncc1)NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 490063392 QZVCYMNDDRFZLN-HNNXBMFYSA-N 424.888 4.616 5 20 HJBD CCOc1cc(CN[C@@H](c2ccccc2)c2nc3ccccc3n2C)ccc1[N+](=O)[O-] 492818899 QCXGRCPVAXZFSJ-QHCPKHFHSA-N 416.481 4.759 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)[nH]c2c1 493029534 FMWSEWXIHWRQJD-HNAYVOBHSA-N 407.474 4.696 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 498183695 RHUAZNCNLFGBHM-UHFFFAOYSA-N 422.331 4.945 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCSc2ccc(Cl)cc21 498242244 KDRGMDXJVMTDIE-UHFFFAOYSA-N 400.790 4.602 5 20 HJBD CCc1noc(C)c1CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 500949301 UMIVAZOFALZBIT-UHFFFAOYSA-N 415.833 4.829 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@@H](c4ccc(Cl)cc4)C3)cs2)c1 507665507 KPGBBSATFRRQLZ-LJQANCHMSA-N 415.902 4.945 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)O[C@H](C)C2 509232564 DTGSUIOIWHBVLK-OAHLLOKOSA-N 413.474 4.759 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccccc1OCc1ccccc1 509603038 UZWMVDDHRKIXPL-QGZVFWFLSA-N 420.465 4.891 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CS[C@H](C)c1nc2ccccc2[nH]1 511520313 FCBMVIFLYGUUHC-OAHLLOKOSA-N 412.515 4.703 5 20 HJBD COc1ccc(NC(C)=O)cc1NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 513549932 APRCRHOMRFIYCP-UHFFFAOYSA-N 420.469 4.942 5 20 HJBD C[C@@H](SCC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2ccccc2[nH]1 515215222 KKPBCUNOFCRQPA-SNVBAGLBSA-N 422.413 4.505 5 20 HJBD O=C(c1cc(Br)cs1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 520682525 XXOWEVYJLFARIQ-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(CCc3ccccc3F)C3CCCC3)c1)OCOC2 520862915 RLIZKNGQQIQGMT-UHFFFAOYSA-N 400.450 4.588 5 20 HJBD CCOc1cc(NC(=O)c2sc(CC(C)C)nc2C)c([N+](=O)[O-])cc1OCC 524100151 CZKNZFXDDAZENQ-UHFFFAOYSA-N 407.492 4.608 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525405267 BDVDFMQHACVMQO-HNNXBMFYSA-N 405.454 4.852 5 20 HJBD Cn1c([C@H]2CCCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 534840798 CRAMCWZOFKLCCA-OAQYLSRUSA-N 417.469 4.864 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 535468719 JJZWYUXMSNGLDJ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H]1C[C@@]12CCc1cc(Br)ccc12 537409638 TYIPRRDYJCAUFB-OXJNMPFZSA-N 415.287 4.817 5 20 HJBD CN(C(=O)c1ccc(-c2cnco2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538254741 RSCYZQZFCPZRPW-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)CC1 540123252 OFGZKXCBCPJEBV-UHFFFAOYSA-N 424.526 4.706 5 20 HJBD C[C@](O)(C1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1)C(F)(F)F 545247714 PBXMOFSIGQZWQV-NRFANRHFSA-N 402.416 4.554 5 20 HJBD COc1ccc(-c2cc(-c3nc(Cc4ccc([N+](=O)[O-])cn4)no3)sc2C)cc1 545784745 RWKKMCZBWYKMED-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1ccc(C(F)(F)F)cc1Cl 552950259 IYAQZTPRPHZBPY-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD O=C(Nc1c(Oc2ccc(Cl)cc2)ccc2ncccc12)c1cc([N+](=O)[O-])n[nH]1 556955625 AWMFYJFXYPCGGE-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD O=C(CCN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)Nc1ccccc1[N+](=O)[O-] 558867519 NHFGMHXMDJKEBT-LJQANCHMSA-N 407.392 4.683 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)c1cc([N+](=O)[O-])ccc1C(F)(F)F 560029682 VLEFWODDKNJPFY-UHFFFAOYSA-N 411.767 4.721 5 20 HJBD COc1ccc([C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C)c1OC 563768945 CTTNBBSTAIYWTL-AWEZNQCLSA-N 413.499 4.895 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3ccc(OC)c([N+](=O)[O-])c3)o2)cc1 603722450 NEGWZFFYBPCQGD-UHFFFAOYSA-N 414.487 4.792 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccc(COCc2ccccc2)cc1 603856320 NDDPDTGPAZQQPR-UHFFFAOYSA-N 416.437 4.573 5 20 HJBD Cc1c(Br)cccc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608872702 YTYIBADIDVFUHR-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD C[C@@H](OCC1CC1)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791864 RJKZLRFECGZDFC-GFCCVEGCSA-N 422.840 4.611 5 20 HJBD C[C@@H]1CCC[C@H](N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 609854832 IPQBTWCAHAUSRT-NEPJUHHUSA-N 411.289 4.738 5 20 HJBD Cc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1OCCC(C)C 610053107 ILEZHPYPWCYIDD-UHFFFAOYSA-N 422.485 4.981 5 20 HJBD O=C([C@H]1CCc2c(Cl)cccc21)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 611417127 QKROVHFAGFFFHK-HNNXBMFYSA-N 412.795 4.869 5 20 HJBD Cc1sc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)nc1-c1c[nH]c2ncccc12 617757361 FLEYNLHZLDIBQI-LLVKDONJSA-N 407.455 4.645 5 20 HJBD Cc1nc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])sc1Cc1ccc(F)cc1 727708354 QBSIMGRVSJMICQ-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccc(CC[C@@H](O)c2ccccc2)c1 727763692 NNYKKFDOTXNJEB-HSZRJFAPSA-N 419.481 4.702 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@H](c1ccc(-c3ccccc3[N+](=O)[O-])o1)N2 730272641 KMDHVMXDOBEAEB-OAQYLSRUSA-N 405.410 4.592 5 20 HJBD COC(=O)[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccc(Cl)c1 733817289 KCIFUALGDPLEEE-INIZCTEOSA-N 405.815 4.534 5 20 HJBD COc1ccc(NC(=O)CNc2ccc(OCc3ccccc3)cc2C)c([N+](=O)[O-])c1 735308492 YRTSSPUNLIBFIJ-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CCN(CC)CCOc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735821172 ROMBPMUZKLBTMN-UHFFFAOYSA-N 405.882 4.530 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)c1 736005886 NGMMJSJPDYXRBI-UHFFFAOYSA-N 419.268 4.981 5 20 HJBD C[C@@H](Cc1ccccc1F)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 737469000 FERHBJYNAULGPM-HNNXBMFYSA-N 422.412 4.770 5 20 HJBD COc1cc2c(cc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-])oc1ccccc12 742495290 FMZNHAHVJMJIMM-UHFFFAOYSA-N 405.410 4.578 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2cccc(Cl)c2)no1 748701214 NQZBWJRZHGHNMV-UHFFFAOYSA-N 406.225 4.829 5 20 HJBD CC(C)(CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccc(Br)c1 751260795 RZVGSFBUZNXQSI-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1C[C@@H](O)c1cccs1 754395844 CUCUOPNIQPCWPY-DZGCQCFKSA-N 402.497 4.599 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccc(C(F)(F)F)c(F)c3)no2)C1 761617610 VQFODNAKIHJMCG-OAHLLOKOSA-N 422.294 4.668 5 20 HJBD O=C(Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 763464155 MBLUDOAQKFTCDH-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1Cl 764192382 LDEALTHTMNLPLP-UHFFFAOYSA-N 410.257 4.636 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)ccc1OC1CCCC1 769485059 YPVCEVPDJSTASR-GFCCVEGCSA-N 420.412 4.694 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1[nH]c(-c2cccc(C(F)(F)F)c2)nc1C 772642648 JCIBGCNYBAOBOB-UHFFFAOYSA-N 421.331 4.540 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 773400618 ZBSMNFCVBAATBV-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD CN(C)c1ccc(C2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 775435447 UYKNOGOEMMVRQI-UHFFFAOYSA-N 402.882 4.726 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1cc([N+](=O)[O-])ccc1Cl 777443173 PWOVVYFTGOPGMV-UFRUDQCGSA-N 413.905 4.516 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)[C@H](C)Cc1ccsc1 779333041 AUCHKIDKSJOECW-SNVBAGLBSA-N 415.284 4.651 5 20 HJBD CCOC(=O)Nc1ccc(OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 783963115 BDFFZXKFCONHBA-UHFFFAOYSA-N 410.835 4.514 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NOC[C@@H]1CCOC1 788576272 ULQZVCPUDMMVTM-MRXNPFEDSA-N 401.462 4.631 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3c([N+](=O)[O-])cccc3[N+](=O)[O-])cc2)n1 804500844 QUYKNRZVRZTGOF-UHFFFAOYSA-N 414.374 4.555 5 20 HJBD C[C@@H](OC(=O)c1cccc(-c2noc(C(F)(F)F)n2)c1)c1cccc([N+](=O)[O-])c1 805634488 RKZALHYDJXJBBS-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1C[C@H](c2ccccc2)OC1=O 809683018 MATAQECFPKIIOA-NHCUHLMSSA-N 418.405 4.630 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCCC[C@H]1c1noc(C2CC2)n1 813014142 QUNAFASFAANZOC-ZDUSSCGKSA-N 411.245 4.529 5 20 HJBD Cc1noc([C@@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 864174724 LNFZLOHKYVOPCO-SNVBAGLBSA-N 403.778 4.650 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)c1[N+](=O)[O-] 1117216690 KGUQLEWCKDKMEN-LLVKDONJSA-N 404.220 4.856 5 20 HJBD Cc1cccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 7169183 TYYKRUARBJVALJ-HNNXBMFYSA-N 403.507 4.514 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c1C 7640947 CPEDCGRQMSAOSX-LLVKDONJSA-N 403.485 4.716 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CSc1ccc(C(N)=O)cc1[N+](=O)[O-] 7668651 ZBBYVXFDODBFAQ-UHFFFAOYSA-N 415.515 4.671 5 20 HJBD COc1ccccc1CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 14603481 JUWOXXALKFLEJL-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD C[C@H](Sc1nc(C(F)(F)F)nc2ccccc12)C(=O)Nc1cccc([N+](=O)[O-])c1 22248096 RLNVPUOSFRLOIT-JTQLQIEISA-N 422.388 4.676 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cc(-c2ccccc2)no1 29073671 BXPWLSZEXFZONA-UHFFFAOYSA-N 410.429 4.553 5 20 HJBD CCCCOc1ccc(NC(=O)c2cc(C(=O)OCC)cc([N+](=O)[O-])c2)c(C)c1 59889669 UMZRWGWLNTWOPE-UHFFFAOYSA-N 400.431 4.511 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1ccc(Cl)cc1[N+](=O)[O-] 72035815 ZHTQFWJKUUWIJO-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD Cc1cc(NC(=O)c2sc(-c3ccccc3)nc2C)n(-c2ccc([N+](=O)[O-])cc2)n1 105526160 AIGFWJAGJOMQJX-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(COc2ccc(Cl)cc2Br)o1 111858318 BLMABQXXKBSXQS-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cccc([C@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccn2)c1 301900668 UWLRBWCVAGFNKK-QFIPXVFZSA-N 404.470 4.638 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCC[C@@H]2c2cccc3ccccc23)s1 302150112 HLFVEMLHGJXRNN-MRXNPFEDSA-N 402.497 4.555 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccco1 426968408 LFXCQUKMEZCGLR-SFHVURJKSA-N 420.343 4.735 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccc(Cl)cc2)Cc2cnn(C)c2)cc1[N+](=O)[O-] 431424778 AIVDCPBWLRMANO-UHFFFAOYSA-N 413.865 4.524 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435829074 WCADUWWQDMXZQG-UHFFFAOYSA-N 418.852 4.947 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436318948 OLRXFDSNMPHNHV-DEOSSOPVSA-N 405.457 4.596 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(C)c1 437764508 ZJOGQHCEESVKLL-MRXNPFEDSA-N 424.501 4.628 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444119715 BHKZFNGBKCIUDE-GJZGRUSLSA-N 416.493 4.706 5 20 HJBD O=C(Cc1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1)Nc1cccc2ccccc12 445345459 WUXNKITZGLQZRS-UHFFFAOYSA-N 408.801 4.633 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(SCc4ccccc4)cc3)n2)n1C 445616291 PUQDWGBJCSPQQC-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 445656897 KIKGPNINGFSMMQ-UHFFFAOYSA-N 402.414 4.648 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1 445660858 XVLXHSFDKXQMNR-UHFFFAOYSA-N 418.457 4.741 5 20 HJBD O=C(c1csc(-c2ccc(Cl)s2)n1)N1CCc2ccc([N+](=O)[O-])cc2C1 446429164 FOZPHIDLJZHIDC-UHFFFAOYSA-N 405.888 4.632 5 20 HJBD CC(C)c1nccn1Cc1cccc(N[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1 447572563 CPPLBPZDGGSKGC-VQTJNVASSA-N 408.506 4.755 5 20 HJBD CCN(c1cccc(Cl)c1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462560188 ZEOGSRNZSTUASX-UHFFFAOYSA-N 409.678 4.770 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCO[C@@H]1c1ccc(C)cc1 462641905 OIFLODLCGBPTMQ-UNWVZKJWSA-N 410.514 4.756 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccn(-c2cccc(C(F)(F)F)c2)n1 464709533 JLHQCHIIUHGBAS-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OCCC(C)C)cc2)cc1OC 465351715 WPKRVWJQARPVCN-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnc2ccccc2c1C(F)(F)F 466498073 ZWPWCLSTNAHBQQ-UHFFFAOYSA-N 405.332 4.813 5 20 HJBD Cc1c(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cccc1OCC(F)(F)F 467382252 OOROXQFOIJHJBU-UHFFFAOYSA-N 417.771 4.819 5 20 HJBD Cc1cnc(CNC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)o1 467761646 BPPHXWFFVHLDCI-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD C[C@H](C(=O)Nc1cc(F)cc(F)c1Br)c1ccc([N+](=O)[O-])cc1F 468273620 APQBHMFJMUKRLX-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2N)cc1 468743569 IUGMIDPSRMSLOX-OAHLLOKOSA-N 405.454 4.686 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 472025982 SCANNXQOPHNGRG-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc(SC)ccc3[N+](=O)[O-])c2)cc1 473452244 DVOSRPGZMCMGCW-UHFFFAOYSA-N 414.508 4.984 5 20 HJBD Cn1cc(C(=O)N2CCC(c3cccc(Cl)c3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 478225723 JLJGNBVBWHJWPE-UHFFFAOYSA-N 424.888 4.669 5 20 HJBD CSc1ccc(C(=O)NC[C@@H](c2cccc(Cl)c2)N2CCCC2)cc1[N+](=O)[O-] 478274747 CCKVOPUGHGJWHM-SFHVURJKSA-N 419.934 4.537 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 480251372 LKFFSICPTZLFQQ-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD COc1ccc([C@H](CCO)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 482624852 SWFPUVNFBZKWPQ-QFIPXVFZSA-N 421.497 4.585 5 20 HJBD CC[C@@H]1CCc2ccccc2N1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 482816757 CPKFWCLDSCTPDX-OAQYLSRUSA-N 418.497 4.828 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)C(C)(C)C)c(OC)c1 484472368 JZZUTMFWBIBSJE-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486710387 NNNVUFAZNPHHEG-CYBMUJFWSA-N 410.392 4.507 5 20 HJBD Cc1ccnc(-c2n[nH]c([C@@H](C)NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)c1 486812332 ZUQQQELZCUDKOA-CQSZACIVSA-N 420.498 4.663 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccccc2OCc2ccncc2)cc(C(F)(F)F)c1 487188585 REOCCEHJYXZACB-UHFFFAOYSA-N 417.387 4.877 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccsc2)C1 490434221 PNTJHTONBRZSSD-KRWDZBQOSA-N 406.529 4.618 5 20 HJBD CC(C)c1nnc(CCNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)s1 492357111 MNFQEEYCYDKUIF-UHFFFAOYSA-N 416.478 4.833 5 20 HJBD COc1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1OC1CCCC1 497876187 JGGBTSJCTNCINI-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1C(F)(F)F)C1CC1 498961335 VTQTYVGQNJWJRA-UHFFFAOYSA-N 410.417 4.897 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 500123444 ROIRUHCNDKZJMX-SFHVURJKSA-N 419.334 4.667 5 20 HJBD Cc1ccc(Br)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 501470313 XHNPCDHGYLDJCM-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCC[C@@H]1Cc1ccccc1 503262985 AKKGJXVAIKSZEU-OAHLLOKOSA-N 405.401 4.825 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccc(OC2CCCCC2)cc1 504915309 PVWPLKFXPYHGAA-UHFFFAOYSA-N 400.500 4.715 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(CC(F)(F)F)C2 505978702 GYBKAJSDPAVHAC-HNNXBMFYSA-N 421.419 4.680 5 20 HJBD O=C(Cc1csc2ccc(Cl)cc12)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 508155562 YGGXSSOZHPZWMY-UHFFFAOYSA-N 418.858 4.805 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1cccc2ccccc12 509453099 UFZQUPJIUBWTOX-UHFFFAOYSA-N 407.470 4.747 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)ccc1[N+](=O)[O-] 511913662 COCPYAXQIVVPFK-GOSISDBHSA-N 402.859 4.838 5 20 HJBD O=C(NC[C@H](c1ccccc1Cl)c1c[nH]c2ccccc12)c1cc([N+](=O)[O-])c[nH]1 512165987 RXXQGCOFAUQYOG-MRXNPFEDSA-N 408.845 4.620 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)c([N+](=O)[O-])cc1OC 512410055 HINYEADSUNRDTA-CZUORRHYSA-N 414.458 4.523 5 20 HJBD Cn1ccnc1[C@H](NCCc1cccc(Cl)c1Cl)c1cccc([N+](=O)[O-])c1 513712983 IONBNFGTAGNNEB-GOSISDBHSA-N 405.285 4.557 5 20 HJBD C[C@@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 515085947 DRPJHWYKBCXXGA-OAHLLOKOSA-N 409.417 4.752 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)Nc2ccccc2)c1 515637027 BLKMOOWXWFALGJ-UHFFFAOYSA-N 420.425 4.646 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])cn1 518630419 WKJJTUGFLOWDSJ-UHFFFAOYSA-N 423.425 4.507 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1 523730662 DSJIBSHQYYDASF-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 531708780 ZIPWMVDPVVDWKI-QZTJIDSGSA-N 410.518 4.571 5 20 HJBD COc1cc(CNc2ccc3ncccc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 533209368 CSKLLEZIXLYQAM-UHFFFAOYSA-N 407.348 4.705 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccn(Cc2ccccc2)n1 534275051 IISQUULOFZHUNV-UHFFFAOYSA-N 410.499 4.840 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](CO)c3ccc(C(F)(F)F)cc3)o2)cc1 535369656 MUVYXJDVEKWPTA-SFHVURJKSA-N 406.360 4.697 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@@H]2C2CCOCC2)cc1[N+](=O)[O-] 537497914 KTDQTFDUDWNDLK-GOSISDBHSA-N 406.548 4.764 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 537687865 HAOKCSKWVFIXLB-QGZVFWFLSA-N 406.841 4.526 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@H]([C@H]3CCOC3)C2)ccc1Oc1ccccc1Cl 538751611 DOGYKCHZKZFLBO-IRXDYDNUSA-N 402.878 4.899 5 20 HJBD Cc1cc(C)c2c(c1)N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 550492306 YUMUSAZBTXGXIR-UHFFFAOYSA-N 408.376 4.746 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@@H](C)C[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 553337661 RFCNSUXMCYLWCB-KSFYIVLOSA-N 418.497 4.680 5 20 HJBD COCC1(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCC1 555607740 HMXSBEHMNQKEMA-UHFFFAOYSA-N 423.307 4.914 5 20 HJBD Cc1nc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)ccc1-c1ccccc1 567409643 MERSZNZBHNUFNB-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)Nc1ccccc1OCCc1ccccc1 571557958 FZXHELKIMRPKBN-UHFFFAOYSA-N 412.392 4.676 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cc(O)nc4ccccc34)no2)c2ccccc12 582452872 JPQNWMVUDHBIAH-UHFFFAOYSA-N 420.428 4.507 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)s1 609305467 INYOWMQHOSMCSG-MRXNPFEDSA-N 423.498 4.815 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c(Cl)cccc1Cl 726030119 OFLNBVLKVYRBSC-JTQLQIEISA-N 422.224 4.799 5 20 HJBD CC(C)[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)CCC2 730645218 SMNDCDHUBYGWPQ-IBGZPJMESA-N 416.861 4.557 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-] 731973117 XNSCOBHDGMZBFP-GFCCVEGCSA-N 414.430 4.551 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 732961351 CDFLKEMVDGLVRJ-QFIPXVFZSA-N 407.445 4.943 5 20 HJBD Cc1c(C(=O)Nc2nc(/C=C/c3ccccc3)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 735264241 UZJDHQQVMMXHSR-BQYQJAHWSA-N 410.411 4.691 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1CCN(c2nc3ccccc3o2)CC1 736161503 ZVEBYLCSOJXCIX-UHFFFAOYSA-N 414.849 4.553 5 20 HJBD COc1ccc(-c2nc(COC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cs2)cc1 740395763 ZYJGYVFLFNYTDP-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)COc1ccc([N+](=O)[O-])c(F)c1 747286791 RKFNYOLLTXULDC-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD CC[C@H](Oc1ccccc1Cl)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 748803791 ZXEBAXIZTPOLNT-KRWDZBQOSA-N 416.817 4.804 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2c(C)nn(CC(C)C)c2Cl)cc([N+](=O)[O-])c1 760027082 IBTSNZADMGSYJM-QGZVFWFLSA-N 404.898 4.695 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1=CCCC1 761556274 NSLDLEVTWNHFLM-GOSISDBHSA-N 400.818 4.582 5 20 HJBD COc1ccc2nc(C)c(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)cc2c1 762308684 NALGFNNVQGMDNB-UHFFFAOYSA-N 415.409 4.582 5 20 HJBD O=C(OCC(=O)C1CCC(F)(F)CC1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 763135766 ZTHJLWDKMGVDQI-UHFFFAOYSA-N 419.380 4.939 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2coc(C)n2)cc1 763605867 ULNBPRWVQFVJGF-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1cccc(OCc2cccnc2)c1 770738808 NOGIVUPZLDTMMX-UHFFFAOYSA-N 411.845 4.712 5 20 HJBD Cc1ccc(OCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)c1 776135985 UDWHXBWLCUQJBT-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137417 ZWXAIIYJEBVAAN-XOBRGWDASA-N 412.829 4.743 5 20 HJBD CCOc1cc(Cl)ccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 778892668 OVHBROUITKSODQ-NSHDSACASA-N 417.805 4.615 5 20 HJBD O=C(OC[C@@H]1CCC2(CCCCC2)O1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 786285882 OKUYJFXCRMVGQB-INIZCTEOSA-N 402.472 4.757 5 20 HJBD COCc1nc(NC(=O)c2c3ccccc3cc3ccccc23)sc1C(=O)OC 791336607 RFJRZXHZSBFBKF-UHFFFAOYSA-N 406.463 4.635 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nnc3n2CCCCCC3)cc1 811362799 GQGQTCQPZPAQHA-OVCLIPMQSA-N 417.469 4.622 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815407042 FFYHVKDIXALZFL-ZDUSSCGKSA-N 418.877 4.865 5 20 HJBD COC(=O)C12CCC(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])(CC1)CC2 815924402 XAUGPKYZPHLRPH-UHFFFAOYSA-N 409.438 4.681 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cccc([N+](=O)[O-])c1Cl 816870229 SQCBQZZNTPHSSU-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cn1cc(/C=C\c2ccc3cccc([N+](=O)[O-])c3n2)c(-c2ccc([N+](=O)[O-])cc2)n1 920065234 SLARYIIDOGWQEZ-POHAHGRESA-N 401.382 4.622 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3o2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116148865 UECRFNIQKZMYCI-UHFFFAOYSA-N 400.394 4.951 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116919409 IVYPAZHCEOTMPC-UHFFFAOYSA-N 415.755 4.737 5 20 HJBD COc1ccc(CCc2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1346602114 SZOOUWGQCGKMNZ-LBPRGKRZSA-N 403.822 4.565 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)ccc1[N+](=O)[O-] 10761044 HVKPHIJZTKHVGI-LLVKDONJSA-N 421.331 4.890 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3ccc(C)c([N+](=O)[O-])c3)cc2)cc1 15737096 BRPBKOLOIGIENM-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)c1 22236756 WNTMETVLRXVWGE-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2cccc(F)c2)cs1 25322450 JCDOWHUTNXYTDC-NSHDSACASA-N 403.460 4.977 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(NC(=O)C2CC2)cc1 31396885 JPLNOEVGQPAHKA-LBPRGKRZSA-N 409.467 4.649 5 20 HJBD O=C(Nc1cccc(-n2cccc2)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 58891692 WSRYXWMBFJSTCR-UHFFFAOYSA-N 405.332 4.579 5 20 HJBD CSc1nnc(CCCNc2nc3ccc([N+](=O)[O-])cc3s2)n1C1CCCC1 111106438 CFZKZHVEPOJXOL-UHFFFAOYSA-N 418.548 4.678 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1)c1cc2ccccc2o1 116875634 KKHQDHODPXXTFO-HSZRJFAPSA-N 402.406 4.626 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccc(C)cc2)nc2onc(C)c12 248130575 JLQFMNOUMOOGAY-UHFFFAOYSA-N 418.409 4.676 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](c2ccccc2C)C(C)C)cc1[N+](=O)[O-] 303459274 NNQIXTFBOPLOJO-OAQYLSRUSA-N 402.516 4.659 5 20 HJBD C[C@@]1(C(=O)O)CCC[C@@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426727000 LXUIJJZOKXXMCT-AZUAARDMSA-N 404.850 4.774 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(Br)c1 437804397 UVACMPZOBDJWML-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCN(c2ncc(C(F)(F)F)cc2Cl)CC1 441209712 ZDPRDCMYMZVDGI-LBPRGKRZSA-N 414.815 4.545 5 20 HJBD COc1ccc(CS(=O)(=O)[C@@H]2CCc3c(Cl)cc(Cl)cc32)cc1[N+](=O)[O-] 443447461 WIIJDHUCVDWHLX-QGZVFWFLSA-N 416.282 4.513 5 20 HJBD C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444183069 MDXAZRSTJDRPLG-QKFKETGDSA-N 400.453 4.874 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 445167774 SMQMLDGBTWSHCZ-MSOLQXFVSA-N 424.284 4.640 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1[C@H]1CCCCC1=O 462780580 YTNUQYXPIVRUNI-NRSPTQNISA-N 400.519 4.694 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(-c2ccccc2)nc1-c1cccnc1 464720227 POPUSXKBXGAZAB-UHFFFAOYSA-N 413.437 4.657 5 20 HJBD COc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 466282620 GKVQVVXMYJGMCM-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@@H]1O 467757197 GWICDHFNLWGTHL-VUBSUSDNSA-N 420.575 4.889 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c2o1 468014688 WNZPWNPEWNBOQA-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 470571509 XXWBMGVBXSGIML-MRXNPFEDSA-N 416.783 4.727 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C/c1ccc2c([N+](=O)[O-])cccc2n1 478369073 KBCGWIIJQQCMRO-MDZDMXLPSA-N 402.859 4.760 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3ccccc3Cl)n2C)c([N+](=O)[O-])c1 479928544 KQNIOGUFHZKNSU-UHFFFAOYSA-N 402.863 4.788 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(C(=O)NCc2cccc([N+](=O)[O-])c2C)CC1 480054955 QUJDDZLZLNBZPA-UHFFFAOYSA-N 404.470 4.692 5 20 HJBD CCc1ccnc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 482740229 BSAHNJZGGUZLTL-CQSZACIVSA-N 416.441 4.837 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(OCC(F)(F)F)cc2)c1[N+](=O)[O-] 486253164 MAGNAVBRMUEIRD-NSHDSACASA-N 414.405 4.749 5 20 HJBD C[C@H](Sc1nnc(CCC2CCCCC2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488810937 BGDDZMIMBMGGSL-ZDUSSCGKSA-N 404.492 4.610 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccnc1)Cc1ccccc1C 502348731 NPVPWEGGBGLALB-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 505353997 SWXGEEQVQUSOIJ-CQSZACIVSA-N 406.282 4.955 5 20 HJBD CC[C@H](NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])c1cc(F)ccc1F 505471596 LMLDSLCBLVZDRQ-HNNXBMFYSA-N 416.371 4.766 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)CSc1ccc([N+](=O)[O-])cc1 507801056 HSTRPLQWXCWAHY-CYBMUJFWSA-N 423.332 4.587 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 517690709 JWNKEAFLSMALNG-UHFFFAOYSA-N 402.497 4.501 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 517701655 WHMUBMBFPDRZDH-UHFFFAOYSA-N 407.401 4.981 5 20 HJBD O=[N+]([O-])c1ccc2[nH]nc(-c3nc(-c4ccccc4OCc4ccccc4)no3)c2c1 518341311 KEKUVSODLVIIMD-UHFFFAOYSA-N 413.393 4.767 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 520212666 MRLYUYGGCHOMNI-LBPRGKRZSA-N 411.380 4.525 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)n1 520485544 ZLBODGRKYLCXPR-LLVKDONJSA-N 401.419 4.608 5 20 HJBD COc1c(-c2nc(C3(c4ccc(F)cc4F)CCCC3)no2)cccc1[N+](=O)[O-] 521054625 GPYUJUUMPIMMOV-UHFFFAOYSA-N 401.369 4.792 5 20 HJBD O=C1CCCCN1CCCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 521337773 HEGLUGCFPZSCOZ-UHFFFAOYSA-N 417.893 4.533 5 20 HJBD CC(C)Oc1ccc(NC(=O)N2CCc3c(cccc3[N+](=O)[O-])C2)c(C(F)(F)F)c1 534567476 RXMIFTAJZHPROD-UHFFFAOYSA-N 423.391 4.991 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(C)C)c1 534950429 XCCVXYRFMNGXDQ-UHFFFAOYSA-N 402.472 4.626 5 20 HJBD Cc1nc(CNC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)oc1-c1ccc(Cl)cc1 534981854 QVTKGCUIALFSPY-UHFFFAOYSA-N 410.817 4.623 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 542524832 BTCMHWAYBYPGAG-LPHOPBHVSA-N 409.511 4.865 5 20 HJBD O=C(NCCC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544824542 KZXUWNSUQULOFS-GOSISDBHSA-N 406.548 4.956 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC[C@@H](Cc2csc3ccccc23)C1 545220432 WVDDHWPFZGGBPB-AWEZNQCLSA-N 420.494 4.917 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 552444445 GMNDMXQOEVXKRU-KRWDZBQOSA-N 418.293 4.603 5 20 HJBD Cc1c(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cccc1-c1ncco1 552710272 MMKVOKSCVMHADR-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2cccnc2)nc1 561189678 HZGBCYANNDXKNK-UHFFFAOYSA-N 410.455 4.930 5 20 HJBD C[C@H](c1nc(Cc2ccccc2[N+](=O)[O-])no1)N1CCC(Cc2ccccc2)CC1 562190559 SZVTUPDWZXLKJO-QGZVFWFLSA-N 406.486 4.584 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 566046618 OLECDIMZKRSYDD-NSHDSACASA-N 400.485 4.577 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](C)c2cccc(O)c21 569616905 JUUAELKMAJJEAY-SNVBAGLBSA-N 405.248 4.525 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 578077057 RJDVXCHZUAFJBT-CVEARBPZSA-N 400.475 4.763 5 20 HJBD COc1cc(CN2CCC(F)(F)[C@@H](C)C2)c([N+](=O)[O-])cc1OCc1ccccc1 578487978 RZPRQVDENKQLEU-HNNXBMFYSA-N 406.429 4.660 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc([C@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 581850740 DUTZTBLUBFGOCJ-APWZRJJASA-N 408.458 4.595 5 20 HJBD CC(C)c1ccc(CN2CCCC[C@@H]2c2nnc(C3CCOCC3)o2)cc1[N+](=O)[O-] 583111074 GVQCAWGSBKCOTQ-LJQANCHMSA-N 414.506 4.722 5 20 HJBD C[C@H]1CN(C)CC[C@H]1N(C)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 584557273 RFLNVFNWNXWYPY-MAUKXSAKSA-N 403.910 4.813 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cs2)cc1 608871537 SZMANZGRWDOHPB-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccco1 609723342 HIIBDDRILKIQMM-UHFFFAOYSA-N 414.845 4.839 5 20 HJBD CN(C)Cc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198563 FHSDAVXWEGWAKP-UHFFFAOYSA-N 413.543 4.621 5 20 HJBD Cc1noc(C)c1[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207535 GQVMPRKBINDWLL-GFCCVEGCSA-N 403.504 4.768 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1C(=O)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 619109716 GUYXCDBNFBMWQV-UHFFFAOYSA-N 416.890 4.581 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC2(CCC2)c2c(F)cccc21 726153473 OXNJUQYTLKUXOS-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=S)Nc3ccc([N+](=O)[O-])cc3)ccc21 726493567 HNCMEHMYTASPCC-UHFFFAOYSA-N 414.487 4.701 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(SC)sc3c2)cc1SC 728456231 IBBCGBXORVVGNM-UHFFFAOYSA-N 421.525 4.909 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1cccc2ccccc12)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 730872304 NBKBIQRIAKPWHD-MJXNMMHHSA-N 422.412 4.561 5 20 HJBD COc1ccc2oc(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c(C)c2c1 735445611 OBDMRMMTUKSEMY-UHFFFAOYSA-N 408.366 4.670 5 20 HJBD CC1CCN(c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2)CC1 739486482 WWOYZHGHOWHXTI-UHFFFAOYSA-N 418.291 4.846 5 20 HJBD CC(C)(C)c1ncc(/C=C\C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)s1 744368504 ZBIQRLAEEWSQHV-XZVRFQMRSA-N 403.529 4.636 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC[C@@H](C)c1ccccc1 749609107 UCFBUQBNFKUGIH-QGZVFWFLSA-N 422.485 4.966 5 20 HJBD C[C@@H](C(=O)Oc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 754466450 RTSLDHXERTZHEC-CQSZACIVSA-N 408.385 4.695 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(Cc3ccc(Cl)c(Cl)c3)no2)C1 761423571 MRVVIOIBKYNXIU-INIZCTEOSA-N 419.224 4.741 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 767061587 GBHYEZUCDQCDHY-NSHDSACASA-N 422.384 4.678 5 20 HJBD O=C(OCc1nccn1C(F)F)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768095274 WRXMNQODMSROOE-UHFFFAOYSA-N 405.382 4.695 5 20 HJBD O=C(Nc1ccccc1SC(F)F)c1sc(Br)cc1[N+](=O)[O-] 770819699 NGADVWFKHACFAR-UHFFFAOYSA-N 409.233 4.986 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Cl)nc1Cl 776217734 KUEZILYSNRQZIS-MRXNPFEDSA-N 404.209 4.638 5 20 HJBD C[C@H]1CC(=O)CC[C@H]1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 776290455 FRDMWHZAZZZJBO-XJKSGUPXSA-N 416.861 4.771 5 20 HJBD Cc1ccc(NC(=O)C2=S=C(/C(Cl)=C\c3ccc([N+](=O)[O-])cc3F)N=N2)cc1 777916111 VEHOWZWWOPIEIM-RIYZIHGNSA-N 418.837 4.720 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccccc1[N+](=O)[O-] 787566638 TZCMFHCUFVJGCH-LJQANCHMSA-N 401.378 4.591 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc(N2CCCCC2)cc1 788504515 OJJWSKDVTHQYPN-CQSZACIVSA-N 403.479 4.844 5 20 HJBD COc1ccc(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 788731122 ZRBXJZDSGILLTC-AWEZNQCLSA-N 412.490 4.878 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)Nc1ccccc1)c1ccccc1 791204741 LZEBLARGSPDKQN-XEZIEYNOSA-N 402.406 4.531 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2[C@@H](O)c2ccccn2)cc1[N+](=O)[O-] 807982128 SOSTWGKGYUQRSY-OAQYLSRUSA-N 405.454 4.621 5 20 HJBD CCC[C@@H](CC)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 813428407 WDVRJQFHROHSCT-CYBMUJFWSA-N 403.866 4.809 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@@H](NCc2ccc([N+](=O)[O-])c(Cl)c2)CC12CCC2 814171312 JPKRYKLZNSGDEB-OAHLLOKOSA-N 409.914 4.660 5 20 HJBD C[C@H](N[C@H](c1cc(F)cc(Br)c1)C1CC1)c1ccc(N)c([N+](=O)[O-])c1 815617047 DDEAZSZPBBHLGW-YPMLDQLKSA-N 408.271 4.881 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914506702 DDTJEURCAJXPKW-LLVKDONJSA-N 411.336 4.663 5 20 HJBD Cc1c(C(=O)OCc2nc(-c3cccc([N+](=O)[O-])c3)no2)sc2cccc(F)c12 914798486 NPHFUDKSZWKRJA-UHFFFAOYSA-N 413.386 4.664 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2cn3c(n2)CCCC3)c1 917497651 UUTMUSSVOBHGHW-OAHLLOKOSA-N 422.510 4.914 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H](C)CC(C)(C)C 917591145 SSZPPDLHQVVVDN-OAHLLOKOSA-N 402.495 4.844 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 918302775 UDVSLEOPTMIJOI-UHFFFAOYSA-N 421.856 4.545 5 20 HJBD Cc1c(COC(=O)c2csc(Cc3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 1251196780 IUEAJUCOYSINLD-UHFFFAOYSA-N 402.859 4.961 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2ccc(Cl)s2)C1 1252332020 PVJXAGRUSKTPJA-GFCCVEGCSA-N 408.888 4.738 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](C)c1cc(F)c(Cl)cc1Cl 8248986 NGIZIQZRXNRVGL-SNVBAGLBSA-N 400.237 4.638 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 26686247 CYBRJWAULXUZAG-UHFFFAOYSA-N 411.502 4.756 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 59812717 QPQIWMYVVJJCKJ-GFCCVEGCSA-N 413.430 4.539 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64898484 BXDJNPALLMYVLI-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]1CCc1ccccc1 65110408 AZWKQTHOTQLLTI-SFHVURJKSA-N 407.495 4.956 5 20 HJBD C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2cccnc2)cc1 106313188 JNMMCTMAHRUWSM-MRXNPFEDSA-N 423.494 4.538 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cc(Br)ccc1Br 160237381 RZAALRYROZFRSH-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC(C)(C)C(=O)N1CCOc2ccc(Nc3ccc([N+](=O)[O-])c4cnccc34)cc21 301070104 CCAGLJFISUMBPL-UHFFFAOYSA-N 406.442 4.658 5 20 HJBD Cc1cnc([C@@H](Nc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])C2CC2)s1 301607868 ZODWHEZRIXSFHN-LBPRGKRZSA-N 402.354 4.850 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3[nH]c(-c4cc(F)ccc4F)nc3c2)c1 302968179 FYIBXWZZZAIRSB-UHFFFAOYSA-N 424.363 4.677 5 20 HJBD C[C@@H](Nc1cccc(OCc2cccc(F)c2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 302994670 SQEAPIVHNBBMIN-OAHLLOKOSA-N 409.417 4.752 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 303446953 DCJZWHUCFKOZJU-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD COc1ccc(NC(=O)c2ccc(Br)cc2C(F)(F)F)c([N+](=O)[O-])c1 328101654 WTBCNXBWXGACEE-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 409985879 ZXYYSQKCVIAMRG-AWEZNQCLSA-N 405.410 4.888 5 20 HJBD Cc1c(C(=O)N2CCC(c3ccc(Br)cc3)CC2)cccc1[N+](=O)[O-] 410411686 SIVXVYKSZWUQTA-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426276663 QQTHNGWTNDWTHZ-UHFFFAOYSA-N 413.371 4.875 5 20 HJBD COc1cc([C@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 431873399 TUGRWRLOYRVNLV-HNNXBMFYSA-N 415.490 4.686 5 20 HJBD O=C(NC1CC1)c1ccc(NCC[C@H](c2ccccc2)C(F)(F)F)c([N+](=O)[O-])c1 432173398 BUWZOBMCFAUAKP-MRXNPFEDSA-N 407.392 4.635 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(n4ccc(C)n4)CC3)c([N+](=O)[O-])c2)cc1 432833105 UXHIQTYODJGPHX-UHFFFAOYSA-N 419.485 4.502 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](c2ccc(F)cc2)c2ccccc2Cl)c1[N+](=O)[O-] 434229519 SKUIFRBPZXUYHU-KRWDZBQOSA-N 416.840 4.753 5 20 HJBD COc1cccc(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)c1[N+](=O)[O-] 435864582 IWOZKECXUSLLJM-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD C[C@H](Nc1cnn(-c2ccc(Cl)cc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 435972838 MBJDUWWHCTUVBB-NSHDSACASA-N 420.256 4.526 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)c1 437229909 AMWBMHDAEOOVSO-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD CCc1ccc(-c2noc(CSCCOc3ccc(OC)cc3[N+](=O)[O-])n2)cc1 437282411 CHJGJIMDLMSNDA-UHFFFAOYSA-N 415.471 4.528 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC(F)F)c2cccc(Cl)c2)cc1OC 440655716 ACEQARBXSUUHEK-UHFFFAOYSA-N 414.792 4.567 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442125507 IGFIPRBSKXZRRB-PIGZYNQJSA-N 424.284 4.744 5 20 HJBD CCOCCC1(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCCC1 444240182 IDAYISJTYRMUHK-UHFFFAOYSA-N 410.489 4.537 5 20 HJBD Cc1ccc(O[C@H](C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(Br)c1 444500128 IBXNJYPUGQIQNO-CYBMUJFWSA-N 407.264 4.689 5 20 HJBD COc1ccc([C@@H](C)Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1OC 447684307 AHIVPFTXTONTLU-SECBINFHSA-N 421.322 4.834 5 20 HJBD CNc1c(C(=O)Nc2cccc(COCc3ccc(OC)cc3)c2)cccc1[N+](=O)[O-] 462923398 CZOYKAACZZHNMZ-UHFFFAOYSA-N 421.453 4.614 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 467211410 IYMFUXJJMHAFAJ-HNNXBMFYSA-N 419.762 4.880 5 20 HJBD Cc1ccc(-n2cc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cn2)c(C)c1 468157305 BNGKNWJDRPBXAW-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(Nc1ccccc1OCC(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 474998681 DDUZMHXMGIXJHT-UHFFFAOYSA-N 405.382 4.615 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 475956087 OQZFBUHOADPHII-SFHVURJKSA-N 416.543 4.735 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1 481032682 CSBSQKMSMHVNPY-CQSZACIVSA-N 422.407 4.631 5 20 HJBD COc1cc(CN[C@@H](c2ccccc2)c2nc3ccccc3n2C)c([N+](=O)[O-])cc1F 481433094 LTOJBIGXSNSOTH-QFIPXVFZSA-N 420.444 4.508 5 20 HJBD Cc1c([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)oc2ccccc12 482168470 HYDKDIRVDHQBBT-NSHDSACASA-N 403.232 4.903 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)c1[N+](=O)[O-] 485737499 LPAGIRNEQMFVHT-OAHLLOKOSA-N 423.494 4.782 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@@H](C)c1cc([N+](=O)[O-])ccc1C 485746955 URTBANGDXHNEHA-AWEZNQCLSA-N 409.408 4.944 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)COc2ccccc2C(F)(F)F)c1[N+](=O)[O-] 486244613 UBOXSCFLRNUAPJ-NSHDSACASA-N 414.405 4.533 5 20 HJBD CC[C@H](NC(=O)Cc1ccc(NC(=O)CC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 487837844 NRTWNKHFVIUIJZ-FQEVSTJZSA-N 411.502 4.780 5 20 HJBD COc1ccc(Cl)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 488363293 ZCKJOHGGJJVDIR-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 489087996 LKSVHSDOBRWUGS-ZDUSSCGKSA-N 421.144 4.530 5 20 HJBD CCn1c(S[C@H](C)C(=O)c2cccc(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489441906 UDLGBZQOMXNWNQ-GFCCVEGCSA-N 416.890 4.890 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 495126141 BVKGAYQYGYKRKY-AWEZNQCLSA-N 400.504 4.650 5 20 HJBD CCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497874352 PDARNKMKLGJQEX-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1ccc(Cl)cc1[N+](=O)[O-] 499469858 JOTICKJIQVBPDQ-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 499897658 GOBRLKUCIIBVSM-QFIPXVFZSA-N 407.495 4.912 5 20 HJBD O=c1ccccn1C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccccc1 500479625 BOROXSRHNDUIGO-OAQYLSRUSA-N 415.449 4.548 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 500874716 ZDJRMMNCVGHOEV-ZDUSSCGKSA-N 421.482 4.736 5 20 HJBD CCOc1ccc([C@@H](C)NC2CCN(Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 504912346 VAEAEYLOAIGOES-OAHLLOKOSA-N 419.472 4.587 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2ccc3nc(C(F)F)sc3c2)cccc1[N+](=O)[O-] 507242493 GGUJROGAKGQHSL-JTQLQIEISA-N 406.414 4.890 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 513324962 DJPNCDDNHIIYDE-OAQYLSRUSA-N 402.519 4.888 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 513700339 SRHVPGRDKMGAJX-GFCCVEGCSA-N 404.291 4.620 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1cccc(Br)c1)C1CC1 520065871 FSSWYLZWSIXCGR-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 520212664 MRLYUYGGCHOMNI-GFCCVEGCSA-N 411.380 4.525 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)C2CCCCC2)c1 523882197 FKOBFYUWSGBHFP-NDEPHWFRSA-N 401.488 4.820 5 20 HJBD CCCc1n[nH]c(C(=O)Nc2ccc(-c3nc4ccccc4s3)cc2)c1[N+](=O)[O-] 535628768 GTFUTJJGPHSVJT-UHFFFAOYSA-N 407.455 4.799 5 20 HJBD C[C@@H]1CC(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@@H](C)O1 536611947 KMMHRDVQBDTCLN-ZIAGYGMSSA-N 417.893 4.546 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2[N+](=O)[O-])cc1 538258476 ZGXDVAVOZOKKDG-KRWDZBQOSA-N 419.481 4.868 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cnn(-c3ccccc3)c2C(F)(F)F)c1 541990546 ZMIDVOUHTAKZQL-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)cc1OC 542519969 AMPZDOJHOANYLB-LLVKDONJSA-N 410.268 4.641 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 542528508 UAZKKCNWSUUZDD-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)C3)cs1 543639177 GFQALJQNCAXRCW-UHFFFAOYSA-N 418.475 4.818 5 20 HJBD C[C@@H](c1nc(-c2ccccc2CN2CCCCC2)no1)c1ccc([N+](=O)[O-])cc1F 544810667 LGHNEAHGJDWRCX-OAHLLOKOSA-N 410.449 4.922 5 20 HJBD CCOc1cc(Cc2noc(-c3cc([N+](=O)[O-])ccc3C)n2)ccc1OC(F)F 545643599 WHEUKPWNDOXUTH-UHFFFAOYSA-N 405.357 4.544 5 20 HJBD Cc1cc(Cc2noc(Cc3ccc(NC(=O)CC(C)(C)C)cc3)n2)ccc1[N+](=O)[O-] 545781658 NKGGKKYORUYRSK-UHFFFAOYSA-N 422.485 4.843 5 20 HJBD Cc1ccc(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c(OCCC(C)C)c1 552248624 NJBBPSXQWZLQGI-HSZRJFAPSA-N 422.529 4.941 5 20 HJBD O=C(Nc1ccc(O[C@H]2CCCC[C@@H]2O)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 556249238 NANNRDXCEUHBRO-ROUUACIJSA-N 424.375 4.548 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1c(F)cccc1Cl 556563813 HJDDBUPRDVITFM-NSHDSACASA-N 421.856 4.501 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCCCC3)cc2C)c([N+](=O)[O-])cc1OC 561130759 MRYWXCNEMSLSGO-UHFFFAOYSA-N 413.474 4.553 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc4c(cnn4C(C)C)c3)n2)cc1[N+](=O)[O-] 589648975 BCVZACHKGPOUOQ-UHFFFAOYSA-N 422.470 4.605 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 609263026 GLPFZIKQLNBUJO-YOEHRIQHSA-N 418.877 4.778 5 20 HJBD CCO[C@@H](C)c1nc(CC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cs1 609858950 VLJPYUASTIPQDT-AWEZNQCLSA-N 403.504 4.667 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCC[C@H](COc4ccccc4Cl)C3)nc2c1 611090127 AZHKTHAMBZAOTK-AWEZNQCLSA-N 401.850 4.680 5 20 HJBD O=C(NCCCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198920 COVYXDJKEWIJOR-UHFFFAOYSA-N 424.526 4.868 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cnn(-c2ccccc2)c1C1CC1 611768824 GGWNPMTWMOCQGL-UHFFFAOYSA-N 416.359 4.929 5 20 HJBD Cc1ccc(F)c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 618638019 LFKVVNUAUAGGFE-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD CCCCN([C@@H](C)c1ccc(C)o1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828795 UEVHBYYSUASFPC-ZDUSSCGKSA-N 400.884 4.702 5 20 HJBD O=C(Cc1csc2nc(-c3ccccc3)cn12)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710797 HIHIENZYRDBOHI-UHFFFAOYSA-N 412.858 4.806 5 20 HJBD Cc1ccc(N2CCCC2)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1 734990378 HTKDOXDIKPPPBE-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)ccc2N2CCCC2)c([N+](=O)[O-])cc1OCC 734991996 DIPQSIAONMKMRC-UHFFFAOYSA-N 413.474 4.553 5 20 HJBD CC(C)CO[C@@H]1C[C@H](OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1(C)C 739287806 HMKOMMTYNOCTPI-SJORKVTESA-N 404.488 4.715 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 745301945 IODCGOBKDCRCPV-NWDGAFQWSA-N 401.806 4.706 5 20 HJBD Cc1c(C(=O)Nc2cnccc2Oc2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748638376 BEAZDAZNJHSVAY-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1csc(-c2ccccc2Cl)n1 751660105 NAOXAISZYOAEKD-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cnc2c(c1)c(C)nn2-c1ccccc1 755023575 FYCINOVNBOEKJF-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cnc(Cc2ccccc2)s1 755088864 PGAMYQMZXOLXDH-UHFFFAOYSA-N 401.875 4.568 5 20 HJBD Cc1cccc(-c2nc(CC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)cs2)c1 756989378 PAUJLUAZXKBRSY-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD CC(C)CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@@H](O)c1ccc(F)cc1 760843149 HFGWMWSDODRIKY-OAQYLSRUSA-N 413.449 4.581 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)no2)cc([N+](=O)[O-])c1 762282285 AMIVNDAQFRVCCD-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](C)CN(C)C(=O)OC(C)(C)C)no1 764914686 HPJOIMVALHVNRO-GOEBONIOSA-N 418.494 4.685 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 766345280 INQNRGFTNCGBFS-YKSBVNFPSA-N 409.530 4.630 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1csc(-c3ccc(Cl)s3)n1)CC2 773990290 AUFWZLXGOWMEHX-UHFFFAOYSA-N 405.888 4.945 5 20 HJBD CC(=O)c1ccc(C(=O)O[C@@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])cc1 774373934 UHVLAIOGYYWKMK-KRWDZBQOSA-N 420.259 4.605 5 20 HJBD C[C@H](N[C@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774924380 CTPPRYZAGZTLFZ-MNOVXSKESA-N 413.808 4.527 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@H](c2ccc(Br)cc2)C2CCC2)c1 775202630 IBYSHVDDUBTLSC-OALUTQOASA-N 405.292 4.522 5 20 HJBD CC(C)c1ccc(CN(C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C2CC2)cc1 781582846 CKAIATVTLNTMNZ-UHFFFAOYSA-N 411.458 4.750 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(C1CC1)[C@H]1CCCc2ccccc21 781604872 WATIESRNFIDRPD-FQEVSTJZSA-N 409.442 4.504 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 786042108 XMJIASUZHCVDLJ-SECBINFHSA-N 401.749 4.776 5 20 HJBD COCN1C(=O)CCc2cc(NC(=O)c3cc4ccccc4c4cccnc34)ccc21 793913346 XUKYSWGATNYXTC-UHFFFAOYSA-N 411.461 4.523 5 20 HJBD CCc1ccc([C@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804153830 LWBWIDRSAAZPRU-HXUWFJFHSA-N 422.506 4.772 5 20 HJBD CN(Cc1ccc(F)cc1Br)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809913991 DKLFHKCSYKEUPW-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD C[C@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(N2CCOC2=O)c1 809971542 GWCPQKGAXHXIIA-NSHDSACASA-N 410.257 4.709 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 811533926 YCCCUTFDIRJHMR-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 811805778 TXEQAKVQWBCQCX-LBPRGKRZSA-N 409.364 4.613 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1ccc(-c2ccccc2[N+](=O)[O-])s1 812447828 VOBCHFHZMFHBPS-LFIBNONCSA-N 421.390 4.576 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H]2CCCN2Cc2ccccc21 812920033 CRWLVDUTLONJLU-CQSZACIVSA-N 406.269 4.526 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(Br)cn1 813551466 CURQHFPHCYMJPM-ZETCQYMHSA-N 419.062 4.550 5 20 HJBD O=C(NCc1ccc(OC(F)F)c(F)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 818531322 SIRMGEATLQUNBY-UHFFFAOYSA-N 409.147 4.572 5 20 HJBD Cc1ccc2cccc(NS(=O)(=O)c3c(Cl)ccc([N+](=O)[O-])c3Cl)c2n1 821173674 UOQHVSZFSQXBTQ-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(COc3ccccc3)c2)cccc1[N+](=O)[O-] 917941913 XRSXBAXVUVAXIJ-QGZVFWFLSA-N 405.454 4.921 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2F)C[C@@H](C)O1 920213136 MXHQARJUDOODHE-TXEJJXNPSA-N 422.844 4.645 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H]1CCCC[C@@H]1C 920818952 SQXBLYHNHXZWKR-HOTGVXAUSA-N 400.479 4.598 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 921136453 IAAAGPLIBUNWDA-UHFFFAOYSA-N 418.858 4.740 5 20 HJBD COc1cc(CNC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 921184321 KTMGMOSYAZCIML-UVTDQMKNSA-N 418.449 4.512 5 20 HJBD Cc1ccc(OCc2nc(Cc3nnc(-c4cccc([N+](=O)[O-])c4)o3)cs2)cc1 1117164829 IBCFJZNUJXWIQV-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1csc(Cc2ccc(Cl)cc2)n1 1251196591 CBZIPHLBNAVKBG-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3c[nH]c4ccccc34)cs2)cc1[N+](=O)[O-] 1317706738 PVIHKFYFWPWKNT-UHFFFAOYSA-N 408.439 4.851 5 20 HJBD COc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc1OC1CCCC1 1319059235 VSDXEEGOTJGAHQ-UHFFFAOYSA-N 411.458 4.515 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@H]4OCCC[C@H]4C3)o2)c(Br)c1 1319712619 PGUKMXPYFFFZQY-UGSOOPFHSA-N 421.291 4.618 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1Br 8567465 LWMUQXQLOLRGFQ-JTQLQIEISA-N 413.655 4.725 5 20 HJBD Cc1sc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)nc1-c1ccccc1 14658567 FOLOUJUZHQMYCI-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3csc(C)n3)cc2)cc1OC 16102754 CLEJJGUXKWUQHS-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD C[C@@H](OC(=O)Cc1ccc2ccccc2c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17726655 JDHNWYZSWFCZJE-CQSZACIVSA-N 403.394 4.645 5 20 HJBD Cc1nn(C)c(C)c1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 45000200 HKDDIEDLTNQSLF-UHFFFAOYSA-N 400.822 4.643 5 20 HJBD CN(Cc1cccnc1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 49944847 TUSTXPPWNJRVTQ-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)NCCc2ccc([N+](=O)[O-])cc2)cs1 56148321 PQYMNKDAWGHAIL-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD COc1ccc([C@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 69709774 YKXXTHRXAPTDAI-OPAMFIHVSA-N 422.506 4.990 5 20 HJBD O=C(Nc1c(F)cccc1Oc1ccccc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 78654224 XIDQKMZKPHUQSR-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)o1 303752923 AVGWCKUIHCCOSG-LJQANCHMSA-N 409.442 4.762 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)cc1OC 409747972 HCROWAJTMHIXNG-HNNXBMFYSA-N 401.463 4.973 5 20 HJBD Cc1cc(C)cc([C@@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 410045572 WUPKYSOVPWIWJI-MRXNPFEDSA-N 406.486 4.564 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1cnn(-c2ccccc2Cl)c1C(C)C 427343834 YNXVPFPEBVSFJD-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1)C(C)C 428897012 FGYXOUIXBAITJA-MRXNPFEDSA-N 412.490 4.543 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc(Br)cc2[N+](=O)[O-])c2ccccc2S1 429936523 AJELQFNAEJRIFK-NSHDSACASA-N 407.289 4.888 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCCc2cc(OC(F)F)ccc21 436139715 ONHYTWWHJRHBQI-UHFFFAOYSA-N 420.415 4.876 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 436340550 FSZPVGCPUNPZCZ-KRWDZBQOSA-N 421.419 4.927 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438046096 FEUZECQMPYTMBM-UHFFFAOYSA-N 407.270 4.840 5 20 HJBD Cc1c(CC(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 438839875 WDKPPTFNBOFHCC-GDLZYMKVSA-N 414.527 4.666 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2cc(OC(F)F)ccc21 443868767 OISXPGOTPMNJOA-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N(CCC(F)(F)F)CC1CC1 444227581 RBMXVFJDXAVGPW-UHFFFAOYSA-N 406.379 4.625 5 20 HJBD O=C(Nc1nnc(-c2ccsc2)s1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444703785 KEQAFMKPWGDNHP-UHFFFAOYSA-N 422.466 4.653 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 447867882 ZJPYHPKGLUQJOF-LBPRGKRZSA-N 418.837 4.692 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 462632886 QUCMRNCPQOZMDI-UAOJZALGSA-N 420.868 4.602 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2c(F)cccc2[N+](=O)[O-])c2cccs2)cc1 463576218 TXJNEXLOSCMJHS-HXUWFJFHSA-N 414.458 4.642 5 20 HJBD CC[C@H](SCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1 466975546 PRKMZICBXOTIQF-IBGZPJMESA-N 402.472 4.579 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467161672 IKSLZKZZHMPAFP-UHFFFAOYSA-N 421.881 4.512 5 20 HJBD C[C@@H](O)CC(C)(C)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467758194 NJIQQQACEYBNAC-CYBMUJFWSA-N 422.934 4.926 5 20 HJBD CCOc1cc(C(=O)N2CC[C@@H](c3ccccc3)[C@H](CC)C2)c([N+](=O)[O-])cc1OC 470015804 QAIXCXAWNNHKOH-SJLPKXTDSA-N 412.486 4.658 5 20 HJBD Cc1nc(Sc2nnc(COc3ccccc3)n2CCC(C)C)ccc1[N+](=O)[O-] 471629538 ULVJMNCUMRMMKZ-UHFFFAOYSA-N 413.503 4.666 5 20 HJBD COc1cc(CSCc2ccn(C3CCCC3)n2)c([N+](=O)[O-])cc1OC(F)F 477372769 LFBALCQQTIJMCR-UHFFFAOYSA-N 413.446 4.950 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 477531087 XPITZKQXLKZEBG-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1 478040963 NUYAFOHGYDDAQU-AWEZNQCLSA-N 415.393 4.588 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cn1 485270564 FZFKNHLZLCZRSY-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(Cl)c(C(F)(F)F)c2)c(C)c([N+](=O)[O-])c1 485993728 WTLZGWRGOJUCLO-UHFFFAOYSA-N 416.739 4.614 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 489483797 XXNNWTRMYMPDBW-UHFFFAOYSA-N 420.359 4.643 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(Cl)c1OCC(F)F 493029551 FPFRYBIKANVAIC-UHFFFAOYSA-N 413.808 4.723 5 20 HJBD CCC1(CO)CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 497351145 IJUDEDVULWRZDS-UHFFFAOYSA-N 414.527 4.679 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccnc3ccccc23)cc1 499255360 NKTNJYYNQODVLZ-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD CCCN(C(=O)c1cccc(SC)c1[N+](=O)[O-])[C@@H](C)CC(=O)Nc1ccccc1 500665262 MUSPGDLBEWBECI-HNNXBMFYSA-N 415.515 4.586 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 505936073 BJJSXVFYBYHAPT-NRFANRHFSA-N 414.505 4.821 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2nc(-c3ccc(Br)s3)no2)cc1 512243939 NFDWSCPWELNWKX-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)N1CCCc2c1cccc2[N+](=O)[O-] 513839948 UHUGPQOZZRFSSL-UHFFFAOYSA-N 411.845 4.815 5 20 HJBD CCCN(C(=O)c1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cccc([N+](=O)[O-])c1 514813445 DGLRVYHHRXDVPN-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(CSc2nc3ccccc3[nH]2)cc1 524339048 MKVVQSUQNPPPHK-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD Cc1c(NC(=O)CCN2CCC(c3c[nH]c4ccccc34)CC2)cccc1[N+](=O)[O-] 532900053 HYMMJYPATZVUES-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)c2C)c1 533778026 POAVJGOYPHGPPC-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=C(CCc1cc(Br)ccc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 533930997 GDCVZUITERWMNU-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535703328 YFOJJKIPLLNPSV-UHFFFAOYSA-N 418.247 4.878 5 20 HJBD COc1cc(NC(C)=O)ccc1NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 535843360 SNMUDBPKKDSQND-UHFFFAOYSA-N 420.469 4.942 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1)CC(C)C 538047827 QISNEOIAFSQKNR-UHFFFAOYSA-N 404.555 4.824 5 20 HJBD Cc1ccccc1[C@H](OC[C@@H](O)CN[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 538174486 BNEHYSSIJYBOCS-BFMBIBTESA-N 420.509 4.721 5 20 HJBD CCn1c(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 540033790 NCYHUIMXALSYDV-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 544044187 CJEJRKFUCCUVRL-UHFFFAOYSA-N 418.862 4.591 5 20 HJBD COc1ccc(Cc2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)c(Cl)c1OC 545658539 GTFCQUUQGXNIEK-SNVBAGLBSA-N 421.812 4.530 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C(=O)c2ccc(C)cc2C)CC1 545905543 RKLUYZOMPSLKTA-FQEVSTJZSA-N 422.525 4.902 5 20 HJBD C[C@@H](O)[C@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 546653201 VHZZDAJMKMTHLT-KGLIPLIRSA-N 420.918 4.632 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1-c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 547189755 QUQUCYZCPGWXBR-UHFFFAOYSA-N 424.438 4.759 5 20 HJBD Cc1cccc2c1CC[C@@H]2NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548036199 NQJZQGGOXLCRAF-FQEVSTJZSA-N 404.416 4.685 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@](F)(c2cccc(Cl)c2)C1 549186905 YWYKURIJHVGEGW-ZUOKHONESA-N 416.840 4.930 5 20 HJBD CC(C)c1ccc(O[C@@H](C)CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 554372160 JJUPLVQQEFWQQN-HTAPYJJXSA-N 408.502 4.598 5 20 HJBD C[C@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)Cn1cccn1 554790783 RHAVMBQYLMGENB-OAHLLOKOSA-N 400.866 4.663 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(OC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 556871661 LBBCFXGDSOIBNA-QHCPKHFHSA-N 420.513 4.919 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 558588503 HDBYGWFJIULINA-VGOFRKELSA-N 419.481 4.504 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1F 573165920 ZPWIHCMDNUPHEX-LLVKDONJSA-N 409.255 4.651 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 581628478 BWLXTHLUGDEFDF-CYBMUJFWSA-N 411.437 4.684 5 20 HJBD CCOC(=O)c1sc(-c2ccc(F)cc2)cc1NC(=O)c1ccccc1[N+](=O)[O-] 603465691 HSBRRNBWPXVHLO-UHFFFAOYSA-N 414.414 4.891 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1c(C(=O)c2ccco2)oc2ccccc12 603466335 LIOYGRJZVBHXRA-UHFFFAOYSA-N 422.418 4.896 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])s2)c2ccc3c(c2)OCCO3)s1 603621406 NZJALNGGPXSQTH-UHFFFAOYSA-N 416.480 4.644 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 603943485 JPYDOCFCKVXBAQ-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604248897 HFBZAHOUGMYFLY-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 605639066 YKDRGMARCKGFHR-KRWDZBQOSA-N 418.924 4.898 5 20 HJBD COc1cc2c(cc1CNCc1ccc(-c3ccccc3[N+](=O)[O-])s1)O[C@@H](C)C2 608835849 ZOUQKURCLFAFJY-AWEZNQCLSA-N 410.495 4.945 5 20 HJBD Cc1noc2nc(C3CC3)cc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)c12 609483025 PHLGAJOKKGRYAP-UHFFFAOYSA-N 406.442 4.906 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)c2cccs2)cc1[N+](=O)[O-])c1ccccn1 609726405 ADTHWJDCIQRNGI-CQSZACIVSA-N 410.499 4.890 5 20 HJBD C[C@H](CC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NC(=O)c1ccccc1 609762401 HYBIWPFWTZYVBH-MRXNPFEDSA-N 419.437 4.534 5 20 HJBD CN(C(=O)c1cccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1)c1ccccc1 609784032 GLTPQTKOKMHHRS-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCC(C1CC1)C1CC1)c1ccccc1 610342992 NQFIIQZLCJRVMP-NRFANRHFSA-N 413.905 4.954 5 20 HJBD CCOc1cc(NC(=O)c2oc3ccc(OC)cc3c2C)c([N+](=O)[O-])cc1OCC 619212764 PZUIWHNIPOVEGD-UHFFFAOYSA-N 414.414 4.708 5 20 HJBD O=C(CCN1CCC[C@H]1c1ccccc1Br)Nc1ccccc1[N+](=O)[O-] 619718112 AVJNMRPTTFQXGD-KRWDZBQOSA-N 418.291 4.523 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)Cc3cn4ccsc4n3)c3ccccc3n12 641929361 YWVQRABLTMEBBI-UHFFFAOYSA-N 411.490 4.840 5 20 HJBD C[C@@H](NC(=S)/C(=C(/O)c1cccc([N+](=O)[O-])c1)[n+]1ccccc1)c1cccs1 726582026 ZQGWVHQYUQMQDB-CQSZACIVSA-O 412.516 4.506 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 728835334 NKGWTQMJHZJBOQ-ZDUSSCGKSA-N 403.460 4.803 5 20 HJBD O=C(Nc1cccc(C2SCCS2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 731874983 RWRGUJNMAZIZOZ-UHFFFAOYSA-N 413.480 4.580 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@@H](O)c1ccc(F)cc1 734846156 DZVFEHHQFCYSNV-JLCFBVMHSA-N 414.408 4.877 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3nc(C(F)(F)F)nc4ccccc34)CC2)cc1 742425745 OZBNNUHANIOHIE-UHFFFAOYSA-N 418.375 4.605 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Cc2ccccc2)c1 745389677 QSWUPNCXWVKFOJ-KRWDZBQOSA-N 418.449 4.678 5 20 HJBD O=C(CSCc1cscn1)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 746924717 BZEADHNJAIUDOU-UHFFFAOYSA-N 416.480 4.820 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)n2)cc1 748605148 OWTGKIKVZWAPOA-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)nc(-c2ccc(Cl)cc2)n1 752785295 FCDZGGYWYLHVKC-UHFFFAOYSA-N 404.760 4.544 5 20 HJBD C[C@H](NC(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc([N+](=O)[O-])c1 753101837 KZIWARNBCMNFJY-BONVTDFDSA-N 410.257 4.786 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 754482438 YUIBQCQDIFQAIX-CYBMUJFWSA-N 424.482 4.843 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(-c2ccc(Cl)cc2)no1 755055530 OVNLUVWRLQINHE-MNOVXSKESA-N 419.796 4.845 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)cc1 756964880 HAXUQBCYACGCNU-OAQYLSRUSA-N 424.888 4.735 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 759915622 QLEBSDIHXSNASU-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD Cc1cc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1[N+](=O)[O-] 762297376 HDJLDOCUQAUAGC-NSHDSACASA-N 418.793 4.579 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccco1 766244778 TWTXBZUXEYPXPR-FQEVSTJZSA-N 409.442 4.572 5 20 HJBD Cc1nn(CN2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)c2ncc([N+](=O)[O-])cc12 768905744 ZXRSYNQJVABWLF-GOSISDBHSA-N 419.407 4.851 5 20 HJBD C[C@@H]1CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC[C@H]1NC(=O)OC(C)(C)C 769425980 RXMCACDLIVNVOV-DNVCBOLYSA-N 415.490 4.590 5 20 HJBD COc1cc(C(=O)NOc2ccccc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 773465677 HKSXODKSUKLFRX-UHFFFAOYSA-N 414.801 4.773 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)(F)F)c1 775548863 QWFAEEAYTFFXDC-NWDGAFQWSA-N 422.363 4.955 5 20 HJBD O=C(COc1cccc2ccccc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132721 JSILGVKNMCXTJW-XMMPIXPASA-N 414.417 4.855 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 776135952 UWPFQSWVJWHGOT-SFHVURJKSA-N 402.328 4.955 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138460 LAMAMAZLTBWBNC-GJCOWUBNSA-N 417.446 4.946 5 20 HJBD Cc1cc(C)n(-c2ccc(COC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)n1 781261514 WOEKCDUFLNJOAJ-UHFFFAOYSA-N 423.472 4.841 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796443911 SNXMOWPVWBTUNJ-CVEARBPZSA-N 412.486 4.956 5 20 HJBD O=C(Nc1cccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1)c1ccc(F)cc1 804362051 PPQQOIRJLNCIQA-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCc2[nH]c3ccc(Cl)cc3c2C1 809914964 JNUBRUJQBYFECZ-UHFFFAOYSA-N 405.241 4.973 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@@H](c2c(F)cccc2F)C1 813175158 MHOSZOIMTAFVRN-SECBINFHSA-N 401.196 4.810 5 20 HJBD COc1ccc(-c2nnc(S/C=C/c3ccc([N+](=O)[O-])o3)n2CC(C)C)cc1 825212330 OQKGCMRKOZMQDG-ZHACJKMWSA-N 400.460 4.874 5 20 HJBD CCc1sc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1C 864244213 OOHAEHFEXWBQQR-UHFFFAOYSA-N 414.487 4.628 5 20 HJBD Cn1c(-c2ccc(C(C)(C)C)cc2)nn(CN2Cc3ccc([N+](=O)[O-])cc3C2)c1=S 1116146138 XSQRAZMJGURIRW-UHFFFAOYSA-N 423.542 4.797 5 20 HJBD Cc1cccc(-n2ccnc2SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1C 1322249570 WCVOKNWIBQNISV-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD C[C@@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 7375221 WUCXCMDKYWAVJO-NXEZZACHSA-N 400.237 4.719 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 55155359 JDXWVYNMQZTICZ-INIZCTEOSA-N 418.453 4.595 5 20 HJBD C[C@H](NC(=O)NCc1cccc(COCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 56400190 VAWBNTGNHDDTTJ-SFHVURJKSA-N 419.481 4.872 5 20 HJBD COc1ccccc1C(C)(C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 58694462 XBXCGLCOOVAFRK-UHFFFAOYSA-N 411.483 4.952 5 20 HJBD O=C(N[C@@H](c1ccccc1)C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64917868 LNUATVDZEAGPTG-HNNXBMFYSA-N 407.373 4.752 5 20 HJBD O=C(c1cc(NCCNc2cccc3ccccc23)ccc1[N+](=O)[O-])N1CCCC1 301101964 LBKZFYDZABQSLM-UHFFFAOYSA-N 404.470 4.508 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 303440139 YNMKGAJDLGUUCL-JOCHJYFZSA-N 415.449 4.824 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410097134 CPXQLYMZMIWMMZ-UHFFFAOYSA-N 414.330 4.854 5 20 HJBD O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426086679 VBCNIHMMYIJLKL-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@H]1[C@@H]1CCCCC1=O 426891526 PWVMZZXGEBPNGS-XIRDDKMYSA-N 422.909 4.546 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2csc3nc(-c4ccccc4)cn23)cc1[N+](=O)[O-] 428733507 QEDYFRZIZCKQBV-HNNXBMFYSA-N 420.494 4.699 5 20 HJBD Cc1c(Br)cccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429778852 HMHDPRDSVNUYJD-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1ccc2nc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)sc2c1 436325484 BSVBQZBZPZXYHR-UHFFFAOYSA-N 403.438 4.991 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1 436326841 QOIKGGKPMKAUFS-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@H](Cc2ccccc2)C1 438683249 UZXXHOQIIDEYBX-RTWAWAEBSA-N 410.517 4.682 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1cccc(C)c1)c1ccccn1 439937559 YIFZVYSWPADHQF-NRFANRHFSA-N 406.442 4.608 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 446037009 MPTVTNMOIXVOCZ-UHFFFAOYSA-N 415.808 4.813 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 446834171 IZONPVJTJUVVJG-RDTXWAMCSA-N 409.408 4.904 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 460244520 ZOEFWEBVROMZEY-UHFFFAOYSA-N 410.499 4.983 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCC[C@H](C)C3)cc2C)c([N+](=O)[O-])cc1F 464088505 RHDQCQPFDSLIHO-AWEZNQCLSA-N 415.465 4.535 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1nc2ccccc2cc1[N+](=O)[O-] 473517812 BKXZLLVYDHCCLB-INIZCTEOSA-N 419.485 4.572 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccncc1Br 477207038 XGYWPRICEFZVKL-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cc(F)c(F)cc1Br 477223973 SYPYLUJNOWNXTR-UHFFFAOYSA-N 414.206 4.574 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H](C)C(F)(F)F 477958566 AKJFYTVKYBWMLO-MRVPVSSYSA-N 404.332 4.505 5 20 HJBD Cc1cc2sc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)nc2cc1F 478091077 RGTDJFPLHOKFGK-UHFFFAOYSA-N 417.465 4.851 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(-c3ccc(F)cc3)n2Cc2ccco2)c1 481365963 BEVRABGVTPFLGN-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Cl)ccc1OC(F)F 482553687 JWVLFMJBNRHRKU-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](C)CSc1ccccc1OC 487508645 LJGDHGOANXDIPE-AWEZNQCLSA-N 420.556 4.874 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 493572010 FZLVISGTOMZEPH-INIZCTEOSA-N 418.318 4.549 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)s2)cc1F 498873188 LENHGWHVKKXJCF-UHFFFAOYSA-N 422.441 4.890 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3noc(-c4ccc(C(C)(C)C)c([N+](=O)[O-])c4)n3)cn2)n1 504866897 HBQNIGLPHBWUTN-UHFFFAOYSA-N 418.457 4.807 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C 505592837 VHOFNSVUZKLVGG-FOIQADDNSA-N 401.532 4.518 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 506260496 QUNLXHDUASNMKC-OAHLLOKOSA-N 422.437 4.895 5 20 HJBD Cc1ccccc1C[C@@H](C)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 507428418 YJMJCPGSJYHDTO-QZTJIDSGSA-N 418.497 4.832 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 508270402 HNEAOMHWSHWIKR-LBPRGKRZSA-N 409.895 4.807 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 509332053 QSDDYZGFJMHWNB-KRWDZBQOSA-N 413.371 4.736 5 20 HJBD Cc1c(Br)cc(C(=O)N(Cc2ccco2)c2ccccn2)cc1[N+](=O)[O-] 510604970 GWPMSIWZOXFEBY-UHFFFAOYSA-N 416.231 4.501 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](COc2ccccc2Cl)C1 513890361 LRTLSZMHIQWNBH-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 514164293 HPVDFDCYFQFJQO-QGZVFWFLSA-N 420.469 4.727 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCSc2ccc(F)cc21 520433497 BQLRSKYZGBFJCG-YGRLFVJLSA-N 410.854 4.508 5 20 HJBD CNc1ccc(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)cc1[N+](=O)[O-] 523440711 VDCOTBWKIRTZRZ-IBGZPJMESA-N 403.438 4.557 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1Br 523956025 VSRPGKHUBPVGEC-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)s2)cc1 524768860 HQAGJEWJVQLFRB-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3cc([N+](=O)[O-])cc4c3OCCO4)cc2s1 525722550 PIHSFTDVVJTELF-UHFFFAOYSA-N 413.455 4.526 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 534234612 QVMLLKJWKWISHG-IFXJQAMLSA-N 420.872 4.510 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCC(=O)Nc3c(C)cccc3[N+](=O)[O-])cc2)cs1 537078183 VPJIEDRXGSMEIE-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 537464537 TXVVYRSBOBLQAO-IPELMVKDSA-N 404.532 4.565 5 20 HJBD CC(NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)(c1ccccc1)c1ccccc1 538206473 PJRCYBNZCJUIGO-UHFFFAOYSA-N 409.873 4.740 5 20 HJBD C[C@H](CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)C(=O)c1ccc(Cl)cc1 540805106 VPYYBLAVXUSCCK-CQSZACIVSA-N 400.862 4.648 5 20 HJBD COc1cc(CNCc2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OCC(F)F 541847483 KKEPRFXKBFCZNY-UHFFFAOYSA-N 421.227 4.844 5 20 HJBD Cc1[nH]c2ccccc2c1Cc1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 544424855 KYRPHTCOTJHSCR-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cccc5cnccc45)no3)cs2)c1 545082617 VIBSNNFPNFPWCP-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CCc1nc2cc(CNC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)ccc2n1C1CC1 549225791 FGEWQLZXHUFMBE-HNNXBMFYSA-N 421.501 4.711 5 20 HJBD CCOc1ccccc1-c1cccc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 552263513 QQNDQRLZMYEROS-UHFFFAOYSA-N 404.466 4.975 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 554806232 DCDZGRNYLIKKEN-UHFFFAOYSA-N 421.862 4.712 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1nnc(-c2cccc(Cl)c2)o1 561103763 MQHQJTYGDCWDMO-UHFFFAOYSA-N 405.819 4.544 5 20 HJBD O=C(Cc1ccccc1Br)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 561868839 RJVKPZBRSJEMAA-UHFFFAOYSA-N 403.276 4.876 5 20 HJBD CCc1nc([C@H](C)N=c2c([N+](=O)[O-])c[nH]c3ccc(Br)cc23)cs1 561894012 NLUZFYRPINFQON-VIFPVBQESA-N 407.293 4.519 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 565495550 FVOHCOYYIYQXQL-LJQANCHMSA-N 401.875 4.546 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(OC(F)F)cc4)no3)cc12 569099183 DGQOOUBMZFEXDH-UHFFFAOYSA-N 405.360 5.000 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)c1)C1CCC1 582212866 DYFVBRRYMDTNLT-UHFFFAOYSA-N 404.382 4.797 5 20 HJBD CN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1ccc(Cl)c(C(F)(F)F)c1 584078624 QISINKYJIREHTJ-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 584818991 DAXNCILFEKVDMG-JTQLQIEISA-N 413.293 4.697 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](C)Sc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 585708366 MUABNFPACGQKRJ-LLVKDONJSA-N 424.425 4.736 5 20 HJBD CC(C)c1scnc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 589127664 IMCHCMYAQYYOPA-ZDUSSCGKSA-N 411.487 4.995 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1cc2cc([N+](=O)[O-])ccc2o1 603837931 WGMXQNANAXPUDV-UHFFFAOYSA-N 408.439 4.803 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604124382 CVLOPRYPDQSAJN-ZHRRBRCNSA-N 405.454 4.753 5 20 HJBD Cc1ccccc1COc1cccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c1 609563337 IJWQMJBEIXQUAY-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610665848 QWRXIWJKGZZSED-VXGBXAGGSA-N 412.330 4.553 5 20 HJBD C[C@H](Nc1ncnc2ccc([N+](=O)[O-])cc12)c1cccc(NC(=O)c2ccccc2)c1 611700642 JZUYEUQRSDSZOC-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nccs1 612230235 AAYLIFIULAUPHX-ZDUSSCGKSA-N 405.545 4.962 5 20 HJBD O=C(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)Nc1ccc(F)c(F)c1 726605386 OAJUYYOYOCKBMU-UHFFFAOYSA-N 421.787 4.515 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCC(C)CC3)cc2)cc1SC 728459724 HSLVARWDJPPNNL-UHFFFAOYSA-N 415.515 4.814 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@H](c2ccccc2)c2ccc3c(c2)CCCO3)cc1 730438614 SONHVVCHWAGCEQ-JOCHJYFZSA-N 419.506 4.996 5 20 HJBD COc1cc(Br)c(CNc2nc3ccc([N+](=O)[O-])cc3s2)cc1OC 731209988 HYPZHQXDSRKIBH-UHFFFAOYSA-N 424.276 4.596 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 733281516 VNQBPZUILJFVEF-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H](O)c3c(F)cccc3Cl)s2)cc1 741255287 QDZMJSXHUXWTBJ-KRWDZBQOSA-N 406.866 4.939 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2Cc3ccccc32)cc1)c1cc([N+](=O)[O-])ccc1Cl 741884388 BHUNUPCSIHAKLZ-GOSISDBHSA-N 422.824 4.746 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 741987214 DMXWXUKMVOEXIF-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD C[C@@H]1Oc2ccc(Nc3cc(Cl)c(C(F)(F)F)cc3[N+](=O)[O-])cc2NC1=O 743473936 ZSBGJMXAWZZCFB-ZETCQYMHSA-N 401.728 4.730 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1cc(F)cc([N+](=O)[O-])c1 744997651 FGOVVZJFTPRIMU-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CCN(CC1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1)C(=O)OC(C)(C)C 745973794 JNHQMEMBHAOMQW-UHFFFAOYSA-N 414.506 4.616 5 20 HJBD COc1ccc([C@H]2CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C2)cc1 749891102 ALTLUYNGNYHJIM-LBPRGKRZSA-N 411.336 4.524 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)Cn2cc(Br)c(-c3ccc(Cl)cc3)n2)c1 755299552 XYXJLGPHUUDPMK-INIZCTEOSA-N 422.666 4.608 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3cc(Br)cc([N+](=O)[O-])c3)CCO2)cc1 757520420 SHRGCEBIIDZWLS-ZWKOTPCHSA-N 420.259 4.743 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(Cc3ccc([N+](=O)[O-])c(Cl)c3)C2)cc1 761506058 NOKRVFBUBBEIKN-QGZVFWFLSA-N 416.909 4.590 5 20 HJBD CCN(Cc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1)C(=O)OC(C)(C)C 761942829 HCCIHUAEIVVJHN-GFCCVEGCSA-N 408.480 4.588 5 20 HJBD O=C(CN1CCCC[C@@H]1c1nc2ccccc2o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 761985806 CUTZTEJCQVFFBH-MRXNPFEDSA-N 414.849 4.555 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCCc1ccccc1[N+](=O)[O-] 762707371 WMHHDZAYLAVFOQ-UHFFFAOYSA-N 419.524 4.628 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1cccc(NC(=O)c2ccccc2)c1 764194443 ZIGCPKDKKFFMMM-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCC2CCN(C(=O)OC(C)(C)C)CC2)cc1[N+](=O)[O-] 765809754 GXPOQVNCFSDEKU-MRXNPFEDSA-N 419.522 4.508 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139496 WHNMPYTTXNGHSR-LJQANCHMSA-N 421.434 4.961 5 20 HJBD O=C(Nc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1)c1cccs1 776806738 ZWXUEESWARQQFQ-UHFFFAOYSA-N 422.510 4.990 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1C 778167040 YMODKCOJZVPBQK-ZDUSSCGKSA-N 417.368 4.658 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1ccc([N+](=O)[O-])cc1Br 778280003 KDGTXZCFCHWOOW-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCCCC(=O)c1ccc(F)cc1 778340590 XLWJGTBTZBOMHQ-UHFFFAOYSA-N 406.841 4.789 5 20 HJBD Cc1cc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)nc(-c2ccc(Cl)cc2)n1 784338452 NTLHJFKYJKISJL-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2C)c1F 790248086 GBVXILLSPKXHJV-UHFFFAOYSA-N 423.448 4.588 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OC(F)F)c(C(F)(F)F)c2)c1[N+](=O)[O-] 794112461 UZLDXCTYZZPZQY-UHFFFAOYSA-N 408.254 4.915 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1 800147137 OITCJIHKJSOYEJ-UHFFFAOYSA-N 412.421 4.933 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)cc1F 803643981 RDRSAAOYCLRPAG-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD COc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(OC)cc1Cl 812830584 KGYLOXOWQUABAS-UHFFFAOYSA-N 405.621 4.825 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)C[C@@H](C)O1 812953374 ZEGXWBSWYQADFQ-TXEJJXNPSA-N 424.284 4.768 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(Br)c1 813310408 YWONVSNRQNGSER-UHFFFAOYSA-N 418.291 4.543 5 20 HJBD Cc1noc2nc(C3CC3)cc(C(=O)OCc3cc([N+](=O)[O-])ccc3C(F)(F)F)c12 815183966 JGZAGABKDBDKPH-UHFFFAOYSA-N 421.331 4.693 5 20 HJBD O=C(Nc1cccc(C2OCCO2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 816365293 CCUTUGIQRKRJSH-UHFFFAOYSA-N 414.483 4.927 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)ccc1-c1ccncc1 816836040 CUUANOGWNWZWCY-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3csc(-c4ccc(F)cc4)n3)[n+]2[O-])c1 915987671 IDYSPVRSUOBYAH-HJWRWDBZSA-N 420.425 4.723 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2CCN(c3nc4ccccc4o3)CC2)n1 1116612468 PLMNCVQMTZWWEA-UHFFFAOYSA-N 419.441 4.787 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cs1 1319823018 YQIBHSMDFDZWMG-UHFFFAOYSA-N 404.451 4.711 5 20 HJBD O=C(O[C@@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 1343413594 KRANMKVHTKYVMS-KRWDZBQOSA-N 406.225 4.696 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H]2CCSc3ccc(Cl)cc32)cccc1[N+](=O)[O-] 29579225 SQLYSLRIDBYEQV-LRDDRELGSA-N 405.907 4.710 5 20 HJBD O=C(NCc1ccc2ccccc2c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 49654238 XNGPZLWFZNZWON-UHFFFAOYSA-N 404.344 4.619 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3ccccn3)n2-c2ccccc2)c([N+](=O)[O-])c1 301717894 OGDANJGNZKKCQF-UHFFFAOYSA-N 417.450 4.591 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](Cc2ccccc2)c2ccccc2F)s1 302164804 KRLYZAGMBUMUOW-MRXNPFEDSA-N 420.487 4.595 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 303459633 NRCCRXYKPJIQCL-UHFFFAOYSA-N 409.446 4.550 5 20 HJBD O=C(O)[C@H]1[C@H]2CC[C@@H](C2)[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426780371 RBPLQMZAAFORAX-WZBLMQSHSA-N 416.861 4.629 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(Br)c(Cl)c1Cl 427386219 DVJDRQAWGKXZBA-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CN(Cc1cccc2[nH]ccc12)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 427966582 PRZJTHOXMFVNSE-UHFFFAOYSA-N 417.415 4.713 5 20 HJBD C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cc(Cl)ccc1Cl 428347467 KEIYVGPBEMYGOF-SECBINFHSA-N 406.225 4.646 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc3oc(C(F)(F)F)nc3c2)cc1[N+](=O)[O-] 429066392 WFDWNKLBFLOHGW-SNVBAGLBSA-N 408.336 4.946 5 20 HJBD CC(C)(NC(=O)Nc1ccccc1OC[C@@H]1CCCCO1)c1ccccc1[N+](=O)[O-] 432760496 NSPKNNJYBGTHGU-INIZCTEOSA-N 413.474 4.600 5 20 HJBD CC[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)(C)C 435481057 JHPSWECBTQAMIM-OAHLLOKOSA-N 421.544 4.687 5 20 HJBD CC[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ncc(-c2ccccc2)[nH]1 436147552 NJAOWZWMZCMPIE-FQEVSTJZSA-N 422.488 4.953 5 20 HJBD Cc1c(CC(=O)Nc2ccc(OCc3cccnc3)c(Cl)c2)cccc1[N+](=O)[O-] 438902765 GYGVDDPXLRRZMG-UHFFFAOYSA-N 411.845 4.712 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCO[C@]2(C[C@H]3CC[C@H]2C3)C1 441622501 FAURGJZITOVWPW-HKARXFIJSA-N 423.469 4.810 5 20 HJBD CCOc1cc(-c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)ccc1O 445428161 UQJLZZKTWNWYNT-UHFFFAOYSA-N 410.411 4.540 5 20 HJBD CCCOc1cc(NC(=O)c2noc3c2CCCCC3)c([N+](=O)[O-])cc1OCCC 445559781 DQGZIVKSTHFUBE-UHFFFAOYSA-N 417.462 4.682 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@@H]2C)c1 446253146 OOZUGEZPNWWQMC-KPZWWZAWSA-N 406.891 4.572 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3ccn(-c4ccc(F)cc4)n3)o2)cc1 462733630 JQXANTRKXKXPCP-UHFFFAOYSA-N 406.417 4.512 5 20 HJBD C[C@H](Sc1nc2ccccc2c(=O)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463046694 BMWFJVKOBQQVBK-AWEZNQCLSA-N 407.451 4.799 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463174755 TZZPDNHMTRVAKI-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])cc21 465162935 IJQXILCEJBFWKN-UHFFFAOYSA-N 419.384 4.822 5 20 HJBD CC[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465180478 ATXUXRTYDQSEQU-CABZTGNLSA-N 419.450 4.818 5 20 HJBD CN(c1ccccc1)c1ccc(CNC2CCN(c3nccs3)CC2)cc1[N+](=O)[O-] 466417173 NVPZCJLIBVERDM-UHFFFAOYSA-N 423.542 4.578 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 470123993 GIDNZQBILHGYJY-KRWDZBQOSA-N 411.393 4.543 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 470135463 XQEUTQLHGOGHRF-SECBINFHSA-N 418.372 4.621 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3C)c2)n1 477869593 YEMRFRNECNXTIZ-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD C[C@@H](CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(F)(F)F 478442290 IIAWXYDUKZLCLP-ZBEGNZNMSA-N 415.799 4.716 5 20 HJBD COc1ccc(OCCSc2nnc(-c3cc4ccccc4o3)o2)c([N+](=O)[O-])c1 484739077 TZQHYMSEWMNFTB-UHFFFAOYSA-N 413.411 4.571 5 20 HJBD Cc1ccccc1[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C1CC1 485654393 JBBAXPSOHGYAOC-GOSISDBHSA-N 408.376 4.725 5 20 HJBD O=[N+]([O-])c1cc(CNCc2c[nH]nc2-c2ccc(Cl)cc2)cc(C(F)(F)F)c1 487161777 UXHXWFXYCWTXOT-UHFFFAOYSA-N 410.783 4.947 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCOC[C@@H]3c3ccc(C)o3)c([N+](=O)[O-])c2)cc1 487679042 YBPCCKSVMLROCR-OAQYLSRUSA-N 421.453 4.635 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2[nH]c3ccccc3c2Br)cc1[N+](=O)[O-] 488048575 YWXMCWCDIVSOGR-LLVKDONJSA-N 402.248 4.638 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 488188224 OUUOZDIGFLWSKU-CYBMUJFWSA-N 411.483 4.712 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C2CCCCCC2)[nH]n1 489567330 OKBIUZIECMLQJE-UHFFFAOYSA-N 418.519 4.747 5 20 HJBD COc1cc(CNc2ccccc2OC(F)(F)F)c([N+](=O)[O-])cc1OCC(F)F 490327125 MEYZIYUPFRDZNH-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD CC(C)(C(=O)NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 490807064 RSEOXOKEQZHNRU-HNNXBMFYSA-N 412.383 4.864 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3cccc(F)c3)nc2)c1 501729461 HIEAQIXMKIOTIV-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Cl)cc2OCC(F)(F)F)cc1[N+](=O)[O-] 505300750 YRQIYFFOZDJQCN-UHFFFAOYSA-N 417.771 4.873 5 20 HJBD COc1ccc(F)cc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 509246398 LAILKWOEOMOPQX-UHFFFAOYSA-N 419.459 4.911 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](c2cccc(OC(F)(F)F)c2)C2CC2)c2c(c1)COCO2 511568482 UWMRJJMEKQSCKI-GOSISDBHSA-N 424.375 4.601 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 513571672 GJMKGZQRRVMHBA-GOSISDBHSA-N 407.495 4.874 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])sc1Cc1ccc(F)cc1 517102212 YECIUZJNCYNUQJ-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](c2ccccc2Cl)OC[C@H]1C 518365709 MCPFSQDSOBWXID-BIENJYKASA-N 420.918 4.717 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 525668651 ZLJSZEWFFPQWES-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535506600 FANMZAGWPFJNMC-UHFFFAOYSA-N 408.458 4.556 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535670827 MXWQQGMOJYTTOU-IBGZPJMESA-N 415.453 4.759 5 20 HJBD CC(C)[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc2c(c1)OCCO2 537042106 VTVQNUXBYFWYEE-QHCPKHFHSA-N 423.469 4.550 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)CC(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 538020497 GMLKFDOQSPHQBH-UONOGXRCSA-N 409.408 4.990 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 539445707 DBQWGTONWAFLRO-GDBMZVCRSA-N 422.489 4.891 5 20 HJBD COc1ccc(NC(=O)N[C@@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 541101505 QDOGQVWQJKAEEJ-IBGZPJMESA-N 412.833 4.563 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NC[C@@H]1CCCCS1)c1ccccc1 541280680 HUWUIGJDCLBDHV-QFBILLFUSA-N 419.934 4.803 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@@H](c4ccc(F)cc4)C3)cs2)c1 541764361 FTDGMTBFJFMOEQ-YLJYHZDGSA-N 413.474 4.867 5 20 HJBD CCc1ccc([C@H](NCc2ccc(OCC3CC3)nc2)c2ccc([N+](=O)[O-])cc2)o1 543401409 MSYVORCAIWAWKW-HSZRJFAPSA-N 407.470 4.813 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)oc12 543518611 XPKONWYVJRFCJG-CYBMUJFWSA-N 408.414 4.641 5 20 HJBD Cc1cccc(COc2cccc(-c3nc(Cc4ccc([N+](=O)[O-])cn4)no3)c2)c1 545078794 PNDOCLGRKUSREQ-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1cc(Cc2noc(-c3sc(NC(=O)c4ccco4)cc3C)n2)ccc1[N+](=O)[O-] 545142523 MTVYECJSDVMPRF-UHFFFAOYSA-N 424.438 4.759 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CCCc3nc(-c4ccc(Cl)cc4)no3)n2)cc1 546258774 BSZFNMBFJHKAPY-UHFFFAOYSA-N 411.805 4.524 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3cc(Br)ccc3F)no2)cc1 546280307 UWNKEOFPFCULCN-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD C[C@H](Nc1ccc(-c2nc([C@H]3Cc4ccccc43)no2)cc1[N+](=O)[O-])c1ccccn1 546291509 ZAALNSJOSIPBAI-KSSFIOAISA-N 413.437 4.901 5 20 HJBD COc1cc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)ccc1OC(C)C 547266926 FVNMWADAFWXIQS-UHFFFAOYSA-N 408.414 4.513 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(-c2nc3ccccc3s2)o1 549144291 URLIMMSIFJTDGM-UHFFFAOYSA-N 407.451 4.779 5 20 HJBD CC(C)OCc1cc(NC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)ccc1O 554925682 FFAJMBPLNBKTEE-UHFFFAOYSA-N 413.352 4.888 5 20 HJBD CC(=O)c1cc(NCc2ccc(Cn3cnc4ccccc43)cc2)ccc1[N+](=O)[O-] 557545326 CSCNEUUKVWJKAP-UHFFFAOYSA-N 400.438 4.808 5 20 HJBD C[C@@H](N(C)C(=O)c1ccc(C(=O)N(C)[C@H](C)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 559939621 NOTFAYWVMBVHJX-HUUCEWRRSA-N 405.539 4.608 5 20 HJBD Cc1cccn2c(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)c(-c3ccccc3)nc12 562418987 NPQHIDDRQOVDNV-INIZCTEOSA-N 400.438 4.960 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@H]1c1ccccc1 567099284 WSLZIMIAGNZJMX-UZLBHIALSA-N 400.500 4.603 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2nnc([C@H]3CCCO3)o2)cc1C(F)(F)F 568909298 ZDTOHCAXIICSNN-LSDHHAIUSA-N 412.368 4.580 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)c1 569049079 WHMSIXNUNOIGLM-MRXNPFEDSA-N 409.389 4.831 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3ccc(-c4cccc5cccnc45)cc3)n2)n1C 572800855 RVUWXZKBRQHEHI-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](c1ccccc1)c1ccc(F)cc1 583517883 RMEFBZPUPQUMAF-QHCPKHFHSA-N 407.445 4.750 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(F)(c2cccc(OC)c2)CC1 584440428 SITBLVOTYYNQNZ-UHFFFAOYSA-N 401.438 4.659 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1ccc([N+](=O)[O-])c(O)c1 602345848 CZWCGYXMKAOCBX-UHFFFAOYSA-N 423.372 4.619 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 603915098 OYZYBKMKPDLMMZ-MRXNPFEDSA-N 413.232 4.783 5 20 HJBD CCOc1ccc(C(=O)N(Cc2ccco2)c2ccc(F)cc2F)cc1[N+](=O)[O-] 603997112 UFQMIEYDPQARHE-UHFFFAOYSA-N 402.353 4.712 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)Cc1ccco1 604445654 AEARXCIGHXNURR-HNNXBMFYSA-N 414.845 4.838 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1[N+](=O)[O-] 609107832 XCHFKAZIGKUXAQ-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD COc1ccc(-c2nc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)cs2)c(OC)c1 609151136 ZZGKFMLPSMFWIL-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD CN(C)[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1Cl 609598513 ZFEONPRSNOMISI-IBGZPJMESA-N 416.934 4.764 5 20 HJBD CCOC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CC1 611201669 XACHOPPBIDLJKJ-UHFFFAOYSA-N 417.893 4.501 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccccc1)c1cc2ccccc2o1 619230499 CQNPNBGJFJPDSD-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD O=[N+]([O-])c1nn(CNC2(c3ccc(Cl)cc3)CCCCC2)cc1Br 726590876 WPHOZZVILLGNFO-UHFFFAOYSA-N 413.703 4.614 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 730614878 UYHADBYSGZOUKG-LEWSCRJBSA-N 423.252 4.576 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2ccccc2c1 745074629 TWGAKSKWNQJZOJ-HNNXBMFYSA-N 406.438 4.596 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cccc(Cl)c1[N+](=O)[O-] 749908959 NHHYMZZJVWCKNJ-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)Oc1cccc([N+](=O)[O-])c1 751041099 TWDPNWAVVSMTGU-UHFFFAOYSA-N 408.357 4.885 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1[N+](=O)[O-] 753452748 KSOUXIMABVJIQH-UHFFFAOYSA-N 424.866 4.951 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 756730021 XMTGQTARZMQILH-GFCCVEGCSA-N 406.464 4.533 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764216884 HIROPNIPEWIZPW-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD O=C(C1CCN(Cc2c3ccccc3c(Cl)c3ccccc23)CC1)N1CCOCC1 768194213 SFHYACLTIZOEFS-UHFFFAOYSA-N 422.956 4.717 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)CC2 772790111 XTCUFVCFSGXNBD-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD COCCc1nc(C)c([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)s1 775277753 CGZFSWSVQYHDMW-CYBMUJFWSA-N 424.544 4.967 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1coc(-c2ccccc2)n1 776140052 YZJOQJBOVBCRRA-FQEVSTJZSA-N 401.378 4.591 5 20 HJBD C[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cc(Cl)ccc1Cl 777861174 AAUFGHWUSBUYBU-SNVBAGLBSA-N 412.229 4.620 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C)C(=O)OC)cc1 779867401 UXAOUTKTXRVKJD-UHFFFAOYSA-N 403.460 4.552 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1cc([N+](=O)[O-])ccc1Cl 785414182 SLKNAKSDWLDWAX-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD C[C@@]12CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C[C@H]1C2(Cl)Cl 804707347 XXPRMPCEBXIXKQ-MWLCHTKSSA-N 420.165 4.664 5 20 HJBD CN(Cc1nc2ccc(Br)cc2o1)Cc1cc([N+](=O)[O-])ccc1Cl 812829660 WTLHVFAKLOWQAQ-UHFFFAOYSA-N 410.655 4.784 5 20 HJBD O=C(Nc1ccnn1-c1cccc(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812973552 BDPZWYKJVRUTRC-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813026180 OVQLDXSYRNYDJI-UHFFFAOYSA-N 424.284 4.619 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1ccc(N2CCCCC2)s1 912757402 FQELZMGZJWLOEY-PTNGSMBKSA-N 417.874 4.645 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(C(F)(F)F)cc1 919215179 XWMYRQMBRJBCFL-SNVBAGLBSA-N 422.315 4.544 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1Br)N1Cc2ccc([N+](=O)[O-])cc2C1 1116315993 CKABPMNTVWRDRN-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD CSc1cccc(N2C[C@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)CC2=O)c1 1320329549 XFKKBPCVPPSFRZ-CQSZACIVSA-N 424.482 4.504 5 20 HJBD O=C(Cc1csc2ccc(Br)cc12)N1Cc2ccc([N+](=O)[O-])cc2C1 1321003957 PTKJXSCFDMTXJY-UHFFFAOYSA-N 417.284 4.657 5 20 HJBD Cc1cc(C)c(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c(C)c1 7168644 RWNIPUFRERHUPM-UHFFFAOYSA-N 417.534 4.743 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(C3SCCS3)c2)cc([N+](=O)[O-])c1 15037640 ORHZGTAKKUREDG-UHFFFAOYSA-N 418.496 4.502 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc4c3CCCC4)cc2)c(C)c1[N+](=O)[O-] 19679449 XIJIMGPYKTYVHM-UHFFFAOYSA-N 404.470 4.588 5 20 HJBD CCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](C)c1cccc(OC)c1 50311936 MJKACKIRJLGRGL-CQSZACIVSA-N 411.483 4.950 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64866832 LBADJCPGLLEFMG-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 72018546 WKHUALAWYSTPOA-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cccc([N+](=O)[O-])c3C)s2)cc1OC 137966550 KXNIJSXBHOPCQX-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccc(CN2CCCCCC2)cc1 301391056 ARPXYZUWKZSGKZ-UHFFFAOYSA-N 424.501 4.540 5 20 HJBD C[C@@H](CCNc1cc2ncnc(O)c2cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 301451199 UCHDUSREYPRZNJ-NSHDSACASA-N 406.364 4.868 5 20 HJBD Cc1cc(NCc2ccc([C@H](C)Nc3cc(C)c([N+](=O)[O-])cn3)cc2)ncc1[N+](=O)[O-] 302193795 WLVFMUYESWRFMH-HNNXBMFYSA-N 422.445 4.695 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc([N+](=O)[O-])cc2Cl)nnc1C1CCNCC1 302239392 AQDIEWVGPAPMSR-UHFFFAOYSA-N 416.334 4.692 5 20 HJBD O=C(Nc1ccc(CNc2c([N+](=O)[O-])ccc3c2CCN3)cc1)c1ccc(F)cc1 302869976 BFWHOTPCWKQLTN-UHFFFAOYSA-N 406.417 4.566 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1c(Cl)cccc1Cl 431249052 SECQWGITGBTHQM-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CC(C)c1ccc(CNc2ccc(C(=O)N3C[C@H](C)O[C@@H](C)C3)cc2)cc1[N+](=O)[O-] 437236135 NIHQTLYFOYVLIO-IRXDYDNUSA-N 411.502 4.580 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 437404398 JLWQWPSARYDKGZ-SAZUREKKSA-N 417.387 4.700 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(CCC(F)(F)F)c2)c([N+](=O)[O-])cc1OC 440697943 NKMZQQRHKCDYLR-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2ccccc2Oc2ccc(C)cc2)c([N+](=O)[O-])c1 440849425 XYILXUBDWHZNQX-UHFFFAOYSA-N 420.421 4.916 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC(F)(F)[C@@H](CO)C3)cc2[N+](=O)[O-])cc1 462862655 XAUWIMCYKZFXRM-MRXNPFEDSA-N 408.470 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2C(F)(F)F)on1 463123724 DDDCFHCKBRJZLM-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 464047959 YYQURIMRSAYLBV-FCHUYYIVSA-N 421.541 4.914 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 464722175 BPZBSOHDEDKUGB-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 473429524 XEWBQHNETPEFKY-UHFFFAOYSA-N 409.442 4.603 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1cc(F)c(F)c(F)c1 474851352 IWKXJWOXVOUGQU-UHFFFAOYSA-N 401.344 4.730 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCc2cc(Br)ccc2C1 479572757 HJHHWRRBWWEPJC-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1cc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)cc([N+](=O)[O-])c1C 479941239 QFQMNDCAOREAAV-UHFFFAOYSA-N 419.437 4.725 5 20 HJBD Cc1c(CNCc2cccc(Br)c2OC(F)F)cccc1[N+](=O)[O-] 480478608 FKSPHHBGWDSYBB-UHFFFAOYSA-N 401.207 4.557 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)cn1 481304204 DJBBVOCCWZZPRW-OAHLLOKOSA-N 409.442 4.650 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2)C1 481882134 WJYWGRHXKGDUIO-LJQANCHMSA-N 400.500 4.557 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OC(C)C)cc2)cc1SC 482608617 UOIXEPJKDRMWGD-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccn(C(C)C)n3)cc2[N+](=O)[O-])n1 482745876 JVAIBJVRXYQFJA-UHFFFAOYSA-N 403.489 4.541 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCc2cc(Br)ccc2C1 484683434 QRXSTSPBEOIAAF-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD COc1cc(C(=O)Nc2ccc(C(=O)C(C)(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 487934654 OXFNKUFJEWQPKT-UHFFFAOYSA-N 422.384 4.686 5 20 HJBD CCCN(C(=O)c1cccc(SC)c1[N+](=O)[O-])[C@@H](C)C(=O)Nc1ccccc1C 489974961 ASDYTDWUZXUBPL-HNNXBMFYSA-N 415.515 4.505 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)C1CC1)Nc1ccccc1-c1ccccc1 490027812 RTSYCYAUGWRMPA-UHFFFAOYSA-N 401.466 4.865 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1 498235206 ACEFUJIWBJRFRU-QGZVFWFLSA-N 406.385 4.572 5 20 HJBD CN(C)Cc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1Cl 500782787 WPUFTRFOJHNWGO-UHFFFAOYSA-N 405.885 4.649 5 20 HJBD CCOc1cc(C(=O)N2CC=C(c3cccc4ccccc34)C2)c([N+](=O)[O-])cc1OC 501582489 ISUWHOXRUKIIBY-UHFFFAOYSA-N 418.449 4.695 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cc(OC)c(OC)cc1C 503067720 SZXVOTGREAWJMK-AWEZNQCLSA-N 418.515 4.914 5 20 HJBD CC1(C)CN(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)[C@H]1c1cccs1 503563154 QVCXMPPXTFIZQT-HNNXBMFYSA-N 413.421 4.968 5 20 HJBD C[C@@H](Nc1ccc(Oc2ccccn2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 506232827 MRSUHPODTTZYLE-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD COc1cc(CNc2ccc3c(c2)COC3)ccc1OCc1ccc([N+](=O)[O-])cc1 507785667 UHQMWHZXCUGLLO-UHFFFAOYSA-N 406.438 4.825 5 20 HJBD Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 508221964 JDRZHARJBVGITN-IERDGZPVSA-N 416.481 4.889 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCN3CCCC[C@H]23)ccc1Oc1ccccc1Cl 509615118 CRNZNLDQUORGGJ-ZWKOTPCHSA-N 401.894 4.757 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1C(=O)N1CCCCC1 510035762 RPDLEJRGVNVZBI-UHFFFAOYSA-N 424.501 4.663 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Br)c3cccnc23)c1[N+](=O)[O-] 511904258 VFEFUUHCLZXTED-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD CN(Cc1cscn1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 512066159 CVCLOYOKZWZACZ-UHFFFAOYSA-N 408.277 4.706 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(C[S@@](C)=O)cc2)cc1[N+](=O)[O-] 513100598 LBZYZIQKNXFURI-SSEXGKCCSA-N 423.538 4.531 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cc2ccccc2o1)c1cc2c(cc1[N+](=O)[O-])OCO2 514874576 YAVZKHGXYLVCAJ-JOCHJYFZSA-N 416.389 4.589 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 515904454 GJBLLDRUSJWTCL-ZBFHGGJFSA-N 410.499 4.855 5 20 HJBD Cc1ccc(Cl)cc1N1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 518523156 ZRKNPDGKHRQZON-UHFFFAOYSA-N 409.273 4.564 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 521086982 GYUSMCIJDDHYJP-KGLIPLIRSA-N 422.359 4.517 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)CCn3cc([N+](=O)[O-])cn3)cc2)c1 524583752 PJZHDDSYQHZHIM-UHFFFAOYSA-N 422.485 4.831 5 20 HJBD CN(C)CCn1ccc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc21 524815083 UDOMAYOQVCONIU-UHFFFAOYSA-N 408.483 4.578 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 525562901 LFIHUWJKHDNRCQ-LBPRGKRZSA-N 416.528 4.879 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@@H](C2CCOCC2)C1 533688063 IZGQGHBJLMFKNF-GOSISDBHSA-N 412.511 4.635 5 20 HJBD O=C(CCc1ccc2[nH]ccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 539520204 YTEHAAPOSMAHCS-UHFFFAOYSA-N 405.376 4.600 5 20 HJBD CC(C)NC(=O)Nc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 543264532 MLCDAOLQHPYKOU-UHFFFAOYSA-N 422.894 4.509 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(Cc3nc4ccccc4n3C(F)F)no2)c1 545621120 FRXHDLKAIPMSLJ-UHFFFAOYSA-N 405.748 4.634 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cc([N+](=O)[O-])c1 546275995 AEUYBCRFASNDPB-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 552213711 BXMHVXSMAWQDKA-MRXNPFEDSA-N 405.454 4.937 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](c1ccc(Cl)cc1)C(C)C 561576429 ZFCPFKFYEMVUQF-FQEVSTJZSA-N 405.882 4.572 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Sc1nnc(-c2ccco2)n1C1CCCCC1 561671639 FNLWFUCAHKUJDQ-UHFFFAOYSA-N 402.480 4.628 5 20 HJBD C[C@@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(Oc2cccnc2)cc1 561784173 HSCYIGLHSJPWGV-OAHLLOKOSA-N 417.425 4.683 5 20 HJBD CCC[C@]1(CO)CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 579647499 NULDQORAVHSARG-IBGZPJMESA-N 423.307 4.602 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(OC(F)F)cc3)no2)c1 583428924 OKWXGRFAKURJFA-UHFFFAOYSA-N 419.340 4.502 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc([N+](=O)[O-])cc1Cl 602231445 NRJCJIKPKCEAMV-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)N1CCN(c2ccccc2[N+](=O)[O-])CC1 603657763 JPOJVZNPRRZFOF-UHFFFAOYSA-N 420.444 4.755 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737785 RXADOBXEUUXQOB-SNVBAGLBSA-N 418.318 4.647 5 20 HJBD COc1cc2c(cc1CNCc1ccc(-c3ccccc3[N+](=O)[O-])s1)O[C@H](C)C2 608835850 ZOUQKURCLFAFJY-CQSZACIVSA-N 410.495 4.945 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@@H](CO)Cc2ccco2)ccc1Oc1ccccc1Cl 610871131 IGLSAAASHGITHJ-INIZCTEOSA-N 416.861 4.574 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(C)nc2N2CCCC2)c2ccc([N+](=O)[O-])cc2)o1 612416766 GHERYFRHUGHMSO-QHCPKHFHSA-N 420.513 4.933 5 20 HJBD CCN(C[C@H]1CCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 613449133 OTEPUIXPQBUEDC-CQSZACIVSA-N 420.918 4.898 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727710170 GRUQIDVRXFWCCW-UHFFFAOYSA-N 419.771 4.753 5 20 HJBD CCc1noc([C@@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 744502046 MGGYISYRGRWEFU-LLVKDONJSA-N 417.805 4.904 5 20 HJBD C[C@H]1CCC[C@H](OCc2cccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c2)C1 745776235 UYTFEDPGPHOJRC-YJBOKZPZSA-N 416.449 4.847 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CSc1n[nH]c(COc2ccc(Cl)cc2)n1 746389783 WUZKQNTXGAIYHS-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD Cc1c(C(=O)Nc2ccc(OC(F)F)c(Cl)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748605157 PHMYUVBYHHMQIH-UHFFFAOYSA-N 415.736 4.627 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1occc1CSc1ccccc1 751642643 WKQHLUIGXVCGPV-UHFFFAOYSA-N 410.407 4.978 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 752114210 SCVDYHBVOFLUCI-GHTZIAJQSA-N 407.470 4.585 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 754958901 VTGOFSJHLNAAJQ-QFIPXVFZSA-N 422.506 4.992 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 759884243 RZPYVSUEBGILFW-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Cl)c2cccnc12 760496250 CHNUPSPHEBSSDM-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cccc(Cl)c1[N+](=O)[O-] 760833363 XMEIXEKCBIIVHA-QGZVFWFLSA-N 402.237 4.816 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCC(F)(F)C2)c([N+](=O)[O-])c1N[C@H]1CCC(F)(F)C1 771174857 NOQSYMOIHOVJGH-UWVGGRQHSA-N 406.336 4.702 5 20 HJBD Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)nc1 772291209 DTGYDOQAWZBOPG-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD C[C@H](Nc1ccc2c(c1)N(C)C(=O)CO2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 777089362 AYNKCVGWCFYFEF-ZDUSSCGKSA-N 409.467 4.852 5 20 HJBD Cc1cnc(COC(=O)c2cc(-c3cccnc3)nc3ccccc23)c(C)c1[N+](=O)[O-] 789442020 XGKJCKWIUXCWIA-UHFFFAOYSA-N 414.421 4.574 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccco3)n2Cc2ccco2)cc1F 789943560 APNBNYHHTGQMAC-UHFFFAOYSA-N 400.391 4.519 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c1 790277763 YPUSUSFBMKFPAH-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 796446305 VFTYPSZIBCUMHR-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cccc(C2CCC2)c1 798355674 CJHFYOKMHAHSJO-UHFFFAOYSA-N 420.469 4.963 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2cccc(Cl)c2[N+](=O)[O-])cs1 814773085 CDYVWINIEMZQDF-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD CCC[C@@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 821452106 NIXLGDSTDHKGLM-OAHLLOKOSA-N 424.375 4.679 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)Nc1cc([N+](=O)[O-])c(F)cc1F 897541595 SQNZHIBEXBMENQ-UHFFFAOYSA-N 409.801 4.831 5 20 HJBD Cc1cc([C@H]2CCCN2c2c([N+](=O)[O-])cnc3ccc(Br)cc23)no1 914572060 NFYYKJSWALZLCB-OAHLLOKOSA-N 403.236 4.543 5 20 HJBD Cc1cccc(-n2nc(C(C)(C)C)cc2NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1252221774 FDZBDMGXQPKFJB-UHFFFAOYSA-N 419.485 4.934 5 20 HJBD Cc1ccc(Cn2ncc3c(C(=O)Nc4cccc([N+](=O)[O-])c4C)cc(C)nc32)cc1 1317809181 NEXMCBJEKCNUJS-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccn(-c4ccc(Cl)c(Cl)c4)n3)o2)c1 1341252158 CQEYEZJTTVUZIU-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD C[C@@H](Sc1nc(CCC2CCCC2)n[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12104938 FVPKWDSNVFTRDZ-GFCCVEGCSA-N 414.491 4.739 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C(=O)Nc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 12464395 VWAITICOVHELNN-HXUWFJFHSA-N 422.462 4.854 5 20 HJBD O=C(c1sc2cc(F)ccc2c1Cl)N1CCN(c2cccc([N+](=O)[O-])c2)CC1 48305861 VAHLFNFHSBANSN-UHFFFAOYSA-N 419.865 4.564 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cc(Br)ccc1F 127458733 BNFJHODTDZFOEY-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1 303795121 VQZBDMWFQLOQBS-NSHDSACASA-N 410.348 4.533 5 20 HJBD COc1cc(OCC(=O)Nc2c(F)cccc2Oc2ccccc2)ccc1[N+](=O)[O-] 410034920 SFXWGRHWXOPSNT-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431401991 IPVCMMHXPLJLQO-UHFFFAOYSA-N 421.881 4.720 5 20 HJBD CC1(C)C[C@H](NCc2cccc(C(=O)NCc3ccco3)c2)c2cc([N+](=O)[O-])ccc21 433144949 OJMAXOHLPBAPBQ-QFIPXVFZSA-N 419.481 4.630 5 20 HJBD COc1cccc(C(=O)Nc2cc(C3CCCC3)nn2-c2ccccc2)c1[N+](=O)[O-] 435634368 BJRLLWVUYMNGRQ-UHFFFAOYSA-N 406.442 4.699 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1nc(C)c(-c2ccc(Cl)cc2)o1 446492878 COOODFBJVPBFSX-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD Cc1c(C(F)(F)F)nn(C)c1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 461201974 YTEQXMLMSOZMRM-UHFFFAOYSA-N 416.425 4.656 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 462347482 QSFYCLPYZLLKNT-QFIPXVFZSA-N 419.481 4.729 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(=O)NC(C)C)ccc1Cl 462435576 GYHRRLVVBBQJNX-OAHLLOKOSA-N 417.893 4.594 5 20 HJBD O=[N+]([O-])c1ccc(NCCc2nc(-c3ccc(Cl)cc3)no2)cc1OC(F)F 462842911 SSINDJPKVVYPAO-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD COc1cc(CSc2nnc(Cc3cccs3)n2C2CC2)c([N+](=O)[O-])cc1F 463387477 DTMCMUIMCPBLTE-UHFFFAOYSA-N 420.491 4.614 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)nc1 464424318 FMMKTENXOCWUJE-UHFFFAOYSA-N 409.467 4.735 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccco2)nc2c1cnn2C(C)C 464725400 XKHCYMPZPQMQQC-UHFFFAOYSA-N 419.441 4.995 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@H](c2ccc(F)cc2)C1 471505140 AGQWGBNTXDVXAH-DOTOQJQBSA-N 418.490 4.539 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CC[C@@]2(C1)OCc1ccccc12 472024942 KGAYUVFRBQENBF-DEOSSOPVSA-N 416.433 4.659 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(Oc2ccccc2Cl)CC1 475549825 PEOLCQPYPPJSMG-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD COc1cc(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc([N+](=O)[O-])c1C 480082143 PJCUPEMAELBDJI-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD CCOCCOCc1cccc(CNc2ccc([N+](=O)[O-])cc2Br)c1 484931406 FAYRGPXJPJZIBW-UHFFFAOYSA-N 409.280 4.523 5 20 HJBD COc1cc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1OC 487418685 JGELZZKFIUVWQQ-UHFFFAOYSA-N 408.451 4.752 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N[C@H](C)CC)cc1C 488373890 FAUGXYARUADAJL-CQSZACIVSA-N 415.515 4.796 5 20 HJBD COCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCC1 490559715 AZBPQYGHNZIYMZ-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccccc2OC[C@H]2CCCCO2)cc1[N+](=O)[O-] 493238762 QGUFHCKRBUIIKL-SJLPKXTDSA-N 413.474 4.734 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 505741002 ZEHXPXQHJJNHGW-UHFFFAOYSA-N 404.426 4.526 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506343298 VLIRSZZKECIESO-UHFFFAOYSA-N 420.391 4.526 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)c1C 507618419 CIDNYKCYJQKUPM-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 513111508 GUFYMLJCBUUETN-HSZRJFAPSA-N 417.465 4.803 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 513696815 DZDWTXYQURVYDI-VGSWGCGISA-N 408.502 4.693 5 20 HJBD Cn1ccnc1[C@@H](NCCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1 513756225 XDKDXDVMSOEVKD-SFHVURJKSA-N 405.285 4.557 5 20 HJBD COc1ccc2nc(C3CC3)cc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])c2c1 513833511 DFNOKIDIDBEBRD-UHFFFAOYSA-N 403.438 4.622 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(OCC(C)C)c2)cc1[N+](=O)[O-] 515681934 PCTFQERARVTJPF-UHFFFAOYSA-N 404.488 4.510 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])sc1Cc1cccc(F)c1 516009305 WDBUCLWKRVZCHG-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 516715649 ZXFYYCGDHZTZTP-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCC1(c2cccc(C(F)(F)F)c2)CCCC1 517874896 OKWZPXCOPCTELT-UHFFFAOYSA-N 406.404 4.784 5 20 HJBD COCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 520973126 JWPJKQWQUJPOTB-GFCCVEGCSA-N 414.355 4.603 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 521772468 IDXUJHYFKVLYSZ-LLVKDONJSA-N 403.287 4.592 5 20 HJBD CCCN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)[C@H](C)C(=O)Nc1ccccc1 522874587 IOHHLOSMJDTUFL-MRXNPFEDSA-N 411.502 4.772 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 531500834 JDCZFBLINCVGKJ-UHFFFAOYSA-N 403.438 4.727 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@@H](c2nc3ccccc3s2)C1 533334758 LANZEADSFIARHO-MRXNPFEDSA-N 424.526 4.721 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535513094 DVMUYWNYKJQBID-INIZCTEOSA-N 406.486 4.945 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)nc1 535525378 SNPYPFKVGUPRNR-UHFFFAOYSA-N 424.844 4.710 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OC(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 538161960 IFJVAKUUNGUSHF-ZDUSSCGKSA-N 410.417 4.843 5 20 HJBD CC[C@@H](NCCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccccc1OC(F)F 540354307 KNLUAZYYVPFAFH-MRXNPFEDSA-N 407.417 4.574 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])s1 540782900 WQLZNTWYCWZMJV-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1nscc1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 541253774 XTVFGDSVQUXOIR-UHFFFAOYSA-N 402.501 4.786 5 20 HJBD CCC[C@@H](N[C@H](CCO)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 543777762 YZBPRFMAYMOELY-RTBURBONSA-N 407.308 4.912 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4c[nH]c5ccc(Cl)cc45)n3)c2c1 545076141 YNJFHIAVGLQAFN-UHFFFAOYSA-N 407.817 4.775 5 20 HJBD C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 545417152 ASYFKDFQSUHSSI-CQSZACIVSA-N 400.862 4.648 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)SCC(=O)Nc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 546277327 ZEUFSEDNTYBDSJ-GFCCVEGCSA-N 416.434 4.525 5 20 HJBD Cc1cc(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 553721189 AMTYLNDJJRVJAB-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@H](c2nc3ccccc3s2)C1 553935195 VLQGTOKMHAPAIG-INIZCTEOSA-N 424.526 4.721 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H]2[C@@H](CCCN2Cc2ccccc2)C1 558510753 FWGLCFLBDKEWLQ-NZQKXSOJSA-N 422.529 4.676 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1cccc([N+](=O)[O-])c1 566886158 ZETQZKRHKWTCDH-HNNXBMFYSA-N 402.497 4.608 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Sc1nnc(-c2ccccc2)n1-c1ccccc1 569882526 UMTPUCVMNZDETK-UHFFFAOYSA-N 406.471 4.519 5 20 HJBD COC1(C)CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 570351345 AVNXPETVAMEOOW-UHFFFAOYSA-N 400.500 4.696 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 577797492 BWZYPZHODRDRNP-LJQANCHMSA-N 418.515 4.565 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc2cccc(Br)c12 582568008 YDWDVWIMUNMZNY-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 584145000 ZOCVXODLWDEPHS-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1 608947959 LCHNIXFNEKXLMQ-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Sc3n[nH]c(C(C)(C)C)n3)c([N+](=O)[O-])c2)cc1 608972409 HVPHAFPSROHEJP-UHFFFAOYSA-N 411.487 4.722 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(Cl)c2SCC(F)F)cc1[N+](=O)[O-] 609912787 OZHMPVLOABBAHC-UHFFFAOYSA-N 401.822 4.899 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1)c1ccc([N+](=O)[O-])cc1F 613015473 XGPMKVIUOHYCSK-CQSZACIVSA-N 411.433 4.559 5 20 HJBD Cc1ccc(NC(=O)c2cccc(OC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)cc1 727328702 USSOJGBXPMCRHX-UHFFFAOYSA-N 422.462 4.853 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1csc(-c2ccccc2F)n1 728314255 OPBPDEFKPSFGOH-UHFFFAOYSA-N 404.444 4.693 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Oc1ccc(Oc2ccccn2)cc1 734996785 JCDNHMXXGCUBMI-UHFFFAOYSA-N 414.801 4.810 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@@H](c3ccccc3)C(C)C)CC2)ccc1[N+](=O)[O-] 744175214 POCHWQQAQIBQBX-JOCHJYFZSA-N 412.486 4.555 5 20 HJBD C[C@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Br)s1 745644058 VRRDTTHJNAKSLC-QMMMGPOBSA-N 404.669 4.919 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2ccc(Oc3ccccn3)cc2)cc1[N+](=O)[O-] 752232993 IIPIMONSDFUEKB-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD CCc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1-c1ccccc1Cl 752924820 JNUKIJKQZNCMDA-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CCOc1cc(CNc2cccc3c2CCN3C(=O)OC(C)(C)C)ccc1[N+](=O)[O-] 754888468 TZZOMHKYVDDEIU-UHFFFAOYSA-N 413.474 4.903 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(CC[C@H](O)c2ccccc2)c1 754946538 BBBYPMSHQDXSMQ-QFIPXVFZSA-N 422.506 4.992 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1 757057301 DFPFLUBMUQHBGV-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1c(Cc2nc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)no2)cccc1[N+](=O)[O-] 762287783 HEPWSRDIICGUNW-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CCO2)cc1 763015589 HERZOSFZOPKHAC-YLJYHZDGSA-N 401.434 4.783 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(C(=O)N2CCCCC2)c1 765531106 ZRLYAILKLGHDMW-FQEVSTJZSA-N 424.497 4.533 5 20 HJBD O=C(OC[C@H]1CCO[C@H]1c1ccccc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 769340756 CHHVTYZHGVZQSP-APWZRJJASA-N 410.451 4.653 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 770380006 NKEDHMDXTOVOEX-HZPDHXFCSA-N 415.490 4.732 5 20 HJBD CC(C)OC(=O)NCc1ccc(NCc2c(Br)cccc2[N+](=O)[O-])cc1 770581525 XUQGXALIPSYRIM-UHFFFAOYSA-N 422.279 4.604 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1noc(-c2ccsc2)n1 775088418 UUBBBCMNWCMWDQ-UHFFFAOYSA-N 405.457 4.564 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131636 FRQMKEZNJSEIOF-NRFANRHFSA-N 419.437 4.531 5 20 HJBD C[C@@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 776291192 JHEMSYCTRXSCHE-HIFRSBDPSA-N 404.850 4.581 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CN(C)C)c(F)c1 777410223 PXTWINJSEPHILF-UHFFFAOYSA-N 405.495 4.940 5 20 HJBD O=C(c1cc(Cl)ncc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 780666991 OVYWVCYIQCVUEK-UHFFFAOYSA-N 408.163 4.501 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ncc(-c2ccccc2)o1 781675041 OGJRGOBFWZSZPE-NSHDSACASA-N 417.215 4.930 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Oc1cccc(NC(=O)c2ccccc2)c1 783911710 NDSBOQJWBNGXAT-UHFFFAOYSA-N 408.435 4.545 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc(N2CCC(N(C)C)CC2)c(F)c1 786818622 KFNWOBCDAIGTKM-GHRIWEEISA-N 412.509 4.780 5 20 HJBD Cc1nc2ccccc2n1C1CCN(Cn2c(=S)oc3ccc([N+](=O)[O-])cc32)CC1 794680445 QNHHMKHFXICWSF-UHFFFAOYSA-N 423.498 4.825 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809915062 MIDVTMOEOYEXDN-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CC(C)N(C)Cc1ccccc1CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813411846 DUZNKXDUTBZNQD-UHFFFAOYSA-N 410.301 4.672 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 815405158 LFYYGXDUSXPZNY-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)O[C@@H](C(=O)c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 875883009 LOSSIGNEASOZIL-OAQYLSRUSA-N 420.377 4.592 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC[C@H](c4nc5ccccc5o4)C3)c2c1 917430153 FMMUPIYENLEGHZ-ZDUSSCGKSA-N 410.455 4.850 5 20 HJBD COc1cc(Br)c(C=O)cc1Oc1ccc([N+](=O)[O-])c2cnccc12 920963647 JISOAWDVKOZVLC-UHFFFAOYSA-N 403.188 4.519 5 20 HJBD Cc1c(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)cccc1[N+](=O)[O-] 1318536483 RBYUAVMWDOEESU-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1cccc(OCC(F)(F)F)n1 1323934868 CSBIIGNUOBKXEF-UHFFFAOYSA-N 410.179 4.528 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Nc3ccccc3Cl)CC2)c1 1338156772 HCNNPZKBCGJWAU-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(OC(F)(F)F)cc1 8363311 NIBKYVGCOGDJFM-SECBINFHSA-N 404.728 4.553 5 20 HJBD CCCCSc1nnc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])s1 22489618 LXWGWLBLAYCSNG-UHFFFAOYSA-N 418.953 4.723 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1ccc(Br)c([N+](=O)[O-])c1 29021689 BFIMTSNSFBUXLF-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cc(SC)ccc4[N+](=O)[O-])CC3)c2c1 32336730 FJAIMBLFBMYDQE-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 47864715 BWFABCFBPGLCRD-MRXNPFEDSA-N 407.373 4.574 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 56313156 GNOJWQODYLWJNG-LBPRGKRZSA-N 414.405 4.964 5 20 HJBD COC(=O)CCOc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 64464572 VHZQVRJKIBBOSO-UHFFFAOYSA-N 400.434 4.582 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1cc(Br)cc([N+](=O)[O-])c1 165387727 WIXGFGZKVMVKMU-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2F)n1-c1ccc(Cl)cc1)N(C)C 301091091 ORBMWRGUYMCVHV-NSHDSACASA-N 421.885 4.742 5 20 HJBD COc1ccc(Nc2nc3sccn3c2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 301315225 MBZJFQAHZGOGMI-UHFFFAOYSA-N 415.475 4.575 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)C2CC2)cc1 301326254 AXRHOMUXOSCEMG-LLVKDONJSA-N 404.264 4.879 5 20 HJBD COc1cc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)ccc1[N+](=O)[O-] 303573750 PIOMZXPTTYDAJS-KRWDZBQOSA-N 422.485 4.554 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(OC(F)F)c1 426043687 IQYZQTIKMNNTQW-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD CCN(C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)[C@H](C)Cc1ccsc1 426317424 MTAOHTPTIKJMHW-LLVKDONJSA-N 411.321 4.821 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(Cc1ccco1)Cc1cccs1 429961193 FYTKIFDZPDSWDW-UHFFFAOYSA-N 421.272 4.854 5 20 HJBD CC(C)(C)C(=O)c1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 430601802 KJITXBABVNHGFD-UHFFFAOYSA-N 405.248 4.838 5 20 HJBD Cc1ccn(C2CCN(C(=O)c3ccc(SCCC(C)C)c([N+](=O)[O-])c3)CC2)n1 434030267 HCOBLKKDLYOCCX-UHFFFAOYSA-N 416.547 4.715 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccc(Br)cc1 437319300 DMKPCPAUNASNJW-ZTFRIQLXSA-N 421.316 4.510 5 20 HJBD Cc1c(Cc2nc(-c3ccc(OCc4cccnc4)cc3)no2)cccc1[N+](=O)[O-] 439008100 SPVMEZQOFIVYLD-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CC(C)N(CCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])C(C)C 442410028 LMJFPQCAJNKJSR-UHFFFAOYSA-N 401.532 4.595 5 20 HJBD Cc1cccc([C@H]2CCN(C(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)C2)c1 444291468 JPBXWHQFEWKWBK-INIZCTEOSA-N 418.443 4.898 5 20 HJBD Cc1noc(C)c1[C@H](C)CC(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446425648 LLEOZHWGXCWRPH-CQSZACIVSA-N 409.442 4.911 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(Br)c3)CCC2)c1 460963777 ZKKJDYRMBZVAAZ-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1c(C)c(C(F)(F)F)nn1C 461213604 VFXRWUWKCLHHPR-UHFFFAOYSA-N 416.425 4.800 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccc(Cl)c2)c(F)c1)c1csc([N+](=O)[O-])c1 463542898 IZNAZHCAEWVEIG-UHFFFAOYSA-N 419.821 4.953 5 20 HJBD COc1cc(CNC/C(C)=C\c2cccc([N+](=O)[O-])c2)ccc1OCC(F)(F)F 468623058 USJDJNOBSKLJFI-ZSOIEALJSA-N 410.392 4.738 5 20 HJBD CCOc1cc(C(=O)NCC(C)(C)c2cccc(Cl)c2F)c([N+](=O)[O-])cc1OC 469501142 GGXJBWMOCHVVRP-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD CCCS(=O)(=O)Nc1cccc(NC/C(C)=C/c2cccc([N+](=O)[O-])c2)c1C 470186213 QGQKOFZBSIGPOB-NTCAYCPXSA-N 403.504 4.570 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C3)cs1 473772847 BDICWLZXMUTEDV-UHFFFAOYSA-N 414.512 4.987 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)N1CCc2ccc([N+](=O)[O-])cc2C1 474516495 MFAHLQPWUUHFQG-NRFANRHFSA-N 422.872 4.501 5 20 HJBD Cc1ccc(Cl)c(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1 475043333 XXJDNNDUJXIINM-INIZCTEOSA-N 419.865 4.761 5 20 HJBD Cc1c(CNC(=O)NCc2ccc(-c3nc4ccccc4s3)o2)cccc1[N+](=O)[O-] 478369736 MCJRLOSKLRXAAN-UHFFFAOYSA-N 422.466 4.772 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1Oc1ccc(-n2c(C)nnc2-c2ccccc2C)cc1 480091720 VPPAJBAXVCDHQV-UHFFFAOYSA-N 418.457 4.776 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1[C@H]2CCC[C@H]12 483160646 ZJXKTLUZZNCFAB-KBPBESRZSA-N 404.825 4.842 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)c3ccccc3)c2)cc1SC 483612505 IHCJMQMRLIMPSN-UHFFFAOYSA-N 422.462 4.809 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1Br 484318461 KZHFQXQANDZZFA-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CN(C)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 484539764 YXZXQVCBCYNHMU-UHFFFAOYSA-N 404.470 4.521 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 485023287 ILPUIUPPRLGKDK-UHFFFAOYSA-N 415.371 4.660 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2F)s1)c1cc([N+](=O)[O-])ccc1OC(F)F 487659345 NNDHBFJNDZVVMA-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD Cc1cc(=NCc2csc(-c3ccccc3)n2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489274754 DSEQQJURBJOIKH-UHFFFAOYSA-N 403.467 4.518 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccccc2Oc2ccccc2)cc1[N+](=O)[O-] 494026472 IOHFXSHAWGPKBZ-INIZCTEOSA-N 419.437 4.511 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccccc1Cl 501089780 QZVPRJOMLJQTQZ-UHFFFAOYSA-N 412.877 4.777 5 20 HJBD C[C@H]1CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@@H]1C 501631325 VHQCHFALGCHUEJ-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)N(C)C)ccc1Cl 502822449 CSBFJKDYPNQDBV-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)CCNc3ccccc3[N+](=O)[O-])CC2)n1 509668557 DGCOVWWCPLZAJG-UHFFFAOYSA-N 416.547 4.557 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)c2)cn1 512948796 PQQSTNCPJUKRGL-AWEZNQCLSA-N 409.417 4.855 5 20 HJBD O=C(N[C@@H](Cc1ccc(Cl)cc1)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 515459770 OTQLBIRDTPXRLI-SFHVURJKSA-N 424.840 4.691 5 20 HJBD CC1CCN(C(=O)c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 515738795 CODRQVCFFOWCRD-UHFFFAOYSA-N 423.494 4.934 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)[C@@H](Sc1ccc(F)cc1)c1ccccc1 518018398 DFPZOSZQMJIOMP-NRFANRHFSA-N 410.470 4.926 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(-c4cccc(OCc5cccnc5)c4)no3)c[nH]c2c1 521909848 ULZXGHXEWKDWDW-UHFFFAOYSA-N 413.393 4.767 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(NC3CCCC3)c2)cc1SC 522760755 AWGXFHGMUQJRHA-UHFFFAOYSA-N 401.488 4.932 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)cs1 523486523 VLUSMXDCIAZXCZ-UHFFFAOYSA-N 409.511 4.663 5 20 HJBD Cc1cncc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)c1 534095276 UZCVSOXRMRWFTL-UHFFFAOYSA-N 402.248 4.611 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 534898922 ZNTGYZMJYZUXCB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 535200644 JKYJJIPFQGEQKI-HNNXBMFYSA-N 404.536 4.895 5 20 HJBD Cc1nc(CSc2ccc(C(=O)Nc3cc([N+](=O)[O-])ccc3O)cc2)cs1 536311925 BEEXFCYWXMVLLN-UHFFFAOYSA-N 401.469 4.610 5 20 HJBD CN(C(=O)c1c(F)c(F)cc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353427 JEPOKCHUKQBZJK-UHFFFAOYSA-N 411.336 4.551 5 20 HJBD CCNC(=O)N1CCc2ccc(N[C@H](CC)CSc3ccccc3[N+](=O)[O-])cc21 539737745 PFXQZOUXSAKPLK-MRXNPFEDSA-N 414.531 4.670 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(CN(C)Cc2cscn2)c1 539845888 UYLYGFAHMGKMPV-UHFFFAOYSA-N 424.526 4.861 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)C1 539923093 KUDKQUJAMFILOM-GOSISDBHSA-N 419.529 4.839 5 20 HJBD CCc1nc([C@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 544468580 LPZGMRZAHYKEFI-YCRPNKLZSA-N 424.526 4.953 5 20 HJBD CCN(C(c1ccccc1)c1ccccc1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546094625 OACPDAACTIMGGH-UHFFFAOYSA-N 410.495 4.536 5 20 HJBD CO[C@H](c1noc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1)c1ccccc1Cl 547224586 KOGOFXUKIHQSBR-SFHVURJKSA-N 414.849 4.634 5 20 HJBD Cc1cc(CNC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)no1 547341762 CAANVGSVTHVVAI-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cc(Br)ccc3F)no2)c1 556345250 CBHBLRHAIIUPFV-UHFFFAOYSA-N 417.194 4.549 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 557607651 MAJCKADBMNDNLQ-QGZVFWFLSA-N 403.316 4.856 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(F)cc2OC2CCOCC2)cc1[N+](=O)[O-] 561120595 IANNIAVOVOPVIT-UHFFFAOYSA-N 416.449 4.842 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 569982110 CSGONZAYWFIKAZ-QFIPXVFZSA-N 405.454 4.534 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 572589013 BOKGQFPERQVXRI-UHFFFAOYSA-N 418.428 4.640 5 20 HJBD O=C(c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC(F)C1 579170875 WLMSTHDPDVBXMV-UHFFFAOYSA-N 400.353 4.559 5 20 HJBD COc1ccc([C@H](C)N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 603455917 GHEQWAYMOZBOLS-KRWDZBQOSA-N 411.502 4.673 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609036803 OUAOBUUQPITSDP-SFHVURJKSA-N 409.467 4.704 5 20 HJBD O=C(NC1CCCCCC1)c1ccc(C(=O)NC2CCCCCC2)c([N+](=O)[O-])c1 609043293 JQCVMRFMQUSFQK-UHFFFAOYSA-N 401.507 4.500 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccnc2Oc2ccccc2)cc1SC 609126400 AVPZITLAFXUOLV-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1nc(C(C)(C)C)cs1 610044564 UCFRJYXTZJRZCC-SECBINFHSA-N 412.309 4.602 5 20 HJBD COc1ccc([C@H](NCc2nc3cc([N+](=O)[O-])ccc3o2)c2ccc(F)cc2)cc1 610581972 BUKWCBRJXTWMKF-JOCHJYFZSA-N 407.401 4.763 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H](COc2ccccc2Cl)C1 611085222 SCHNRIWJHDSDMQ-CQSZACIVSA-N 413.861 4.661 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 612462374 YCPFREVUMYNTBS-NSHDSACASA-N 422.338 4.681 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1CO 615892055 AFRKNKCSVZYMQJ-UHFFFAOYSA-N 405.454 4.568 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1)C1CCCCC1 727707941 GMVFFXWOEWSFSA-UHFFFAOYSA-N 417.412 4.530 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1OC 727709179 VWVKXCCNYHUTOY-ZDUSSCGKSA-N 422.428 4.942 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2nc3ccc([N+](=O)[O-])cc3s2)CC1)c1ccccc1 731209944 FWRHVGGGJRKGHX-GOSISDBHSA-N 424.526 4.801 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Oc2ccc3c(c2)[nH]c2ccccc23)c([N+](=O)[O-])c1 732566839 WNFQXDKMFJKPGI-UHFFFAOYSA-N 412.405 4.986 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)OCc3cccc([N+](=O)[O-])c3C)c2C)cc1 733547304 CHJVOUHNVNKHKF-UHFFFAOYSA-N 421.497 4.749 5 20 HJBD CCc1ccc(OC(=O)c2nc(C(C)C)n(-c3ccccc3Cl)n2)c([N+](=O)[O-])c1 734737314 OONNGWWIHPYQDA-UHFFFAOYSA-N 414.849 4.734 5 20 HJBD O=C(Cc1csc(-c2ccc(F)c(F)c2)n1)OCc1cc([N+](=O)[O-])ccc1Cl 734781437 YVLAWRWGIZJOEQ-UHFFFAOYSA-N 424.812 4.936 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@H](CCO)c1cccs1 741068767 CFAXRQNVDPXHGK-GOSISDBHSA-N 413.455 4.694 5 20 HJBD COc1cc(/C=C/C(=O)OCc2nc3ccccc3c3ccccc23)cc(OC)c1O 745510871 IGWIBNYBXKMMHT-VAWYXSNFSA-N 415.445 4.867 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)co1 747634275 DRWNOTVBJRGDSN-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)Cc1cccc([N+](=O)[O-])c1C 749066481 RFMFDZZHYNTZEY-UHFFFAOYSA-N 405.497 4.539 5 20 HJBD Cc1ccc(CCCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 750974610 RNBKFYZEZVTZDV-CYBMUJFWSA-N 401.444 4.642 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@@H](O)c1ccco1 752619950 KSIYNBCFGJSHOU-GOSISDBHSA-N 415.833 4.609 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 757877279 MMLOCCNZHSZODA-JTQLQIEISA-N 420.288 4.800 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC[C@@H](O)c1cccc(OCc2ccccc2)c1 760704220 SGIDYTUPQCRBTC-TUHZNUCUSA-N 404.466 4.510 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1)N1CCCC1 761195850 XOPOKKNQFXHBJX-UHFFFAOYSA-N 402.454 4.505 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(CC[C@H](O)c2ccccc2)c1 762994063 PRDFIHYTDJVZHE-QFIPXVFZSA-N 420.465 4.669 5 20 HJBD O=S1(=O)CCCN1c1cccc(CNCc2c3ccccc3cc3ccccc23)c1 764978223 SZSQJDHTMPKIPY-UHFFFAOYSA-N 416.546 4.823 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)Cc1ccc(Br)c([N+](=O)[O-])c1)CCC2 769513743 ICSLVYMMMMDDLY-CQSZACIVSA-N 410.337 4.886 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OC(C)(C)C)c(C)c1 772027209 JPLOGZNBKGMGOZ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@H](CC(=O)c1cccc(F)c1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133474 LPRIWNZHFVQCQY-IVZQSRNASA-N 422.412 4.671 5 20 HJBD Cc1nc(CNC(=O)OC(C)(C)C)ccc1[C@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 779034485 XXQNWCAHKPNYPN-CABCVRRESA-N 414.506 4.735 5 20 HJBD C[C@H](C(=O)O[C@H](Cc1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 781756860 MFJYLFYVTJHJET-MGPLVRAMSA-N 403.303 4.693 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)c3cc([N+](=O)[O-])ccc3N)cc2c1 787021276 BWVSRYYDQSXTLZ-UHFFFAOYSA-N 405.410 4.667 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1ccc([N+](=O)[O-])c(F)c1 790745482 GNIWXXOTGAYWNH-UHFFFAOYSA-N 418.421 4.757 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H](F)c1ccc(C(F)(F)F)cc1 801661312 QJAXQICMBIQDHJ-CYBMUJFWSA-N 408.254 4.864 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](O)c2ccc(C(F)(F)F)cc2)o1 808426155 LAXUNJWTCRZCOY-SFHVURJKSA-N 406.360 4.697 5 20 HJBD O=C(NC[C@H](O)c1cc(Cl)cc(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813457624 WCWGRSCFUDNULO-ZDUSSCGKSA-N 424.067 4.672 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813785192 KBSOTBCBVXEHAW-XEYRCQSKSA-N 415.534 4.910 5 20 HJBD COc1ccc(-c2nc(COc3cccc(Cl)c3[N+](=O)[O-])cs2)cc1OC 814774369 WWDTVEFMBSHMKW-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD O=C1/C(=C\c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC(=S)N1C1CCCCC1 817935399 NPVGSXRESHWWBO-SFQUDFHCSA-N 413.524 4.714 5 20 HJBD Nc1ccc(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)cc1[N+](=O)[O-] 913495873 ZZRMKKBWHNAIJK-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C(=O)Nc1ccccc1C(F)(F)F)C(C)C 915374828 ZJVIAVPIBHWLQJ-KRWDZBQOSA-N 424.375 4.742 5 20 HJBD CN(Cc1ccccc1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C1CCCCC1 918685970 HJGUELZLIZVXBQ-UHFFFAOYSA-N 415.921 4.943 5 20 HJBD C[C@H](OC(=O)[C@@H]1CCN(c2cc(Cl)ccc2Cl)C1=O)c1cccc([N+](=O)[O-])c1 920160576 XTYVQDXJEODPOA-XHDPSFHLSA-N 423.252 4.559 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(OC(F)F)cc1 920494309 JIXCVLBJFYPMEZ-CQSZACIVSA-N 420.412 4.717 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ccc(Br)s1 920791186 MZNRBQCIVIXJKI-QMMMGPOBSA-N 420.668 4.583 5 20 HJBD O=C(c1ccc(Cl)cc1)c1ccccc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1322111102 OBDYOGUVHFRCQZ-UHFFFAOYSA-N 406.825 4.635 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccc(Cl)cc2)on1 14369627 CVRKTIYLSGEFKG-UHFFFAOYSA-N 406.225 4.829 5 20 HJBD C[C@@H](OC(=O)Cc1ccc(Cl)cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528124 GQSNAOIELOYODO-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD C[C@H](Sc1ncc(-c2ccc(F)cc2)[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 17749414 OMQQNAALVVAIRO-JTQLQIEISA-N 420.853 4.897 5 20 HJBD Cc1sc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)nc1-c1ccc(F)cc1 46747283 WZZQFVTZNLGWMU-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(OC[C@@H]2CCCO2)c1 55654304 ORMGNZFPSAFWRW-INIZCTEOSA-N 412.467 4.928 5 20 HJBD COc1ccc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cc1 61555014 ZCVWZMJDCGHELE-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD O=C(CCN1Cc2ccccc2[C@@H](c2ccccc2)C1)Nc1ccccc1[N+](=O)[O-] 63492273 SBRWAJFSNAZJOX-OAQYLSRUSA-N 401.466 4.571 5 20 HJBD CC(C)(C)C(=O)NC[C@H]1CCCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 301242755 ORRXUMZVEOFCMH-LLVKDONJSA-N 421.847 4.646 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303163741 AHMWPHLRKPHCLK-ZQIUZPCESA-N 416.547 4.723 5 20 HJBD O=C(N[C@H]1CCN(c2ccccc2Cl)C1=O)c1cc2ccccc2c2cccnc12 303543516 YZDYYCCGUCVSEX-FQEVSTJZSA-N 415.880 4.577 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cc(Br)cc([N+](=O)[O-])c1 432649930 WLBJLHSKEZHHCL-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1ccccc1-n1c(SCc2cc(O)ccc2[N+](=O)[O-])nnc1-c1cccnc1 436476751 UROLECRKOUIOIY-UHFFFAOYSA-N 419.466 4.544 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 439359008 YNTBXNXGLAVLTO-UHFFFAOYSA-N 417.372 4.549 5 20 HJBD CN(Cc1ncc(-c2ccc(Br)cc2)o1)Cc1ccccc1[N+](=O)[O-] 441230742 LTSTYWVLUCHBHB-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC([C@H]2CCCO2)CC1 442761325 PJKJFJIBTNZFKL-LJQANCHMSA-N 412.511 4.777 5 20 HJBD COCC1(C)CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 442892309 PQWFGAMZUWKASZ-UHFFFAOYSA-N 400.500 4.635 5 20 HJBD Cc1cc(CN(C)C)cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 444256941 BPFREXAVXMVZCI-UHFFFAOYSA-N 421.447 4.582 5 20 HJBD Cc1cc(C)c2c(c1)CN(C(=O)c1cc(F)cc3c(=O)c4cccc(F)c4[nH]c13)CC2 444327524 KBGLIVHQHVHCSP-UHFFFAOYSA-N 418.443 4.775 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446905186 FVKWUUQHPSXNSP-SFHVURJKSA-N 407.392 4.665 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1coc(-c2ccccc2)n1 447687052 HNCAMOQVECLPJH-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 464037769 GYTNGQMJPLBFTN-JXFKEZNVSA-N 406.429 4.762 5 20 HJBD O=C(C[C@@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccs1 468291605 QYDKGNJRSPMPPN-INIZCTEOSA-N 411.483 4.591 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3ccn(C)c23)cc1[N+](=O)[O-])c1ccccn1 471708230 BDDUHOSHSQQTBW-HNNXBMFYSA-N 415.453 4.907 5 20 HJBD CCCOc1ccc(CNC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c(OC(F)F)c1 471982965 DUVXYCKGPFNFTR-ZDUSSCGKSA-N 423.416 4.545 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(OC(F)(F)F)cc2)c1[N+](=O)[O-] 482791239 ODRHEHAEUZOLQW-JTQLQIEISA-N 400.378 4.706 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 485228342 FUASIMLQQRQETB-SFHVURJKSA-N 414.820 4.937 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486186547 CFAIIBMUOJZKKR-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD O=C(NCCc1c[nH]c2ccccc12)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486346602 FMJKEOMELLIRFH-UHFFFAOYSA-N 415.449 4.628 5 20 HJBD C[C@@H](C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cn(C)c2ccccc12 486697457 FUOFJEOKIBPUPF-GFCCVEGCSA-N 405.376 4.525 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1)c1cccs1 490438914 YLCZCIWKDYBWES-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 490899490 CWGUYLWPZAWNDN-UHFFFAOYSA-N 400.263 4.680 5 20 HJBD COc1ccccc1C[C@@H](C)N(Cc1ccccc1)C(=O)Cc1ccc([N+](=O)[O-])cc1 491508144 KSCCCIMPNJEZRP-LJQANCHMSA-N 418.493 4.806 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 493081534 RBZWSTQBNJWQOC-BEFAXECRSA-N 413.474 4.528 5 20 HJBD CC1(NC(=O)c2ccc(C(=O)NC3(C)CCCCC3)c([N+](=O)[O-])c2)CCCCC1 494878623 OYQPLFSXUIKAJO-UHFFFAOYSA-N 401.507 4.500 5 20 HJBD COc1cc(OCC(=O)N(c2ccccc2)[C@@H](C)c2ccccc2)ccc1[N+](=O)[O-] 502778528 FWWHIRNNCCQEAX-KRWDZBQOSA-N 406.438 4.777 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1ccccc1Sc1ccccc1 509057605 OZKMKVQSZOADDD-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511705412 FOQKUNGXCXEEMJ-CQSZACIVSA-N 404.291 4.538 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 518659651 BSAFLMLREBWFII-VIFPVBQESA-N 423.705 4.937 5 20 HJBD Cc1[nH]nc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1-c1ccccc1 522812099 LQVAQFNDAXIFNH-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 524254824 SXWUKEMPIXPAAV-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1-c1ccc(Cl)cc1 524808540 NZJOJPMLQJEITA-AWEZNQCLSA-N 423.856 4.731 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 534428310 RDEJVZYTHNJFEP-VIFPVBQESA-N 415.646 4.511 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 535180427 GKGLIJJMWXJRLG-AWEZNQCLSA-N 413.503 4.502 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@H]1Cn1c(C)nc2ccccc21 535221864 VHUXPUZTEJOPHG-KRWDZBQOSA-N 407.474 4.566 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](C)c2ccccc2OCc2ccccc2)c1[N+](=O)[O-] 536922828 YNQPTISYRGGEOV-OAHLLOKOSA-N 408.458 4.511 5 20 HJBD CN(C(=O)CCc1ccnc2ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451954 SJQOWKIBODTLGX-UHFFFAOYSA-N 418.478 4.862 5 20 HJBD Cc1sc2nc(CC(=O)c3cccc([N+](=O)[O-])c3)nc(O)c2c1-c1ccccc1 540505946 OPXGMVVVVMOTOH-UHFFFAOYSA-N 405.435 4.706 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)N1CCC(CCc2cccc(C(F)(F)F)c2)CC1 540927183 DDEKYAPNUTXIQD-UHFFFAOYSA-N 421.419 4.681 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccco3)nc3onc(C(C)C)c23)cc1[N+](=O)[O-] 542927669 BWIPYRPWPNSCKW-UHFFFAOYSA-N 422.397 4.775 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 544065684 WVSSILYMFSPLNP-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544427647 QVPQQWZOZAWAHH-LBPRGKRZSA-N 413.430 4.790 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2nc(C3CC3)n(-c3ccccc3Cl)n2)n1 544719437 RPMJFEVARDAUJL-UHFFFAOYSA-N 422.832 4.732 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(CCc4ccc(OC(F)F)cc4)no3)c[nH]c2c1 545035180 TXPFMOOHQMIVSP-UHFFFAOYSA-N 400.341 4.513 5 20 HJBD Cc1nc(COc2cccc(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)c2)cs1 545075260 LHBJTPBISMWPHT-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CS(=O)(=O)c1ccc(Cl)cc1Cl 549435983 BAHKFJAOPQGCPM-UHFFFAOYSA-N 404.271 4.663 5 20 HJBD Cc1nn(CCc2nc(C(C)(C)c3cccc4ccccc34)no2)c(C)c1[N+](=O)[O-] 551480059 NQYOLBQHFCXPCI-UHFFFAOYSA-N 405.458 4.513 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c2c1NCCC2 552217516 PUTXSAPBUDMZLX-UHFFFAOYSA-N 404.264 4.585 5 20 HJBD C[C@@H]1CCC[C@@H](NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 603508802 OFVYFUDWAVJPLD-YLJYHZDGSA-N 418.497 4.685 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939638 ZZJHGRKNWGWUFT-YCRPNKLZSA-N 421.501 4.589 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)cc1 603941226 YHZUMNHTNRVDIU-UHFFFAOYSA-N 422.393 4.767 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccc(-n4ccnc4)c3)cc2[N+](=O)[O-])C1 603964208 WUKCRBMPPOSIKH-IRXDYDNUSA-N 419.485 4.515 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H](c1ccccc1)C(F)(F)F 604127176 DVUWIRHWZDVZOE-CYBMUJFWSA-N 405.279 4.621 5 20 HJBD CCOC1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 604198369 LZSBGWSQKSRHSI-UHFFFAOYSA-N 400.500 4.696 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 604521439 KERYASISHUSIHR-PBEJRMEISA-N 422.485 4.806 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 609501298 CZEOBNFAOYNASW-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610094448 IVNJOXCAFRXUCD-UHFFFAOYSA-N 421.457 4.630 5 20 HJBD C[C@@H](C(=O)Nc1cccc(COc2ccc3c(c2)OCO3)c1)c1cccc([N+](=O)[O-])c1 617700921 DQACWXGGLVDKNF-OAHLLOKOSA-N 420.421 4.645 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1 731941745 AQIWDEGVDWGSCX-UHFFFAOYSA-N 409.467 4.882 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 734400911 LZTVLYUXVDGSAJ-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1ccc(Br)c([N+](=O)[O-])c1 743836666 ACOUYEZJGFEGKU-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCOc1cc(C(=O)O[C@H](C)C(=O)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC 744517842 FCHKFZAYPFZORF-CQSZACIVSA-N 415.442 4.554 5 20 HJBD C[C@H](OC(=O)c1ccc2ccc(Cl)cc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 752584681 BEXAMIMZRUMVES-NSHDSACASA-N 424.800 4.764 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 754220681 NKEKWVMXZULZND-UHFFFAOYSA-N 410.430 4.984 5 20 HJBD C[C@H](C(=O)OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc([N+](=O)[O-])cc1F 754272393 UFJZLHTZYFMAOW-VIFPVBQESA-N 412.204 4.535 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 754732771 RCAQHYASCBWAOA-UHFFFAOYSA-N 409.467 4.887 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N(CCC(F)(F)F)CC1CC1 755024031 DRKVFMOYVXUPTQ-UHFFFAOYSA-N 410.190 4.554 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2ncc(-c3ccc(Br)cc3)[nH]2)c1 756900300 NXENULHUEBIOCS-UHFFFAOYSA-N 421.682 4.691 5 20 HJBD O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(C2SCCCS2)cc1 759788439 BBKKBBGTWGXKNS-UHFFFAOYSA-N 400.485 4.592 5 20 HJBD C[C@H](Sc1nc2ccccc2s1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 762903991 YNBQKCYUZNIWSE-JTQLQIEISA-N 415.452 4.570 5 20 HJBD O=C(Nc1ccc(CC[C@H](O)c2ccccc2)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 763013328 OWHZPJWJZKVZOG-QFIPXVFZSA-N 415.449 4.995 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Oc1ccc(-c2noc([C@@H]3CCCO3)n2)cc1 770288470 AIVXLBRKGYTREX-KRWDZBQOSA-N 423.425 4.641 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)ccc1Br 770289616 FZGBDSVBASWDJB-CJNGLKHVSA-N 411.321 4.507 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1ccc(Br)cc1[N+](=O)[O-] 770348235 IMTCLRFVIHFZBZ-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD COc1cc2c(cc1NC(=O)CN[C@@H](C)c1cccc([N+](=O)[O-])c1)oc1ccccc12 775187999 WFPOGWASTSTYSS-AWEZNQCLSA-N 419.437 4.792 5 20 HJBD COCc1c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])oc2ccccc12 776133495 LSNADLSYSBQCKM-OAQYLSRUSA-N 418.405 4.829 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C(F)(F)c1c(F)cccc1F 776219157 DJRHUHWQKCABKH-SFHVURJKSA-N 420.318 4.693 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](S[C@H]1CCCOC1)c1ccccc1 779830516 WSKLXSJGOPMMDO-MAUKXSAKSA-N 406.891 4.840 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3nc4ccccc4cc3[N+](=O)[O-])cc2F)CC1 788843389 FOFKKOPYKVAUNQ-UHFFFAOYSA-N 409.465 4.556 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccc(Cl)cc1)c1cccs1 791326017 HOLPLOAMYRXCLS-KRWDZBQOSA-N 405.838 4.550 5 20 HJBD CCOC(=O)/C(=C/c1ccc(-c2cccc(F)c2F)o1)c1ccc([N+](=O)[O-])cn1 794949252 LIHMXLBNZNLCII-XNTDXEJSSA-N 400.337 4.632 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc(C(F)(F)F)cc1 798783231 FTASANUTLYJDAR-LLVKDONJSA-N 421.331 4.511 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811497987 HBWFGNZLOICMBL-UHFFFAOYSA-N 408.241 4.557 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3cc(C)nc4c([N+](=O)[O-])cccc34)CC2)cc1 812483141 WGFCPOCZIZCRJX-DEOSSOPVSA-N 421.497 4.800 5 20 HJBD CN(C(=O)c1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1)c1ccccc1 813246790 QFSYMKVQYMBVMD-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(Br)c1 813310658 ANRUBEJRNSUCGG-UHFFFAOYSA-N 415.190 4.544 5 20 HJBD NC(=O)c1ccc(F)c(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c1 863997517 IRFLPPIMMFRYGE-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1C 892744940 HXCGZXZUHUCUMC-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD COc1ccc(-c2ccc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c(F)c2)c(F)c1 1116163189 BBNPEFIOASXIBI-UHFFFAOYSA-N 410.376 4.705 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(c3nc4ccccc4o3)CC2)ccc1Br 1116939524 MDSQIXOUBBRVEB-UHFFFAOYSA-N 416.275 4.878 5 20 HJBD CC(C)(C)c1cc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)no1 1319087812 ZFOZVNFQSQYSMK-UHFFFAOYSA-N 421.413 4.578 5 20 HJBD C[C@H]1CCCCN1CCOc1ccccc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1322709818 VGFFGAVNQXLQQP-INIZCTEOSA-N 408.458 4.565 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])c4cccnc34)o2)cc1 1323899542 BUTOWZBAZQLDSY-ZDUSSCGKSA-N 406.398 4.732 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc([C@@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1332019974 RBCNLPVGBCPLOA-SFHVURJKSA-N 424.457 4.876 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc([C@H](C)Oc3cccc(Cl)c3)o2)cc1OC 1346033741 KCNRPISSPNXVKB-NSHDSACASA-N 419.821 4.846 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2c(c1)OC1(CCCCC1)O2 18326850 NKNBUEUWVDLJTR-UHFFFAOYSA-N 418.833 4.697 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(Cl)cc3)n2Cc2ccco2)nc1 23615408 SFVRETNRUXQLRA-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50335858 ASZGOPYNXMHSJD-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CC[C@H](C)Oc1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 57070432 FYXDTJPMBMMCQZ-LBPRGKRZSA-N 412.364 4.966 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@H]1c1nc2ccccc2s1 109093313 SBWZPGQCXIOHEV-SFHVURJKSA-N 410.499 4.679 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)CCn2cc([N+](=O)[O-])cn2)c(C(F)(F)F)c1 109735231 GZSDHOUEWXFPPV-LLVKDONJSA-N 416.425 4.730 5 20 HJBD O=C1/C(=C\c2ccc(-c3ccccc3[N+](=O)[O-])o2)N=C(S)N1c1ccccc1F 206962785 ZQUMMEKTXIAXKC-RVDMUPIBSA-N 409.398 4.667 5 20 HJBD O=C(CCN1CCC[C@@H]1c1cccc(Br)c1)Nc1ccccc1[N+](=O)[O-] 409874790 PDQGXOUFUAEYBC-QGZVFWFLSA-N 418.291 4.523 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 426276916 IBGCDCZHFUMWRE-SFHVURJKSA-N 423.391 4.669 5 20 HJBD CC[C@H](NC(=O)N1CCC(c2ncccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 428812572 ATYSYHWVDYKIBV-SFHVURJKSA-N 402.882 4.684 5 20 HJBD COc1ccc(Br)c(CN(C)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1 429611775 PGFLNDUYVMJUOH-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)C(C)(C)c4ccccc4[N+](=O)[O-])CC3)c2c1 432000263 MRIQMTAFLYMUSE-UHFFFAOYSA-N 419.481 4.678 5 20 HJBD COC(C)(C)C[C@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439624055 IQKGEAWQNICVKO-LIRRHRJNSA-N 419.909 4.721 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(/C=C\c3ccccc3[N+](=O)[O-])n2)cc1 445272553 DOXMSAMRQPHWQI-VSKPTYQZSA-N 406.442 4.843 5 20 HJBD Cc1nc(Cc2nnc(Sc3cc(C)c([N+](=O)[O-])cc3C(F)(F)F)o2)cs1 461736282 XQKJSUHXMGTYDG-UHFFFAOYSA-N 416.406 4.812 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](c2ccccc2)C1 463095136 VICCQSBZUGMTKX-YLJYHZDGSA-N 414.527 4.993 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cs1 463352856 DVZPNOHQYMCOEF-UHFFFAOYSA-N 411.361 4.569 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)NCCc3ccccc3[N+](=O)[O-])cc2)cs1 465635137 WWPVTVRJPBWTEN-CQSZACIVSA-N 410.499 4.630 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@H](c2c(F)cccc2F)C1 469376677 HJYNCHVPQLHQMN-ZDUSSCGKSA-N 415.421 4.626 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cnn(C3CCCC3)c2C)cc1[N+](=O)[O-] 470173945 PHMMMRMGLJRNKO-UHFFFAOYSA-N 407.268 4.538 5 20 HJBD C[C@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)c(Cl)c1 471357729 KBXWGPXJJJGUQA-JTQLQIEISA-N 411.241 4.805 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1cccs1 475159716 YYECFPXYPLYJCF-KRWDZBQOSA-N 406.847 4.574 5 20 HJBD COc1ccccc1[C@@H](CNC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)OC(C)C 475639571 FOMBDQOMGQZXIC-HXUWFJFHSA-N 401.463 4.508 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)cn1 481304201 DJBBVOCCWZZPRW-HNNXBMFYSA-N 409.442 4.650 5 20 HJBD CC[C@H](NC1CCN(C(=O)[C@@H](CC)c2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 482111400 RDBKWGBCSKTTIN-GOTSBHOMSA-N 409.530 4.820 5 20 HJBD Cc1ccc2c(c1)CN(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 482833230 FFKIRAYMNXJCOH-INIZCTEOSA-N 402.454 4.627 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)N1Cc2ccccc2C1 485394398 HPPCVGNABAWMBK-UHFFFAOYSA-N 420.444 4.530 5 20 HJBD CC(C)(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(Cl)c1F 485746469 CRKDMBRHXKFRRC-UHFFFAOYSA-N 421.675 4.876 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494068637 JASQNBBGIXYEFA-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD Cc1ccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1NC(=O)c1ccccc1 505012998 IBJOANHHZLWKRC-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 512735765 ZZQPLKDTVHUMJM-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccccc2)cc1 517939599 HMYZUDKSYFGOAD-QFIPXVFZSA-N 417.465 4.712 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 521858518 RWVDPADQDHGKMA-GJZGRUSLSA-N 417.252 4.830 5 20 HJBD O=C(c1cc(-c2ccccc2)ccc1Cl)N1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 522922188 BMFLKMAENNEWFO-KRWDZBQOSA-N 422.872 4.637 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@H]3C3CCC3)cc2[N+](=O)[O-])n1 524146023 CPOJYUSWHQUBEC-HNNXBMFYSA-N 403.529 4.916 5 20 HJBD C[C@H](C1CC1)N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1Br 524253095 WYIVHEDAIWJADW-CYBMUJFWSA-N 403.276 4.798 5 20 HJBD CC(C)N(CCc1nc(-c2ccc(Cl)cc2)no1)Cc1ccc([N+](=O)[O-])cc1 524907001 KHQHQTXCBNYQEV-UHFFFAOYSA-N 400.866 4.751 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525284685 ZEYOKNBJUQFKDM-DYESRHJHSA-N 405.454 4.647 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccco1)c1ccccc1 534949420 CRBLOXGUTUYICU-UHFFFAOYSA-N 420.343 4.819 5 20 HJBD C[C@H](c1ccccc1)N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535509319 WQAGFTWZMNQSLA-OAHLLOKOSA-N 411.483 4.703 5 20 HJBD CC(C)CCc1nc(C[S@@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cs1 536305121 LGJQOSRKNKDZHD-NDEPHWFRSA-N 419.528 4.744 5 20 HJBD O=C(Nc1cccc(O)c1F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 536552048 IIZYTVRBTKZYHH-UHFFFAOYSA-N 415.808 4.957 5 20 HJBD CC(NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)(c1ccccc1)c1ccccc1 538204810 LAVKVRQLLFJVPY-UHFFFAOYSA-N 400.438 4.698 5 20 HJBD COc1cc(CNc2ccccc2OC(C)C)c([N+](=O)[O-])cc1OCC(F)(F)F 539920581 ODRLTFZBCJUCCY-UHFFFAOYSA-N 414.380 4.944 5 20 HJBD CC(C)[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc2c(c1)OCCO2 540342190 PZONJIBZGCSSER-NRFANRHFSA-N 409.442 4.508 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C 542500800 YZBSUYLRUROCRZ-ZDUSSCGKSA-N 400.866 4.602 5 20 HJBD CCOc1ccc(-c2nc(Cc3nc(Cc4ccccc4[N+](=O)[O-])no3)cs2)cc1 544910879 SWWQBBCVGPXFIB-UHFFFAOYSA-N 422.466 4.682 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccnc3N3CCCCC3)no2)cc(C(F)(F)F)c1 545622079 RASOWXWXDYRIAE-UHFFFAOYSA-N 419.363 4.716 5 20 HJBD Cc1cccc2c(CCc3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c[nH]c12 546494232 MFVPBRWPOOFBPP-UHFFFAOYSA-N 401.426 4.625 5 20 HJBD COc1cc(CNc2ncnc3sc([N+](=O)[O-])cc23)ccc1OC1CCCC1 553296780 PTUJAWPYTCNYLW-UHFFFAOYSA-N 400.460 4.542 5 20 HJBD COc1cccc2c(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c(C)cnc12 556438071 OOLUXMOVDNPSQZ-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD Cn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1cccs1 558828359 DQLMKMYVGQVNJW-UHFFFAOYSA-N 400.407 4.763 5 20 HJBD C[C@@H](C(=O)Nc1cccc(Cl)c1OCC(F)F)c1ccc([N+](=O)[O-])cc1F 569378883 FVFBTPNKWUTFBB-SECBINFHSA-N 402.756 4.773 5 20 HJBD CC(C)c1ccc(-c2nc(C3(NC(=O)c4ccccc4)CCC3)no2)cc1[N+](=O)[O-] 580800298 CRJVWLRNKIUIMQ-UHFFFAOYSA-N 406.442 4.577 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2Nc2ccc(-c3cn4c(n3)CCCC4)cc2)n1 583420996 RXNLOSIWEPQDDC-QUCCMNQESA-N 406.490 4.587 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)cc1OCC(F)F 583466234 WJHAZZOKNMRXLJ-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(N2CCCC2)c1 603965622 DAXKCWWEJBQTDV-UHFFFAOYSA-N 422.407 4.655 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1c(C)cccc1[N+](=O)[O-] 604493712 BUYTWMRUQHXPKI-CYBMUJFWSA-N 416.421 4.694 5 20 HJBD O=C1C[C@H](C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c2ccc(F)cc2N1 609761729 GCRAFNVVVXEZLU-IBGZPJMESA-N 421.384 4.591 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CCC1)c1ccc([N+](=O)[O-])cc1Br 610063210 IPNLWSGSACHGSH-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCCC2(CCOCC2)C1 611209321 YCRUMICGYHOZTI-UHFFFAOYSA-N 404.532 4.662 5 20 HJBD CSc1ccc(C(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 731829079 CWYSZRBHOPJEJY-LJQANCHMSA-N 420.918 4.556 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(C)c(Oc3cc(C)ccn3)c2)cc1[N+](=O)[O-] 742004598 FKGBBZUKCDFGRZ-UHFFFAOYSA-N 407.426 4.589 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)C[C@@H](C)O1 747396549 MSUZXQNHAKMGCI-OKILXGFUSA-N 411.483 4.675 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)c2)cs1 748610356 MUCWTAGECZMVJX-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CC(C)[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 750444838 KGBVUMVRDBLNCI-SFHVURJKSA-N 415.833 4.781 5 20 HJBD CC(C)c1csc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n1 750914813 QKCSZZPPXSMDKA-UHFFFAOYSA-N 418.700 4.521 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 752656376 PYYAKXYEWVYQFW-UHFFFAOYSA-N 414.462 4.856 5 20 HJBD CC(C)(CNC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(Cl)cc1 758125800 ODIVNXIQNDWTQS-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OCc3cccnc3)c(Cl)c2)c1[N+](=O)[O-] 758412920 RGOSPCIKCDVWCA-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD O=C1[C@@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1c1ccc([N+](=O)[O-])cc1F 761050436 LBMYJBYEBZCEBH-MRXNPFEDSA-N 424.215 4.713 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CNCc3ccc([N+](=O)[O-])c(Cl)c3)c2)cc1 761523605 VOFSVJZWTUBXGU-UHFFFAOYSA-N 423.900 4.776 5 20 HJBD O=C(Nc1cccc(CC[C@@H](O)c2ccccc2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 762991191 GCEPZVLFHXOEAY-JOCHJYFZSA-N 415.449 4.995 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CSc1nccc2ccccc12 764634336 HPOHOPFJCFLVKK-UHFFFAOYSA-N 401.875 4.547 5 20 HJBD C[C@@H](C[S@@](C)=O)N(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 768446189 DAKQESNCCTUIJA-BEIWTESXSA-N 419.546 4.772 5 20 HJBD Cc1ccc(N2CCCC2)c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 775495680 OUAFAXOFMRFELO-UHFFFAOYSA-N 422.510 4.904 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccco3)n2Cc2ccccc2)cc1F 789021487 ZXJZEDITDPISCZ-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD CCC(=O)c1ccc(N2CCC(n3c(CC)nc4ccccc43)CC2)c([N+](=O)[O-])c1 795128674 ITIGIHWHZMILRT-UHFFFAOYSA-N 406.486 4.941 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@](O)(C(F)(F)F)CC1 804814353 SOVKHTUENCREPS-GFCCVEGCSA-N 406.710 4.551 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 809916633 QSKVWTODLFMMKV-GFCCVEGCSA-N 420.922 4.995 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(O)(c2c(F)cccc2F)CC1 809981945 BRZQSIITBOTKSM-UHFFFAOYSA-N 417.239 4.663 5 20 HJBD O=C(Nc1cccc(CNc2cccc(Br)c2[N+](=O)[O-])c1)C1CCC1 820619208 FEGYIDDYWVOELE-UHFFFAOYSA-N 404.264 4.708 5 20 HJBD Cc1cc(C)n(-c2ccc(NCc3c(Br)cccc3[N+](=O)[O-])cc2F)n1 864026209 ZJYXVERSLNXINY-UHFFFAOYSA-N 419.254 4.911 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nc(-c3ccsc3C(F)(F)F)no2)cc1OC 904923631 WFCIORJQLYTVJM-UHFFFAOYSA-N 415.349 4.799 5 20 HJBD COc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 915078453 KXNSXWMAUMCUKP-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])Nc1ccc(N2CCCC2)cc1 920307393 BGJXFAFZCURITN-UHFFFAOYSA-N 417.465 4.880 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N1Cc2ccccc2[C@H](c2ccccc2)C1 920992386 VYVCYTOPSSUTDJ-FQEVSTJZSA-N 422.868 4.801 5 20 HJBD O=C(Nc1ccc(SC(F)(F)F)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 1317954164 VQPOLUVLAHICQO-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD CS(=O)(=O)Cc1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1319286247 IMXRAYWAVVEHGM-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD CN(Cc1ccccc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)C1CCCCC1 1323241313 WRZQYMGMTRLRHE-UHFFFAOYSA-N 408.502 4.907 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cccc([N+](=O)[O-])c3OC)ccc2OC)cc1 1324973499 SOHHQFQNLSRSEY-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1)c1ccc(F)cc1 6027992 XYSYUUSBGDABBU-WDEREUQCSA-N 405.476 4.702 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc([N+](=O)[O-])cc2Cl)cs1 11029064 WTVSFOIEECAUIM-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3csc(C)n3)cc2)c([N+](=O)[O-])cc1OC 16102750 AMIKIODVYTZTOB-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COCCOc1cc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])ccc1OC 25578702 XTARSDXGJALXSK-UHFFFAOYSA-N 422.437 4.603 5 20 HJBD CN(C)C(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 26131051 FLYFOUYPCDNROD-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@H]1c1nc2ccccc2s1 65716302 YTGGVWXZLCZWDI-SFHVURJKSA-N 406.467 4.523 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC12CC3CC(CC(C3)C1)C2 72053458 RNBUVNJHPWEZRV-UHFFFAOYSA-N 421.497 4.993 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 195589816 FDJULOJXEKUVMM-SJORKVTESA-N 423.494 4.579 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2Br)c1)C1CCC1 301332729 FEKHQOIUGBQBBS-UHFFFAOYSA-N 404.264 4.708 5 20 HJBD C[C@@H](NC(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 409518660 LTMAMNVNTWFDIG-CXAGYDPISA-N 419.865 4.689 5 20 HJBD O=C(Nc1nc2ccccc2s1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435936918 HVVGVCOXXDWMPX-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435940578 XNLLMZDFAJMKIP-SFHVURJKSA-N 422.456 4.926 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(SC)cc2)c([N+](=O)[O-])cc1OCC(F)F 439487845 UGGDJJPTJSPGJD-GFCCVEGCSA-N 412.458 4.820 5 20 HJBD COCc1nc(CNc2cc(OC)c(F)cc2[N+](=O)[O-])c(-c2ccccc2)s1 440638421 IZGRKJIWISRNID-UHFFFAOYSA-N 403.435 4.625 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440941346 JOBDPUWZBPXTPG-UHFFFAOYSA-N 405.435 4.758 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)N[C@@H](c1ccccc1)c1cccs1 441232072 LBXOFYVZSXBRMC-QHCPKHFHSA-N 421.522 4.527 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cn1 441392107 CLASICSJTURYBO-UHFFFAOYSA-N 422.510 4.932 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccc(F)c(C)c2)c2ccccc2Cl)c1[N+](=O)[O-] 444256321 KJFXSIVJESRQHW-QGZVFWFLSA-N 416.840 4.501 5 20 HJBD O=C(c1ccc(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nc1)N1CCCC1 448105692 VSHNFBNLTWYXOX-UHFFFAOYSA-N 411.405 4.537 5 20 HJBD O=C(CCCc1cc(Cl)sc1Cl)N1CCOc2ccc([N+](=O)[O-])cc2C1 461405273 ITRYIUSGEZFIJN-UHFFFAOYSA-N 415.298 4.707 5 20 HJBD Cc1cc(-c2cccc(F)c2)nnc1N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 462934150 CRZODRRVUFNPKC-UHFFFAOYSA-N 408.433 4.547 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)c1ccc(Br)s1 463144770 WSQWLRUJNAMFOK-GFCCVEGCSA-N 411.321 4.960 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)Nc2ccccc2)c1 466140488 MFFWIQRYKNMGNW-UHFFFAOYSA-N 419.441 4.603 5 20 HJBD Cc1ccc([C@@H](OC[C@H](O)COc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1 466998823 RURGDWXCVDUCPH-UGKGYDQZSA-N 411.429 4.588 5 20 HJBD CCC(CC)(CCO)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 467695209 DBFYADYSXDHPTN-UHFFFAOYSA-N 401.463 4.697 5 20 HJBD O=C(CCNC(=O)c1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1-c1ccccc1 468281262 MQMBNIJBYQJFFF-UHFFFAOYSA-N 423.856 4.674 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3ccc(C(=O)Nc4ccccc4)cc3)cc2N1 485282733 FEZZBBLFITYYNQ-UHFFFAOYSA-N 403.394 4.524 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C(F)(F)F)ccc2-n2cccn2)c1[N+](=O)[O-] 485748086 BMGVTFHYPNRLNH-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD C[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 498726595 FIRCHJRYPWUKDJ-KRWDZBQOSA-N 404.470 4.602 5 20 HJBD CCc1cc(O)nc(-c2cccc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)c2)n1 500352815 XKHOXEUXSXZXHD-UHFFFAOYSA-N 420.469 4.870 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1sc(-c2ccco2)nc1-c1ccccc1 500749813 NSYCBIGHSHCIPH-UHFFFAOYSA-N 405.435 4.908 5 20 HJBD COc1cc(CNCc2cc3ccccc3[nH]2)ccc1OCc1ccc([N+](=O)[O-])cc1 507936670 YUPJBDVUJXDKTJ-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 508519175 JTRURUMOQDBQGI-UHFFFAOYSA-N 411.767 4.607 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(C/C=C/c2ccccc2[N+](=O)[O-])CC1 512551445 DNVWWPKUNKIXPR-QPJJXVBHSA-N 414.893 4.501 5 20 HJBD CC(C)c1nc(C2CCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)no1 513061359 AHTUDEOVIMRNIA-UHFFFAOYSA-N 413.503 4.604 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)CCCNc3ccc([N+](=O)[O-])cc3)cc2)cs1 514526686 IHERYEFQTBJHPF-UHFFFAOYSA-N 424.526 4.578 5 20 HJBD Cc1ccc(CN(C(=O)Cc2ccccc2[N+](=O)[O-])c2ccc3c(c2)OCCO3)s1 514811476 YUAOENBQFWHGFJ-UHFFFAOYSA-N 424.478 4.512 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(Br)c2C)s1 516096785 JOLMBAJBTKNHBP-SNVBAGLBSA-N 412.309 4.572 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCC3=O)cc2)no1 516113714 KQGBAODRHUXCOM-MRXNPFEDSA-N 406.442 4.508 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cc(OC)c(Br)c(OC)c1 517629980 CPIQQOHHDRFHOP-UHFFFAOYSA-N 424.251 4.581 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C[C@@H](O)COc1cccc([N+](=O)[O-])c1 521816604 ZUHJJZUXWNCNLA-FXAWDEMLSA-N 412.511 4.659 5 20 HJBD CN(C)[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1c(F)cccc1F 522377788 JGLDZGBMELRZTB-LJQANCHMSA-N 401.413 4.526 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1COc1ccc(S(=O)(=O)c2ccccc2)cc1 522492273 GDLHDOHMURWZIC-UHFFFAOYSA-N 403.843 4.660 5 20 HJBD Cc1csc(C(C)(C)NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)n1 522515674 IRDRPFLWZDEAGP-KBPBESRZSA-N 416.547 4.507 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc2ccccc2nc1O 522672463 MYNHQPPBTJAIKE-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD CC(C)(C)n1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2O)c(-c2ccccc2Cl)n1 536197923 NMJILCURLKAINY-UHFFFAOYSA-N 414.849 4.825 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 537884123 WGXMNUOZIABLQZ-UHFFFAOYSA-N 401.373 4.605 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(c1ccccc1)c1ncccc1Br 539172093 BAVGKIFBGMPIGI-UHFFFAOYSA-N 404.245 4.792 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CCN(C)c3ccccc32)no1 544283767 IIXUQWBSUDBZGB-DOMZBBRYSA-N 414.849 4.743 5 20 HJBD CCc1ccc([C@H](NCc2ccc(-n3cccn3)cc2)c2ccc([N+](=O)[O-])cc2)o1 544359798 NAFWCKGDGLQMGQ-HSZRJFAPSA-N 402.454 4.815 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)o1 548033178 WAPDJMIQJFTGCS-XHDPSFHLSA-N 408.404 4.606 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 552383332 AXOOTUKOQJPIOX-UHFFFAOYSA-N 415.381 4.580 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 553525941 NQEMYSKELQPXRF-QGZVFWFLSA-N 408.376 4.652 5 20 HJBD C[C@@H]1CN(C(=O)CCc2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 557759924 XIVJXVVNNVFWCK-AUUYWEPGSA-N 422.403 4.535 5 20 HJBD CN1CCC(Oc2ccc(CNCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)CC1 560094342 DSDCPGDEYXREOY-UHFFFAOYSA-N 421.497 4.618 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 561960811 STMUFXXMQSDVJY-KBPBESRZSA-N 400.866 4.970 5 20 HJBD CCN(C(=O)c1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1Cl)C(C)C 565296810 DKJJWABVOACKRB-AWEZNQCLSA-N 417.893 4.861 5 20 HJBD CN(C(=O)c1cccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1)c1ccccc1 571948681 YYLAUEWGQPBQEC-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(-c3nc4ccccc4s3)o2)c1 576651335 ZEVROXQKJHZSKO-UHFFFAOYSA-N 423.450 4.793 5 20 HJBD C[C@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 603743243 FZXWEWOGFUDKKJ-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD CC[C@H](C)[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 603964629 YKPNSAYFRWVPAS-NJSLBKSFSA-N 412.515 4.855 5 20 HJBD COc1ccc(COc2c(Br)cccc2Br)cc1[N+](=O)[O-] 604469038 OEWLBWFECXFZCM-UHFFFAOYSA-N 417.053 4.707 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(Cc2c(F)cccc2Cl)o1 608929683 IZJPWIMPPINGLS-UHFFFAOYSA-N 409.826 4.662 5 20 HJBD COc1ccc(C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1OC 608983420 PHCZBZVHVQZSDS-HXUWFJFHSA-N 421.453 4.718 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 610046906 NINGATNCFJOFPZ-ZWKOTPCHSA-N 406.404 4.856 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)c1cn(-c2cccc([N+](=O)[O-])c2)nn1 610175761 FVZXMIFVMDSXBT-UHFFFAOYSA-N 417.878 4.582 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CSc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 619729534 FWZDWRGENBDBQS-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD CC(C)C[C@@H](C)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc2[nH]ccc2c1 726914466 AMLIFZIJORJKAO-CQSZACIVSA-N 409.442 4.886 5 20 HJBD CC[C@H](CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 729760528 IQRMNGAVSZMHRE-NWDGAFQWSA-N 404.385 4.556 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Nc2cc([N+](=O)[O-])ccc2F)c2ccccc2)cc1 729825525 JSEYKSIBXGVZRC-HXUWFJFHSA-N 421.428 4.542 5 20 HJBD Cc1ccc(-c2nc(CC(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 734888057 IJIXLPVAUPWZEN-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD C[C@H](OC(=O)[C@@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1cccc([N+](=O)[O-])c1 744068440 DRBAILRAADVKJK-KXBFYZLASA-N 414.458 4.508 5 20 HJBD COc1cc(N2CCC(OC(=O)[C@H](C)c3cccc(Cl)c3)CC2)ccc1[N+](=O)[O-] 744172932 BWNUKTUANIFKNR-CQSZACIVSA-N 418.877 4.573 5 20 HJBD Cc1ccn(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(=NC2CCCCC2)c1 747633756 QNMLNRUYLXSRTQ-UHFFFAOYSA-N 422.510 4.750 5 20 HJBD Cc1ncc(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)s1 748610672 PIEASPVMCWGBEZ-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750546115 AIFJNRPBFNETMB-BDAKNGLRSA-N 417.270 4.637 5 20 HJBD C[C@H](C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1F 754484234 XUWKSUVPRUXDDT-VFZGTOFNSA-N 413.232 4.784 5 20 HJBD CN(Cc1ccccc1NC(=O)CCc1cccc([N+](=O)[O-])c1)C(=O)OC(C)(C)C 755249982 UZIKJLWCKHFJCV-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD C[C@H](C(=O)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755520719 ODNQUHZLEPSGQU-SGXKBVARSA-N 414.477 4.732 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])o2)cc1C 758947931 UGFZSZWSFTYLJX-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2cccc(C)c2)o1 765524694 BTMPVFGXSFYMQB-RDJZCZTQSA-N 409.442 4.826 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@H](O)c1ccsc1 767175815 BHWKDRVCJPNLGQ-IBGZPJMESA-N 416.886 4.686 5 20 HJBD COc1cccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1F 770072051 XXASYGQHTZKDLL-UHFFFAOYSA-N 419.459 4.911 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770329923 CPUJWKKCPCIUKB-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1Cl 773391357 RGKOLGKWCZOINQ-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD COc1cccc([C@@H](C)CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135268 SJYANUYSWDAJJM-HJPURHCSSA-N 406.438 4.825 5 20 HJBD CC[C@H](C)n1nc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cc1C 777879862 OZWBPVSCUZLONI-AWEZNQCLSA-N 422.441 4.542 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1ccc([N+](=O)[O-])cc1Cl)c1ccco1 782334580 JNDNLDDHIZCXEL-UHFFFAOYSA-N 406.803 4.512 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 784381595 UNZRUKXKJDOIKE-DJJJIMSYSA-N 403.866 4.550 5 20 HJBD Cc1cnc(COC(=O)c2ccc(Oc3ccncc3)c(Cl)c2)c(C)c1[N+](=O)[O-] 789440811 NAMXVVAHPMCTLL-UHFFFAOYSA-N 413.817 4.804 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC 809913215 ZTQQCGNBENYNMJ-UHFFFAOYSA-N 414.245 4.631 5 20 HJBD CC[C@]1(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])OCCc2ccccc21 813257203 UEFXRHWKPIKMIK-LJQANCHMSA-N 409.269 4.510 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC2(CCC2)[C@H]1c1ccccc1 817566096 NUWZOSZQHDEVEM-QGZVFWFLSA-N 419.250 4.864 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 857761176 DIIGVOJYJGVING-UHFFFAOYSA-N 403.866 4.808 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3ccc(F)cc3)n2C[C@@H]2CCCO2)o1 913023944 OGPAXTVMQPOMRR-SLWQEBJJSA-N 416.434 4.527 5 20 HJBD O=C(Nc1ccc(OC(=O)C(F)(F)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 916037308 WOXCFAMQAGYRQR-UHFFFAOYSA-N 412.348 4.545 5 20 HJBD C[C@@](O)(CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc(F)cc1F 1116071321 ZBXRXKDZAFHPOR-HXUWFJFHSA-N 422.815 4.784 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Sc2ccccc2)CC1 1319839378 YZDWCYTWBDRJTB-FQEVSTJZSA-N 406.463 4.947 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1cc(Cl)ccc1Cl 1931426 MIGHNUSQFQEECZ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1ccc2c(CC(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)coc2c1 5505019 ZRXOIEJDXVLDAN-ZDUSSCGKSA-N 407.382 4.546 5 20 HJBD C[C@H](Nc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11712163 SZHCNOKZUMHEOK-JTQLQIEISA-N 417.771 4.628 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])ccc3OC)sc2C)cc1 18081222 VYSQLTDKPLCLFG-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)Nc2cccc([N+](=O)[O-])c2)cc1 25235817 DXTXPCAUXNRZSS-UHFFFAOYSA-N 404.470 4.645 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCc4sccc4[C@H]3c3cccs3)n2)c1 43783005 YTUXLEREULYMGQ-IBGZPJMESA-N 424.507 4.916 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cc(C(N)=O)ccc3C)cc2[N+](=O)[O-])cc1 63947819 HNEXDTJZDZHFJV-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 65123969 TVCSJURPPHWRFI-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N(Cc1ccccc1)c1ccccc1 71852768 NKUYFJKXCQJZQM-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccccc2CN2CCC[C@H](C)C2)c([N+](=O)[O-])c1 71954862 BISMEAXQLMEWHQ-SFHVURJKSA-N 424.545 4.577 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c3cnccc23)nnc1-c1ccccc1OC 105331007 VCHCHGRDQDRLIU-UHFFFAOYSA-N 407.455 4.581 5 20 HJBD CCc1cc(NCc2cc(-c3ccccc3)no2)nc(-c2ccc([N+](=O)[O-])cc2)n1 115714240 OFJUQCIMZKSSLN-UHFFFAOYSA-N 401.426 4.881 5 20 HJBD COc1cc(CN[C@@H](C)c2c(C)noc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 237158713 OODQHIBGXHLNEB-AWEZNQCLSA-N 411.458 4.638 5 20 HJBD COc1ccc(CNc2ccc(NC(C)=O)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 237191716 XPMOVJWSFRVODC-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD CC(=O)c1ccc(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c([N+](=O)[O-])c1 301211123 WSAYQRNZAVCQMQ-GOSISDBHSA-N 413.232 4.821 5 20 HJBD CCc1nn(C)c(N2CCC(c3nc4cc(Cl)ccc4s3)CC2)c1[N+](=O)[O-] 301944454 YZPCDPLPBMSWCF-UHFFFAOYSA-N 405.911 4.538 5 20 HJBD Cc1sc2nc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)n(C)c(=O)c2c1C 303238017 ZLUUWQVLHYDSGX-UHFFFAOYSA-N 419.528 4.600 5 20 HJBD Cc1ccc(C(=O)N[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1[N+](=O)[O-] 303262531 PNTYNGQLGUMQDB-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 303781745 SOPCTZTYGCJOPU-IIBYNOLFSA-N 419.485 4.781 5 20 HJBD C[C@@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCN1Cc1ccccc1 303805990 MOLWKCOOOUASOE-WBVHZDCISA-N 416.909 4.765 5 20 HJBD Cn1nc(CC(C)(C)C)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426312424 QHBVHTSVUAQMSZ-UHFFFAOYSA-N 422.485 4.748 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccn1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 429333688 OJMCQINBHODMIQ-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CN(C)c1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)ccn1 435436462 GJKBJDJVALFWPM-UHFFFAOYSA-N 400.485 4.521 5 20 HJBD Cc1nn(C(F)F)c(C)c1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440597256 OLXRNAPTLHSDIM-UHFFFAOYSA-N 414.412 4.579 5 20 HJBD CCCN(C(=O)c1cccc(OCc2c(C)noc2C)c1)c1cccc([N+](=O)[O-])c1 441462191 ALDGMZKEHNKQIB-UHFFFAOYSA-N 409.442 4.835 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)s1 444093017 HCCNVBOAFGYQRO-LLVKDONJSA-N 412.461 4.690 5 20 HJBD Cc1cccc(C)c1CCNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444254461 SWRDVMRZCROYFI-UHFFFAOYSA-N 406.432 4.549 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1c1cccc(Br)c1 444931421 POURCAMFKHSUJC-INIZCTEOSA-N 420.263 4.735 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)c(C)c2)s1 446161925 MHOAJDBNDQGCOA-UHFFFAOYSA-N 414.512 4.786 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@@H](c3ccccc3)c3cccc(F)c3)n2)cc1 447338644 CCTAPZXVZDOSPP-NRFANRHFSA-N 404.401 4.663 5 20 HJBD COc1ccc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)c(C)c1 460120770 IJFHMHREAADTGU-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(C3CC3)ccn2)cc1[N+](=O)[O-])c1ccccn1 461423943 GUBZYEQQXJXFTB-CQSZACIVSA-N 403.442 4.688 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(OC)cc1)C(F)(F)F 462600382 FEGNQRBNNRZUJF-RDTXWAMCSA-N 410.392 4.592 5 20 HJBD O=C(Nc1cccc(N2CCC2=O)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 468697548 UEFAWDQEUAWXDN-UHFFFAOYSA-N 419.462 4.735 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(c1ccccc1)C1Cc2ccccc2C1 470770435 AXKIDZCGCBWUHO-UHFFFAOYSA-N 424.460 4.595 5 20 HJBD Cc1nc(CNC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)oc1-c1ccc(Cl)cc1 473598274 JYVLKGDCLJCRJZ-UHFFFAOYSA-N 410.817 4.623 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@@H]2[C@H](CCCN2Cc2ccccc2)C1 475552945 TVVNVUAHWJGNAH-IFMALSPDSA-N 422.529 4.730 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1nc(-c2cc3ccccc3o2)cs1 475701538 QDWGIQXIWQTRAQ-UHFFFAOYSA-N 407.451 4.714 5 20 HJBD O=C(Nc1c(-c2ccco2)nc2ccccn12)c1cc2cc([N+](=O)[O-])ccc2s1 476411078 GFDKCPNQLSDTSM-UHFFFAOYSA-N 404.407 4.970 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Oc2ccc(Cl)cn2)cc1 476967849 CDRRHVOVENIWCJ-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD Cc1cc(CNc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 480449888 SCSKVWJABJTPOD-UHFFFAOYSA-N 417.469 4.578 5 20 HJBD CCc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cnn1-c1ccccc1Cl 482712257 KIJREFVLMGXICC-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 483413248 BXFBAMDBQAOYNV-XOBRGWDASA-N 417.893 4.698 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCCCOc1ccc(S(C)(=O)=O)cc1 486144082 DEVAIOXIATXDFZ-HNNXBMFYSA-N 409.529 4.570 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@@H](C)c1ccc(F)cc1 488640512 BGMLRXUINQWWLC-LBPRGKRZSA-N 414.355 4.898 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nnc(-c2ccc(C(C)C)cc2)o1 488830837 HILCTAAFHDPOHB-UHFFFAOYSA-N 412.471 4.807 5 20 HJBD COc1ccc(-c2noc([C@@H](C)SCc3ccc(OC)c([N+](=O)[O-])c3)n2)cc1 489561552 BALGOWZSGRNPJD-GFCCVEGCSA-N 401.444 4.656 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccccc1CSc1nc2ccccc2[nH]1 490090648 PKGFACDUVPYKOD-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD CC(C)c1ccccc1N(C(=O)Cn1cc([N+](=O)[O-])cn1)c1nc2ccccc2s1 491090861 QDFGSSCQRHKVMW-UHFFFAOYSA-N 421.482 4.889 5 20 HJBD COC(=O)[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 494788840 VREVAQLZWNUVFV-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD Cc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(Br)c1 497369740 WRQCUEOIQPCMTI-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD C[C@H](O)C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 504889715 QZVQRJITMGYASG-ZFWWWQNUSA-N 420.918 4.775 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cccc(C(=O)Nc2ccccc2)c1 506744645 SWYURGVFOOEWSH-UHFFFAOYSA-N 417.465 4.898 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CN1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 513105976 FOKJBTXULUPMTN-PXPSOEEZSA-N 408.433 4.677 5 20 HJBD CCN(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C(c1ccccc1)c1ccccc1 514396516 QHQGTKLTYXHWEO-UHFFFAOYSA-N 404.422 4.575 5 20 HJBD CC(C)[C@H](Oc1ccccc1Br)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 515675532 KWBDNYHXZUADBM-UGSOOPFHSA-N 421.291 4.638 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 518288845 YWJUGLRDVRTEFL-CQSZACIVSA-N 422.416 4.869 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCN(Cc1ccc([N+](=O)[O-])cc1)C2 522068936 QPPUURQKOWNKCY-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD C[C@@H]1SCCN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 522807377 WPXADXOFELEZAS-XOBRGWDASA-N 420.918 4.722 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 523904028 MNFMVKXXMKRMTC-JOCHJYFZSA-N 417.465 4.627 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)COc2cccc([N+](=O)[O-])c2)cc1 525588996 URQZSXCOHYSSGM-INIZCTEOSA-N 406.438 4.527 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cn(-c2ccccc2)nn1 534792781 CWGKEUFWOPWLJS-CQSZACIVSA-N 407.455 4.825 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccc(Cl)cc1 537152429 UWEWMIBLEPIOQB-KRWDZBQOSA-N 408.907 4.866 5 20 HJBD C[C@H](CCO)C1(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCC1 537256413 AKOBWOZIWAZXJZ-MRXNPFEDSA-N 413.474 4.697 5 20 HJBD CN(C(=O)Cc1ccc(OC(F)F)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252342 VJRXAUPGXUJYTO-UHFFFAOYSA-N 419.409 4.525 5 20 HJBD C[C@H]1CCCCN1CC(=O)Nc1ccc(F)c(N[C@H](C)c2ccc([N+](=O)[O-])cc2)c1 539647318 FHGYYDNIJHSQRI-JKSUJKDBSA-N 414.481 4.720 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(-c2nc(C3CC3)no2)c1 543441493 GFULNIUBDPXWNV-LBPRGKRZSA-N 410.405 4.712 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(Cc2ccc([N+](=O)[O-])cc2Cl)CC1 544350999 KLJGSVRQEZXBAQ-UHFFFAOYSA-N 412.795 4.760 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1OC 547240751 KUBDNGVPDARXSU-CQSZACIVSA-N 418.465 4.686 5 20 HJBD CC(C)(C(=O)Nc1ccc2c(c1)N(CC(F)F)CCS2)c1ccc([N+](=O)[O-])cc1 551682405 PCZPOHDSCDBBDN-UHFFFAOYSA-N 421.469 4.688 5 20 HJBD Cn1ncc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1-c1ccccc1 554168314 SJBWTDTYYFNJHC-UHFFFAOYSA-N 413.437 4.991 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)c3ccc(Cl)cc3Cl)co2)cc1 558875949 DVUXTPCRKZZGMS-UHFFFAOYSA-N 413.238 4.531 5 20 HJBD CC(C)COc1cccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 566611640 SJDKSGZPPAYCLQ-UHFFFAOYSA-N 413.474 4.504 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4nccc5ccccc45)no3)cc12 581336247 ALBDPRLUCILFDI-UHFFFAOYSA-N 404.429 4.870 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@H]4CCN(c5ccccc5Cl)C4)n3)c2c1 582588427 OAQAZXSAXZUASN-ZDUSSCGKSA-N 423.860 4.697 5 20 HJBD O=C(NCc1cccc(Cl)c1Cl)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737065 QQKOQWLKMBJNPF-UHFFFAOYSA-N 423.174 4.773 5 20 HJBD C[C@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 603743241 FZXWEWOGFUDKKJ-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604095437 SVXFYCZLJMXELS-NXEZZACHSA-N 423.313 4.715 5 20 HJBD O=C(c1cc(NCCc2c[nH]c3cc(Cl)ccc23)ccc1[N+](=O)[O-])N1CCCC1 604487188 RRYJBUIAWBAQRJ-UHFFFAOYSA-N 412.877 4.620 5 20 HJBD Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1OC(C)C 607572572 CMLLGWGNENJHBW-UHFFFAOYSA-N 400.478 4.889 5 20 HJBD O=C(Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1)c1c(-c2ccccc2)[nH]c2ccccc12 608867278 MZHYYPVDLNVMRP-UHFFFAOYSA-N 423.432 4.885 5 20 HJBD COc1ccc(Nc2cccnc2[N+](=O)[O-])cc1OCc1ccc2ccccc2n1 609075139 KCBAEVSGHBXOBK-UHFFFAOYSA-N 402.410 4.869 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(C(F)(F)C(F)(F)F)cc1 609228180 HZDLZKAKDIURED-UHFFFAOYSA-N 413.302 4.842 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@H](c1cccc(F)c1)c1cnn(C)c1 609970382 ZFOSMLFHFCPXFG-HXUWFJFHSA-N 424.457 4.544 5 20 HJBD C[C@H](C[C@H]1CCOC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 610741123 KFUCATIYQYOPEQ-CHWSQXEVSA-N 409.280 4.522 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1c[nH]c2cc(Cl)ccc12 611202476 IJLBFAZQLNNHSJ-UHFFFAOYSA-N 403.891 4.814 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207716 NXHMYPGENYLDDT-DBVUQKKJSA-N 416.543 4.707 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@H](NCc1ccc(OCCOc3ccccc3)nc1)CCC2 618565266 MIDGPUGTKQXKPC-HSZRJFAPSA-N 419.481 4.615 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCO[C@H](c2ccccc2F)C1 727435449 RAOJMGPKTPOMHT-INIZCTEOSA-N 404.747 4.984 5 20 HJBD NS(=O)(=O)c1ccc(CCNCc2c3ccccc3c(Cl)c3ccccc23)cc1 729678152 AUGCORMBZWXXHI-UHFFFAOYSA-N 424.953 4.626 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 730643384 AWCRQVMRZDSRTE-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CN(CCc1ccccc1F)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 731593351 UHMSBSNVJKHUAO-UHFFFAOYSA-N 407.445 4.661 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 735491552 MGJZSHRDZJHXFM-IRXDYDNUSA-N 401.677 4.645 5 20 HJBD Cc1cccc(C2(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)CCC2)c1 744540058 PPWSJLPNTNMDBX-AWEZNQCLSA-N 416.861 4.549 5 20 HJBD COCCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 751080406 UURKRFPIBMGUMH-GFCCVEGCSA-N 414.355 4.603 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H]1CCOc2c1ccc(Cl)c2Cl 754475252 PXGPDEJZUNEGFY-GFCCVEGCSA-N 413.282 4.634 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@H](O)c2ccccc2)c1 754956676 PIWFVYGYMGTDJU-QHCPKHFHSA-N 419.481 4.579 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N(CCc1ccccc1)Cc1ccncc1 757254481 DBSULQJFXIWEFY-UHFFFAOYSA-N 424.888 4.598 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1C[C@@H](O)c1ccco1 762986075 IBJSNJBMFCYLGT-KVSKMBFKSA-N 418.515 4.563 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nc(Cc2ccccc2)no1 763810403 LVVSEOSTDNQTCQ-CYBMUJFWSA-N 413.455 4.599 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCSCC2)cc1 764265399 NPLNJJUHVLQXDV-UHFFFAOYSA-N 403.529 4.512 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)Nc1c(C)cccc1C(C)C 765530397 QXNPEMFPZJAWPS-GOSISDBHSA-N 412.486 4.777 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1cnc(C2CCCC2)s1 767693066 XXOKDGJFNKWEPH-RIYZIHGNSA-N 403.847 4.707 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1[N+](=O)[O-] 775493663 XAEXEQWMIKZKII-CYBMUJFWSA-N 403.460 4.803 5 20 HJBD CCOc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1OCC 776134489 BWJMAMJRFBJKLO-JOCHJYFZSA-N 422.437 4.734 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC=C(c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 777777573 RTUMSFVNPDXEMA-QGZVFWFLSA-N 414.465 4.702 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@@H](Cc1ccc(F)cc1)C(F)(F)F 782803616 UNJWFZWHTAYPKX-HNNXBMFYSA-N 403.353 4.543 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1nc(Cl)ccc1F 782848517 CXEYHKFYUSOMCP-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 784256610 FOWUTGQXBVZUKJ-UHFFFAOYSA-N 407.879 4.716 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1[N+](=O)[O-] 784814473 QOWVLQIGBWYUPM-JTQLQIEISA-N 419.846 4.938 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1nc(C(F)(F)F)cs1 790295133 DNBZVBXQAHHNFY-UHFFFAOYSA-N 401.135 4.551 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c1F 790865388 FDRFGBWKPUDLQY-SNVBAGLBSA-N 417.384 4.537 5 20 HJBD O=C(OCC(=O)c1csc2ccccc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 795598585 VNLZYHDCLVWAED-UHFFFAOYSA-N 413.454 4.936 5 20 HJBD COc1ccc(CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)[C@@H](C)C2CC2)c(OC)c1 800825015 XVUZQPQDZNHVGQ-AWEZNQCLSA-N 415.515 4.610 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2coc(C(C)C)n2)cc1 802968197 KXKXXJDLDKIEEE-UHFFFAOYSA-N 412.398 4.864 5 20 HJBD Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(C)n1[C@@H](C)c1ccccc1 804178278 GTYHNFOIOAUTRU-SFHVURJKSA-N 405.498 4.937 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)Nc1cccc2c1CN(c1ccccn1)C2 809917019 BPDDUPURKVYIIC-UHFFFAOYSA-N 409.833 4.807 5 20 HJBD COc1ccc2c(c1)[C@@H](C(=O)OCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CC2 811218208 QURXPZMWBVAJPY-SFHVURJKSA-N 410.451 4.500 5 20 HJBD O=C(c1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)N1CCCCC1 811472841 ORIOQDDAGRCFEH-UHFFFAOYSA-N 422.312 4.818 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)c(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812954542 QPZMKNJNSOVLHP-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD COC(=O)[C@@H](C)Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813169847 VFPGQLDHGUNROB-JTQLQIEISA-N 411.241 4.506 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1nc2ccccc2cc1Br 813244898 ATWIHBGYVJXJSX-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 814528859 ZRXKKBQFGPBQRT-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)c2nc(O)c3c(C)c(C)sc3n2)cc1[N+](=O)[O-] 815407308 OVEHEZIZJNRUHP-SECBINFHSA-N 421.862 4.802 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc1[N+](=O)[O-] 914527863 PWTBNUBOXNSSJL-UHFFFAOYSA-N 408.370 4.596 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 919950716 QMORIXZBBOFFKO-UHFFFAOYSA-N 402.432 4.648 5 20 HJBD CCO[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 920215216 AAXAOIKMHLVLBD-OAQYLSRUSA-N 420.421 4.530 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1nc(-c2ccccc2)n(-c2ccccc2)n1 921137023 WCRPRKOSMVLYAN-UHFFFAOYSA-N 420.812 4.715 5 20 HJBD Cc1cc([C@](C)(O)CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c(C)o1 1116138691 DQKNKKDLTKVHRM-HXUWFJFHSA-N 404.850 4.715 5 20 HJBD CN(C)[C@@H]1CCCC[C@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 1318670634 LEXPLLWGTOXMSU-QZTJIDSGSA-N 417.893 4.643 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3ccc(Br)cc3)o1)C2 1318785556 ZIUIJVUCGFKMEP-UHFFFAOYSA-N 400.232 4.528 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])ccc2OC)cc1 1321170134 NZDARJZUMGOWSJ-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cccc(Br)c2Cl)o1 1345739785 JCTNMBKQUSZACF-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Cn2cccc2)cc1 1516750744 ROBGCODJYUBKFT-HSZRJFAPSA-N 413.433 4.786 5 20 HJBD Cc1nn(C)c(C)c1NC(=O)[C@H](C)OC(=O)c1c2ccccc2cc2ccccc12 7552202 RAIRDGCAGNDGHW-INIZCTEOSA-N 401.466 4.527 5 20 HJBD C[C@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 11443931 LQKSKVRDYHPZOM-VIFPVBQESA-N 422.821 4.786 5 20 HJBD COc1cc(COC(=O)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 12489860 YJATWPADJAIIGI-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)N1c2ccccc2Sc2ccccc21 22500416 DMIFEERIIIJWID-AWEZNQCLSA-N 420.446 4.970 5 20 HJBD Cc1nc2ccc(N[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)cc2s1 28909363 LENZXGXCVMTMAH-VIFPVBQESA-N 424.404 4.971 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 42012387 BQIZVYOVAYKMCV-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1Sc1nnc(NCC(F)(F)F)s1 60862478 UZWFBFGTJZVBJM-UHFFFAOYSA-N 404.317 4.591 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CC=C(c2cccc3ccccc23)CC1 63690606 BJWCYPDZAQLNKJ-UHFFFAOYSA-N 424.460 4.863 5 20 HJBD COc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OC1CCCC1 65865025 CRCJDZRBOSVTLY-UHFFFAOYSA-N 412.486 4.976 5 20 HJBD COc1ccc(SCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc1 195703972 ADIFJYSDITVVDF-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 301416545 CEYVQRSDGCPZDC-DENIHFKCSA-N 411.502 4.621 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCC[C@H]2c2ncc[nH]2)cc1[N+](=O)[O-] 303108502 JUKZRUHATPYCNL-INIZCTEOSA-N 402.520 4.824 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 303760389 OGFJMLBFVNXGRU-VQTJNVASSA-N 410.517 4.868 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1c(Cl)cccc1[N+](=O)[O-] 392380200 FYEOOSIJQDUXMJ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Cl)c(F)c1 426753447 IIWLSUTXOWDCBS-SFHVURJKSA-N 404.781 4.563 5 20 HJBD Cc1c(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cccc1-c1ncco1 427455251 IGIVDGTUZXVYEY-LBPRGKRZSA-N 400.822 4.651 5 20 HJBD CC(C)(c1ccc(Nc2cccc(S(C)(=O)=O)c2[N+](=O)[O-])cc1)C(F)(F)F 428666677 RUHORAMNOUJUSG-UHFFFAOYSA-N 402.394 4.582 5 20 HJBD COc1ccc(N(C(=O)c2ccc(Br)cc2[N+](=O)[O-])C(C)C)cc1F 429797345 AXLUGANWSFMXHV-UHFFFAOYSA-N 411.227 4.560 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)[C@H](C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 436190962 XKRKDPZNUPIKKE-CHWSQXEVSA-N 404.850 4.777 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC)cc1Cl 437148669 DNCUXAZAXLESHP-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(OC(F)(F)F)c1 437233799 FPSICOGDPDQTJC-UHFFFAOYSA-N 408.254 4.789 5 20 HJBD O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441056234 BDUXYGSNZCQNQS-BULFRSBZSA-N 402.475 4.814 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1cccnc1 444229943 XWXXFJYPZJRLJR-IBGZPJMESA-N 421.447 4.524 5 20 HJBD CC[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(Cl)c1 445251514 ILUNDXGNVGYNOH-SNVBAGLBSA-N 403.685 4.953 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445688572 DUJWLZUKGVHWES-GFCCVEGCSA-N 417.259 4.866 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 460431498 ZPCYNEUIENACRD-MRXNPFEDSA-N 402.516 4.727 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463302007 FXLVEZFBNBGXFF-UHFFFAOYSA-N 422.281 4.784 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H]2CC[C@H](SCC)C2)c([N+](=O)[O-])cc1OCC 467196153 OMBXMNWQWZVMQQ-KBPBESRZSA-N 411.524 4.530 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1ccccc1[N+](=O)[O-])c1ccccc1 467984784 PCPOMHNPAGTBPI-UHFFFAOYSA-N 404.470 4.595 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccc([N+](=O)[O-])c4cccnc34)c2)n1 477802210 SUGBKTLBFNDQOO-UHFFFAOYSA-N 420.450 4.665 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(OCC(F)(F)F)c1 480708400 WHRJZWPUIJPUMQ-UHFFFAOYSA-N 414.405 4.578 5 20 HJBD C[C@@H](NCCc1cccc(C(=O)N(C)C)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 483719033 CFJPROKZDBYQRZ-MRXNPFEDSA-N 423.538 4.918 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(-c2ccccn2)cc1 485153023 SSCDXWPBZUIDNX-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 485757414 NCNGFYULOWCIPW-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD Cc1c(Br)cccc1-c1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 485871257 JTRYNNDPBPGLTF-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD CSc1nnc(CCCNc2ccc3cc([N+](=O)[O-])ccc3n2)n1C1CCCC1 486135051 GFVVKSVXVWVDFX-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])s1 486330941 WLGORFXCTWFPFU-UHFFFAOYSA-N 404.311 4.635 5 20 HJBD CCCCn1c(SCc2c(Cl)cccc2[N+](=O)[O-])nnc1[C@H](CC)N(C)C 487554169 DCZBFTFUAFJUSO-HNNXBMFYSA-N 411.959 4.945 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489937823 UWSDJVMIHQTAES-GJZGRUSLSA-N 412.877 4.698 5 20 HJBD CC[C@@H](C)Sc1ccc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2OC)c(C)c1 498433569 NBRACNXXBOJQOT-CYBMUJFWSA-N 410.517 4.603 5 20 HJBD Cc1ccc([C@@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)N2CCCC2)cc1 500147458 QJQNCOZAYJCFJE-JOCHJYFZSA-N 422.554 4.953 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500271363 WRFKJABOLRSGNW-QGZVFWFLSA-N 414.874 4.958 5 20 HJBD CC(C)(C)c1cccc(OCCNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c1 505761957 GAXMURUCMIXCPG-UHFFFAOYSA-N 411.502 4.619 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(COc2cccc([N+](=O)[O-])c2)n1 508648613 DQDKHYMXNBVKFO-GOSISDBHSA-N 417.849 4.769 5 20 HJBD CC[C@@H](NC(=O)Cc1noc(-c2ccc(C(C)C)c([N+](=O)[O-])c2)n1)c1ccccc1 519670543 RJJUCOHXMZTQOH-GOSISDBHSA-N 408.458 4.578 5 20 HJBD COc1cc(Cl)ccc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 520683600 QHCJGXFEZWQADY-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 521768772 WALGOFHSUABEOX-INIZCTEOSA-N 416.524 4.917 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)Cc1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 536677141 WCHGFJQVFKZXEB-KRWDZBQOSA-N 400.529 4.993 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 539276478 MHXLBVCALVDVSW-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 539547914 VJPOKLNQOKFOET-YJYMSZOUSA-N 401.463 4.723 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 543467160 BLOSWZIRMFSRFW-AUUYWEPGSA-N 424.432 4.641 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccnc1 544073359 XIPVEMRWIPJAAW-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3c(C)cccc3[N+](=O)[O-])cc2)cc1 557246766 YGVXTDGEJMLWIE-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 559361597 VYKLRNISLVQKOE-INIZCTEOSA-N 415.449 4.540 5 20 HJBD CN(C(=O)CCc1cccc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 564744363 BISIUASROGYBSU-UHFFFAOYSA-N 403.410 4.592 5 20 HJBD CC(C)[C@]1(CO)CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 567284127 GAGGBYAGKLDHQG-JOCHJYFZSA-N 414.527 4.617 5 20 HJBD Cn1ccnc1[C@H](NCc1ccccc1OC1CCCC1)c1ccc([N+](=O)[O-])cc1 570183777 ZUSFEVNPEYPIMC-JOCHJYFZSA-N 406.486 4.529 5 20 HJBD Cc1cc(NC(=O)CCCOc2ccc(Cl)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 603952802 BJXYQTZWMRWTPV-UHFFFAOYSA-N 414.849 4.540 5 20 HJBD COc1ccc2c(ccn2CC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c1 603997225 DZIJXVUFMQRZTM-UHFFFAOYSA-N 422.466 4.629 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 604103662 CEBWFDROEPOOIX-UHFFFAOYSA-N 424.888 4.528 5 20 HJBD C[C@H](Oc1ccccc1Cc1ccccc1)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 609101796 FBJHZRHIEZVFMG-SFHVURJKSA-N 404.466 4.612 5 20 HJBD Cc1[nH]nc(C(=O)N(Cc2ccc(-c3ccccc3F)s2)C(C)C)c1[N+](=O)[O-] 609166603 HRZSXOXGKGUUQH-UHFFFAOYSA-N 402.451 4.545 5 20 HJBD COc1ccc(CN[C@@H]2CCc3c(O)cccc32)cc1OCc1ccc([N+](=O)[O-])cc1 609223758 VHSOENIGPGSQAT-OAQYLSRUSA-N 420.465 4.665 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609263098 IHGAGVIRBXNQTB-LJQANCHMSA-N 409.486 4.571 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cc(C)cc(C)c3)s2)c1 609648208 NLLOHRHWTLJYJK-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 609935576 FTKAUHCXTYKNFC-MGPUTAFESA-N 419.909 4.944 5 20 HJBD O=C(c1cc(-c2ccccc2)n(-c2ccccc2)n1)N1CCc2c1cccc2[N+](=O)[O-] 609973353 FPVOWRCHNFVBCD-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291516 RCWZUPDXDDFDRN-UHFFFAOYSA-N 411.767 4.721 5 20 HJBD C[C@@H](N[C@H]1CCN(C(=O)C2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 610833246 OSWJBVXPADHZJJ-WBVHZDCISA-N 413.543 4.765 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)[C@@H]2CCCc3c2cnn3C)cc1[N+](=O)[O-] 610977164 VFHIOICBPLSUJL-QGZVFWFLSA-N 416.547 4.616 5 20 HJBD Cc1[nH]ccc(=NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1Cl 612641723 AYJWRSILAIVZME-UHFFFAOYSA-N 405.907 4.908 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cccc(SC)c2[N+](=O)[O-])c2ccccc2)cc1 614392382 LDRNJASVGNKZJY-FQEVSTJZSA-N 408.479 4.845 5 20 HJBD CSc1cccc(C(=O)N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)c1[N+](=O)[O-] 614444683 HGXUDCPMZIULDV-OXJNMPFZSA-N 400.500 4.557 5 20 HJBD C[C@@H](OC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1)c1ccccc1[N+](=O)[O-] 726326502 UYMIFBJWBWEHCS-IPJUCJBFSA-N 416.518 4.844 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 731978850 AUSVBGCFBCJNJT-UHFFFAOYSA-N 416.437 4.636 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1NC(=O)C1CCCCC1 734778768 BRXVSCJJRKXUFN-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccc(F)c(F)c1 740483130 NKLGQXQHUKAZNX-HXUWFJFHSA-N 403.429 4.742 5 20 HJBD CC(C)(C)n1ncc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1C(F)(F)F 746069110 RFUUUZNCAOKMOW-UHFFFAOYSA-N 405.760 4.576 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 748776244 ANVPGQICTSCKOT-UHFFFAOYSA-N 416.890 4.535 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750185003 HGVDGMWZUXQJDM-GOSISDBHSA-N 410.861 4.931 5 20 HJBD C[C@@H](OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1nc2ccccc2n1C(F)F 758730434 GNRIZUBLJACPRJ-LLVKDONJSA-N 414.368 4.668 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2ccc(F)cc2)cn1 759019840 KBCGKVVAUUXOOX-UHFFFAOYSA-N 406.373 4.584 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 762992472 ZNIZYGIOYFOHFT-LBPRGKRZSA-N 422.840 4.544 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 763402558 KEAJCICJTRRDKV-HNNXBMFYSA-N 412.467 4.786 5 20 HJBD CCC[C@@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 763854390 VTHPNTJYRBSBOA-WCQYABFASA-N 404.385 4.556 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)nc2ccccc21 765060002 MTQBSJOVUSKJLK-INIZCTEOSA-N 421.501 4.617 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(Cl)nc1C(F)(F)F 766387434 UGUSVHJQOWCELX-UHFFFAOYSA-N 408.163 4.588 5 20 HJBD CSc1cccc(C(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c1[N+](=O)[O-] 776919072 JZUQUHOIACFOPG-CYBMUJFWSA-N 410.417 4.965 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)[C@H](C)c1c(C)nn(CC(F)(F)F)c1C 778006819 YHVPFPCOBZPLOH-SECBINFHSA-N 419.787 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 778624744 QNYDAFHGKQNZLQ-ZDUSSCGKSA-N 417.443 4.604 5 20 HJBD CCCn1ccc2cc(NC(=O)c3cccc([N+](=O)[O-])c3Br)ccc21 782168936 FTODWGQOWDTKCN-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 786647454 LRCCKXNNXWOMBY-UHFFFAOYSA-N 411.270 4.589 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c(Cl)c1 796443879 RTIKCOKGGDJENF-AWEZNQCLSA-N 418.877 4.713 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1Cl 809220239 CLJXCPKYSIDNKA-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD CC(C)N(C)Cc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813447863 JGLYEOVJROMUJD-UHFFFAOYSA-N 410.301 4.672 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Sc1ccccc1 818025273 BQIZNIUMGVMZSR-HNNXBMFYSA-N 422.462 4.930 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C(C)C)c1C 877030768 JBBZADNQPSNYJJ-GOSISDBHSA-N 404.850 4.685 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Cc1nc(-c2ccc(Oc3ccc(F)cc3)nc2)no1 904509118 UEVCESVTGDVDDR-UHFFFAOYSA-N 410.336 4.701 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 913915382 WWYDBBJSAOZCFV-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 917768931 UPNZYUBYSODVPG-UHFFFAOYSA-N 403.410 4.940 5 20 HJBD CC(C)CC(=O)Nc1ccc(C2(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)CCC2)cc1 1787663415 CYEYLCNGFXXURH-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD CC1CCN(Cc2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)n2)CC1 14527193 USQNJCYJNJSIRZ-UHFFFAOYSA-N 416.528 4.750 5 20 HJBD Cc1sc2ncnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2c1C 20789963 TZKHTZUXDGVBPM-JTQLQIEISA-N 422.919 4.989 5 20 HJBD CN(C)C(=O)c1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1Cl 49731030 YZYVJTLQRWYJSV-UHFFFAOYSA-N 403.869 4.996 5 20 HJBD COc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(OC)cc1Cl 50290109 VPDOXEOJVSQISJ-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50291922 OQZQWRFRKFBRPD-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c2ncccc12 60103015 HLDQCMNSFZIPEK-UHFFFAOYSA-N 413.359 4.960 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC(c2ccccc2)c2ccccc2)cc1OC 105003809 QTAOSYFWBHWUDE-UHFFFAOYSA-N 420.465 4.564 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N 303229877 XKNVBJGBPPZBIE-UHFFFAOYSA-N 413.380 4.595 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2ccc3c(c2)CCO3)n1 303427328 ZYDCOXLYKWWZEX-AWEZNQCLSA-N 421.478 4.711 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3cccc(OCCCc4ccccc4)c3)CC2)n1 425993338 KPYDGSGEOUPSMY-UHFFFAOYSA-N 420.513 4.640 5 20 HJBD CCCS(=O)(=O)Nc1cccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1C 433216266 AAOWWGJWEYKRFT-HXUWFJFHSA-N 417.531 4.889 5 20 HJBD COc1cccc(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)c1[N+](=O)[O-] 435863668 BMQPLNQHLLYSOB-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437133407 IZJWHJSZZHUXPG-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437563260 ZZBNKDXHRMRQFT-UHFFFAOYSA-N 424.482 4.794 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440761192 NHCFFECEOYSVFO-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD CCCN(C(=O)c1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 441437742 RHIJQJNSPYOKLB-UHFFFAOYSA-N 420.347 4.720 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS(=O)(=O)[C@H]1CCc2c(Cl)cc(Cl)cc21 443441045 JOLZAGAMZLUZCM-KRWDZBQOSA-N 416.282 4.513 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444010698 QLINDPIHBJGMIH-HNNXBMFYSA-N 416.493 4.707 5 20 HJBD CCc1[nH]nc(C(=O)Nc2cc(C(F)(F)F)ccc2SCC(F)F)c1[N+](=O)[O-] 444256033 HLQUPEZLIKJEEW-UHFFFAOYSA-N 424.351 4.509 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446905086 FAJDHHBMVMXBMG-HNNXBMFYSA-N 407.474 4.544 5 20 HJBD CN(Cc1ccc2ccccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 446937585 SFKVEVXGHPOHKU-UHFFFAOYSA-N 424.400 4.588 5 20 HJBD COCC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 447882203 NYGZIQDHSHUERV-UHFFFAOYSA-N 406.891 4.508 5 20 HJBD COc1ccc(CN2CCC(CNc3ccc([N+](=O)[O-])c(OC(F)F)c3)CC2)cc1 462382963 BLIRKCDKNNYZNP-UHFFFAOYSA-N 421.444 4.529 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1cccc(Br)c1)C1CCOCC1 467299158 HXKDXIMWXPJAHN-UHFFFAOYSA-N 405.292 4.539 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(F)c1Br 468273641 AVBWCZFKTOHGIF-NSHDSACASA-N 413.218 4.843 5 20 HJBD CCc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 471261962 VAXWXJMKDMPYNA-INIZCTEOSA-N 404.850 4.621 5 20 HJBD CC[C@H](OC1CCCCC1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 471392834 XQICEJPGNOFALP-KRWDZBQOSA-N 402.413 4.614 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCSCc1ccc(C(F)(F)F)cc1 475887935 KZSRGKNYCUYQKH-UHFFFAOYSA-N 414.405 4.884 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)c(F)c1 476472927 RTNCXXHXAZMYMP-AWEZNQCLSA-N 420.491 4.525 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cccc(O)c2)cc1[N+](=O)[O-] 477288347 FGPPRFIKBLLHMB-UHFFFAOYSA-N 408.435 4.506 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@]1(c2ccccc2)CCCCC1=O 477655171 RISUPEQGUHCPPC-QHCPKHFHSA-N 405.454 4.720 5 20 HJBD Cc1c(CN[C@@H](C)c2ccc(OC[C@H]3CCCCO3)c(F)c2)cccc1[N+](=O)[O-] 478494200 UBQQDYJCWJQPRV-QFBILLFUSA-N 402.466 4.841 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(F)(F)F 479242879 LIJJOKBFUSKWQH-GOSISDBHSA-N 404.432 4.638 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)CCC2 479545226 QHMTTWRSGOMVKR-XJKSGUPXSA-N 402.520 4.702 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@@H](c1cccnc1)c1csc2ccccc12 480953595 GSPJNFOWPCVTNK-NRFANRHFSA-N 420.494 4.795 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2C)CC1 482561384 RKUNFQSFRNQQGN-QZTJIDSGSA-N 414.525 4.596 5 20 HJBD CC[C@H](Oc1ccccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 482563767 ZSDWRQSOFOHBEN-ZDUSSCGKSA-N 400.765 4.646 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccc(OC(F)F)cc2)o1 485365921 XGAGHSWPAITLBD-UHFFFAOYSA-N 409.370 4.547 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)nc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486425661 NJNVMKLGOLWUHF-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1ccc([C@H]2C[C@H](C)N(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 486638752 MOXMLIUJQZAYIX-RXVVDRJESA-N 407.514 4.524 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(OC(F)F)cc1 486776573 UCFPHVGGIROJCW-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2OCC2CC2)cc1SC 486851115 RUTFAUAYGCBNBG-UHFFFAOYSA-N 406.435 4.506 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)cc1[N+](=O)[O-] 487036747 WPIBFIVNMHGXEG-INIZCTEOSA-N 423.494 4.693 5 20 HJBD O=C(Nc1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1)c1cccs1 490432598 QVSACSBZESHUOT-UHFFFAOYSA-N 421.478 4.713 5 20 HJBD CC[C@@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(OC)cc1 494211126 DIPGHSRFDJPQPP-QGZVFWFLSA-N 411.380 4.573 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2cc(Br)cs2)cs1 497941292 KQGIMJSRMNMLQA-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2Cl)CC1)c1ccccc1 502321938 XJDILRSJQXOYQB-GOSISDBHSA-N 401.894 4.845 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 509569320 CJAZPLWSDQPELE-SFHVURJKSA-N 411.502 4.543 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@@H]1c1cccc2ccccc12 510092676 SZWZTPLETFGDCB-HSZRJFAPSA-N 412.449 4.911 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 511758673 RMHBHNJHDFDWPL-UHFFFAOYSA-N 420.469 4.641 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2[C@H]3CC[C@@H]2C[C@H](c2ccccc2)C3)cc1OC 513030656 HPSJFEDLXQUVHX-BCDXTJNWSA-N 410.470 4.553 5 20 HJBD CN(Cc1cccc2ccccc12)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 515593834 XDGSPPSTGJLBHE-UHFFFAOYSA-N 403.482 4.623 5 20 HJBD CCC[C@H](NCc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)N1CCc2sccc2C1 518279056 ALOGBCUBZIPZPB-IBGZPJMESA-N 415.559 4.623 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc3nc(C(C)C)sc3c2)cc1[N+](=O)[O-] 520048339 GGWXCILTSGBYFY-UHFFFAOYSA-N 400.460 4.658 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C/c1nc(-c2cccc(OCc3cccnc3)c2)no1 521048260 OEHBRSURFXTEFE-VQHVLOKHSA-N 418.384 4.928 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccncc4Oc4ccccc4)n3)c2c1 521509203 RWVUJFRTRBHDAV-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC(c2n[nH]c(-c3ccccc3)n2)CC1 522239132 XNXZRYIZOUBPPC-UHFFFAOYSA-N 417.391 4.783 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 524418772 DMTRBIPIMZDLQJ-LJQANCHMSA-N 415.453 4.759 5 20 HJBD Cc1cccc(NC2CCN(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)CC2)c1 524784408 LALPBGGMGLTSCP-UHFFFAOYSA-N 410.518 4.512 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)c1 525146008 ZAWGHDZFUAKMSQ-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD CC[C@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])Oc1ccccc1C(F)(F)F 531002045 IDLLHQLSUPKRHC-LLVKDONJSA-N 422.363 4.532 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H](c2nc3ccccc3s2)C1 534249636 RZYXCUVDDTUFMT-ZDUSSCGKSA-N 406.467 4.706 5 20 HJBD CCc1ccc([C@H](NC[C@@H](C[C@@H](C)O)c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 541545642 NSPCFRCWABAPQO-WSCWJOSJSA-N 408.498 4.984 5 20 HJBD COc1ccc(NC(=O)NCc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 542961362 JRVJNNJMQQRFCL-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 543370162 YZJXZKYQUQIYKR-KDOFPFPSSA-N 418.877 4.635 5 20 HJBD CC(=O)c1cc(N2CCCN(Cc3ccc(C(F)(F)F)cc3)CC2)ccc1[N+](=O)[O-] 550342851 ZWPNQNHMDQAOTA-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc4c3OCC[C@@H]4OC)o2)c([N+](=O)[O-])c1 556090756 FBAPGAVGQACOSR-FQEVSTJZSA-N 410.426 4.946 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCc2cnc3n2CCCC3)cc1 560095389 TXHQUUDENFXRNQ-UHFFFAOYSA-N 412.877 4.863 5 20 HJBD C[C@@H](C(=O)N1CCC(c2nc(C(C)(C)C)cs2)CC1)c1cccc([N+](=O)[O-])c1 562399740 WVXLDISZRBSFCX-CQSZACIVSA-N 401.532 4.859 5 20 HJBD CC(C)[C@H]1CN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCCO1 564111522 AHWGSBPPYJFLCW-GOSISDBHSA-N 400.500 4.633 5 20 HJBD CC(=O)c1cc(Br)ccc1OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 570167641 IINVXQWESAMBCZ-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD CCSc1cccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 572268445 VYBFUSRDHTYOIK-UHFFFAOYSA-N 402.519 4.583 5 20 HJBD Cc1cc([C@@H](C)NCc2cc(I)cc([N+](=O)[O-])c2)c(C)s1 572923007 GUIDFIRAHTYVGA-SNVBAGLBSA-N 416.284 4.729 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(C(=O)Nc3ccccc3)c2)n1 575216896 TXGPXRMHTLUPQZ-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD CCOCc1ccc(-c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)cc1 581460605 XHPQVTPMUKHLMA-UHFFFAOYSA-N 411.461 4.790 5 20 HJBD C[C@H](Cc1cccs1)Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-] 603579660 RYQGCRDEGJDENY-CQSZACIVSA-N 417.512 4.500 5 20 HJBD COc1cc(CNC(=O)c2ccc(SC)c([N+](=O)[O-])c2)ccc1OC1CCCC1 603761203 CHQAWPRTZTXKQQ-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CC(C)Cn1c(SCCCOc2ccc([N+](=O)[O-])cc2)nnc1-c1ccco1 603945634 FOBHLMFWCUFNEO-UHFFFAOYSA-N 402.476 4.664 5 20 HJBD C[C@@H](NC(=O)N[C@H](COc1ccccc1F)c1ccccc1)c1cccc([N+](=O)[O-])c1 604126134 JFLINJJALFRNLO-IIBYNOLFSA-N 423.444 4.914 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(F)cc1)c1ccccc1Cl 604473991 PWTKEMIJKODMPD-HXUWFJFHSA-N 413.836 4.976 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc(C)c(C(N)=O)c3)cc2[N+](=O)[O-])cc1 608948075 SNTURYONTBYKCX-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 609192371 VEHSXQWSKSGFJE-CLAHSXSESA-N 413.318 4.632 5 20 HJBD CCCOc1cc(NC(=O)C2(c3cccc(F)c3)CC2)c([N+](=O)[O-])cc1OCCC 609641278 OOEUBCAUKBOQIT-UHFFFAOYSA-N 416.449 4.982 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 611253533 FAUOZGSZTBNXEP-UHFFFAOYSA-N 406.438 4.655 5 20 HJBD CC(C)Oc1ccc(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 726494546 VYQXBTCYLRPXSL-UHFFFAOYSA-N 413.421 4.887 5 20 HJBD O=C(Nc1cc(-c2ccccc2F)no1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 732830059 JEMBNVOMLQYIHO-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 734806297 YXPUGQOJWKVDEA-KRWDZBQOSA-N 421.316 4.596 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)c2ccccc2Cl)cc1 739655881 GCZIYPVQPGVZGK-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc2c(c1)CCCC2 744001401 GHCSIOHOTQCCDM-UHFFFAOYSA-N 420.469 4.574 5 20 HJBD Cc1cc(C(=O)COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc(C)c1F 744895229 PPLHIOFOFAQJNH-UHFFFAOYSA-N 414.414 4.514 5 20 HJBD CC(=O)[C@H](C)OC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 748579254 LQEHUDUYCYDXBA-LUYJPIOASA-N 410.451 4.656 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(N(C)C)cc1 749132530 OQVVUYQTJCCEMV-NSHDSACASA-N 424.320 4.543 5 20 HJBD C[C@H](SCc1cccc(OC(F)F)c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 750005352 NVARVFOGXNFYTH-JTQLQIEISA-N 400.378 4.596 5 20 HJBD C/C(=C/c1ccccc1)CN(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 757646291 PUPFLPLXLIBJPX-WQLSENKSSA-N 407.239 4.672 5 20 HJBD C[C@@H](C[C@@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764580087 BOOKPKDINXIBMP-MGPUTAFESA-N 414.527 4.872 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)Nc1c(Cl)c(Cl)cc(Cl)c1Cl 767062017 GHRXHJSJNSIYGA-UHFFFAOYSA-N 424.071 4.921 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)ccc1Br 776251964 GQOSZMLREUMMRP-YJBOKZPZSA-N 405.292 4.615 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCc1ccc([N+](=O)[O-])c(F)c1 782089065 GPTRSVAYBSEXIT-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccc([C@H](C)NC(=O)OC(C)(C)C)cc1 797422976 IEAPCIXMFBXMFX-AWEZNQCLSA-N 401.463 4.801 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 797836175 ZLSJFGRNFPAFFU-CTYIDZIISA-N 421.375 4.928 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl 800052031 DIMGOMUPTKKLRL-UHFFFAOYSA-N 413.641 4.803 5 20 HJBD COc1cccc(-c2nc(COc3ccc(Cl)c([N+](=O)[O-])c3)cs2)c1OC 808056255 KRUPSRIOYLFYMD-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)nc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812917849 IDIBDYZQDUXIOY-UHFFFAOYSA-N 410.257 4.548 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813135089 ZKTGUEXQCQASJC-ABKXIKBNSA-N 401.221 4.547 5 20 HJBD O=C(CCCOc1ccccc1Br)Nc1cccc([N+](=O)[O-])c1Cl 813244872 VHGACSSECZOLML-UHFFFAOYSA-N 413.655 4.808 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccn(-c2ccc(Cl)cc2Cl)n1 813247064 MOSMZWPEIPLAOI-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3ccc(F)cc3)n2C[C@H]2CCCO2)o1 913023942 OGPAXTVMQPOMRR-HGPCXVNDSA-N 416.434 4.527 5 20 HJBD COc1ccc(CSCC(=O)OCc2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 914801358 RSCYXVWTNJUUTL-UHFFFAOYSA-N 415.389 4.599 5 20 HJBD CC/C=C(/C)C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 920507824 RCOSSCWWTWHSKQ-MEVIEEELSA-N 402.834 4.828 5 20 HJBD C[C@@H](OC(=O)C(F)(F)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 921096820 MSKNXGXSCIEPJU-SNVBAGLBSA-N 423.759 4.694 5 20 HJBD O=C(NCc1cnc(-c2ccccc2)s1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 1117716251 WQMIQXHTVKDXKE-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD CC(C)Oc1cc(Br)cc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1318988040 FTCLQOAPZYWGDX-UHFFFAOYSA-N 420.263 4.692 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)o2)cc1C 5779242 UKRJCOJFNUFAFV-GFCCVEGCSA-N 416.434 4.520 5 20 HJBD COc1cc(C(=O)Nc2ccc(SC(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 10808711 WOYFNSVZTYAFSP-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3nc(SC)sc3c2)c([N+](=O)[O-])cc1OC 18080787 RKWVOHFLZBWENS-UHFFFAOYSA-N 419.484 4.586 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1ccc(Cl)cc1 22253811 FPBDUYFVQSRSCS-ZDUSSCGKSA-N 423.925 4.859 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccccc1Cc1ccccc1)c1cccc([N+](=O)[O-])c1 56196008 UYWIHGBIDWCNQZ-ZWKOTPCHSA-N 403.482 4.863 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(Br)cc1 59782314 VFPKZQOOXQQSAU-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1)c1ccc2c(c1)CCC(=O)N2 302187677 WPRYIGLVMLKACJ-OAHLLOKOSA-N 418.453 4.627 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1c(Cl)cccc1[N+](=O)[O-] 426274834 DTJIYZSHYXYJMW-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cc(Cl)ccc1Cl 428674927 KEIYVGPBEMYGOF-VIFPVBQESA-N 406.225 4.646 5 20 HJBD CC[C@@H](NC(=O)Nc1nc2c(COC)cccc2s1)c1cccc([N+](=O)[O-])c1 429081137 OYDGLAMQKYINIR-OAHLLOKOSA-N 400.460 4.624 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1cccc2ccccc12 431138734 OKXOCBQGCFLOCB-PZJWPPBQSA-N 422.506 4.772 5 20 HJBD O=C(c1cc(N[C@@H]2C[C@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-])N1CCCC1 435573771 JVVXFUHJYBZPJL-KBXCAEBGSA-N 403.841 4.591 5 20 HJBD Cc1ccccc1OCCCN(C)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436076167 PADLUFZIKRTASU-UHFFFAOYSA-N 418.468 4.670 5 20 HJBD CN(Cc1nc(-c2ccccc2OCc2ccccc2)no1)c1ccccc1[N+](=O)[O-] 438730398 CZDYDTIJMCWRRH-UHFFFAOYSA-N 416.437 4.860 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)Cc1cccc([N+](=O)[O-])c1C 439074617 FNQYQAZNBUSPLA-OAQYLSRUSA-N 404.470 4.515 5 20 HJBD O=C(c1ccc(Br)o1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440613307 NRDGWGQDVJBJPI-UHFFFAOYSA-N 401.216 4.797 5 20 HJBD Cc1c(NC(=O)c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)cnn1CCC(C)C 441542287 OIOQHNNMJZAHDS-MRXNPFEDSA-N 413.522 4.634 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H]3CCCn4cc(C)nc43)c([N+](=O)[O-])c2)cc1 443877082 JKTHEYAFRCAQFA-SFHVURJKSA-N 419.485 4.650 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@H](c2cccc(Cl)c2)C1 444321923 ICAJZKSUXVDJCL-QFIPXVFZSA-N 418.880 4.548 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)c1[N+](=O)[O-] 444338955 HBFULTSXGUBFOX-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD O=C(Nc1ccc(Oc2nc3ccccc3s2)c(Cl)c1)c1n[nH]cc1[N+](=O)[O-] 444495725 YIZOMTQSHDIBHM-UHFFFAOYSA-N 415.818 4.626 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(C)C 462984929 CSNDPJCFTPLPOL-AEFFLSMTSA-N 408.502 4.972 5 20 HJBD C[C@@H](Sc1nnc(-c2cccs2)n1C[C@H]1CCCO1)c1ccccc1[N+](=O)[O-] 463047133 FNDRXEUNRCTSLO-ZIAGYGMSSA-N 416.528 4.947 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 463793211 HXDYCTNXYLTTNX-SECBINFHSA-N 401.410 4.859 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3cc(OC(F)F)n(C)n3)c3ccccc3n12 464561637 QXPVIWYCIJLXOK-UHFFFAOYSA-N 421.407 4.536 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@@H](CSc2ccccc2)C1 464773732 PNKDRNIKUZYQSK-JKSUJKDBSA-N 410.499 4.820 5 20 HJBD C[C@@H](O)C[C@@H]1CCCN1C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 468050768 ZKORIDNEVKIHDE-HIFRSBDPSA-N 420.918 4.775 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 470669316 RIUCSSUEKWJSFB-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD O=C(Cc1csc(COc2ccc(Cl)cc2)n1)Nc1cc(F)cc([N+](=O)[O-])c1 470710626 SEVPMCJSSQLKPA-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD CCc1ccc(C(=O)N(C[C@@H]2CCCO2)c2nc3ccccc3s2)cc1[N+](=O)[O-] 471287156 IIBDWUSVVFDURG-INIZCTEOSA-N 411.483 4.593 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](c1ccccc1)c1cccnc1 475215054 KBIDLZPFLUWWJB-GOSISDBHSA-N 416.359 4.920 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 475561708 CLINQEKCBNBTHS-SFHVURJKSA-N 401.438 4.564 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br)CCC2 478277177 GVMITGOOTDRTRO-AWEZNQCLSA-N 424.320 4.526 5 20 HJBD COc1ccc(NC(=O)c2ccc3noc(-c4ccc(F)cc4)c3c2)c([N+](=O)[O-])c1 480328721 OEVLSZHQXKTBJW-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD Cc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1-c1ncco1 481902661 HDEZWJOOQMQZAV-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@@H]1CCCC[C@@H]1C(F)(F)F 482222598 GJAOQOCRJJUSTC-YJBOKZPZSA-N 416.443 4.640 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(Cl)c2OCC(F)F)cccc1[N+](=O)[O-] 487486664 PYXODNKAVIXUDR-LLVKDONJSA-N 413.808 4.640 5 20 HJBD C[C@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 488273972 SBBPULHPVAJQLD-HNNXBMFYSA-N 424.888 4.913 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494206997 AMPNNTRJEXZKDZ-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCc3ccc(C(C)C)cc32)cc1SC 494243191 YFWQIWLWTWJCHW-QGZVFWFLSA-N 400.500 4.866 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2ccc([N+](=O)[O-])cc21 495156488 IDCKHOPDRSXPBT-LBPRGKRZSA-N 413.886 4.866 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1ccc([N+](=O)[O-])c2cccnc12 498650645 XDMZIISVBKVXMB-UHFFFAOYSA-N 422.622 4.517 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 501351407 OZVRZWNZXBCGCT-IAGOWNOFSA-N 408.426 4.636 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](c2ccccc2Cl)C(C)C)cc1[N+](=O)[O-] 502816577 ZCHUDKZVMNRUSQ-IBGZPJMESA-N 405.882 4.796 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(=O)Nc1cccc2ccccc12 503005228 QNTRJDHULDFMHC-UHFFFAOYSA-N 423.494 4.619 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@H]1C[C@H]2CC[C@H]1O2 504526543 GCPVZWYQBUGICT-RVKKMQEKSA-N 421.375 4.863 5 20 HJBD Cc1ccc(Br)cc1-c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 508596621 UDSWMECEKMRGDK-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3ccc([N+](=O)[O-])cc3F)sc2C)cc1 515588804 PPNPUTGXGGWCGS-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD CS(=O)(=O)c1ccc(/C=C\c2nc3cc([N+](=O)[O-])ccc3n2-c2ccccc2)cc1 515866597 WOQDBWZPPWIRCH-ZROIWOOFSA-N 419.462 4.508 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 520743069 KQKQCYRATFNINU-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc([C@H]4CCOC4)cc3)cc2[N+](=O)[O-])CC1 521633652 BTVFYRPJYDFVBV-IBGZPJMESA-N 409.486 4.587 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 521821847 ZOBPMNPCNBPTEX-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD Cc1[nH]nc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)c1-c1ccccc1 523333458 GVJVIEDLESXZMR-UHFFFAOYSA-N 419.485 4.782 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1ccc([N+](=O)[O-])cc1Br 523512288 SVFXQEGWLQVDLF-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD CCC[C@H](CCc1ccccc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 525550797 KXKOPYHGJNRFNM-OAQYLSRUSA-N 409.530 4.729 5 20 HJBD Cc1cc(N[C@@H](c2ccccc2)c2nccn2C)c(Br)cc1[N+](=O)[O-] 530436803 KNKRKLTWESYTQM-KRWDZBQOSA-N 401.264 4.601 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCc2sccc2[C@@H]1c1cccs1 534990714 SOZZTYYEWIBDON-LJQANCHMSA-N 409.492 4.987 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@H](Oc4cccc(Cl)c4)C3)o2)c1 535378164 VXFUVFNREMNHAU-LJQANCHMSA-N 413.861 4.599 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 537132709 MYWYFJSMZMWPRJ-LJQANCHMSA-N 410.474 4.921 5 20 HJBD CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)c(Cl)c1 538435546 ZJZBJCLSCDLYAV-UHFFFAOYSA-N 406.719 4.605 5 20 HJBD CCOc1cc(Sc2nnc(COc3cc(C)ccc3C)o2)ccc1[N+](=O)[O-] 538896045 ZSLFAZOXAGEIRH-UHFFFAOYSA-N 401.444 4.724 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 542523977 FGACHHUTEUUONP-HXUWFJFHSA-N 409.511 4.501 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccn2)cc1 542554436 HGKNQRAEEAWLMH-OPAMFIHVSA-N 407.445 4.641 5 20 HJBD Cc1nnc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 545350759 CFZQXOXRDDGVLT-NSHDSACASA-N 406.533 4.528 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cnccn3)no2)cc1Sc1ccc(Cl)cc1 546639563 WXAZYXPZDLCLHI-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD Cc1ccc(C(F)(F)c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cc1 547172473 YBVAWQAHWCISIB-UHFFFAOYSA-N 424.201 4.780 5 20 HJBD C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCc1cccc([N+](=O)[O-])c1 549154123 UDBUDIIJZVKIEK-GOSISDBHSA-N 403.482 4.763 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)Nc2nc(O)c3cc([N+](=O)[O-])ccc3n2)cc1 553410674 LZRMYVZZVWTSSC-CQSZACIVSA-N 416.437 5.092 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590122708 VDDKOYGDBCZUPF-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 603899976 WWWHJBYARWWPMC-HOTGVXAUSA-N 410.518 4.704 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@H](C)c1ccc(OCCC(C)C)c(OC)c1 603932326 DEYWRFMTUOXKPI-OAHLLOKOSA-N 415.490 4.561 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 604077295 JMRNYBBWUJANTE-FQEVSTJZSA-N 413.836 4.976 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@H](c2ncc[nH]2)C1 609781533 NILCWZXATCPIIO-HNNXBMFYSA-N 407.430 4.522 5 20 HJBD CC[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])Cc1cccc(Br)c1 609868413 SZHLGVHVZGNSPR-AWEZNQCLSA-N 405.292 4.664 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610042651 XILWKXBYVVJUCJ-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1OC 610171351 OYFWQYQLILHZSH-JTQLQIEISA-N 413.257 4.752 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(NC(C)=O)c1C 611538400 PLYKEEPNUCFAAJ-UHFFFAOYSA-N 401.488 4.924 5 20 HJBD CCOc1cc(NC(=O)N2C[C@H](C(F)(F)F)CC[C@H]2C)c([N+](=O)[O-])cc1OCC 611555814 DYTLFVVXBASGSS-VXGBXAGGSA-N 419.400 4.587 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C\C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 729871906 MNYCADLQCFOOHL-SSCKCOOKSA-N 423.425 4.579 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(c1ccc([N+](=O)[O-])cc1F)C2 731663395 UURBBWFBRLEFLA-UHFFFAOYSA-N 406.417 4.941 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CSCc2ccc(S(=O)(=O)C(F)F)cc2)c1 735506723 KGIIRUXGXAWJMM-UHFFFAOYSA-N 407.847 4.678 5 20 HJBD COC[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 735744604 UOXKKBDLSHFTLG-AWEZNQCLSA-N 417.771 4.776 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(S(=O)(=O)C2CCCC2)cc1 736158143 IWCCYJJSQKJBSM-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD COC(=O)[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 744982402 FDQNARYRMGHEJD-AWEZNQCLSA-N 418.616 4.626 5 20 HJBD C[C@H](OC(=O)[C@H]1CCSc2ccccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745430180 WWEHSQPZKCSZLY-LRDDRELGSA-N 411.439 4.529 5 20 HJBD CC1CCN(c2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2)CC1 750820085 XIKBCGUXDNGZHQ-UHFFFAOYSA-N 418.291 4.846 5 20 HJBD Cc1cc2oc(=O)cc(COC(=O)c3c(F)ccc([N+](=O)[O-])c3F)c2cc1C(C)C 752559211 MGJASFBLUGUGQG-UHFFFAOYSA-N 417.364 4.768 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2ccccc2)C[C@H](O)c2cccs2)cc1 755727944 DKRFPWLKHLDCMS-SFHVURJKSA-N 413.524 4.589 5 20 HJBD COC1CCC(OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CC1 757939162 GYHXCZHANPFWBF-UHFFFAOYSA-N 408.501 4.625 5 20 HJBD Cc1noc(C)c1-c1ccc(OCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 762231594 SYIXCTPWZOVFFH-UHFFFAOYSA-N 401.806 4.538 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(N2CCCCC2)cc1 762422495 GVXHVEUURPIUGD-UHFFFAOYSA-N 423.391 4.621 5 20 HJBD C[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(OCC(F)(F)F)cc1 765323158 DBYJHIUAKGYLLJ-OAHLLOKOSA-N 423.435 4.856 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1nc2cc(C(F)(F)F)ccc2o1 767239553 KNYYDZWXIWZPRS-UHFFFAOYSA-N 412.345 4.590 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccco1 768951324 KJOUFFYLNMGZBE-GOSISDBHSA-N 401.806 4.761 5 20 HJBD Cc1cccc(C)c1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775086049 JWFDSNQIHLKAIX-OAHLLOKOSA-N 416.499 4.896 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(C[C@H](O)c4cccc(F)c4)n3)cc2)cc1 777718564 CPLSCUQWHITEIF-FQEVSTJZSA-N 421.384 4.852 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)c(OC(F)F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 780232336 LXISYPJLNMYSMP-UHFFFAOYSA-N 415.736 4.627 5 20 HJBD O=C(OCc1cc(Br)cs1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781258376 CDOPUVPQJWFLBJ-UHFFFAOYSA-N 414.280 4.862 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc([N+](=O)[O-])c1C 784944437 VHTXISZRNWQDPT-LLVKDONJSA-N 417.805 4.533 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(-c2cccnc2)nc2ccccc12 801090691 CDQWFUVYOLSQFB-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(C(F)(F)F)nc1 803062654 VCTVAOUVPZFJEV-QMMMGPOBSA-N 408.254 4.945 5 20 HJBD CC(C)c1ccc2occ(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 805595728 SLIQRKNFSIADCW-UHFFFAOYSA-N 407.382 4.871 5 20 HJBD Cc1nc(C(C)C)ccc1COC(=O)c1cc([N+](=O)[O-])c(Br)cc1F 815217431 DOEBEEVHKZRCSL-UHFFFAOYSA-N 411.227 4.680 5 20 HJBD COc1ccc(NC(=O)N(C)[C@@H](C)Cc2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 1123378115 XRCIOAXEEIJWHU-LBPRGKRZSA-N 411.380 4.717 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 1320341898 YMYHGQTWDVCGIG-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD COc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1Br 1342826132 VUPSTIMITSNYRQ-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD O=C(Nc1nc(-c2ccc(F)c(F)c2)cs1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 14585820 ZCHGYPAERWZSRM-UHFFFAOYSA-N 416.409 4.823 5 20 HJBD C[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1c(Cl)cc(Cl)cc1Cl 28630783 FHHAKMOIBVVCHU-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)oc2ccccc12 54085834 XBQHBFPHDQJZDB-CQSZACIVSA-N 404.426 4.541 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)C3CCC3)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858845 VVGLYCSCJWRGAA-UHFFFAOYSA-N 417.469 4.711 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64908767 RCHBXTJEFBUCPU-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD CC(C)(C)OC(=O)N1CCC(NC(=O)c2cc3ccccc3c3cccnc23)CC1 72014970 BFFLQEXUAUCDRQ-UHFFFAOYSA-N 405.498 4.517 5 20 HJBD O=C(Nc1ccc2c3c(cccc13)CC2)C1CCN(c2ccccc2[N+](=O)[O-])CC1 105309149 VHFFPDCRRVWLCB-UHFFFAOYSA-N 401.466 4.702 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c12 116094504 JUCLWHFHIHLGDY-UHFFFAOYSA-N 411.437 4.672 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CCOCC1)Nc1ccc([N+](=O)[O-])cc1Cl 195634135 AMPBUQWZQRRXRS-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD CC(C)[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 301943466 YOEJIOLFBDFTMR-CQSZACIVSA-N 422.450 4.888 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)c(C(F)(F)F)c1 326948315 IWXWDXPMPABERU-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1cc(Cl)ccc1Cl 426791451 INQZOYSPSYCATO-LLVKDONJSA-N 412.273 4.590 5 20 HJBD Cc1cc(C)cc(C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)c1 426827764 XBTWCUOIBNQACL-FQEVSTJZSA-N 410.495 4.726 5 20 HJBD CC[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(Cl)s1 430936236 YALXDPAITXMCRC-JTQLQIEISA-N 403.685 4.953 5 20 HJBD O=[N+]([O-])c1c(N2CCC3(CCN(Cc4ccccc4)CC3)C2)ccc2ncccc12 431481532 CEFFQJAGPJUODW-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD CC1(C)C[C@@H](N[C@@H](CCO)c2ccccc2Br)c2cc([N+](=O)[O-])ccc21 433244199 UJTFSWPATLEEBB-RBUKOAKNSA-N 419.319 4.793 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435352511 FTQWQAYHGOOHDB-SECBINFHSA-N 419.812 4.743 5 20 HJBD CCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(C)c1 435872216 XHFCBDBNVKTVNK-OAQYLSRUSA-N 423.444 4.698 5 20 HJBD O=C(N[C@H]1CCC[C@@H](C(F)(F)F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435938058 QJKFDCVNZHPATC-PWSUYJOCSA-N 424.369 4.810 5 20 HJBD O=C(Nc1nnc(-c2ccccc2)s1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436151907 LYCDMHGLFCSTTL-UHFFFAOYSA-N 416.437 4.591 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 437470501 GICVZIKAWXUWJV-MDKPJZGXSA-N 414.527 4.668 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(OCc3noc(C4CC4)n3)cc2)cc1[N+](=O)[O-] 438960628 CIJVCHZXFBGQHK-UHFFFAOYSA-N 422.441 4.810 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)s1 444092328 DADXVIQKTXOAGV-LLVKDONJSA-N 412.461 4.690 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 444825139 KLELUSHETKIUOV-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD CC(C)n1ncc2c(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)cc(-c3ccco3)nc21 445483003 NPEAKZHSMPRTEB-UHFFFAOYSA-N 422.426 4.959 5 20 HJBD Cn1ccnc1[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 446851049 AJVVMNDVDWNUQA-CQSZACIVSA-N 400.866 4.621 5 20 HJBD O=C1CC[C@@H](C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)n2cccc21 447556217 GVZTVQLNOWRRLE-HNNXBMFYSA-N 413.480 4.765 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(CN(C(C)=O)C(C)C)cc1 462627113 BSVLDCWOBSOVRA-FQEVSTJZSA-N 411.502 4.559 5 20 HJBD C[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)Oc1cccc(Cl)c1 462732707 CXZNLDAIWVORKX-ZDUSSCGKSA-N 403.891 4.929 5 20 HJBD CN(CCCOc1cccc(Cl)c1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463279918 GZBLOXKNORUIJV-UHFFFAOYSA-N 416.783 4.808 5 20 HJBD C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 468358348 IQSPQRFSZJCNJB-HNNXBMFYSA-N 418.443 4.579 5 20 HJBD C[C@@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 471446449 ISMSGDSYSWXFPV-NVXWUHKLSA-N 407.495 4.812 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(c2nc3ccccc3cc2[N+](=O)[O-])CC1 473517164 GXVZJGKIBOKEJR-UHFFFAOYSA-N 415.371 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cc(F)c(C(F)(F)F)cc1F 477183813 ADBCZBWKBXEODQ-UHFFFAOYSA-N 403.307 4.830 5 20 HJBD CCc1cc(Br)ccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 477516530 RDFZTTVRFGZYQC-UHFFFAOYSA-N 403.236 4.582 5 20 HJBD O=C(Nc1ccc(Oc2ccc([N+](=O)[O-])cc2Br)cc1)c1ccco1 478323872 ANEIDEUQQWIOPP-UHFFFAOYSA-N 403.188 4.995 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 482850648 VBAHRXBXTDVASL-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nnc(N2CCC(C)CC2)n1C1CC1 484618462 LJRCDNNYRBMIHG-OAHLLOKOSA-N 401.536 4.919 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485523593 CRZBHGBFOZWSRZ-OAQYLSRUSA-N 405.454 4.792 5 20 HJBD CCOc1cc(C(=O)N=c2c(C(C)C)c(C)[nH]c3ccccc23)c([N+](=O)[O-])cc1OC 485672132 PVMNGSNQQLBNKQ-UHFFFAOYSA-N 423.469 4.656 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccc(F)cc2Br)cc(C(F)(F)F)c1 487191787 TYIDNZFZOHXNNZ-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 490984594 GKDFTTBHCPEWHN-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD Cc1[nH]c2ccc(Cl)cc2c1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 491029094 SPLBBRUWWOYTKM-UHFFFAOYSA-N 413.861 4.722 5 20 HJBD CC(C)(NCc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccccc1[N+](=O)[O-] 492457902 BXYYLLLFXCJSLW-UHFFFAOYSA-N 404.392 4.824 5 20 HJBD Cc1nc(COc2cccc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)cs1 492956394 FOSSQPXMBPVTNB-UHFFFAOYSA-N 423.494 4.744 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1cccc(F)c1Cl)c1ccccc1[N+](=O)[O-] 495010326 SMOGTULOTUZEMQ-OAHLLOKOSA-N 423.828 4.592 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(Oc2ccnc3ccccc23)c1 500668788 ORBBMZBQLRJPHR-UHFFFAOYSA-N 400.394 4.770 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 503562482 KUPNFWKRQBPIPE-QGZVFWFLSA-N 409.408 4.781 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 503895634 XBGUYRRFGNSEQA-FQEVSTJZSA-N 424.888 4.545 5 20 HJBD CC(C)N(Cc1cccc(F)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 510093625 JIQFRBVVAWKJFZ-UHFFFAOYSA-N 402.469 4.731 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)[C@H](C)c2cc3ccccc3o2)cc1OC 511502010 BBZMBHPTBOEMPF-CQSZACIVSA-N 412.442 4.972 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3c(F)cccc3N3CCCC3)cs2)c1 512507123 VDCUWIOVHARZQH-UHFFFAOYSA-N 412.490 4.747 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@H](C)Sc4ncccc4[N+](=O)[O-])nc(O)c23)C1 520085798 GEPGQQRARQHEIR-UWVGGRQHSA-N 402.501 4.678 5 20 HJBD COc1cc(CNCc2ccc([C@@H]3C[C@H]3C)o2)c([N+](=O)[O-])cc1OCC(F)(F)F 520955637 AIBYRJHPUBJYHE-BXUZGUMPSA-N 414.380 4.551 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])s1 525120460 ZUHIVBYFXRRFJW-LLVKDONJSA-N 415.462 4.725 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2ccccc2CO)cc1 532746923 ZGDRAOFAWZWAMA-UHFFFAOYSA-N 400.438 4.552 5 20 HJBD Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)n1[C@@H]1CCC[C@H](C)C1 534607488 CXLCKQQWEMIOFF-SWLSCSKDSA-N 414.491 4.588 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(OCc3ccccc3OC)c2C)c1 534733397 BINKUGCCNQVUEB-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccc(C(=O)NC2CC2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537097738 AXJROBYCNNLBSV-UHFFFAOYSA-N 413.499 4.548 5 20 HJBD Cc1ccc([C@@H](NC(=O)CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2cccs2)cc1 539377173 PJNSYSVGUNHPGQ-UZUQRXQVSA-N 423.538 4.830 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 540443313 RSDPEUICJZUGAN-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)ccc1OCC(C)C 541101922 STFSYPGBQIYYCX-AWEZNQCLSA-N 417.462 4.530 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 541658969 SZDUOMQCDNOYHY-DCPHZVHLSA-N 418.490 4.593 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2CCC(c3ccc(F)cc3)CC2)cc1SC 543787794 XQYGJGKXKIIZSH-UHFFFAOYSA-N 418.490 4.921 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 544051050 PEKBPGBYYHYIMB-UHFFFAOYSA-N 421.906 4.964 5 20 HJBD O=c1c2ccccc2n(Cc2nc([C@H]3CCOc4ccccc43)no2)c2ccccc12 544744785 LEBLVQKSQJNPAU-KRWDZBQOSA-N 409.445 4.500 5 20 HJBD Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 545256386 ZSYOOGMNXYUMKV-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD Cn1ncc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)c1C(F)F 545765469 RZJAFDHBVABGOL-UHFFFAOYSA-N 413.340 4.775 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1 546397925 MOYWEEDICZMQHO-AWEZNQCLSA-N 412.471 4.695 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(Cn3ccnc3)c2)no1 546982932 MTBNNKOAGYSISP-CQSZACIVSA-N 407.455 4.743 5 20 HJBD Cc1cc(CN[C@@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc(N2CCCC2)n1 558509391 OPNXETPNIKAZRV-DEOSSOPVSA-N 402.498 4.778 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NCc2cc(OC)c(F)cc2[N+](=O)[O-])cc1 563656508 MLCMMKOEFWCNLN-NRFANRHFSA-N 410.445 4.825 5 20 HJBD C[C@H](c1ccco1)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1ccccc1 568459045 ZUCVMTAMTBSWSI-MRXNPFEDSA-N 403.442 4.692 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(Br)c(Cl)c1Cl 569510424 ANSDBFBGMSTGHO-UHFFFAOYSA-N 419.062 4.986 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3oc(N(C)C)nc3c2)no1 569853987 AVGZUVOPSIHQRV-CQSZACIVSA-N 407.430 4.588 5 20 HJBD Cc1sc(NC(=O)C2(c3cccnc3)CCCC2)nc1-c1cccc([N+](=O)[O-])c1 579397043 BHHHVPWFGCFGMQ-UHFFFAOYSA-N 408.483 4.872 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCC[C@H]1C(F)(F)F 583837266 CXXDDMRXXIXQDO-ZYHUDNBSSA-N 410.845 4.825 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2CCN3CCC[C@H]3C2)cc1 603682252 TUQRSDUPLOPYKN-MSOLQXFVSA-N 401.894 4.757 5 20 HJBD O=C(NC[C@@H]1CC2c3ccccc3C1c1ccccc12)c1ccc([N+](=O)[O-])cc1F 603935550 GRNPHFPQGHEIBM-AWUOCFJBSA-N 402.425 4.761 5 20 HJBD C[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 603948788 LATLUIHARREARW-SNVBAGLBSA-N 401.221 4.687 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@H](C)O1 609531493 QZQFLJUQDMGYDX-BBRMVZONSA-N 404.850 4.680 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3ccccc3s2)C1 609873353 DXRZRWXIYYRZPW-GFCCVEGCSA-N 401.875 4.878 5 20 HJBD CC(C)(CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 610043806 OHDZCIWPDUTZBK-UHFFFAOYSA-N 408.420 4.985 5 20 HJBD C[C@@H](C[C@H]1CCOC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 610741130 KFUCATIYQYOPEQ-QWHCGFSZSA-N 409.280 4.522 5 20 HJBD Cn1cc(-c2nc(CNc3cc([N+](=O)[O-])ccc3Nc3ccccc3)cs2)cn1 610754558 GRHFVWCMZDGVDM-UHFFFAOYSA-N 406.471 4.808 5 20 HJBD O=C(Nc1nnc(-c2ccco2)s1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611199507 YGXAJGQULAJEBG-UHFFFAOYSA-N 416.484 4.993 5 20 HJBD CC(C)c1ccc(C(=O)N2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 615191988 RPLFVZGRFACURO-VXGBXAGGSA-N 412.330 4.921 5 20 HJBD Cc1nc(COc2cccc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)c2)cs1 727710848 KWBJUGOJGQFXPB-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3csc(-c4cccs4)n3)[n+]2[O-])c1 728440592 QKXKLDKMTVHJCI-SREVYHEPSA-N 408.464 4.646 5 20 HJBD CC(C)[C@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 728641507 SRSSIFQNWGEUKN-INIZCTEOSA-N 404.850 4.560 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C(=O)COc1ccc(C=O)cc1[N+](=O)[O-])C1CC1 731616640 ICXJSFLPYHJGIW-INIZCTEOSA-N 418.449 4.692 5 20 HJBD CCC(CC)(CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@@H](C)c1ccccc1 732578213 KCOFKGXNOFNKOK-HNNXBMFYSA-N 403.910 4.888 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 741983657 AGAJFPNECIGMJA-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nc(Cc2ccc(Cl)cc2)no1 744480394 XSQXNOYNHNFSEV-JTQLQIEISA-N 422.224 4.793 5 20 HJBD C[C@@H](OC(=O)C[C@@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 745224583 ZCSDMWOSAUTTAE-CHWSQXEVSA-N 424.375 4.678 5 20 HJBD COc1ccc(-c2nc(C(=O)OCc3cc(Cl)ccc3[N+](=O)[O-])cs2)cc1 746067754 USJVPLCXCZFQGL-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@H](OC(=O)CSc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746145888 UTHPPQUMFFMQRY-NSHDSACASA-N 419.846 4.695 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749939060 KHAWKFIVTIAZCX-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 754873817 WYJQQCHLILIRJV-UHFFFAOYSA-N 422.460 4.660 5 20 HJBD COc1ccc(NC(=O)c2ccccc2NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 761446898 IARFHKGXXMMXEE-HNNXBMFYSA-N 419.437 4.598 5 20 HJBD CCc1noc(CCCc2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n1 762306534 AAKYVXJDAZAYGC-UHFFFAOYSA-N 421.413 4.558 5 20 HJBD CN(c1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1Br)C1CCCC1 762416662 JYLNUEPDMPUJDB-UHFFFAOYSA-N 418.291 4.988 5 20 HJBD Cc1ccc(NCc2cc(Cl)ccc2[N+](=O)[O-])cc1NC(=O)CN1CCCCC1 770539687 CTVZPWXHAXKHNT-UHFFFAOYSA-N 416.909 4.593 5 20 HJBD CS[C@@H](C)CCC(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 773772972 YXUKJSWIZNYZKX-ZDUSSCGKSA-N 413.451 4.805 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1(c2ccc(C(F)(F)F)cc2)CC1 780632672 MVQAXOVOQQTVQS-UHFFFAOYSA-N 421.375 4.714 5 20 HJBD O=C(Nc1ccc(O)c(Cl)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 780951457 DZDVDNGQGZLIKQ-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])ccc1Cl 783505391 HPVXRNMSIIHVQZ-DCGLDWPTSA-N 414.845 4.842 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@H]1CC=CCC1 788497481 NARWGKBNETVHDH-HNAYVOBHSA-N 414.845 4.828 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cc([N+](=O)[O-])c1C 790898635 HIPWTEYMTDKAAT-CQSZACIVSA-N 408.376 4.560 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)c1F 790971340 MNXJSTNHEOSUHR-OAHLLOKOSA-N 422.840 4.896 5 20 HJBD Cc1cc(OCC(=O)Nc2ccccc2SC(F)F)c(Cl)cc1[N+](=O)[O-] 795257053 RCOFPFCJDISSDB-UHFFFAOYSA-N 402.806 4.889 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(OCc2nc3cc(Br)ccc3o2)cc1F 795261065 KVUXDJFTKNMJCH-UHFFFAOYSA-N 401.575 4.870 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](SC1=NCCS1)c1ccccc1 796864796 GHUJYZPBIPJVGD-HNNXBMFYSA-N 407.904 4.764 5 20 HJBD O=C(Oc1ccc2oc(=O)oc2c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 799416950 BZOQKGRZWZYPAU-UHFFFAOYSA-N 401.396 4.548 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C\c3cn4ccc(Br)cc4n3)nc2c1 811692541 XIDLQBZCQXTXNB-WUXMJOGZSA-N 419.622 4.883 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)Nc1cccc([N+](=O)[O-])c1Cl 813245585 VRKFSUZYGKXPKW-UHFFFAOYSA-N 421.240 4.913 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2C(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)cc1 917165190 NTGQBNIFPLAASC-HXUWFJFHSA-N 406.486 4.606 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] 920268899 RORDZBCERWBQFN-HNNXBMFYSA-N 413.861 4.898 5 20 HJBD CC(=O)N[C@H](CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1ccc(C)cc1 920330024 QDRKIKZTYUWURF-OAQYLSRUSA-N 418.449 4.743 5 20 HJBD CCCOc1ccc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)cc1OC 1117229410 VKFYYLZVSMVCIY-UHFFFAOYSA-N 424.457 4.709 5 20 HJBD COc1ccc(C(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c2ccc(OC)cc2)cc1 1257241704 NFKDCRLEQRWEJV-UHFFFAOYSA-N 424.428 4.579 5 20 HJBD CC(=O)CCc1ccc(O[C@H](C)c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1323915308 RFTLQTGJOXRKGO-MRXNPFEDSA-N 409.442 4.923 5 20 HJBD O=C(Nc1cc(F)c(Br)c(Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1324752323 QGFRTGVTVIMCHP-UHFFFAOYSA-N 414.618 4.698 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1N1CCCC1=O 1341746092 PGBYCVNGVSEIQL-UHFFFAOYSA-N 413.499 4.783 5 20 HJBD O=C(O[C@H]1CCCC[C@H]1C(F)(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1343293262 VLILNOQKUQLZLZ-OCCSQVGLSA-N 400.378 4.996 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)COc1ccc([N+](=O)[O-])cc1Cl 7489765 HDVSCZOEWHHXRL-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3)CC2)cc1 10960798 ADULKYHNXSCISE-UHFFFAOYSA-N 411.458 4.605 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 24465710 VVVUYUMTBBHXIF-UHFFFAOYSA-N 402.497 4.959 5 20 HJBD COc1cc(C(=O)NCc2ccoc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 53020075 VXJXBUNTUWSTPB-UHFFFAOYSA-N 402.790 4.572 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccc(F)cc2)nc2onc(C)c12 54843574 SBOZUONMHQMBSD-UHFFFAOYSA-N 406.373 4.806 5 20 HJBD O=c1c(-c2ccccc2)coc2cc(Oc3nc4sccn4c3[N+](=O)[O-])ccc12 54867995 WUIZUTRIEOHVQJ-UHFFFAOYSA-N 405.391 4.870 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCOc2ccccc2-c2ccccc2)c1 109202838 YADVRTPUPDNPDN-UHFFFAOYSA-N 408.479 4.793 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 116160478 DRCQXZWRXYLSBI-NHCUHLMSSA-N 407.514 4.993 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccnc(OC4CCCC4)c3)cs2)c1 238009376 KKTFQGIXMYBEMQ-UHFFFAOYSA-N 410.499 4.725 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Br 302756396 BDXBQMQKOWFXQN-VIFPVBQESA-N 413.655 4.510 5 20 HJBD Cc1ccc(NC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)cc1[N+](=O)[O-] 303001754 ZRNFEKUIJSNUOH-UHFFFAOYSA-N 407.474 4.841 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 409876026 NVJHEKHOXGCQQN-NRFANRHFSA-N 412.392 4.652 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(OC(F)F)cc1 426053541 XRIWBWJBXSMMDR-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N(CC1CC1)c1cccc(C(F)(F)F)c1 427510438 YKCXKABLADALBY-UHFFFAOYSA-N 404.348 4.547 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCSc3ccncc32)cc1 432983045 DSITULIPHCBOGZ-UHFFFAOYSA-N 423.450 4.543 5 20 HJBD O=C(NCc1nccn1CCc1ccccc1)c1cc2ccccc2c2cccnc12 434368074 UEJASCUIVNTYEF-UHFFFAOYSA-N 406.489 4.757 5 20 HJBD CS(=O)(=O)c1cc(SCc2nc(C3CCCCC3)cs2)ccc1[N+](=O)[O-] 435894205 RIJDOVZQXRXODT-UHFFFAOYSA-N 412.558 4.795 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436295587 PUHVTCPQRNSKAS-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD Cc1c(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)oc2ccc(F)cc12 436311720 UBYHNVGIPNAMIN-UHFFFAOYSA-N 400.409 4.805 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@@H](c2ccccc2Cl)C1 436320897 XFMILRGVKNZHDM-JOCHJYFZSA-N 418.880 4.548 5 20 HJBD CC(C)c1ccc(C(=O)NCCCc2nc(-c3ccncc3)cs2)cc1[N+](=O)[O-] 439545031 UTNDHYPYXBCJAJ-UHFFFAOYSA-N 410.499 4.599 5 20 HJBD CN(C)[C@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 440445092 OLNFWAUIRBAJBX-HXUWFJFHSA-N 421.522 4.779 5 20 HJBD CSc1cc(C)ccc1CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444222598 GTUSTEXVYAYHTP-UHFFFAOYSA-N 424.472 4.920 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cn1)c1sc(Br)cc1[N+](=O)[O-] 445192573 SAJPQQMMSSBRHG-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)c12 445467994 CGZKPBOAKLXSSQ-UHFFFAOYSA-N 424.467 4.692 5 20 HJBD O=C(CCCOc1cccc(Cl)c1Cl)N1CCc2ccc([N+](=O)[O-])cc2C1 446431251 LCOOSBQMECYGPY-UHFFFAOYSA-N 409.269 4.646 5 20 HJBD COCCOCc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 462122604 UPPOBIPOYMHWHX-UHFFFAOYSA-N 422.437 4.802 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccccc3)o2)nc1OCc1ccccc1 462431507 XSPMBDUWQCHODJ-UHFFFAOYSA-N 406.423 4.770 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2nn([C@@H](C)c3ccccc3)cc2C)cc1[N+](=O)[O-] 471888254 SSUHUPOBTMORRY-IRXDYDNUSA-N 407.474 4.900 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(OC2CCCCC2)CC1 475235852 PYAFYQLLHLCPNG-UHFFFAOYSA-N 415.412 4.959 5 20 HJBD CC1(c2cccc(Cl)c2)CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 478461479 ZLMXNOYRFRCZSF-UHFFFAOYSA-N 409.873 4.990 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccco2)s1 481831509 PRLDHMQMEIJZFG-UHFFFAOYSA-N 404.473 4.707 5 20 HJBD C[C@H](NC(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1cccc([N+](=O)[O-])c1 481926182 WQSFBIPJGLFSQV-VIFPVBQESA-N 421.841 4.789 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(Br)c2)cc1SC 482490557 AXVADAGBJYFQPG-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 486877414 FDIZUHFWISXHDF-LBPRGKRZSA-N 404.854 4.870 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2ccc(C)c([N+](=O)[O-])c2)ccc1Cl)C(C)C 487687734 HHXJMTBWTGOHOF-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498159614 QQRFVHBXSZMXGA-LMMKCTJWSA-N 422.428 4.991 5 20 HJBD CCOc1cc(NC(=O)c2ccc(N(CC)C(C)C)cc2)c([N+](=O)[O-])cc1OCC 499294869 YETNJNZEPNYWAP-UHFFFAOYSA-N 415.490 4.879 5 20 HJBD Cc1nn(CC(C)C)c(C)c1CCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 499509846 KWRMXDAYWQJNEX-UHFFFAOYSA-N 412.412 4.654 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2/C=C\c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 505372271 IKKOPYARGBQXJB-FJVVXJACSA-N 418.396 4.523 5 20 HJBD CCN(Cc1cccc(F)c1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 505982142 PQDYZQLAFLBBDF-INIZCTEOSA-N 422.460 4.964 5 20 HJBD O=C(Nc1cc2c(cc1Sc1ccccc1)OCCO2)c1ccc([N+](=O)[O-])cc1 506165218 BCFIHLFPDKDCTL-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=[N+]([O-])c1ccccc1CN(Cc1csc(-c2ccc3c(c2)OCO3)n1)C1CC1 507168980 TZOWEUQLXQFXNU-UHFFFAOYSA-N 409.467 4.612 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ncc(-c3cccs3)[nH]2)nc1OCc1ccccc1 509898641 LFSWVYBGDJJZSW-UHFFFAOYSA-N 407.455 4.633 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2ccc(OCC(F)(F)F)cc2s1 512459391 GURKOSVVMUMMEB-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD CCOc1cc(CN(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1OC(F)F 514558678 BTBCGDHJNNNIIT-UHFFFAOYSA-N 420.368 4.613 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 515776267 GMOXHCJYDVGQHX-NRFANRHFSA-N 414.465 4.707 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H](c1ccc(F)cc1)C1CCC1 517844003 JIDKWNHMAHGUSN-RUZDIDTESA-N 414.480 4.951 5 20 HJBD COc1cc(OCC(=O)N2CCC[C@H]2c2ccc(C(C)(C)C)cc2)ccc1[N+](=O)[O-] 519023030 PZONWGROOMXFRQ-IBGZPJMESA-N 412.486 4.643 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 519086409 PPLGRXMPIYLYEG-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD CC(C)(CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(Cl)c1 521757824 ZVFHNXYVDSCIIB-UHFFFAOYSA-N 418.924 4.902 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521773450 OVPPCMZBPRRYGC-SECBINFHSA-N 423.705 4.937 5 20 HJBD Cc1ccc(NCCCNC(=O)N[C@@H](CC(F)(F)F)c2ccccc2)c([N+](=O)[O-])c1 525324611 RSIDNUIFEHQYIY-KRWDZBQOSA-N 424.423 4.698 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@H](C)c2ccccc2[N+](=O)[O-])c1 525760762 HOOKHHFDABFDQG-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD O=[N+]([O-])c1cccc(CN[C@H](Cc2ccccn2)c2ccc(Br)cc2)c1 530439448 KJMULGWSENLFCR-HXUWFJFHSA-N 412.287 4.826 5 20 HJBD Cc1c(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 530458837 IZXVOMCUOWGHDX-HXUWFJFHSA-N 408.433 4.800 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)nc1-c1ccccc1 534143918 ISCYWXCSJHMPKV-UHFFFAOYSA-N 419.481 4.965 5 20 HJBD CCOc1cc(N[C@@H](C)[C@H](OC2CCOCC2)c2ccccc2)ccc1[N+](=O)[O-] 537835212 ULYYDZFLGIOWRS-AOMKIAJQSA-N 400.475 4.731 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(CS(=O)(=O)c3ccc(F)cc3F)c2)cc1 538089326 KNKPCKHGGDLTKO-UHFFFAOYSA-N 404.394 4.591 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2c[nH]c3ccc(OC)cc23)CC1 540512193 DLAXFWCPJPOKIZ-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD COc1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1OC1CCCC1 542224876 QJPOERYULBZJOP-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 542438059 JJFCOQIIOKGNQQ-GLJUWKHASA-N 418.877 4.634 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(SC)c(F)c1 543133242 NCYIWTMHQBOPKI-UHFFFAOYSA-N 414.455 4.586 5 20 HJBD CCS(=O)(=O)c1ccc([C@H](C)NC(=O)c2cc3ccccc3c3cccnc23)cc1 557202557 FTBMQPKEGQRLQC-INIZCTEOSA-N 418.518 4.673 5 20 HJBD CN1CCC(Oc2ccc(CNCc3ccc(-c4ccccc4[N+](=O)[O-])o3)cc2)CC1 560094575 GCBFJSGNMPSJEE-UHFFFAOYSA-N 421.497 4.618 5 20 HJBD CCc1nc2ccccc2n1Cc1noc([C@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 561916105 RYLNIEHKMCCZFT-MRXNPFEDSA-N 405.458 4.675 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](c3ccccc3)[C@H]3COCC[C@H]32)cc1[N+](=O)[O-] 563544212 CNXIJWRADFWGDM-SFHLNBCPSA-N 408.498 4.537 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 568348098 WSGJFJAVCPWJBY-INIZCTEOSA-N 403.438 4.898 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cc(-c2ccccc2)on1 589250918 GLYHZGNDOJBMRG-UHFFFAOYSA-N 413.389 4.733 5 20 HJBD COc1cc2c(cc1CNCc1ccc(-c3ccc([N+](=O)[O-])cc3)s1)O[C@@H](C)C2 608835608 CTBCEBHMCPDJTP-AWEZNQCLSA-N 410.495 4.945 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(C(F)(F)F)ccc1F 609062462 NOSYWNSHSDUWDF-QMMMGPOBSA-N 406.719 4.812 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NC1(c2ccc(Br)cc2)CCCC1 609139411 CGISTHLYSLXFMP-UHFFFAOYSA-N 418.291 4.626 5 20 HJBD COCc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609772697 DTRSPJHFZMIPTM-UHFFFAOYSA-N 407.426 4.855 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOc2ccccc2C1 609781020 KONRTTCALDHRGT-UHFFFAOYSA-N 405.410 4.814 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1C 609874527 HARXYTBXHYOHJI-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD COCc1c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)sc2cccc(F)c12 610046875 LENQELJSIRXYMW-UHFFFAOYSA-N 416.474 4.848 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H]1c1cccc(F)c1 610305216 NFGHRSGASNNXQY-MRXNPFEDSA-N 411.355 4.528 5 20 HJBD COc1cc(OC)c(C2CCN([C@H](C)c3ccccc3[N+](=O)[O-])CC2)c(OC)c1 610367473 ISHKVGKJPMAASU-OAHLLOKOSA-N 400.475 4.561 5 20 HJBD CCOc1cc(NC(=O)c2cc3c(C)cc(C)nc3s2)c([N+](=O)[O-])cc1OCC 619213156 XRZSHTFWPDLXLS-UHFFFAOYSA-N 415.471 4.871 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc(-c2ccccc2)nn1-c1ccccc1 726917721 ZADGDXXSPMCFIE-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD COC(=O)C[C@H](C)S[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 734368013 NBARUOFHZVVQFF-KPZWWZAWSA-N 422.890 4.613 5 20 HJBD C[C@H](OC(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1-c1ccccc1 748037255 DZAYZUKFOPAIHO-KRWDZBQOSA-N 418.449 4.686 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748603129 ZHDVAXYSLXJBSQ-UHFFFAOYSA-N 401.419 4.678 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1 750603282 JJOXMUOMCIVTCR-UHFFFAOYSA-N 412.352 4.769 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)nc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754064347 ODPOHDXMAOHNLB-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD CC(C)CC(=O)Nc1ccccc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760482100 JSLHJGBWCQGVQC-UHFFFAOYSA-N 423.425 4.591 5 20 HJBD NC(=O)Nc1cccc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)c1 761859359 VGNSBEOUEOYJHJ-UHFFFAOYSA-N 417.381 4.595 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 763000391 PSVUFKHHGCZJML-LBPRGKRZSA-N 422.840 4.544 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cc2)nc1 765805069 DRMVQEDFWRCFMN-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD COc1ccc(-n2c(S/C=C/c3ccc([N+](=O)[O-])o3)nnc2-c2ccccn2)cc1 768402129 HFGBWAIGAMYRAG-ACCUITESSA-N 421.438 4.602 5 20 HJBD O=C(Nc1nc2ccc(OC(F)(F)F)cc2s1)c1cc(F)c([N+](=O)[O-])cc1F 769470606 RJOLSJZHXBDVOS-UHFFFAOYSA-N 419.287 4.634 5 20 HJBD O=C(OCc1csc(-c2ccsc2)n1)c1cc([N+](=O)[O-])ccc1OC(F)F 778889773 BLAFPEPTCKAKKJ-UHFFFAOYSA-N 412.395 4.738 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H]1/C=C\c1ccccc1 781045634 HEZYTMQDESKUFO-CDNLZTBQSA-N 419.250 4.814 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(O)cc3F)cc2[N+](=O)[O-])n1 782161039 YAWLQJQEEZFEAC-UHFFFAOYSA-N 405.432 4.608 5 20 HJBD COc1ccc(NC(=O)c2ccccc2CSc2nc(C)cs2)cc1[N+](=O)[O-] 782473136 UVGIZBWZQRCRRT-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1CCOc2c1ccc(Cl)c2Cl 792694464 GWFKKLXGAJCTTN-INIZCTEOSA-N 420.252 4.565 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)O[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 798129582 SBXDKKJDDWHITB-YDBYVANFSA-N 420.396 4.670 5 20 HJBD CCCN(C(=O)c1csc(COc2ccc(F)cc2)n1)c1cccc([N+](=O)[O-])c1 799411396 NYHWTRZNRRNYRI-UHFFFAOYSA-N 415.446 4.826 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(Br)cc1OC(C)C 800148364 BGGSVMOSJYQAAU-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2cccs2)no1 815512309 PFQAETSMMJTZQG-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(C(F)(F)F)nc1Cl 817879051 AJCCABNVWANYLP-MRVPVSSYSA-N 412.755 4.634 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 915117539 XAYGEGRAYDBZSL-MRXNPFEDSA-N 424.478 4.638 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccccc1SCc1ccccc1 921286500 RFSQZBUPGXAYFT-UHFFFAOYSA-N 418.478 4.876 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3cccc(-n4ccc(C(F)(F)F)n4)c3)o2)c1 1117164613 FTRBSLUKYBXNDC-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD COC(=O)c1cc(-c2nnc([C@H](C)Oc3ccc4ccccc4c3)o2)cc([N+](=O)[O-])c1 1117192036 SWAKGSNGHQNBDR-ZDUSSCGKSA-N 419.393 4.725 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc([C@@H]2Cc3ccccc3CN2Cc2ccccc2)o1 1322973000 JBKYEIJKXPIEPI-QFIPXVFZSA-N 412.449 4.944 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3c(-n4cccc4)sc4c3CCSC4)o2)c1 1326497997 UXJYLLYIPDVWML-UHFFFAOYSA-N 410.480 4.953 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nnc(-c4ccc5ccccc5n4)o3)cs2)c1 1327875119 YKUQHEHPQYQLBA-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1340075833 WZYXXNHTADXYKA-UHFFFAOYSA-N 423.416 4.846 5 20 HJBD C/C=C(/C)C(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])CCC2)cc1 1791228473 VCFZDFLMGZJDIP-GRZTYZROSA-N 419.481 4.708 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5044237 VYRAYYNRLAPRGW-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841646 YYXCGJLVAMHIFE-VIFPVBQESA-N 408.197 4.870 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841675 ZXPWJLLSNPWCNN-VIFPVBQESA-N 408.197 4.870 5 20 HJBD Cc1c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)oc2ccc(F)cc12 7541871 WFFOKQRPCKDEMQ-NSHDSACASA-N 411.345 4.757 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ncc(-c2ccccc2)o1 11277767 LSJBPZLCILJUKY-UHFFFAOYSA-N 410.429 4.553 5 20 HJBD C[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccc(Cl)cc1 16054113 KLBORXMFELCIMI-SNVBAGLBSA-N 407.904 4.655 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1)c1cccs1 21141085 DCUOWSXIQAQWIR-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CCOc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCc1cccnc1 32063270 DOYMWJHTUOEANS-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)s1 48189043 WLNLGKPPEYWQQE-JTQLQIEISA-N 402.394 4.705 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52956799 MCQJFJYMHXZDFG-KRWDZBQOSA-N 404.470 4.744 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)ccc21 65316796 IRHGYKHIBRWAAM-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD CCCCOc1ccc(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)cc1OC 105528938 VUVHIVDTINEPBB-UHFFFAOYSA-N 424.457 4.529 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1Oc1ccccc1 107692375 JRTWKQXDHRZOTG-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=[N+]([O-])c1cccc(CNc2nc(-c3cccnc3)nc3sc4c(c23)CCC4)c1 195718671 UMPBNQNKJUBHJM-UHFFFAOYSA-N 403.467 4.762 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ncc(-c2ccc(F)cc2)o1 302642152 RBABURZRRZAPPK-GFCCVEGCSA-N 402.403 4.613 5 20 HJBD Cc1noc(C)c1COc1ccccc1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 303905930 WMOZUWILKFTNGR-UHFFFAOYSA-N 423.469 4.531 5 20 HJBD O=C(NCc1coc(-c2ccccc2)n1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 303969350 ZLZIVMRGXLNMRV-UHFFFAOYSA-N 414.421 4.923 5 20 HJBD O=C(O)[C@@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1ccccc1 426770508 SQAZDEMOFNPKAG-FQEVSTJZSA-N 412.829 4.956 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 435932103 AWPYRVOHJYBRIH-FQEVSTJZSA-N 404.466 4.787 5 20 HJBD COCCSc1ccc([C@@H](C)Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])cc1 436382625 CKMXTWJQJMZXSS-LLVKDONJSA-N 401.410 4.920 5 20 HJBD COCCSc1ccc([C@H](C)Nc2cc(C(F)(F)F)ncc2[N+](=O)[O-])cc1 436382628 CKMXTWJQJMZXSS-NSHDSACASA-N 401.410 4.920 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@]2(O)CCC[C@H](C(F)(F)F)C2)s1 436405791 GOZVPYYAYKSULG-UGSOOPFHSA-N 414.449 4.897 5 20 HJBD CCC(=O)c1ccc(O)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1 436655185 FFYQIOZDMYQUHZ-UHFFFAOYSA-N 420.421 4.724 5 20 HJBD CCOCCCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@@H]1c1ccccc1 460694797 YWZIIBMXUCKQNJ-OAQYLSRUSA-N 421.501 4.621 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N[C@H](C)CC)cc1C 462514844 VSOUBULJOJWGCL-AEFFLSMTSA-N 411.502 4.639 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463113665 BQBYGDYPVLOTAL-GFCCVEGCSA-N 423.391 4.528 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)cc1 463187205 AZFPMPHPHKMOJY-FPYGCLRLSA-N 416.422 4.815 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463604504 HXEPSYUKJLJNRN-OCCSQVGLSA-N 418.293 4.918 5 20 HJBD O=C(NCc1ccc2ccccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465885175 CDDBOTPXEZAPSN-UHFFFAOYSA-N 405.454 4.501 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1ccc([N+](=O)[O-])cc1Br 468597900 POPIFKOGUFYRDZ-STQMWFEESA-N 416.275 4.598 5 20 HJBD O=[N+]([O-])c1cc(CN2CCSC3(CCOCC3)C2)ccc1Oc1ccc(F)cc1 473064669 CEJGTCOJEQMSAL-UHFFFAOYSA-N 418.490 4.624 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 477755002 RNKFKGKJAUMWQE-LJAQVGFWSA-N 414.414 4.516 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccc([N+](=O)[O-])cc1 479576311 GFTPENNQXDZSOM-AWEZNQCLSA-N 409.408 4.853 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 482140129 QSNRYPPXJSGWSH-QGZVFWFLSA-N 411.355 4.712 5 20 HJBD CCc1c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cnn1C(CC)CC 482692272 LUAGTRSNWSGGJZ-UHFFFAOYSA-N 413.522 4.570 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccnc(Oc2ccccc2F)c1 486492630 AUAGSLPCMBPLRK-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD COc1cccc(C=C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)CC2)c1 488142346 HVUJFNOQBWEKON-UHFFFAOYSA-N 418.396 4.524 5 20 HJBD CCCNc1ccc(Cl)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 488184065 YGJXIPIFWOOJIV-UHFFFAOYSA-N 414.849 4.636 5 20 HJBD Cc1ccc(C(=O)N(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 488528836 NKMXFEOFICDGMD-UHFFFAOYSA-N 415.515 4.605 5 20 HJBD C[C@@H](N[C@H](C)c1nc(C(F)(F)F)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 490046037 PWCQJDLXGTYLTL-RKDXNWHRSA-N 413.381 4.532 5 20 HJBD O=C(c1cc(-c2ccc(Cl)s2)on1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497584519 GOQANJVYSIOUCP-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD COc1cccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1OC1CCCC1 499207762 FJKRVUZJRWRHEZ-UHFFFAOYSA-N 410.426 4.601 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](C)O[C@H](c2ccsc2)C1 507278312 IEYLBHRXPSGALS-GUYCJALGSA-N 406.529 4.761 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)c(Cl)c1 507620998 MHHYKJALSHXDLD-UHFFFAOYSA-N 419.818 4.596 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(Cc3cn(-c4ccccc4)nc3-c3ccccc3)n2)cc1 508515008 XOLQBDWUBWBUFY-UHFFFAOYSA-N 422.448 4.754 5 20 HJBD COc1ccccc1Cc1nnc(SCc2cc([N+](=O)[O-])ccc2OC(C)C)o1 511082581 SPVWZBDXPZEQEV-UHFFFAOYSA-N 415.471 4.657 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCN(Cc2ccccc2)CC1(C)C 511801732 LGGULHJMSAVCBB-GGAORHGYSA-N 423.557 4.580 5 20 HJBD CC(C)(C(=O)Nc1ccc2c(c1)N(CC(F)F)CCS2)c1ccccc1[N+](=O)[O-] 513718611 ZUWLQFLIJZAPIH-UHFFFAOYSA-N 421.469 4.688 5 20 HJBD C[C@H](C(=O)NCc1ccccc1COCc1ccccc1)c1cccc([N+](=O)[O-])c1 516903968 VPEZAIWLBUOHFJ-SFHVURJKSA-N 404.466 4.732 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Cc1cn2cc(Cl)cc(Cl)c2n1 518375761 MVXFTVBFZROFRP-UHFFFAOYSA-N 421.284 4.529 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)ccc1[N+](=O)[O-] 521574902 KIPXPSCRFPEMAD-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 523489384 GFTRPQPAPFSVGQ-MUUNZHRXSA-N 402.516 4.558 5 20 HJBD CC1(C)[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@@H]2CCO[C@H]21 525466788 LAGVVSDOGREYID-KFKAGJAMSA-N 416.861 4.584 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2cccc(COCc3ccco3)c2)cc1[N+](=O)[O-] 531442718 ZTEJUWRUPSEXGJ-KRWDZBQOSA-N 423.469 4.773 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1[nH]c(-c2cccc(C(F)(F)F)c2)nc1C 535989617 WWQMBJCDAHYZCU-UHFFFAOYSA-N 404.348 4.873 5 20 HJBD O=C(c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1)N1CCC(F)(F)C1 538517623 KVTIIOBDHGVYKG-UHFFFAOYSA-N 421.450 4.837 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)Cc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 538721313 BQDFZXGTCDYDCG-PMERELPUSA-N 423.538 4.732 5 20 HJBD CC(=O)c1oc2ccccc2c1NC(=O)[C@@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 539377695 PGLQOONQJYDHEZ-ZIAGYGMSSA-N 409.442 4.530 5 20 HJBD O=C(c1cc([N+](=O)[O-])c[nH]1)N(Cc1ccccc1)Cc1ccc(Br)cc1 540122551 AJJWTLHCSPBVLT-UHFFFAOYSA-N 414.259 4.528 5 20 HJBD CCn1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nc2cc(F)ccc21 541300543 WFSQLYNRIKGMOS-UHFFFAOYSA-N 402.451 4.856 5 20 HJBD Cc1c(Cc2noc([C@@H](C)Oc3ccccc3Br)n2)cccc1[N+](=O)[O-] 545074432 PPKCIHBWESSVND-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD C[C@H](c1nc(Cc2nc3ccccc3n2C(F)F)no1)c1ccc([N+](=O)[O-])cc1F 545617477 KISMTMOXPFWCOD-JTQLQIEISA-N 417.347 4.604 5 20 HJBD Cn1ccc2c(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cccc21 548372414 CVFPQOQVTXUKHW-UHFFFAOYSA-N 403.388 4.704 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2C(F)(F)F)c(F)c1)c1c[nH]nc1[N+](=O)[O-] 548955772 OORBYCCAXIRVBO-UHFFFAOYSA-N 410.283 4.520 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2cccs2)CCCCC1)c1cccc([N+](=O)[O-])c1 552043793 BRXDCGWSKNOJLJ-FQEVSTJZSA-N 410.543 4.971 5 20 HJBD O=C(Nc1ccccc1-c1ncc2n1CCCC2)c1ccc(NC2CC2)c([N+](=O)[O-])c1 552207831 HUSQUGLUJTWNRN-UHFFFAOYSA-N 417.469 4.621 5 20 HJBD O=[N+]([O-])c1ccc(OC[C@@H](O)COc2cccc3[nH]ccc23)c(-c2cccs2)c1 555565093 UIYKCHWNWZMTGP-HNNXBMFYSA-N 410.451 4.623 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1OCCc2sccc21 557079235 XQTAOPADMXHQLJ-ORAYPTAESA-N 420.556 4.837 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559392350 XJBUTLSWEUSZIS-UHFFFAOYSA-N 408.376 4.865 5 20 HJBD C[C@H](C(=O)NCC(C)(C)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 562300680 ATRBMPOEUKMJMI-ZDUSSCGKSA-N 405.292 4.555 5 20 HJBD C[C@H](C(=O)NCc1ccc(-c2nc3ccccc3s2)o1)c1cccc([N+](=O)[O-])c1 574826461 VMONIVUHFUEPMR-ZDUSSCGKSA-N 407.451 4.884 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 603448958 ZTJCYSVYIKFKJD-OAQYLSRUSA-N 423.513 4.817 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(C)C)cc1 603704837 XKVXMORSWWCJLR-IBGZPJMESA-N 417.893 4.824 5 20 HJBD Cc1ccc(-c2ncc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)s2)c(C)c1 608789338 PLHZXTXRDZYEDN-UHFFFAOYSA-N 419.466 4.978 5 20 HJBD COc1ccc(/C=C/c2ncc(C(=O)O)s2)cc1OCc1ccc([N+](=O)[O-])cc1 608801274 SWSWWXFDCDFINF-WEVVVXLNSA-N 412.423 4.508 5 20 HJBD Cc1csc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n1 608835854 ZXKXOHAKXCMSIG-UHFFFAOYSA-N 408.277 4.672 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] 609219033 CLQDNCZVEHCQSK-QFYYESIMSA-N 407.289 4.570 5 20 HJBD Cc1cccc(COc2cccc(CC(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2)c1 609262136 LDGQFGAYEMEKDX-UHFFFAOYSA-N 404.466 4.683 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(Cc3cccc(Br)c3)no2)c(Cl)c1 609449950 IMUGIHUGFANPHG-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ncc(Cc2ccccc2)s1 609723338 HDYMDRBONJCAIN-UHFFFAOYSA-N 417.874 4.703 5 20 HJBD C[C@H]1Oc2ccccc2O[C@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755367 IQJJMAXWYBHVHT-SPLOXXLWSA-N 406.394 4.554 5 20 HJBD NC(=O)c1ccc(CSCC/C=C/c2ccc(Br)cc2)c([N+](=O)[O-])c1 609770447 UBNLYHKLHPMBIH-HNQUOIGGSA-N 421.316 4.793 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccccc1Cl 610048202 OREBMFMTUBCUJU-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cn1c(Cc2ccccc2)nc2ccccc21 610664445 HJSTVVFCFZNCDB-UHFFFAOYSA-N 414.465 4.791 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 611200149 ZSFZJODKMJVNHY-CQSZACIVSA-N 411.483 4.868 5 20 HJBD Cc1nn(CCC(=O)N(c2ccc(Nc3ccccc3)cc2)C(C)C)c(C)c1[N+](=O)[O-] 726502019 BKFJULUSGYRKGT-UHFFFAOYSA-N 421.501 4.983 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1 726896534 OMJAVLSBGJKMDU-UHFFFAOYSA-N 423.922 4.874 5 20 HJBD O=C(/C=C\c1ccccc1OC(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 742186371 IHIXUQLXRVTVDL-VOCYLRNQSA-N 424.400 4.781 5 20 HJBD O=C(Cc1ccc(NC(=O)C2CC2)cc1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 744071129 FFYJFRLEOAHGCE-UHFFFAOYSA-N 416.433 4.758 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 744369385 GMJFILDWNBUPKR-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746822609 RWWGSTZHKWACDC-UHFFFAOYSA-N 411.336 4.573 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(c2ccc(F)cc2)CC1 752456311 NWCZDWSKKZZSTL-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCc1ccc([C@@H](NCc2cc([N+](=O)[O-])ccc2OC)c2ccc([N+](=O)[O-])cc2)o1 753654196 MIWOGQJCVRWGRX-NRFANRHFSA-N 411.414 4.546 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)O[C@@H](C)c1ccccc1NC(=O)c1ccccc1 755758529 CUEJJKGYQVEPDQ-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1cccc(N2CCCC2)c1 756146189 QBOLBPQEERICLM-CQSZACIVSA-N 404.308 4.808 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760520641 VKNJDDNSDGIQOH-UHFFFAOYSA-N 401.444 4.570 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC[C@H](O)c1cccc(OCc2ccccc2)c1 760704219 SGIDYTUPQCRBTC-SZIFGRNDSA-N 404.466 4.510 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@H](O)c1cccc(F)c1 765202999 WWSPIFPQFOFZPG-XCLFUZPHSA-N 418.490 4.621 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3ccccn23)no1 771333089 PDDNJBZGCLKMDZ-SNVBAGLBSA-N 401.835 4.799 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 775479937 ABPRVWQFBSOACN-UHFFFAOYSA-N 423.494 4.558 5 20 HJBD Cc1cccc(CSCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776131543 DWDPYAJHXDOPFA-JOCHJYFZSA-N 408.479 4.864 5 20 HJBD CC(C)c1ccc(C(=O)OCc2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 784258630 VCCMWUFKTOPAMW-UHFFFAOYSA-N 401.806 4.779 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1F 787938507 IZDZIGCQOYRFGS-HNNXBMFYSA-N 422.412 4.893 5 20 HJBD CCc1ccc(-c2nc(CC(=O)OCc3ncc(C)c([N+](=O)[O-])c3C)cs2)cc1 789671940 MWPOVSHOYLXQGK-UHFFFAOYSA-N 411.483 4.578 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790151745 TTXDSBRYKSNDJW-UHFFFAOYSA-N 409.460 4.749 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790464725 DYRQBKJGTRDLAJ-UHFFFAOYSA-N 405.640 4.895 5 20 HJBD COc1ccc2cc(CN(C)C(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])ccc2c1 790483601 SWYREPLNIGLDDV-UHFFFAOYSA-N 416.836 4.750 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)CCCC1 794076587 WTTVKZBBXJOATR-UHFFFAOYSA-N 416.478 4.598 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)c1[N+](=O)[O-] 800354618 YZTZDGSYJLRGGB-UHFFFAOYSA-N 404.291 4.765 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc(C(F)(F)F)nc1 808956209 VCXSOQCBVJDVSJ-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD Cc1cc(OCC(=O)c2ccc(C(F)(F)F)nc2Cl)c(Cl)cc1[N+](=O)[O-] 809973286 XCOWDXYGHGBLDC-UHFFFAOYSA-N 409.147 4.886 5 20 HJBD C[C@@H](OC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1ccccc1[N+](=O)[O-] 810548712 AREUDPKSXRXBIJ-GFCCVEGCSA-N 406.460 4.946 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1csc(-c2ccccn2)n1 811084293 YHLHUBMVLQWHOG-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)n1 812998581 PSAWMNNSYASYLR-UHFFFAOYSA-N 403.225 4.919 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccccc2SC(C)C)cccc1[N+](=O)[O-] 856437927 KWSXQJTUGNFCJN-AWEZNQCLSA-N 402.472 4.588 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCOc4cccc(F)c4C3)c([N+](=O)[O-])c2)cc1 864017100 PODKHLDYEGNELB-UHFFFAOYSA-N 421.428 4.694 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2c(cnn2CN2CCC(c3nc4ccccc4o3)CC2)c1 1320041414 KIMWREYPEILAIF-UHFFFAOYSA-N 411.849 4.576 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1Cc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322787189 WAQRDUOMZSLHSC-KRWDZBQOSA-N 401.466 4.528 5 20 HJBD COCc1cc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2cc(F)ccc2n1 1343483751 QBFPYRMNSBIEMB-UHFFFAOYSA-N 409.373 4.663 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CCC(c2nc3ccccc3s2)CC1 8086246 KYASEZDJGBAGQF-UHFFFAOYSA-N 413.524 4.703 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1c1ccc2c(c1)OCCO2 8366779 MWMHBBNNNONGAB-MRXNPFEDSA-N 410.451 4.558 5 20 HJBD O=C(CSc1ccc2c(c1)CCC2)Nc1ccc([N+](=O)[O-])cc1Br 15514544 FGKOMGBIJPKJPT-UHFFFAOYSA-N 407.289 4.577 5 20 HJBD Cc1csc(CNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 21481860 KBUUFXZSJCRYJD-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccccc2Cl)n1 43839483 AXISBGPLKOAHIW-UHFFFAOYSA-N 401.875 4.573 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC23CC4CC(CC(C4)C2)C3)cc1[N+](=O)[O-])c1ccccn1 64670368 CXJKAQTVCLRVTP-KSKOPSKJSA-N 420.513 4.862 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1 117211465 VPHAFZYWICWSBP-QFIPXVFZSA-N 420.469 4.547 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1(c2ccc(Br)cc2)CC1 303006202 IWVFWSABOXNZDC-UHFFFAOYSA-N 410.655 4.822 5 20 HJBD COCCOc1ccc(CC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 428063351 SRYWWTJNZYXMIW-UHFFFAOYSA-N 422.437 4.594 5 20 HJBD CC(C)(C(=O)N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccccc1[N+](=O)[O-] 429813527 WMYZEANNYXKWOG-QGZVFWFLSA-N 404.413 4.668 5 20 HJBD CC(C)(NC(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1 431181430 KJHQBJMKJHTQGK-GDLZYMKVSA-N 417.531 4.699 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 434321516 OOIMSSXVNYNJNO-UHFFFAOYSA-N 407.264 4.716 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc2cc(Cl)ccc2s1 435981614 JBTBWZJISFBOFY-UHFFFAOYSA-N 413.789 4.736 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(Cl)cc(Br)c1F 436050690 OFZUBGVYSVCRMW-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2O)cc1 436970074 BWXKIFOROOSBHV-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439250360 UXDGKXZFAGJBAU-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(CC(F)F)c1cccc(Cl)c1 440669698 IEPIKXDTHPOEKJ-UHFFFAOYSA-N 423.847 4.763 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441066150 OGCJNJZXLADIDO-DQLDELGASA-N 424.522 4.824 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2O1 443563116 WODHXBOBVOFJCB-QGZVFWFLSA-N 407.220 4.507 5 20 HJBD CCc1ccc(C(=O)Nc2cc(C(F)(F)F)ccc2-c2nc(C)no2)cc1[N+](=O)[O-] 448454647 MYLBULITKCRNRY-UHFFFAOYSA-N 420.347 4.787 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1ccccn1)C2 462615188 KTZHJZMXINQZDL-GOSISDBHSA-N 416.481 4.717 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3ccc(F)cc3[N+](=O)[O-])s2)cc1 466288141 LOEFSBNANWDTEB-NSHDSACASA-N 415.446 4.665 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NCCCS(=O)(=O)c3ccccc3)c2)cc1 467255921 JVENNZDNTYAECZ-UHFFFAOYSA-N 412.467 4.663 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(NC(=O)c2ccncc2)cc1 468554003 MOGYXJCVKRLEPT-QZTJIDSGSA-N 418.497 4.914 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncc(-c2ccc(F)cc2)[nH]1 470127487 CGNDUVGQMOFCKF-SFHVURJKSA-N 413.384 4.632 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 470184876 IKYRUXWKYOTDDQ-BIRYMOPTSA-N 422.529 4.605 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nn([C@H](C)c2ccccc2)cc1C 472833507 ORCOPIFHZZQECN-IEBWSBKVSA-N 406.486 4.916 5 20 HJBD CCC(=O)c1ccc(NCCc2nc(-c3cccc(Cl)c3)no2)c([N+](=O)[O-])c1 480006589 PTHIMPBDWYHKHM-UHFFFAOYSA-N 400.822 4.546 5 20 HJBD O=C(Nc1ccn(-c2ccccc2Cl)n1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 480226568 XPRSPWGYBXLYDD-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COC(=O)c1nc([C@@H]2CCCN2c2ccc(C(F)(F)F)cc2[N+](=O)[O-])sc1C 480580265 QDCKZYUAMJSQJC-LBPRGKRZSA-N 415.393 4.507 5 20 HJBD Cc1ccnc(-c2n[nH]c([C@@H](C)NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)n2)c1 486801076 KRAGLLYHOQKVGL-CQSZACIVSA-N 420.498 4.663 5 20 HJBD Cc1cccc(Nc2ccccc2C(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c1C 487076028 UNPHWOBPASYREB-UHFFFAOYSA-N 419.485 4.629 5 20 HJBD Cc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1C(=O)N1CCCCC1 488845248 YQJAICPJRNJHHD-UHFFFAOYSA-N 409.486 4.707 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc2nc(C3CC3)oc2c1 488956311 QARKDUJLQPINRQ-UHFFFAOYSA-N 420.347 4.954 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccc(Cl)cc3)n2C)ccc1[N+](=O)[O-] 492206317 CGOSZOBYIDRREA-UHFFFAOYSA-N 404.879 4.735 5 20 HJBD CCCN(Cc1c(Cl)cccc1[N+](=O)[O-])C1CCN(C(=O)c2ccccc2)CC1 492273438 KVMYLVWLHZEIHS-UHFFFAOYSA-N 415.921 4.765 5 20 HJBD Cc1ccc(-n2nnc([C@H](C)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2C)cc1 494463117 FBCFBUVTBZSDTH-ZDUSSCGKSA-N 419.407 4.662 5 20 HJBD Cc1ccc(-c2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n[nH]2)s1 500867808 XFWSVVTTXVYYBA-UHFFFAOYSA-N 411.468 4.731 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3ccc([N+](=O)[O-])cc3F)s2)cc1 504143397 MFSHVQSHZKNGGO-LLVKDONJSA-N 415.446 4.665 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1 504702891 KGYWWXPNFBWAPT-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 507287767 ZESIGJOXDVSHKE-FQEVSTJZSA-N 422.510 4.831 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(F)c(Cl)c2)cs1 511626181 KSQVKUBKGXKAJR-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD Cc1cccc(C(=O)Nc2ccccc2C(=O)N2CCCc3c2cccc3[N+](=O)[O-])c1 513834693 YMPULSHHAOVLJX-UHFFFAOYSA-N 415.449 4.749 5 20 HJBD Cc1cc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])nc(-c2ccc(Cl)cc2)n1 513839118 DSSZKLYVYWQHCC-UHFFFAOYSA-N 408.845 4.607 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)N(C)c1ccc([N+](=O)[O-])cc1 515600022 CNINIJKDHXRRKH-UHFFFAOYSA-N 413.430 4.633 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(-c2cn3ccccc3n2)cc1 520097541 UJTXEEPHSAJJHQ-UHFFFAOYSA-N 411.421 4.503 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC 520623813 VVEANRRYPBRFMC-FQEVSTJZSA-N 418.877 4.927 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 521767381 HKYIVZWUUFCYRT-AWEZNQCLSA-N 420.487 4.748 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1NCc1nnc2c(Cl)cc(C(F)(F)F)cn12 527314874 MZUNXKQCBOAKPA-UHFFFAOYSA-N 406.151 4.575 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1csc(-c2ccccn2)n1 530766760 YVPCGHWXQUDXOW-UHFFFAOYSA-N 406.261 4.763 5 20 HJBD CC(C)CN(C(=O)C1C[C@@H](C)O[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452748 GOYHCAYLPGLALL-HUUCEWRRSA-N 417.531 4.911 5 20 HJBD CSc1ccc([C@@H](C)Nc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)cc1 541230866 CSPIDRAPCGZQCF-GFCCVEGCSA-N 416.890 4.689 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 541585158 QTJBHQDERZAHRW-LBPRGKRZSA-N 409.255 4.649 5 20 HJBD C[C@H](CN(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nccs1 542336445 QQMNKUAHYUWYLO-OAHLLOKOSA-N 410.499 4.539 5 20 HJBD Cc1c(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])oc2c(Br)cccc12 542932848 XHIRIVXTOUFHPE-UHFFFAOYSA-N 403.232 4.684 5 20 HJBD Cc1nc2ccc(Br)cc2c(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 544046144 LALPYORESIPIJX-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 544240955 HZPSSYQAUJPZFR-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CC[C@H](n3cnc4ccccc43)C2)c([N+](=O)[O-])c1 544353988 QSMMQIGFCZNDIJ-IBGZPJMESA-N 412.449 4.627 5 20 HJBD Cc1ccc(C(=O)c2c(NC(=O)c3ccccc3[N+](=O)[O-])sc3c2CCOC3)cc1 544765128 VZLSGJSNYJASCW-UHFFFAOYSA-N 422.462 4.521 5 20 HJBD Cc1cncc(-c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)c1 546317282 XFKXZEJNQSHKMT-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD Cc1nc(COc2cccc(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)c2)cs1 546943404 MLANSZAUMIGYAT-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD O=C(NC[C@H](NCc1ccccc1)c1ccco1)c1cc2cc([N+](=O)[O-])ccc2s1 552868821 IFVLREFDMNWZEZ-SFHVURJKSA-N 421.478 4.663 5 20 HJBD C[C@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)c1ccc(C(F)(F)F)cc1 556889240 AYIOQXQZOGCCNE-AUUYWEPGSA-N 418.419 4.830 5 20 HJBD CN(C)C(=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 560274898 PVFPTZNGCNCBMG-UHFFFAOYSA-N 409.417 4.840 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CCOc2ccccc2-c2ccccc2)n1 564522371 WUQUXDHWFIQFTM-UHFFFAOYSA-N 401.422 4.857 5 20 HJBD CC(C)[C@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nc(-c2cccc([N+](=O)[O-])c2)no1 570073358 CYLAOQYQXNJCGL-HAVBXNNZSA-N 424.501 4.675 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC([C@@H](F)c3ccccc3F)CC2)c1 586868118 KNQMXTAHJXITBC-HXUWFJFHSA-N 404.413 4.696 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC(C4CC4)CC3)cc2[N+](=O)[O-])n1 590427107 XDYWAPAYCOXMSW-UHFFFAOYSA-N 403.529 4.773 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)n2)c(C)c1 603633912 MSJAICORJDGNBM-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=C(Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1)c1csc([N+](=O)[O-])c1 603752305 PRHCXLQMZYHCEP-UHFFFAOYSA-N 413.402 4.975 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)cc1OCC1CC1 607091437 BZNWPCVZYLDLRJ-UHFFFAOYSA-N 416.452 4.721 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 609019031 FHZQGRKUCCWSFM-AWEZNQCLSA-N 419.478 4.845 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)cc1[N+](=O)[O-] 609254566 XHHBOMKWAUOJQF-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 609909813 WZQAGWIIXFZERE-XJKSGUPXSA-N 411.458 4.876 5 20 HJBD Cc1nc([C@H]2CCCCN2C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)no1 610885440 OCMFNEONYVGQMX-LJQANCHMSA-N 424.482 4.805 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1F 611215385 PUZQEGRDZPSVJA-MUUNZHRXSA-N 422.503 4.758 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cn(Cc4ccccc4)c4ccccc34)[nH]c2c1 618618667 FLXQSDAVEBFAQD-UHFFFAOYSA-N 411.465 4.764 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(Oc3ccccn3)cc2)cc1SC 728462704 ZJUYLKFPGHKXEF-UHFFFAOYSA-N 412.423 4.732 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCCN(C(=O)c2ccccc2)C1 731269617 IHSPDKFDDLAHNP-FQEVSTJZSA-N 424.500 4.984 5 20 HJBD O=Cc1ccc(OCc2occc2C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cc1 734896519 RDFMBFFKBWIVMG-UHFFFAOYSA-N 415.785 4.590 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)N(Cn1nc(C3CC3)n(-c3ccccc3)c1=S)CCC2 735917611 AFWSDDTWLKZKPU-UHFFFAOYSA-N 407.499 4.599 5 20 HJBD COc1cc(C(=O)O[C@@H]2CC[C@H](C)C[C@H]2C)c([N+](=O)[O-])cc1OCc1cscn1 736028147 MCOUYOABLOPEFE-OGHNNQOOSA-N 420.487 4.620 5 20 HJBD CC(C)(C(=O)Oc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 739535895 HNBRGADGQMNIBQ-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2ccc(Br)c([N+](=O)[O-])c2)o1 741820767 MYJIYQORYLRDFE-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1cccc(F)c1CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 742724128 BGTSZMRMLPVJEP-OAHLLOKOSA-N 408.433 4.540 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 743233390 RTBNVIZNGPYZTF-AWEZNQCLSA-N 414.462 4.502 5 20 HJBD C[C@H](OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1)c1ccccc1[N+](=O)[O-] 749054633 JSPQAXRNJLWLKV-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 752980485 IWTSUOVKXSCKHP-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD COc1ccc(-c2cc(C(=O)OCC(=O)c3cccc([N+](=O)[O-])c3)sc2C)cc1 753415664 GZAHLKJJEMBNCD-UHFFFAOYSA-N 411.435 4.680 5 20 HJBD CCc1ccc([C@H](Nc2ccc(NC(=O)COC)cc2)c2ccc([N+](=O)[O-])cc2)o1 753720654 POTINESTKZNQAK-JOCHJYFZSA-N 409.442 4.537 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@@H](COc2ccccc2Cl)C1 754126785 USVFOSVXQJXWEA-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD C[C@@H](CC[S@](C)=O)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761094386 SKBPHDIDMXHAPE-DFYVNMARSA-N 419.546 4.820 5 20 HJBD O=C(Nc1ccc(CC[C@H](O)c2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 763006844 XGCBDOWIMMPKNY-QHCPKHFHSA-N 415.449 4.995 5 20 HJBD COc1ccc(COC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1SC(F)(F)F 763505839 OACZKOSIJATWEN-UHFFFAOYSA-N 423.315 4.851 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 765354947 XXUZXQCUFDOPRI-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD C[C@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cn(C)c2ccccc12 774290520 DDZXVYDLVBZBLW-HJPURHCSSA-N 415.449 4.918 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2ccc(Br)c([N+](=O)[O-])c2)cc1 775179801 RDQRVRGXQTUABC-UHFFFAOYSA-N 420.307 4.888 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1C[C@H]1c1c(F)cccc1F 776133531 MKBKLANQTQEOBI-WHSLLNHNSA-N 410.376 4.704 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)OCc1ccc([N+](=O)[O-])cc1Cl 778088027 PIZKVGHJKKSFOD-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@@H](c4cccc(C(F)(F)F)c4)C3)o2)cc1 784481929 FUROTPZLQQERMN-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 785955944 XOZNACGMFKCBBQ-AWEZNQCLSA-N 418.877 4.793 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])c(F)c1 787689206 FEJKBHOISHOOHJ-IBGZPJMESA-N 419.368 4.730 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OC[C@@H](O)c1ccc(C(F)(F)F)cc1 790137373 ACWNPLNJMXFWBQ-CYBMUJFWSA-N 424.144 4.628 5 20 HJBD C[C@@H](OC(=O)Cn1c(SC(F)F)nc2ccccc21)c1cccc([N+](=O)[O-])c1 791582387 FWSQEXNBRYOMDC-LLVKDONJSA-N 407.398 4.564 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(CCc1ccccc1)Cc1cccnc1 809914377 HUBNZPMZFMCJLI-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD CC(C)c1nn(C)c(Cl)c1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218222 RIQKTAFHCUKIAF-UHFFFAOYSA-N 420.878 4.586 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 919768166 YGOSSHFHHWVIFZ-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD Cc1cc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 1117191282 HAXASMDWAXUSMK-NSHDSACASA-N 404.220 4.856 5 20 HJBD Cc1nc(Cc2ccccc2)sc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1117191569 LUZHRRWPJLPVRT-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD COCCO[C@@H](C)c1nnc(-c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)o1 1118361168 VGGOEQVYHULNOW-ZDUSSCGKSA-N 401.444 4.520 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3ccccc3s2)CC1 20938311 MLYQXMLAPKSLIN-UHFFFAOYSA-N 424.526 4.803 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(F)c1 26342594 ISAPSVXHZWIRAU-ZDUSSCGKSA-N 402.422 4.524 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)o2)c1 26409124 FRMDPIUODDKWSC-UHFFFAOYSA-N 412.471 4.691 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC(C)(C)C)c2ccccc2)cc1OC 31800533 IEIXGBHMQFEWLE-KRWDZBQOSA-N 400.475 4.909 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3ncccc23)cc1[N+](=O)[O-])c1ccccn1 52955452 ZLVBOGRUIHCDNW-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCCO2)N1CCC(c2cccc3ccccc23)CC1 58725596 YNEYQJBXYXILJI-UHFFFAOYSA-N 418.449 4.539 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCCN(Cc4ccc(Cl)cc4)CC3)sc2c1 111105811 JQXDCBQICBCMAN-UHFFFAOYSA-N 402.907 4.570 5 20 HJBD Cc1sc(NC(=O)[C@H](C)Oc2cccc(F)c2)nc1-c1cccc([N+](=O)[O-])c1 195704247 VSKHHCKZDBVMSP-NSHDSACASA-N 401.419 4.572 5 20 HJBD COc1cccc([C@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2cc(F)ccc2OC)c1 237321467 FGRMWUCQSCSWMF-QHCPKHFHSA-N 410.445 4.939 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 237643341 HSJRHXXTUYNUHA-RDPSFJRHSA-N 420.513 4.561 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccccc2-c2ccc(Cn3cccn3)cc2)cs1 237996799 RBWORLYMRGBZFZ-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD C[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 301236999 IEFXOJLFZCAFDS-VIFPVBQESA-N 419.475 4.518 5 20 HJBD Cc1ccc(C[C@@H](Nc2ncc([N+](=O)[O-])cc2Br)c2ccccn2)cc1 301570800 WUPGLROVVIKOKB-GOSISDBHSA-N 413.275 4.852 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F 436438534 IPCOJXYKAWMFDM-INIZCTEOSA-N 420.746 4.576 5 20 HJBD CCCN(C(=O)c1cnc(-c2ccc3c(c2)OCO3)s1)c1cccc([N+](=O)[O-])c1 441432543 XTMSQMDNEBICIM-UHFFFAOYSA-N 411.439 4.504 5 20 HJBD C[C@@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444096572 KNUHVNQVWYIEED-SJLPKXTDSA-N 414.480 4.914 5 20 HJBD C[C@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N1CCc2ccc([N+](=O)[O-])cc2C1 446733177 ALTKSGCKCKTPOT-GFCCVEGCSA-N 418.375 4.783 5 20 HJBD C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)CC[C@H]1c1ccccc1 460636477 PBRNHPCSAVNYHH-XJKSGUPXSA-N 403.276 4.623 5 20 HJBD COc1cc(CN[C@@H](c2cccc(Cl)c2)c2ccccn2)c([N+](=O)[O-])cc1F 464685950 BISDXJJKVKGXET-FQEVSTJZSA-N 401.825 4.670 5 20 HJBD O=C(NCc1nccs1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466837071 JEQPDSYTBHSBJW-UHFFFAOYSA-N 405.888 4.786 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1ccc([N+](=O)[O-])cc1Br 468183803 RTRJLKDHWJSJND-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cc(Cl)ccc1OCC(F)(F)F 477494658 OGBYLZRYSSZNIA-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(Cl)cc2C(F)(F)F)c(F)cc1[N+](=O)[O-] 479161744 KXQDMEHDHVQOCL-UHFFFAOYSA-N 406.719 4.732 5 20 HJBD CC(=O)Nc1sc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 479357171 GPAACBBYIIGWGI-UHFFFAOYSA-N 424.482 4.593 5 20 HJBD O=C(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)Nc1ccccc1 486849895 BJPMFMKVHBTUNF-UHFFFAOYSA-N 421.478 4.505 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@H](C)c1ccc(F)cc1 488640510 BGMLRXUINQWWLC-GFCCVEGCSA-N 414.355 4.898 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)c(C)c1 488784263 QEHYZSZDQLOSJM-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3cccc(F)c32)cc1[N+](=O)[O-])c1ccccn1 491079201 JLEMRSJDELNRMF-AWEZNQCLSA-N 406.417 4.505 5 20 HJBD Cn1c(C(=O)N(Cc2ccc(F)cc2)c2nc3ccccc3s2)ccc1[N+](=O)[O-] 501769711 ZQDXPJNLULNOTA-UHFFFAOYSA-N 410.430 4.529 5 20 HJBD Cn1cc(C(=O)N2CC3(CCCC3)c3c(F)cccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 501791305 GCWGNTUVDBRHBG-UHFFFAOYSA-N 420.444 4.607 5 20 HJBD C[C@@H](NC(=O)c1cnn(-c2ccc(Cl)cc2Cl)c1)c1cccc([N+](=O)[O-])c1 502481621 OCDBYZGVKDPULH-LLVKDONJSA-N 405.241 4.578 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(NC(=O)C2CC2)cc1 509309315 DXSPZGPKRNPJED-MRXNPFEDSA-N 424.501 4.625 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ncc(-c2cccs2)[nH]1 509905880 SWCGDOBTBXORDU-UHFFFAOYSA-N 419.335 4.708 5 20 HJBD Cc1cc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c2ncccc2c1 510246164 WLYIRTOYCPXQPT-UHFFFAOYSA-N 406.442 4.527 5 20 HJBD NC(=O)CC[C@H]1CCCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 512668403 LKUSLUCJVKWUNP-OAHLLOKOSA-N 417.893 4.518 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc(C(C)C)n2C)cc1OC 513634530 CDMXAWWZXKTBRG-ZDUSSCGKSA-N 408.524 4.573 5 20 HJBD CCOc1cc(CNC2(Cc3ccc(F)cc3Cl)CCOCC2)ccc1[N+](=O)[O-] 516668061 IVLTUVGLEBISFQ-UHFFFAOYSA-N 422.884 4.668 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2cccc(CN3CCC(O)CC3)c2)s1 522892922 NRWOITBBTDYNQL-UHFFFAOYSA-N 423.538 4.892 5 20 HJBD Cc1sc(CNC(=O)NCc2cccc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 524336601 NEJLBYRFQJFWAI-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD O=C(Nc1ccc(CCO)cc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 530784196 SQDAUHHMXRCFII-UHFFFAOYSA-N 412.829 4.828 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c(N2CCOCC2)c1 531487035 MDIKZLFJTJSERD-UHFFFAOYSA-N 423.538 4.758 5 20 HJBD COc1ccc(CNc2ccc3c(c2)CN(C)C3)cc1OCc1ccc([N+](=O)[O-])cc1 532728337 MKXZHKWNVMRRKO-UHFFFAOYSA-N 419.481 4.740 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCC(=O)Nc1cccc(Oc2ccccc2)c1 533914595 YKQZKXWKPKAFNZ-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 534015729 VYNXAFFHTNAJHQ-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2ccccn2)ccc1OCc1ccc([N+](=O)[O-])cc1 534331877 DXDODZLSZFEXIK-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)CCC(=O)Nc1cccc([N+](=O)[O-])c1C 535211689 NISVRLMNBCDMQK-HNNXBMFYSA-N 404.536 4.813 5 20 HJBD Cc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cccc1OCC(F)(F)F 535356553 VHKHFWMPZFKVOH-UHFFFAOYSA-N 407.348 4.507 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535670829 MXWQQGMOJYTTOU-LJQANCHMSA-N 415.453 4.759 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC=C(C(C)(C)C)CC2)cc1[N+](=O)[O-])c1ccccn1 536256245 DISGTPAYNGGVNQ-INIZCTEOSA-N 408.502 4.981 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNC3(c4ccc5c(c4)OCCO5)CCCC3)co2)cc1 538142380 AFSBAMRVZFOBOW-UHFFFAOYSA-N 421.453 4.580 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCCc3ccc(F)c(F)c32)cc1[N+](=O)[O-] 539892445 DDOJKRSMGADDAE-UHFFFAOYSA-N 411.202 4.537 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCCCC[C@@H]2/C=C\c2ccccc2)s1 541268739 FQNBQEGHDXZJBA-YUQCYMQKSA-N 406.529 4.522 5 20 HJBD Cc1ccc(-c2noc(-c3cccc(CSc4nccn4C)c3)n2)cc1[N+](=O)[O-] 545571729 UXBCAQPKDYFZAF-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(-c2csc(-c3ccc(F)c(F)c3)n2)n1 546243119 PSXMDKXYBYXYEG-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD Cc1nnc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)o1 547354260 OSQWTSNWVMLFGM-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccncc2OCc2ccccc2)cc1[N+](=O)[O-] 552318008 NLYUGJXSOOVUAX-KRWDZBQOSA-N 407.470 4.818 5 20 HJBD Cn1ccnc1[C@H](NCCCCc1ccc(Cl)s1)c1cccc([N+](=O)[O-])c1 560273896 ABXZIOXXMMBYPA-GOSISDBHSA-N 404.923 4.745 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@]23CCC[C@@H]2CN(Cc2ccccc2)C3)n1 567310598 VUMKZRJTMGZAND-WZONZLPQSA-N 404.470 4.507 5 20 HJBD CC(C)c1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1ccccc1 579196422 RAMUSBVSULSQHG-UHFFFAOYSA-N 404.430 4.566 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCc4sccc4C3)n2Cc2ccccc2)c1 579318382 NGRXOUNRECDAIR-UHFFFAOYSA-N 417.494 4.526 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(OCc2ccc(F)cc2)c1 603657359 GLXHNDMSQBHIDX-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD Cc1nc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)sc1Cc1ccccc1 604102613 KLKWRPJUFGUQBV-UHFFFAOYSA-N 422.510 4.803 5 20 HJBD COc1ccc([C@H](NC(=O)CSc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 604451122 HSBWUWARKBUGQR-JOCHJYFZSA-N 408.479 4.601 5 20 HJBD CC(C)(C(=O)Nc1ccc(Sc2ccccc2Cl)cc1)n1cc([N+](=O)[O-])cn1 608806618 SYPLILHAMDFPKO-UHFFFAOYSA-N 416.890 4.970 5 20 HJBD CCOC(=O)c1ccc(N(CC2CC2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 609099951 DYIRNDHIRYTHBU-UHFFFAOYSA-N 408.410 4.575 5 20 HJBD CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CCCCC1 609854368 CHJAGPKRLDLRCH-UHFFFAOYSA-N 411.289 4.882 5 20 HJBD CCc1ocnc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 610046253 PSPVFQKEEKPXLZ-UHFFFAOYSA-N 419.796 4.660 5 20 HJBD CCc1ccc([C@@H](C)C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 727706306 PNEUVFKVNKBGHG-MRXNPFEDSA-N 422.485 4.748 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](CCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1 731792260 KNSHXDXEGWXBSE-SFHVURJKSA-N 424.457 4.843 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@H]1CCc2ccccc21 734390031 XQQGJQPQHRXPRF-QGZVFWFLSA-N 420.469 4.502 5 20 HJBD CCn1cc(CC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc21 735444988 CGWKLWBOVYXUMZ-UHFFFAOYSA-N 405.410 4.510 5 20 HJBD Cc1cc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)n1CC(F)(F)F 735601492 WWWFTDXVKYYPHT-UHFFFAOYSA-N 423.347 4.587 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1cccc(CSC2CCCC2)c1 740802856 QPUKVKJTKSEGBA-UHFFFAOYSA-N 404.463 4.927 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ncc(-c3ccccc3)o2)cc1SC 741316057 KDUXWBBVIFYAFA-LBPRGKRZSA-N 414.439 4.898 5 20 HJBD C[C@H](OC(=O)C1CCCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 745122460 CEFITVOGXWFDEO-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 746533486 NZEYRKPNPZZOKD-UHFFFAOYSA-N 415.515 4.754 5 20 HJBD CCc1ccc(C(=O)OCc2nnc(-c3ccc(Cl)cc3Cl)o2)cc1[N+](=O)[O-] 747012351 VAOWYLBXZKNZAM-UHFFFAOYSA-N 422.224 4.871 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1Cc2ccccc2[C@H](c2ccccc2)C1 747209103 GCZXEQXVWPCFPI-NRFANRHFSA-N 415.449 4.704 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc(Cl)ccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 747283875 CEDCCKQITVSMHO-VIFPVBQESA-N 422.224 4.567 5 20 HJBD CCOCc1cccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c1 749420429 TZBJPJLGLVZIEF-UHFFFAOYSA-N 413.655 4.800 5 20 HJBD CN(Cc1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 749909776 BCWQNXSMONFTLM-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C1CCC1)c1cccc([N+](=O)[O-])c1Br 753821584 YQWUOAMPLUTHKI-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD Cc1c(NC(=O)Nc2cccc3c2CCN3C(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 753862897 HRHHWFKCUCKGPL-UHFFFAOYSA-N 412.446 4.845 5 20 HJBD Cc1ccc(OCCCC(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)c(Cl)c1 767658763 ZAMSOENPMPUIIN-UHFFFAOYSA-N 404.806 4.600 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](Cc1nc(-c2ccc([N+](=O)[O-])s2)no1)c1ccccc1 771214025 DPXWZPKAAUDYIB-ZDUSSCGKSA-N 416.459 4.515 5 20 HJBD Cc1ncc(C(=O)OCc2ccc(Br)c([N+](=O)[O-])c2)c(Cl)c1Cl 773458557 JONKZFVSRLILEG-UHFFFAOYSA-N 420.046 4.725 5 20 HJBD Cc1cccc(Nc2nnc(SC[C@@H](O)c3ccc([N+](=O)[O-])cc3)s2)c1C 776270289 CBYPHACWGWQFRA-MRXNPFEDSA-N 402.501 4.632 5 20 HJBD CSc1cccc(C(=O)O[C@H](C(=O)Nc2ccccc2Cl)C(C)C)c1[N+](=O)[O-] 777077156 CXGQDBXAHBSXDN-KRWDZBQOSA-N 422.890 4.790 5 20 HJBD Cc1cc(C(=O)O[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)co1 781370185 OPYVZZAYQXRGNM-SFHVURJKSA-N 414.801 4.686 5 20 HJBD COc1cc(CSc2ccc([N+](=O)[O-])cc2F)c([N+](=O)[O-])cc1OCC(F)F 788505322 VIXKNCGLFPWDSC-UHFFFAOYSA-N 418.349 4.587 5 20 HJBD C[C@H](OC(=O)Cc1cccc(Cl)c1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 789250408 UZXMHVGAXIKCKQ-JTQLQIEISA-N 422.224 4.799 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(Cl)nc1C(F)(F)F 790006342 XEFGLZJTIHNOHN-UHFFFAOYSA-N 413.661 4.933 5 20 HJBD Cc1c(CNC(=S)Nc2ccc(OC(F)F)c(Cl)c2)cccc1[N+](=O)[O-] 790334495 YGFATMRAPPJVLN-UHFFFAOYSA-N 401.822 4.645 5 20 HJBD COc1ccc(NC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 790564613 NJIXKQZCBFQTTK-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccc(Br)cc2)C2CCC2)c([N+](=O)[O-])c1 792877657 VIFHGOLDZGANAP-GOSISDBHSA-N 420.263 4.897 5 20 HJBD CC(C)(C(=O)Oc1cccc(C(=O)Nc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 796804819 PMTOYIAHPIDNDK-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 798121683 DNSMQVBUOPAHJV-NNUKFRKNSA-N 423.347 4.506 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 808842884 GUGCSYBEUGSEPE-LBPRGKRZSA-N 414.330 4.912 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 808955001 OINNOVBQVBYYES-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD O=C(NCCCCOc1ccc(F)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812894110 HCOPFRZVUBLCDI-UHFFFAOYSA-N 401.221 4.630 5 20 HJBD CC(C)c1ccc(C(=O)N[C@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 814350781 RFFZXSQBSWFUOM-OAHLLOKOSA-N 411.458 4.556 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC(F)F)c(F)c1 816005382 KJRZHIICHNXRKE-SFHVURJKSA-N 418.327 4.677 5 20 HJBD C[C@H](Sc1ccc(Cl)cc1)C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 914797168 LDEXNAGDNUPCPU-NSHDSACASA-N 419.846 4.522 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cccnc1SCc1ccc(F)cc1 915828456 LUKJMDJRQDBCQK-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cnn1-c1ccc(Cl)cc1 917608726 DQDLYJXJAALMEW-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1Cl 917760502 DNCWUUOWGFLPGE-KPKJPENVSA-N 415.877 4.819 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Cl)c(N)c(Cl)c1 920805132 IDGCTWDHNUDZBQ-ZETCQYMHSA-N 404.637 4.543 5 20 HJBD CC(C)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1c(Cl)cccc1Cl 1116176513 YFHCIAYHSKURNP-UHFFFAOYSA-N 408.285 4.905 5 20 HJBD COc1ccccc1-c1nnc(CSc2ccc(Br)cc2[N+](=O)[O-])o1 1116248078 CBOXGMWPTPAJGK-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nnc(COc3ccc(Cl)cc3)o2)c1 1117200971 CJPFXYCZEMXORO-UHFFFAOYSA-N 414.849 4.868 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 1317990556 QWUSCROESDEZOO-AWEZNQCLSA-N 423.425 4.557 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 1320014499 XIYLJGUJXCZKJF-CQSZACIVSA-N 423.425 4.557 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 1321911100 GILIVWONVJUFQG-UHFFFAOYSA-N 411.370 4.638 5 20 HJBD Nc1c(-c2nnc(CCCOc3ccc(Cl)cc3Cl)o2)cccc1[N+](=O)[O-] 1341340705 DIPANQIJBLFNLK-UHFFFAOYSA-N 409.229 4.545 5 20 HJBD Cc1c(Br)cc(-c2nnc(COc3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 1346600449 BRXPUWKSDFMGSJ-UHFFFAOYSA-N 424.638 4.948 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc(F)cc2)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 12679023 AXAVBAKLWGZEOP-SECBINFHSA-N 422.825 4.557 5 20 HJBD COc1cccc(-c2nnc(SCc3cccc([N+](=O)[O-])c3)n2Cc2ccco2)c1 21677015 KWXFJBXIJDKUNK-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 29013079 USCXXGCVVMGJPS-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD C[C@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 30449269 GMZZLZRPMRDMRJ-HNNXBMFYSA-N 409.417 4.752 5 20 HJBD CC(=O)Nc1ccc(F)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 44065084 RTGQZOOOHFVACM-UHFFFAOYSA-N 408.389 4.688 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1C 44754755 HATQZRUXLLTQAN-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)Nc2ccccc2)c1 61111337 KXGVHJIVVIKTGQ-UHFFFAOYSA-N 405.414 4.561 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc(Cl)c(F)c1)c1ccccc1[N+](=O)[O-] 61672784 HGFISBNZPGLQNU-MRXNPFEDSA-N 423.828 4.592 5 20 HJBD CN(C)c1c(Cl)cccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64916845 KAAYFDGZLALAKA-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD O=C(NCc1cccnc1OCC(F)(F)F)c1cc2ccccc2c2cccnc12 107789410 QCGXPBUAPSTDOW-UHFFFAOYSA-N 411.383 4.654 5 20 HJBD CC(C)C(=O)Nc1ccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 236842975 KUNAUDWSGNFAIW-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2ncc(Cl)cc2[N+](=O)[O-])cc1 301744420 NDQCSPBYUAOUBO-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429946986 NZVVNVWJAYTXCW-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431629285 CYEJSSUKVINFTJ-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1cc(Br)cc([N+](=O)[O-])c1 431637885 FAOOVEHUXRWMBQ-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cn1 441623717 VFEKOCMZWKOTFM-UHFFFAOYSA-N 421.457 4.964 5 20 HJBD C[C@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C[C@@H]1n1ccnc1 442637023 KDPCGBWAJQGNCR-LPHOPBHVSA-N 422.510 4.666 5 20 HJBD Cc1ccc(NC(=O)Cc2noc(-c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)n2)c(C)c1 445355126 ZYWIPILHTCXITO-UHFFFAOYSA-N 408.458 4.740 5 20 HJBD COc1cc(CN[C@@H]2CCc3ccccc32)c([N+](=O)[O-])cc1OCc1ccccc1 447943983 QHJFDIGZBINPDH-OAQYLSRUSA-N 404.466 4.960 5 20 HJBD Cc1cc(N[C@@H]2CCN(C(=O)Nc3ccccc3)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461967622 SMQYJXDUKUYCRH-CQSZACIVSA-N 408.380 4.640 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cc(Cl)c3c(c2)OCCCO3)cc1OC(F)F 462090604 VUHHZJNJCZWVRL-UHFFFAOYSA-N 400.765 4.623 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@H]2[C@@H](CCCN2Cc2ccccc2)C1 463967644 FXPVFVRGYAIPSX-UNMCSNQZSA-N 422.529 4.730 5 20 HJBD Cc1c([C@@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)oc2ccccc12 465670468 VXEZRIJLGMYVAG-MRXNPFEDSA-N 423.469 4.963 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)CC(=O)Nc1cccc(N2CCCC2)c1 468373113 LWYQNMBPHUJZHY-RBUKOAKNSA-N 424.545 4.523 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 470116823 WPGHJGPEEDTLQQ-AWEZNQCLSA-N 410.405 4.591 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3ccccc3n2C)cc1 470898817 FLKKAPHQCSNXPJ-UHFFFAOYSA-N 416.437 4.800 5 20 HJBD Cc1cc(SCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)c(C)cc1Br 478149616 UTCKMFWFBCRTBI-UHFFFAOYSA-N 409.305 4.729 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)s1 486690566 MDQKRQSBJAXLEF-UHFFFAOYSA-N 423.210 4.676 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccc(OC(F)(F)F)c1 487449131 VUZGGYWKKVIIHG-UHFFFAOYSA-N 400.378 4.882 5 20 HJBD CC(C)(NC(=O)NCc1cc(F)c(C(F)(F)F)cc1F)c1ccccc1[N+](=O)[O-] 491151818 XNBHZOJOLPJVHW-UHFFFAOYSA-N 417.334 4.626 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 497828747 PPOBSAQVWLXXMU-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD O=C(c1cccc(C(F)(F)F)c1F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498150522 XDKBSKRUJXMCRJ-INIZCTEOSA-N 400.353 4.641 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])ccc1OCCC(C)C 501477277 MCKYFQPUPWQVNV-MRXNPFEDSA-N 400.475 4.828 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506709131 YDCCWKSPKQFSQU-CQSZACIVSA-N 407.499 4.798 5 20 HJBD CS(=O)(=O)c1cc(SCc2coc(-c3cccc(Cl)c3)n2)ccc1[N+](=O)[O-] 507601547 UEQJKZSSSZIBDV-UHFFFAOYSA-N 424.887 4.599 5 20 HJBD CN(Cc1cccnc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 508675111 DUFDBVBVAPZWDO-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCSc2ccc(F)cc21 509372114 LFULQNGVEYGIPD-GOSISDBHSA-N 403.479 4.891 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cc2cc([N+](=O)[O-])ccc2o1 510214552 UJOMTUIRKBTSIY-UHFFFAOYSA-N 415.377 4.919 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Sc2ccccc2)c(Cl)c1 512531030 QLZZNPKUQOPZNJ-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD COc1ccc(CN2CCCC[C@@H]2c2ncc[nH]2)cc1OCc1ccc([N+](=O)[O-])cc1 512557342 PLEGWBUXZIETDQ-HXUWFJFHSA-N 422.485 4.633 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 516333936 GQMKQEQJBBAUCE-INIZCTEOSA-N 410.495 4.803 5 20 HJBD Cc1nn(CC(C)C)c(C)c1CCC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 518893285 SLIRVNZHCSFCIS-UHFFFAOYSA-N 412.534 4.973 5 20 HJBD O=C(Nc1ccccc1)c1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 519839301 CBZRBQONMPLSAN-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccc2ccccc2c1)C1CC1 520020985 RHIUQGSPXNTBGM-UHFFFAOYSA-N 412.449 4.739 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C[C@H](c1ccccc1)CC2 520644753 IPDIDAYHUNJVLN-CQSZACIVSA-N 409.467 4.585 5 20 HJBD CCc1ccc(-c2nc(CC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cs2)cc1 523497589 SWBOAEDCGQUSDW-UHFFFAOYSA-N 423.538 4.962 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1-c1ccc(Cl)cc1 524808541 NZJOJPMLQJEITA-CQSZACIVSA-N 423.856 4.731 5 20 HJBD Cc1ccccc1[C@@H](CO)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 530598387 XPVDVSWZFKLBBE-OAQYLSRUSA-N 407.426 4.551 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(CO)cc3)cc2)c1 532867679 ZWEJWZVJLFEXHK-UHFFFAOYSA-N 410.451 4.854 5 20 HJBD O=C(c1cc(Br)cc(C(F)(F)F)c1)N1CCc2ccc([N+](=O)[O-])cc21 534415472 IBIODVKYNVBHFF-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc([S@](C)=O)cc1 535191074 OGAPSJSNZXWBFK-ASHKIFAZSA-N 400.525 4.912 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1 537639741 HGRINGMOKYIBMM-UHFFFAOYSA-N 404.470 4.972 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])c1 540413974 LVKPECVQGKXFPH-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD CC(C)(C)OC(=O)c1ccc(Br)c(NC(=O)c2ccccc2[N+](=O)[O-])c1 541633242 IZOUJZHAQOUWKG-UHFFFAOYSA-N 421.247 4.565 5 20 HJBD C[C@@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542653298 LBESSCABSZUWIO-AWEZNQCLSA-N 416.499 4.861 5 20 HJBD CN(C(=O)c1ccc(OC2CCCC2)nc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 543797100 SGXYQMWUXGWQDQ-UHFFFAOYSA-N 424.482 4.711 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)c1)c1ccco1 545607693 ZCHGSVBBMYDRTR-UHFFFAOYSA-N 416.349 4.903 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(CCOCC(F)(F)F)n3)cc2)c1 545765926 DHOUPNYGAZDYEA-UHFFFAOYSA-N 409.320 4.559 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C\c3ccccc3[N+](=O)[O-])n2)c1)c1ccco1 547020269 QHRRCGQTOOAKJQ-KHPPLWFESA-N 402.366 4.661 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C\c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc21 550004604 XBDZTCMKJDXUDL-UILAEWCASA-N 409.467 4.648 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 552380902 ONMNRZXOLDUMEN-UHFFFAOYSA-N 404.398 4.969 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC=C(c2ccncc2)C1 588059059 TXAZZQUZHRNIJX-UHFFFAOYSA-N 409.511 4.954 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590196160 AWTFZSHGGYNEIL-HNAYVOBHSA-N 422.403 4.854 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1-n1cccn1 603601053 BOKSUVOIYCMLAF-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3[nH]2)cc1 603928195 FZDWMZQMWBLJNS-UHFFFAOYSA-N 404.451 4.869 5 20 HJBD C[C@H](N[C@H](CCc1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955351 SXLPRTWGLAGUGO-GHTZIAJQSA-N 403.482 4.886 5 20 HJBD C[C@@H]1CCN(C(=O)CNc2cc([N+](=O)[O-])ccc2OC(F)F)c2ccccc2S1 604006129 HEWNWVXDHDJOPZ-GFCCVEGCSA-N 423.441 4.526 5 20 HJBD O=c1c(Cl)c(NCc2csc3ccccc23)cnn1-c1ccc([N+](=O)[O-])cc1 608830947 NKQQPROLBZQBCS-UHFFFAOYSA-N 412.858 4.621 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(Cc3c(F)cccc3Cl)o2)cc1 608929886 ZJKWQTSKYRILBN-UHFFFAOYSA-N 423.853 4.922 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)cc1[N+](=O)[O-] 609009694 FSYNXAMYKMPZPQ-UHFFFAOYSA-N 403.394 4.593 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 609080322 BDPPFIOKXWJRDH-LLVKDONJSA-N 408.252 4.639 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610040293 LYQRYWOBYCTPSM-UHFFFAOYSA-N 423.263 4.797 5 20 HJBD C[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(OC(F)F)cc1 610100353 VUEJMOHDDBBIJN-CQSZACIVSA-N 407.417 4.579 5 20 HJBD Cc1cc(C)c2cc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)sc2n1 610388732 SWVKTMYKQNGUEE-UHFFFAOYSA-N 411.483 4.505 5 20 HJBD O=C1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)ccc2N1 611205090 XLPADQBBVJXDEH-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1cc(F)cc3c(=O)c4cc(F)ccc4[nH]c13)CC2 681991533 PUARCQYHPZEOMU-HXUWFJFHSA-N 404.416 4.685 5 20 HJBD COc1ccc(NC(=S)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Cl 726898932 HOUJJPRTWDKULX-UHFFFAOYSA-N 403.794 4.667 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1cscn1)c1ccccc1 731178158 NJUNMQZGIVTVBN-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(Oc2ccncc2)c(Cl)c1 733913972 ICJIFCVKYHPJSG-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD COc1ccc(Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 734833883 USFDHOVUWWSURD-UHFFFAOYSA-N 414.418 4.774 5 20 HJBD O=[N+]([O-])c1ccc2nc(Nc3ccc(OCc4noc(C5CC5)n4)cc3)sc2c1 742410971 GCRXSHMSQLXEQR-UHFFFAOYSA-N 409.427 4.788 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 746886521 BAAWQHPWVBNFOC-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 750184474 AARRKTBFYRQCDF-UHFFFAOYSA-N 410.213 4.867 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1noc(-c2cccc(Cl)c2)n1 756342994 SGRGCOMATKUMHH-NSHDSACASA-N 419.846 4.522 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757434059 ASSZMWWURVAKMU-LJQANCHMSA-N 416.886 4.686 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCO2)cc1 757533948 VUZDGIMUUPLFOV-YJBOKZPZSA-N 409.360 4.999 5 20 HJBD O=C(NCC1(Cc2ccccc2)CCC1)c1cc(F)cc([N+](=O)[O-])c1Br 758290768 ARWMTKIVKJFSPR-UHFFFAOYSA-N 421.266 4.639 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(Cc1cscn1)c1ccccc1 758307143 IWYVHZWXBXKLIF-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2cccs2)ccc1[N+](=O)[O-] 771185578 KMKYSHUHTBJHMO-IBGZPJMESA-N 411.483 4.732 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 773254194 ALTZNUIONGPLHC-MAUKXSAKSA-N 407.829 4.507 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 775932496 RNRGJOVJESIRPN-YGRLFVJLSA-N 423.252 4.502 5 20 HJBD COc1cc(CN[C@H]2CC[C@@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 776699565 GFXPYUKYJXVKSW-ZWKOTPCHSA-N 402.516 4.556 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(F)cc1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778073475 OTXUGMDJBJLDMG-AWEZNQCLSA-N 406.282 4.636 5 20 HJBD O=C(N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 779458498 IFVFZQOPLCIPQT-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780620915 AIFQKPOSNUVTID-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1cccc([N+](=O)[O-])c1Br 781678065 NBBYGAVOIZDXHK-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 792877648 UQNZXLFENOXBPI-OAHLLOKOSA-N 420.425 4.606 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)N1CCC(F)(F)CC1 794291147 QKSAZBAPHQKHTN-UHFFFAOYSA-N 409.820 4.732 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 800146626 CJBWLEXEKSKDIT-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD CCc1ccc([C@@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804150235 DXADIMDOBWBFJF-FQEVSTJZSA-N 414.527 4.655 5 20 HJBD C[C@]1(C[C@@H]2CCCN2Cc2c3ccccc3c(Cl)c3ccccc23)NC(=O)NC1=O 809705129 ONJKGNRGEQUUIH-IZHWHUGBSA-N 421.928 4.599 5 20 HJBD C[C@H]1CN(Cc2ccccc2)C[C@@H](C)[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809921481 GZFLQESDSGUNER-QVDMIQRCSA-N 416.909 4.526 5 20 HJBD O=C(Cc1cccc(C(F)F)c1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215128 NNSHDPXACFGRHE-UHFFFAOYSA-N 404.394 4.942 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])co2)cc1 813034176 BSBOTOHPXKXUAQ-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(Br)c1 813311381 PYPTXXWHXPYAKK-UHFFFAOYSA-N 404.264 4.517 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc(-c2ccc(F)cc2F)no1 904802879 JOOPMGHCGYMQBJ-UHFFFAOYSA-N 414.290 4.596 5 20 HJBD COc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])cc1OC1CCCC1 914848168 XTEPIDSWVFMKPF-UHFFFAOYSA-N 402.472 4.656 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccccc2)c2nccs2)c(Br)c1 1116646686 MHWKXWVCFAEETL-INIZCTEOSA-N 404.289 4.693 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 1317973600 YKPFEPDYHJDXRV-UHFFFAOYSA-N 423.425 4.787 5 20 HJBD O=[N+]([O-])c1cc2c(cc1-c1nnc(COc3ccccc3-c3ccccc3)o1)OCO2 1323868765 UIDAHRAISYUICI-UHFFFAOYSA-N 417.377 4.620 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(COc2cccc([N+](=O)[O-])c2)o1 1323913326 RHUQMVDJCLKLOL-UHFFFAOYSA-N 403.822 4.530 5 20 HJBD CCN(CC)C(=S)S[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 5987905 OACAZFWLRQUVOO-GOSISDBHSA-N 417.556 4.943 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11668830 YGNGBRXHXOFCCC-ILFKPUCNSA-N 415.254 4.547 5 20 HJBD C[C@@H]1CCCC[C@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15797014 SAJYDZXDOJYVPT-CZUORRHYSA-N 413.440 4.525 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](c2nc3ccccc3s2)C1 25278163 JTXWVNHYYOGRMP-INIZCTEOSA-N 424.526 4.803 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC(F)F 33080057 GNCFLZBYDGMLBV-UHFFFAOYSA-N 422.428 4.775 5 20 HJBD O=C(NCCc1ccccn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 45293555 RVFHLFOOGOIURU-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@H](C)C2CC2)cc1 58642543 UNBZDLNKGUAHEX-QGZVFWFLSA-N 423.513 4.645 5 20 HJBD O=[N+]([O-])c1ccc(CNC2(c3ccc(OCc4ccccc4)cc3)CCOCC2)cc1 237997667 JNGPIPFAKNIGBS-UHFFFAOYSA-N 418.493 4.969 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nccn3Cc3ccccc3)s2)cc1 238000931 HCPDSYQVYLLMKT-UHFFFAOYSA-N 418.522 4.900 5 20 HJBD CCOc1cc(N[C@@H](C)c2ccc(NC(=O)c3ccncc3)cc2)ccc1[N+](=O)[O-] 301581472 NUCCNZRXFLAGCE-HNNXBMFYSA-N 406.442 4.814 5 20 HJBD CCc1nn(C)c(N[C@H]2CCCc3cc(NC(=O)OC(C)(C)C)ccc32)c1[N+](=O)[O-] 302485379 XORYQQAQXWASOR-KRWDZBQOSA-N 415.494 4.727 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc2ccccc12)c1ccc(Br)cc1[N+](=O)[O-] 431135885 IIWYYOXVSXPWLK-ZWKOTPCHSA-N 411.255 4.796 5 20 HJBD COc1ccc(Nc2nnc(SCc3nc4cc([N+](=O)[O-])ccc4o3)s2)cc1 434795832 RLKVNILVLXBVCZ-UHFFFAOYSA-N 415.456 4.632 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435825210 HNVRZEHMDGJHAP-UHFFFAOYSA-N 408.404 4.555 5 20 HJBD Cc1ccc(-n2c(SCc3cc(O)ccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 436473124 DRTBSUZYFUDGQJ-UHFFFAOYSA-N 419.466 4.544 5 20 HJBD CC1(C)Cc2cc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)ccc2O1 444224364 JLGGNVFFDXSUPB-UHFFFAOYSA-N 402.425 4.786 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCN(Cc2ccc(Cl)cc2)CC1 463958876 ODUZQZYQUZRKDA-UHFFFAOYSA-N 416.909 4.605 5 20 HJBD Cc1nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1 466304321 AZMRGYAEYVENHN-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(OCC2CC2)c(F)c1 469034317 RAWNWJCTKJSFIC-CYBMUJFWSA-N 413.449 4.588 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cccc1C(F)(F)F 471826968 ORQOXGBMHYUUQG-UHFFFAOYSA-N 417.181 4.866 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CSc1nc2cc(Cl)ccc2n1Cc1ccccc1 476466640 YSHHXKXMDAXZFA-UHFFFAOYSA-N 413.890 4.672 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 477879048 QGVCGPLUMLNZMP-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc2C)n1 478497284 IONHXQACUBJDCS-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 479647295 ZHDJBRZCYSJMPD-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccc3cc([N+](=O)[O-])ccc3n2)CC1)c1ccccc1 485830097 DGMKDLZRYYCFDD-NRFANRHFSA-N 418.497 4.740 5 20 HJBD CCc1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cnn1-c1cccc(C(F)(F)F)c1 486415924 ZYFDZGGWAYSATN-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Oc2ccc(F)c(F)c2)cc1 486940479 WATPGRIBBIGTJD-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CN(C(N)=O)c1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 487188767 GQRZNXZVVHERSE-UHFFFAOYSA-N 400.822 4.635 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@@H]2CCO[C@@]3(CCSC3)C2)cc1[N+](=O)[O-] 491147753 OLPCRVQBNPWJTI-UZLBHIALSA-N 424.588 4.518 5 20 HJBD Cn1cc(C(=O)N2CC=C(c3cccc4ccccc34)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 501577760 BZTKVWCGVSITQT-UHFFFAOYSA-N 424.460 4.688 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCCC[C@@H]2O)cc1 505206486 ZUHQNPQEWYZSKX-OALUTQOASA-N 416.499 4.641 5 20 HJBD Cc1ccc(C)c(-c2nn(Cc3c(F)cccc3[N+](=O)[O-])c(=O)c3ccccc23)c1 505586126 RLSWYUSQENQMEC-UHFFFAOYSA-N 403.413 4.776 5 20 HJBD Cc1c(NC(=O)C(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cccc1[N+](=O)[O-] 511573990 RMURQYINLZCDCS-KRWDZBQOSA-N 422.268 4.512 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cs2)cc1OC 514312420 DFKPZVNGYVWDSJ-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD CO[C@H](C)CCNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 514728929 DGERSTYQUPAOBU-GFCCVEGCSA-N 417.512 4.511 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4c(Cl)cccc4Cl)no3)n2)cc1 518328201 UTJWKYUYGFNCKX-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cc(F)cc([N+](=O)[O-])c3N)s2)cc1 521682157 FKDCYVBAUUCCMP-NSHDSACASA-N 414.462 4.547 5 20 HJBD C[C@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523500766 SHDJPIWZCTZUQQ-LIRRHRJNSA-N 402.878 4.514 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCC[C@@H]4OCC[C@H]43)o2)c(Br)c1 532120114 MRLMHVGAYAVCBW-JQHSSLGASA-N 421.291 4.665 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@H](C)c1cc([N+](=O)[O-])ccc1C 532249724 GBSLWNJUXURIOS-CQSZACIVSA-N 405.882 4.553 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCCc3cccc([N+](=O)[O-])c3)c2C2CC2)cc1Cl 533993113 ZOJJQWMYXOROTR-UHFFFAOYSA-N 424.888 4.592 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1c(Cl)cccc1Cl 534212970 XSBUBIFXHHLENF-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n1[C@@H]1CCC[C@@H](C)C1 534606264 LDSFFMMLYCTDNH-MLGOLLRUSA-N 414.491 4.588 5 20 HJBD CCc1ccc([C@@H](Nc2cnn(Cc3ccncc3)c2)c2ccc([N+](=O)[O-])cc2)o1 543427107 DRSBXUWLPKINJQ-QFIPXVFZSA-N 403.442 4.592 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](Cc3ccccc3)c3cccs3)o2)cc1 543455502 UUHVHPGHNRBINT-GOSISDBHSA-N 406.467 4.780 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)NCc1cc([N+](=O)[O-])ccc1OC 544110738 HSCGBTJJUGXQSA-UHFFFAOYSA-N 412.273 4.642 5 20 HJBD Cc1cccc(OCCCc2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)c1C 544774293 ROPAZRPYTAGLNA-UHFFFAOYSA-N 420.425 4.527 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1C 549636183 MXBJCQWGYYIHCB-UHFFFAOYSA-N 409.364 4.913 5 20 HJBD CCc1noc(C)c1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 549844070 ZZWYGWPNQDCOAM-UHFFFAOYSA-N 420.263 4.766 5 20 HJBD O=C(NCC1(c2ccccc2Br)CCC1)c1c(Cl)cccc1[N+](=O)[O-] 553321350 QLQIJNNNLVPLLI-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD CN(Cc1cccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1)Cc1cscn1 556063163 KKKFSUPYAIKBKU-UHFFFAOYSA-N 424.526 4.600 5 20 HJBD O=C1CCCCc2cc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)ccc21 571963913 WJVOFGJGXQIORZ-UHFFFAOYSA-N 402.410 4.626 5 20 HJBD C[C@H](C(=O)Nc1ccc(Br)c2cccnc12)c1cccc([N+](=O)[O-])c1 577589511 HJVKZRGFDWICTK-NSHDSACASA-N 400.232 4.648 5 20 HJBD Cc1cc(NC(=O)N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603844759 YTPQSWODCQGPSK-IAZYJMLFSA-N 413.318 4.934 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H](c2ccccc2)CC1 608968507 RXKPGSAKPQWMNG-DOTOQJQBSA-N 402.878 4.812 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)c2)cc1 609442623 KZPSUPKEBZCAEC-UHFFFAOYSA-N 410.401 4.712 5 20 HJBD C[C@@H](CCc1ccc2c(c1)OCO2)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 609598686 PYGJRNVNSONSCR-AWEZNQCLSA-N 411.483 4.558 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(F)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 610171662 QHXVYOKQTOFCNS-KGLIPLIRSA-N 414.330 4.568 5 20 HJBD Cc1cnc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 610243992 GDUCVQCTTSJFTL-UHFFFAOYSA-N 403.847 4.735 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610661514 WBIGRNXWKPBXNO-GHMZBOCLSA-N 413.318 4.806 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2ccc(C)cn2)c([N+](=O)[O-])cc1OCCC 616096554 NBAFLDAHVBWFHL-UHFFFAOYSA-N 416.478 4.540 5 20 HJBD Cc1nn(CCC(=O)N(c2ccc3[nH]ccc3c2)[C@H](C)CC(C)C)c(C)c1[N+](=O)[O-] 726914729 GBCUMNQNCRNPCG-OAHLLOKOSA-N 411.506 4.747 5 20 HJBD CCCCC[C@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 728146495 YGNKYXAZAIUFQZ-KRWDZBQOSA-N 403.866 4.625 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@H]1c1ccc2ccccc2c1 731268578 NFNOSNPQRFTMPW-PMACEKPBSA-N 415.405 4.645 5 20 HJBD C[C@@H](OC(=O)/C=C\C1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 735561276 GQERCHDKOVLULC-MQGYJPLLSA-N 414.380 4.620 5 20 HJBD O=C(Nc1cccc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1)c1ccccc1 737328586 DSMAFDIYODMYBE-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD CCCN(CC)c1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 744700845 VQZGWFCEISEUFW-OAHLLOKOSA-N 424.457 4.799 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745574770 AMABIWAFKRJFJD-QWHCGFSZSA-N 422.840 4.526 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)c(C(F)(F)F)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748636299 LFWZAUKGWNGSOU-UHFFFAOYSA-N 401.272 4.530 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750542617 VBTHQCCFHKECHQ-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750864483 OMMDOAQCVFDIOJ-UHFFFAOYSA-N 413.630 4.569 5 20 HJBD Cc1nc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)s1 751688326 FXTWYKYOBQRBCZ-LBPRGKRZSA-N 412.471 4.593 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 754896166 RCEDSKBMBZXADP-SECBINFHSA-N 415.646 4.511 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1nc2ccccc2s1 755010454 CXXAOPHCHDWCPN-MRVPVSSYSA-N 424.251 4.597 5 20 HJBD C[C@H](C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 755644397 OLQNUYLMKOCFIB-HNNXBMFYSA-N 422.412 4.833 5 20 HJBD Cc1ccc(-c2noc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)n2)cc1[N+](=O)[O-] 761639180 PRGWWTQNMMULNO-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cc4c(cc3Cl)OCCO4)cs2)c1 767395918 SARJRMOYGADYAD-UHFFFAOYSA-N 403.847 4.755 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)cc1 767916423 AEQYCAPCRGNWQW-IBGZPJMESA-N 412.902 4.914 5 20 HJBD O=C(Cc1ccc(C(F)(F)F)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776133147 GJZWGPMFJUTKBQ-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3c(Cl)cccc3Cl)no2)C1 781610781 ZKUMUWQTTQTVED-AWEZNQCLSA-N 405.197 4.817 5 20 HJBD Cc1cc(C(=O)CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)s1 783427272 ADKFJHKBQAHZNP-JOCHJYFZSA-N 424.478 4.964 5 20 HJBD CCOc1ccc(Oc2cc(CN[C@H](C)c3ccc(N)c([N+](=O)[O-])c3)ccn2)cc1 788559494 ZLTJYHYEWMFHKT-OAHLLOKOSA-N 408.458 4.614 5 20 HJBD Cc1c(CO)cc([N+](=O)[O-])c(N[C@H](C)c2cc(F)c(Cl)cc2Cl)c1[N+](=O)[O-] 796451580 XZCKUERIKAFIJD-MRVPVSSYSA-N 418.208 4.923 5 20 HJBD O=C(CCC(=O)c1ccc(Cl)cn1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085199 CQUASJZMNHUGKB-UHFFFAOYSA-N 417.632 4.656 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(C(=O)O[C@@H](C)c3cccc([N+](=O)[O-])c3)c12 863865502 FDMBEWXOXVSOES-ZDUSSCGKSA-N 422.466 4.831 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)/C=C/c1ccccc1OC(F)(F)F 914753231 PCIPFJJVYYGCGJ-RMKNXTFCSA-N 414.767 4.819 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1 916055544 XIOLSXHUZNURKU-JYRVWZFOSA-N 411.433 4.905 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(NS(=O)(=O)c2ccc(Cl)cc2C(F)(F)F)c1 916108261 DABDZCOCMKYZHW-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1nc(-c2ccccc2)n2c1CCCCC2 919082854 CDMHOGCATVVLQG-UHFFFAOYSA-N 409.417 4.681 5 20 HJBD O=C(OCCc1ccc([N+](=O)[O-])cc1)c1csc(Cc2ccc(Cl)cc2)n1 1321913229 PGJLEBBHTWYYJG-UHFFFAOYSA-N 402.859 4.695 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc3c(c2)OCCCO3)o1 1322610411 FUZJKWMNRVLCRM-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@@H](c2ccc(Cl)s2)C1 1323335023 WQVFFCJRVCZINY-GFCCVEGCSA-N 402.259 4.569 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nnc(-c3cc4ccccc4o3)o2)ccc1[N+](=O)[O-] 1341566232 DWXBCKNKPWGBBR-KRWDZBQOSA-N 418.409 4.677 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)CSc1ccc([N+](=O)[O-])cc1 9352458 IMQLWLLNGFVEQG-GJZGRUSLSA-N 416.499 4.771 5 20 HJBD O=C(NCCNc1ccccc1[N+](=O)[O-])c1ccccc1SCc1cccs1 15824061 QSNINHSEPRDHPH-UHFFFAOYSA-N 413.524 4.791 5 20 HJBD O=C(Nc1ccccc1N1CCCC1=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 22748297 NIEPMCHADBUACV-UHFFFAOYSA-N 416.437 4.718 5 20 HJBD COc1ccc(-c2nc(CSCc3cc([N+](=O)[O-])cc4c3OCOC4)co2)cc1 31424530 QVYQULGQQCUOEO-UHFFFAOYSA-N 414.439 4.558 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)CC2)cc1 32056202 YQZAKGPWRFPLSX-UHFFFAOYSA-N 418.497 4.574 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3ccccc3Br)o2)cc1 42151980 ONGYQBZHTOCDDU-UHFFFAOYSA-N 406.261 4.742 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 48142015 DUKPHNCYDZPROX-CYBMUJFWSA-N 418.881 4.517 5 20 HJBD COc1cc(CNCc2cc(F)ccc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 237300078 SDHQXAYCMWKSLH-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@H]1CCOC1 410232861 XYIGEBVDTDZCCP-STQMWFEESA-N 406.891 4.554 5 20 HJBD COc1ccc(Br)cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426119220 XEZQEBPCSUFABP-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)C12CC3CC(CC(C3)C1)C2 426786338 UWQQXSNZYIQQJB-KNMWWIEVSA-N 410.470 4.614 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1Br 429931823 WKZNWKHIEQDHAR-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429962849 CSWQEVXSMPFGFV-UHFFFAOYSA-N 423.263 4.797 5 20 HJBD CN(C)[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 432794207 ADJNVBZJZLPDCO-AWEZNQCLSA-N 422.323 4.533 5 20 HJBD Cc1cnn(Cc2cc(F)ccc2F)c1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 439110729 VDLSQXVCJPYBGQ-UHFFFAOYSA-N 414.412 4.802 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])n1 439249434 SVXMMEVUHFRSPA-UHFFFAOYSA-N 422.388 4.631 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1cccc3c(=O)c4cccc(F)c4[nH]c13)[C@H](C)C2 444300556 HYHNXTFPYNPTBR-SPLOXXLWSA-N 400.453 4.792 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 444813661 QJVXMINFJXXEHK-MRXNPFEDSA-N 419.762 4.527 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(NC(=O)CC(C)C)c(C)c3)n2)c1 445578056 BJZMDMYLXQYNPA-UHFFFAOYSA-N 424.457 4.537 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2cccc(F)c2)cc1OC 446649618 UVPITIZQFIOAGC-QFIPXVFZSA-N 424.428 4.661 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](c2ccc(F)cc2)C(C)C)cc1OC 448510051 LIQTYCXQCRJBBL-FQEVSTJZSA-N 404.438 4.611 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(F)cc3OC(F)F)cc2[N+](=O)[O-])CC1 460510650 ZOHZEFQQTCLREH-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD CCc1cccc(CC)c1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 461418307 AZKUJWLNDMTOEJ-UHFFFAOYSA-N 402.394 4.539 5 20 HJBD CCOC(=O)c1cc(C(=O)N(c2ccccc2F)C2CCCC2)cc([N+](=O)[O-])c1 461548628 YNFNXTHLPCGFQP-UHFFFAOYSA-N 400.406 4.500 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(CN4CC[C@@H](O)C4)c3)s2)cc1 462860899 HYIMVUKWCHGKJL-HXUWFJFHSA-N 409.511 4.502 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Cl)ccc1OC(F)F 465295975 DTEVEHUSCPDATO-SNVBAGLBSA-N 416.833 4.647 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466264412 QUOHURMEBCACJQ-OCCSQVGLSA-N 406.891 4.697 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(-c2ccccn2)no1 469540085 NRAQNXBXDSJDGB-UHFFFAOYSA-N 402.366 4.689 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(OC)c(F)c2)cs1 470738112 SKXIXGQAAYXYJP-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC2CCCC2)cc1 480438766 VLNFQKKRIDXUPG-UHFFFAOYSA-N 416.499 4.907 5 20 HJBD COCCCC[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1 480576953 HSKBSTXFZZBWSH-OAQYLSRUSA-N 411.502 4.801 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 481059257 NJDWUVBNQHMFMU-KRWDZBQOSA-N 407.829 4.610 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 481577892 YQRQZVBIHCFPGL-KOMQPUFPSA-N 404.416 4.636 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccccc1Cl 482792104 HSLZYWHBCVXPAT-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CC[C@@H](N[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1)c1cccc([N+](=O)[O-])c1 483459025 VAKVMAYAJMYASO-DHIUTWEWSA-N 424.522 4.829 5 20 HJBD COc1ccc(-c2nc(S[C@@H](C)c3cc([N+](=O)[O-])ccc3C)n[nH]2)c(OC)c1 484717707 YYRXVJFUQPIUHD-LBPRGKRZSA-N 400.460 4.559 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3c([N+](=O)[O-])cccc3C(F)(F)F)cc2)c1C 485049031 BQKYWSQIWSFERU-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485614436 NGPUFTDROMLEEK-NQSCKRDGSA-N 417.465 4.647 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492893062 PUIXTDBYRNMDMJ-UHFFFAOYSA-N 411.845 4.637 5 20 HJBD Cc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)cc1[N+](=O)[O-] 494251286 PBKCJEJFZWJCIM-MRXNPFEDSA-N 404.850 4.757 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@@H](c1ccc(C)cc1)c1cccnc1 497885881 WBFBUUSLZKGFLO-QFIPXVFZSA-N 405.454 4.559 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@H](C)Sc4ccc([N+](=O)[O-])cc4)C3)[nH]c2c1 508236332 LHWOTICOKRJQAE-JKSUJKDBSA-N 424.526 4.666 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)N1CCCc2ccc([N+](=O)[O-])cc21 509954555 VHVSPRBZPAXPEN-UHFFFAOYSA-N 424.888 4.573 5 20 HJBD COc1cc(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)ccc1[N+](=O)[O-] 511030284 KNENWCZJFHXTLG-IBGZPJMESA-N 415.449 4.647 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cc(Br)ccc2n1 512166898 PHAPIERGMUONKZ-LBPRGKRZSA-N 414.259 4.705 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)c([N+](=O)[O-])cc1OC 512410053 HINYEADSUNRDTA-BBRMVZONSA-N 414.458 4.523 5 20 HJBD Cc1cc(Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])n2nc(C(F)(F)F)nc2n1 516361634 JRHHDGBFEOWOOK-UHFFFAOYSA-N 415.331 4.819 5 20 HJBD C[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cc(F)c(Cl)cc1Cl 518442887 CQHTZMLOJAXUJZ-MRVPVSSYSA-N 424.215 4.786 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(OCC(F)(F)F)cc2s1 520682472 QGUCJQPWTGHBDQ-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@H](C)c2ccc(OCc3cccc(C)c3)cc2)c1[N+](=O)[O-] 521018566 PHJBOWKWSUQRII-MRXNPFEDSA-N 422.485 4.649 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)n1 523243935 VRVLCFFXOFGHDV-UHFFFAOYSA-N 421.457 4.621 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(c2ccccc2Br)CC1 525509340 YLMWGJUGNXGUKN-UHFFFAOYSA-N 404.264 4.519 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c(C)c2c1 530981631 TVGHGHRICUZGRK-INIZCTEOSA-N 408.454 4.970 5 20 HJBD CN(Cc1nc2ccccc2[nH]1)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 532266044 ACEDHDPUUXGBKR-UHFFFAOYSA-N 418.478 4.895 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC(c4nc5ccccc5s4)CC3)n2)cc1 533235981 IUJSXRXDHHUISH-UHFFFAOYSA-N 421.482 4.634 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)s1 534248825 HIULDZDAKMIKRF-UHFFFAOYSA-N 407.455 4.506 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 535221868 VHUXPUZTEJOPHG-QGZVFWFLSA-N 407.474 4.566 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])NCC1(c2ccccc2Cl)CCOCC1 536858417 QLDJUKVJBMDWBY-KRWDZBQOSA-N 402.922 4.907 5 20 HJBD CCN(CCC1CCN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])CC1)CC(C)C 538034536 HLGMECGGEGGYAC-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](c1cccc(C(F)(F)F)c1)C(C)C 538159731 ZIASDESKZJWQRY-SFHVURJKSA-N 409.408 4.847 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2nc(-c3sc(C)nc3C)cs2)c1 538412507 DWBVJJHVTJSATI-UHFFFAOYSA-N 418.500 4.508 5 20 HJBD O=C(c1coc(-c2ccccc2Cl)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 538458665 MLOODURLMVCYFQ-IBGZPJMESA-N 415.858 4.791 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)cc2F)C(C)C)c([N+](=O)[O-])cc1OC(F)F 539326471 YAYYYXALMGPHOC-GOSISDBHSA-N 416.371 4.970 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540566949 JCTVJSZXPRVTTQ-NQIIRXRSSA-N 423.856 4.570 5 20 HJBD C[C@@H](C(=O)N(CCc1ccccc1)Cc1cccnc1)c1ccc([N+](=O)[O-])cc1F 541664941 TZAXTTPIVJWFJS-QGZVFWFLSA-N 407.445 4.504 5 20 HJBD COc1cc(Br)ccc1CCC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 543863505 HIHPSSRFVQKFEF-UHFFFAOYSA-N 407.264 4.554 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 545102564 KHINWVQLJNGAHJ-NLZINVLXSA-N 416.231 4.647 5 20 HJBD Cc1nccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n1 545111888 UKNFGQNZTYTHMY-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CN1CC[C@@H](c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c2ccccc21 545649121 UBVWXEKGWJWKIB-MRXNPFEDSA-N 419.466 4.740 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc(Cl)c1O 546856581 AZCRTPLPAIKPKS-UHFFFAOYSA-N 417.270 4.598 5 20 HJBD Cc1ccc(-c2cc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)on2)cc1 547268476 YXEQIUUCCVPDIY-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@](F)(c2cc(F)cc(F)c2)C1 552444446 GMNDMXQOEVXKRU-QGZVFWFLSA-N 418.293 4.603 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)c1 558759656 MENKPJSNFRPTIA-UHFFFAOYSA-N 416.437 4.869 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 574224574 SQPORHUMQURHQJ-UHFFFAOYSA-N 414.792 4.523 5 20 HJBD Cc1ccc(C2(c3noc(Cc4ccc([N+](=O)[O-])cc4Cl)n3)CCOCC2)cc1 576623123 ZNYIJQBJQQLPNE-UHFFFAOYSA-N 413.861 4.627 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@@H](C)Nc1ccc([N+](=O)[O-])c(C(C)=O)c1 582079608 QAXSRBMPVRNKJZ-ZMZPIMSZSA-N 407.264 4.748 5 20 HJBD CO[C@@H](c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1)c1ccccc1Cl 584120966 VMIGLTLXYWBBAE-MRXNPFEDSA-N 405.813 4.832 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1OC(C)C 584357822 MAGBYHDHHVMUDM-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 589244466 VEGVQSXBHHARKX-ZIAGYGMSSA-N 422.359 4.517 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 603529907 IMWZYHDIIVYAIJ-INIZCTEOSA-N 402.516 4.769 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)CCCNc3ccc([N+](=O)[O-])cc3)CC2)c(C)c1 603576788 PXBJNSUHXVYXSM-UHFFFAOYSA-N 423.513 4.525 5 20 HJBD Cc1csc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n1 608836447 YDPMIASMZMDZDK-UHFFFAOYSA-N 422.304 4.715 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)o1 609039656 CUAKXGPMHINOSR-AWEZNQCLSA-N 410.455 4.556 5 20 HJBD COc1ccc(NC(=O)CNc2cc(F)ccc2Oc2ccccc2)c([N+](=O)[O-])c1 609040099 QJKPEWKDCGVMNW-UHFFFAOYSA-N 411.389 4.585 5 20 HJBD O=C(Cc1csc(-c2ccccn2)n1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609482879 JOQIRRQFWSPNDG-UHFFFAOYSA-N 408.483 4.632 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC1CCOCC1 609980550 OSUYPPWEHUGDGE-OAHLLOKOSA-N 416.499 4.580 5 20 HJBD O=C(Nc1cccnc1OCc1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 610959826 JLIKSAONGQUZKD-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCc2ccc(O)cc2CC1 611202792 RUYKUHRVKWQPPO-UHFFFAOYSA-N 412.511 4.576 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)OCc3c(F)cccc3[N+](=O)[O-])s2)cc1 728991973 WTUBYWGRYPHPQX-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD Cc1cc(COC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c2ccccc2n1 735889505 JKYKUSUBWNCGLI-UHFFFAOYSA-N 400.818 4.617 5 20 HJBD CC[C@H](CC(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(C)cc1 738690008 MLVMRNUWVBNKAV-CQSZACIVSA-N 404.850 4.622 5 20 HJBD O=[N+]([O-])c1c(NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)nc2ccccn12 742431046 WQCJGMZMDUVHJS-UHFFFAOYSA-N 419.388 4.997 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744205169 WIFXODAUDNCDAE-OAHLLOKOSA-N 409.417 4.683 5 20 HJBD Cc1c(CC(=O)OCc2nnc(-c3ccc(Cl)cc3Cl)o2)cccc1[N+](=O)[O-] 748470993 LNFHDFMLPDNWQX-UHFFFAOYSA-N 422.224 4.546 5 20 HJBD CCc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 752933915 NZEOERXUCMACBF-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(Nc1cccc(-c2ncco2)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 754217997 XOAHTEYRSAZCPE-UHFFFAOYSA-N 422.622 4.918 5 20 HJBD C[C@@H]1[C@@H](Cc2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755021589 MNWZUUISJKPZEB-TZMCWYRMSA-N 421.266 4.590 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3ccccc3)C[C@H](O)c3ccsc3)nc2c1 756904191 BSLPMEIBINLJSZ-IBGZPJMESA-N 409.467 4.533 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 758418571 PUSZQZXECBXCSC-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 761975444 HUHIIOWTFTZFKK-CABCVRRESA-N 413.474 4.923 5 20 HJBD COc1cc(OCc2nc(-c3ccc(SC(C)(C)C)cn3)no2)ccc1[N+](=O)[O-] 762387414 POZMDMBMOFXOGY-UHFFFAOYSA-N 416.459 4.518 5 20 HJBD CCOCCCNC(=O)C1CCN(Cc2c3ccccc3cc3ccccc23)CC1 768320633 XCTDYUQRQMRHFB-UHFFFAOYSA-N 404.554 4.748 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 770117649 POBOPGDOIZPKQQ-INIZCTEOSA-N 414.380 4.683 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@H](C)c3ncc(C)o3)cc2[N+](=O)[O-])n1 770905909 AAGNXPOHUNKNGO-LLVKDONJSA-N 405.457 4.725 5 20 HJBD Cc1noc(COc2ccc(N[C@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])cc2)n1 777688978 JAGANGXVLJTWRB-UZLBHIALSA-N 408.458 4.689 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OCc1nnnn1C1CC1 785639840 KPWAYUJDTUMYHB-UHFFFAOYSA-N 424.460 4.612 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Oc2cccnc2)c(C)c1)c1ccc([N+](=O)[O-])cn1 794949261 LRAPTKULDNKKFL-XDHOZWIPSA-N 405.410 4.589 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 806425977 UMIIERIHPXWLTO-QHCPKHFHSA-N 419.481 4.979 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H]1SCCc2ccccc21 811218064 MGNLKDYTXRYTGA-SFHVURJKSA-N 412.492 4.792 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC(c4noc5cc(F)ccc45)CC3)c2)cc1 811782791 KFHMDYAOHZKJCA-UHFFFAOYSA-N 421.432 4.576 5 20 HJBD Cc1ccc(N(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Br)c1 813310737 CLBBBHFRDLRTET-UHFFFAOYSA-N 417.181 4.961 5 20 HJBD O=C(OCc1cncc(-c2ccsc2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 816255653 DLAMINYOMYFWFX-UHFFFAOYSA-N 406.366 4.677 5 20 HJBD COc1ccc(NC(=O)N(C)[C@H](C)Cc2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 1123378108 XRCIOAXEEIJWHU-GFCCVEGCSA-N 411.380 4.717 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1 1322042410 XQJUWURXCQDWPR-UHFFFAOYSA-N 400.822 4.950 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(-c3nnc(-c4ccc(Cl)nc4)o3)cc2[N+](=O)[O-])C1 1322557384 OFZHBOPSQFGODN-STQMWFEESA-N 413.865 4.843 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@@H]2CCO[C@]3(CCSC3)C2)o1 1324095488 XGWVAYZLRFONPT-AUUYWEPGSA-N 408.907 4.653 5 20 HJBD COc1ccc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)o2)cc1OC 1341220632 AVDXGTWGIPCWDB-UHFFFAOYSA-N 424.457 4.565 5 20 HJBD O=C(CC1CCCC1)Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])o3)CCC2)cc1 1787613148 SAIFHSXNGZLQTE-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)Cc2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 20752158 SLYFKCWXGBMSAC-JOCHJYFZSA-N 422.412 4.508 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50291032 NDJXCGJWSFDJLQ-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD C[C@H](NCc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)Cc2ccccc2F)c1 61001719 BWARRZLQPRNKQL-INIZCTEOSA-N 407.445 4.766 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 138455176 WOJQLZMDONYAIB-LLVKDONJSA-N 401.806 4.618 5 20 HJBD CC(C)(C)C(=O)NC[C@@H]1CCCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 301242756 ORRXUMZVEOFCMH-NSHDSACASA-N 421.847 4.646 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1cccc(N2CCOC2=O)c1 301849750 DITPZGUUTLJSNU-OAHLLOKOSA-N 404.426 4.787 5 20 HJBD C[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cccc(Cl)c1Cl 303685215 KEVJTFIAILVEEE-VIFPVBQESA-N 406.225 4.646 5 20 HJBD CCO[C@H](C)c1noc(CNc2cc([N+](=O)[O-])ccc2Sc2cccs2)n1 434883676 RUNJZARNYPJIDK-LLVKDONJSA-N 406.489 4.900 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccc(F)cc1 436324585 LLZBZGOHSUFCEF-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(COc2ccccc2)CC1 436420897 GUEVQAHMFXOUDJ-UHFFFAOYSA-N 412.489 4.613 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437201299 JHCCFYITYAMHNM-UHFFFAOYSA-N 411.361 4.793 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 437478653 SCGRRMSKUIEIHD-DNVCBOLYSA-N 422.403 4.820 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cnccc1-c1cccc(F)c1 439665913 MKZSKIXOEBTQNL-LBPRGKRZSA-N 415.808 4.855 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(CCC(F)(F)F)c2)cc1OC 440702700 FOTJKCAFLFRCDK-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444104769 ZBNNAYAVPUMRPO-GOEBONIOSA-N 418.443 4.978 5 20 HJBD CC1(C)Cc2cc(NC(=O)c3cc(F)cc4c(=O)c5cccc(F)c5[nH]c34)ccc2O1 444221646 DNQJDXXBJAIYNX-UHFFFAOYSA-N 420.415 4.925 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)s2)cc1 444690833 VWXHZGXBLPTVJZ-LBPRGKRZSA-N 423.498 4.762 5 20 HJBD CCCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1cccc(C)c1 446408633 MITXOHFVZXLFTQ-UHFFFAOYSA-N 407.474 4.705 5 20 HJBD COc1cccc(C2(NCc3ccc(C(C)C)c([N+](=O)[O-])c3)CCOCC2)c1F 446806971 UZXHIMRGETYVFX-UHFFFAOYSA-N 402.466 4.661 5 20 HJBD Cc1cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc(C)c1OC(F)F 460344550 BAFJCRNIYGONIM-GOSISDBHSA-N 408.426 4.701 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460367745 WMSDXEPHCSPWSK-IBGZPJMESA-N 401.875 4.546 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCCc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 460489238 OODUSPIQHBXYFD-UHFFFAOYSA-N 418.497 4.830 5 20 HJBD COc1ccc(-c2ccc(CN[C@H]3CCO[C@@H](c4ccccc4)C3)o2)c([N+](=O)[O-])c1 462636332 CLTPTIWISZKRFN-GAJHUEQPSA-N 408.454 4.873 5 20 HJBD C[C@@H](c1nnc(S[C@H](C)c2ccccc2[N+](=O)[O-])n1-c1ccc(F)cc1)N(C)C 463040948 WZCGNCSMLKTODG-UONOGXRCSA-N 415.494 4.791 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1 464056668 LQVPFUZVKSTKFO-LEWJYISDSA-N 407.514 4.912 5 20 HJBD CC(C)c1ccc(OCCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464625404 HFHVVUFNXTVIRO-UHFFFAOYSA-N 412.486 4.557 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 465267346 SYALEYGCVKOXJM-OAQYLSRUSA-N 409.417 4.649 5 20 HJBD CCN(C(=O)NCCc1ccccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 466141463 KJEHTYIWZRKCQS-CQSZACIVSA-N 409.408 4.949 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)c1 466900812 VNJJUUQCQIJJOQ-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD Cc1c(NC(=O)NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 467095802 ZPMPZXVBBDLXHU-MRXNPFEDSA-N 422.510 4.954 5 20 HJBD COC(=O)COc1ccc(CNc2cccc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 473997423 XBMAQIDWFNKSRE-UHFFFAOYSA-N 408.410 4.551 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(COc2ccccc2)n1Cc1ccco1 481366243 FURASISYIWNTCP-UHFFFAOYSA-N 422.466 4.699 5 20 HJBD CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 484408066 ONIKWHNARGLDHY-KXBFYZLASA-N 418.877 4.664 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497805565 XXLULVXTNZHTOS-SNVBAGLBSA-N 407.398 4.639 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1 499301879 KNFDQFKGHWIRLT-UHFFFAOYSA-N 411.849 4.575 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(N3CCCC3)n2-c2cccc(Cl)c2)cc1 501543153 XEAFSYWNRWRGTO-UHFFFAOYSA-N 415.906 4.721 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc21 507342655 UGRCWUMAOJVQIP-CVEARBPZSA-N 402.454 4.927 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2C)CC1 508423062 RMYWEQBIDMCEDO-UHFFFAOYSA-N 416.485 4.564 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1cccc(Cl)c1Oc1cccnc1 511239042 CXQDZWUJDIQTOU-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD O=C(NCCCOC(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 512284306 DEBKPYNGUZBYON-UHFFFAOYSA-N 416.833 4.759 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2cccc(NC(C)=O)c2C)cc1OC 513645688 OWPXRKZVWJGVQM-CQSZACIVSA-N 415.490 4.907 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N3CCCc4c3cccc4[N+](=O)[O-])n2)cc1 513837931 IRPYVLRJTQKOFS-UHFFFAOYSA-N 420.469 4.680 5 20 HJBD Cn1ccnc1[C@@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 517599860 KGVCQMSSZMYFAI-UNMCSNQZSA-N 406.461 4.783 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccncc2)cc1 517841788 VSZABMAUCBPQFC-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 518444283 VVVOKZSEMNYCQI-HXUWFJFHSA-N 423.425 4.569 5 20 HJBD COc1cccc(C2(c3noc(Cn4c5ccccc5c(=O)c5ccccc54)n3)CC2)c1 519725910 QHTFGWXGRIACBF-UHFFFAOYSA-N 423.472 4.675 5 20 HJBD C[C@@H](CNC(=O)c1cc2ccccc2c2cccnc12)N1CCc2sccc2C1 520002090 XNKJXHUOXXDZGA-INIZCTEOSA-N 401.535 4.626 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(Br)c1)C1CC1 520848711 WSRNZRFMIQLXRO-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD Cc1c([C@@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 522588106 WDVAYUIOZZFVGZ-CYBMUJFWSA-N 402.834 4.949 5 20 HJBD Cc1c(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)cccc1[N+](=O)[O-] 523838763 IORCTIACBIIFCD-LJAQVGFWSA-N 408.479 4.604 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535673218 PNYPVXGWOMKCKW-CQSZACIVSA-N 420.469 4.509 5 20 HJBD COc1ccccc1[C@@H](CC(C)C)NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 540478699 LHWIDPQZVRLGFL-GOSISDBHSA-N 405.882 4.572 5 20 HJBD COc1ccccc1[C@H](CC(C)C)NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 540478703 LHWIDPQZVRLGFL-SFHVURJKSA-N 405.882 4.572 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc([S@@](C)=O)cc1 540743370 QPJRECWQMGJIOD-HJFSHJIFSA-N 420.556 4.715 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 541701605 UNDQJLNWZXJQBI-SIKLNZKXSA-N 402.466 4.873 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nccs1 544328255 HAWDAARSOSSUAH-HNNXBMFYSA-N 410.499 4.539 5 20 HJBD Cc1c(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)cccc1[N+](=O)[O-] 553380158 CNZRNUNSCBDDDY-KZULUSFZSA-N 408.376 4.524 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC(c4c[nH]c5ccc(F)cc45)CC3)n2)cc1 553985757 HBKNJLSBZLQXFV-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD CCOc1cc(NC(=O)C2(c3ccc(F)cc3)CCCC2)c([N+](=O)[O-])cc1OCC 570873615 DJTSXWDHSLTMKV-UHFFFAOYSA-N 416.449 4.982 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1Cl)CCC2=O 572786736 OADJXBYOJZWEEQ-UHFFFAOYSA-N 423.650 4.700 5 20 HJBD CC(C)OCCCN(C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 577857968 VCOXNXDLJKBTAJ-FQEVSTJZSA-N 419.909 4.675 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 603568666 BQXAYCGLNGHOFQ-ZDUSSCGKSA-N 408.907 4.710 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1cccnc1Oc1cccc(Br)c1 603730876 HDQLJJIALPGESL-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(=Cc2cccc(F)c2)CC1 603928952 XMXFYOOQJWWYFJ-OAHLLOKOSA-N 400.475 4.921 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2cc([N+](=O)[O-])ccc2C)cc1 604118866 KHACIXWAGGBMHL-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H](c3ccsc3)N(C)C)c([N+](=O)[O-])c2)cc1 608972468 NGBOLXUGIQZSGS-NRFANRHFSA-N 424.526 4.932 5 20 HJBD CCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@@H](c1ccc(F)cc1)c1cccnc1 609522812 ODKBGKOYIVZANT-NRFANRHFSA-N 411.408 4.910 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(-c3nc[nH]n3)cc2)cc1[N+](=O)[O-] 609532478 SBEWNDYTTLMMRP-UHFFFAOYSA-N 411.487 4.770 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CCCc2ccccc21 609852738 LAFIDOHCQRRAIR-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 610040979 YMHDJDZHXJQLPM-UHFFFAOYSA-N 411.502 4.773 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 610201091 WFYGDLOKJSDPID-UHFFFAOYSA-N 423.296 4.762 5 20 HJBD COc1ccc(CN(CC(F)(F)F)C(=O)c2cccc(SC)c2[N+](=O)[O-])cc1 614395077 XTWDOAWNRBPJIG-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 681969604 NIKDRFNQWZADCX-UHFFFAOYSA-N 400.478 4.789 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(N2CCCC2)c(F)c1 729035138 FDRNWDJIWSEMEY-UHFFFAOYSA-N 418.444 4.916 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3C)c(F)c2)cc1 730345085 FGBWKZYIEBNIOS-UHFFFAOYSA-N 422.412 4.813 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 731876718 BMBXVFWTJDCIBP-JOCHJYFZSA-N 405.454 4.900 5 20 HJBD O=c1nc(/C(Cl)=C/c2csc(-c3cccc([N+](=O)[O-])c3)n2)[nH]c2ccccc12 733083874 SSPQYCVDJMPDDF-DHDCSXOGSA-N 410.842 4.692 5 20 HJBD Cc1c(C(=O)N(CCc2ccc(Cl)cc2)CC2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 735017482 HBADPNPSVZGNNF-UHFFFAOYSA-N 417.849 4.560 5 20 HJBD C[C@@H](NC(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1cccc([N+](=O)[O-])c1 735572288 AMTVKFHCCLEFPF-GFCCVEGCSA-N 418.425 4.964 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)c1 736501849 UZVHYQTXZLWRNN-UHFFFAOYSA-N 424.404 4.546 5 20 HJBD COCC(=O)Nc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 742367823 MDANXHUJCNNEGJ-UHFFFAOYSA-N 415.833 4.705 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 742375060 MHOBYNSYJWJBAM-UHFFFAOYSA-N 412.471 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC(c3cc4ccccc4[nH]3)CC2)c1 744470380 VGASKMQBAMVYNS-UHFFFAOYSA-N 407.470 4.560 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)s2)cc1 747444946 OGPTZFMPAMWXGG-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC(=O)N1CCc2ccccc2[C@H]1CC(=O)OCc1nc2ccccc2c2ccccc12 753598619 SFZHZZUCPYIGJE-AREMUKBSSA-N 424.500 4.967 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@@H](c2ccccc2F)C1 755029042 KMQMBDXKTAXWRS-LLVKDONJSA-N 408.227 4.518 5 20 HJBD COc1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 761577716 QGFVLHKJHXAJLJ-ZDUSSCGKSA-N 415.446 4.693 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCc2c1cccc2[N+](=O)[O-] 764251846 MADNAEOYFGSOQJ-UHFFFAOYSA-N 413.455 4.741 5 20 HJBD Cc1nnc(COC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])s1 767121888 KSRALDFONMRBKO-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD C[C@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1C(F)(F)F 775458147 JNMPFCNRMNLIOD-JTQLQIEISA-N 401.772 4.556 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219089 WGVRBLWESODPDK-NRFANRHFSA-N 415.405 4.900 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccnc2-c2ccc(Cl)s2)cc1[N+](=O)[O-] 782957380 CSOVNBKTVWVNLM-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CCc1cnc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 784196539 KBFLDTUHBKUGBQ-CYBMUJFWSA-N 412.471 4.539 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1cc(F)c([N+](=O)[O-])cc1F 785738229 VQWHMXOAMAAZKI-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD C[C@H](CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O)c1ccc(C(F)(F)F)cc1 785849331 RCAUAEXJERMCEH-GFCCVEGCSA-N 409.364 4.786 5 20 HJBD Cc1ccc(OC(F)F)c(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 795310213 IOHPDENZSLJFAG-UHFFFAOYSA-N 423.415 4.808 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 798542542 YEIGHPPXKMCUPB-NYYJTOMGSA-N 423.307 4.666 5 20 HJBD CO[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1Cl 813063970 IQWCKPJUVVPGFQ-CQSZACIVSA-N 403.649 4.673 5 20 HJBD O=[N+]([O-])c1cc(COc2cccc(Oc3ncccn3)c2)ccc1Br 814264683 BQHQPLSFIKPNAT-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(c2c[nH]c3ccccc23)CC1 824301712 CDRZRULXTZMBLX-UHFFFAOYSA-N 418.497 4.696 5 20 HJBD COc1ccc(CNC(=O)/C(=C/c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 917846880 FXDOYIADDKHGCO-GZTJUZNOSA-N 412.442 4.661 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)c2nc(-c3ccc(F)c(C)c3)no2)cc1[N+](=O)[O-] 918816947 CNKNCLVPVQYIDL-LLVKDONJSA-N 417.418 4.732 5 20 HJBD Cc1c(COC(=O)c2ccccc2C(=O)N(C)C2CCCCC2)cccc1[N+](=O)[O-] 918878208 UUHDHTLSTAPVCP-UHFFFAOYSA-N 410.470 4.665 5 20 HJBD COc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1Br 1320349941 QYOLAMRVZUXZIM-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CCc1ccc(CN(C)C(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)cc1 7184673 LEXLUXGPQJIBQW-UHFFFAOYSA-N 401.513 4.518 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)OCC(=O)Nc2c(C)cccc2[N+](=O)[O-])cc1 7803916 POCHTTRAXNGUJH-UHFFFAOYSA-N 410.451 4.736 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nccn1-c1ccccc1C(C)C 8479226 FUGDUWKGBANEMJ-UHFFFAOYSA-N 410.499 4.943 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(OC)c1 11549075 XRCIRVFTUHJOPV-KRWDZBQOSA-N 412.467 4.804 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OCC 17969838 JTQDHRGTQMVLBO-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD COc1ccc([C@@H](CNC(=O)c2ccc([N+](=O)[O-])cc2)c2c[nH]c3ccccc23)cc1 18074508 WRXJTHRQOKRZSO-OAQYLSRUSA-N 415.449 4.647 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)SC1=Nc2ccccc2CS1 22022013 HIGJGVSSUVZVAB-NSHDSACASA-N 403.485 4.598 5 20 HJBD O=C(COC(=O)c1cc(Cl)ccc1[N+](=O)[O-])Nc1ccccc1Cc1ccccc1 28301540 AXFISWSPBYQCPC-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 32343257 IEIMJHAEZMENOL-CQSZACIVSA-N 410.499 4.638 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1c1cccc(Cl)c1 46798346 JFIGHPFOUBUBKM-IBGZPJMESA-N 413.905 4.828 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 59776540 BROIIGOHSPZNMQ-UHFFFAOYSA-N 417.893 4.892 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n(-c2ccccc2C(F)(F)F)n1 60854927 MSLNZVPMYDOARG-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](Cc2ccccc2)c2cccs2)s1 302215311 ZYIIKDKAYFGMKR-ZDUSSCGKSA-N 408.526 4.517 5 20 HJBD Cc1ccccc1-c1nnc(Sc2ncc([N+](=O)[O-])cn2)n1-c1ccc(Cl)cc1 302232509 SOBRZISFJGSBJX-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD CC[C@@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1nc(C)cs1 303163731 AHMWPHLRKPHCLK-JKIFEVAISA-N 416.547 4.723 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCSC[C@@H]3c3ccc(Cl)cc3)n2)c1 303642033 IFYDHJYWUKLXOH-QGZVFWFLSA-N 416.890 4.588 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1 303726909 SZQWLXWBBDYXSO-GMYLUUGSSA-N 420.556 4.761 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 429064698 LVUSZWIDNJHAHW-INIZCTEOSA-N 414.531 4.648 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429797443 WAQHLFLCKGXSCR-HNNXBMFYSA-N 407.264 4.637 5 20 HJBD CC(C)c1nnc(CCC(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)o1 435439500 VKWZHOKPMZPZFY-UHFFFAOYSA-N 418.500 4.885 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@@H](c2ccccc2)C1 436232709 QDPALHIXZPWOSA-OAHLLOKOSA-N 404.416 4.589 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436292928 CGBYOAVAWXNTHD-UHFFFAOYSA-N 400.453 4.672 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2F)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437457330 DEPVHXCMRIAFFT-HDJSIYSDSA-N 404.416 4.636 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 438999687 VUKOYAOATDITJP-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CNC(=O)c1ccc(C)c(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440753481 PUFJNBQRYOMKAD-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 444912084 JXXSKOPMOGUAEX-INIZCTEOSA-N 415.837 4.502 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3c(C)cccc3[N+](=O)[O-])c2)cc1 446027289 DIYUEKWKTUSJSA-UHFFFAOYSA-N 406.438 4.881 5 20 HJBD COc1ccc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 448189219 LKRQDMKHQWVHBG-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD Cc1nn(C)c2cc(Nc3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])ccc12 461868122 YYYIUBVIDCMCMR-UHFFFAOYSA-N 401.295 4.583 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC2CCOCC2)c(C)c1 462629194 UHMWSYAVRASMEG-SFHVURJKSA-N 412.486 4.668 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H](c2ccccc2)C1 463103125 UESMZVQDYFIUTL-LPHOPBHVSA-N 400.500 4.747 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463343433 HMDUQUXKPWELNJ-UHFFFAOYSA-N 409.364 4.851 5 20 HJBD C[C@@H](C(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(Br)s1 463991908 CXWOFOFHEOPGGD-GFCCVEGCSA-N 411.321 4.661 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1)c1ccccc1 466309778 YHHSZXJYJIPQPL-UHFFFAOYSA-N 421.428 4.582 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](O)C1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467788795 KQCHKVVRSXRTHI-UKRRQHHQSA-N 420.918 4.680 5 20 HJBD COc1ccc(-c2ccc(CNC(C)(C)c3nc4ccccc4n3C)o2)c([N+](=O)[O-])c1 472057906 CGDWTKAWJPEDSP-UHFFFAOYSA-N 420.469 4.775 5 20 HJBD O=C(N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 474334261 YCAXGAYURSJJPA-HZPDHXFCSA-N 422.403 4.677 5 20 HJBD CC(C)c1ccc2c(c1)[C@H](NC(=O)c1cc(O)nc3ccc([N+](=O)[O-])cc13)CCC2 476977982 CUOKSWKXQVOQEQ-HXUWFJFHSA-N 405.454 4.779 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 483413242 BXFBAMDBQAOYNV-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3c(Cl)ccc(OC)c32)cc1SC 484355038 WMHJWARBPGNGKJ-UHFFFAOYSA-N 422.890 4.580 5 20 HJBD Cc1cc(Br)cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 485674503 RNOWTMAXNTUOJQ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(C)c1ccc(C2(C(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)CCCC2)cc1 487090071 WQIZDSDPJFKNCX-UHFFFAOYSA-N 410.518 4.590 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)c4csc([N+](=O)[O-])c4)cc32)c1 490267477 BIPQFKNDNJMXNS-UHFFFAOYSA-N 407.451 4.920 5 20 HJBD CCOc1cc(C(=O)Nc2cnn(-c3ccccc3)c2C(C)C)c([N+](=O)[O-])cc1OC 490346917 HBHHIXVZWBKNNO-UHFFFAOYSA-N 424.457 4.564 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 495535448 QEIYJIKQJMJTCL-NSHDSACASA-N 403.410 4.830 5 20 HJBD C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])[C@@H](C)c1ccccc1C(F)(F)F 499763400 OPHKQADKSQEFTP-KGLIPLIRSA-N 409.408 4.947 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1S(=O)(=O)Nc1ccc2nc(C(F)F)sc2c1 507638306 SOQRVNTYYUUOAJ-UHFFFAOYSA-N 413.427 4.505 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 513071724 YJVXALZDHJBXFI-UHFFFAOYSA-N 423.444 4.845 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)cc1Cl 513818249 YPMQUZSOSUDGHM-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD Cn1c(C(=O)Nc2nc(-c3cc(Cl)sc3Cl)cs2)ccc1[N+](=O)[O-] 514519968 DAPNWELFQQTRDV-UHFFFAOYSA-N 403.272 4.677 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(F)cc2)C(C)(C)C)c([N+](=O)[O-])cc1OC 514576974 RRWDEPOLMUTEOB-IBGZPJMESA-N 404.438 4.658 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)c1 516441830 SVDPHMFPWYVNJX-INIZCTEOSA-N 419.437 4.511 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc1 517631943 ZBNYOOXWXKNATH-UHFFFAOYSA-N 417.425 4.877 5 20 HJBD Cc1cc(Br)cc(C)c1CNCc1cc([N+](=O)[O-])ccc1OC(F)F 520949028 PUQDHCUEOUAULJ-UHFFFAOYSA-N 415.234 4.865 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccccc1OCc1cccc(Cl)c1 522933278 AKDSLEWAFHQXQQ-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD Cc1ccc(C[C@@H](N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccn2)cc1 523739491 UGMAGMHAXCLTSH-PGRDOPGGSA-N 418.497 4.507 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)COc2ccc([N+](=O)[O-])cc2)cc1 525019734 XTMDFSDYIRBDPJ-QGZVFWFLSA-N 420.465 4.869 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Sc2nc3ccccc3[nH]2)cc1 525115796 FBHLIAVTTGVESI-UHFFFAOYSA-N 404.451 4.804 5 20 HJBD COc1cc(CNc2ccc3nc(C)oc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 532726542 LOTGXWPYTRPGKA-UHFFFAOYSA-N 411.336 4.606 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 537518066 ASDKZMVSNDIVMU-ZDUSSCGKSA-N 406.280 4.650 5 20 HJBD C[C@@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(OC[C@H]2CCCCO2)c(F)c1 537946333 AFUFOQHFOXIEDX-OXQOHEQNSA-N 402.466 4.575 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(SC)ccc1C 538341051 OABCPFROJGCJNN-UHFFFAOYSA-N 420.512 4.533 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 538863912 VZHVTKPHMRZODO-KGLIPLIRSA-N 420.918 4.944 5 20 HJBD CCc1ccc([C@H](Nc2ccc(C(C)(C)C(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541568263 JAWWPBTZXIXQBB-OAQYLSRUSA-N 407.470 4.715 5 20 HJBD CCc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 543533102 GYJBPNMXEWYMTI-UHFFFAOYSA-N 405.545 4.826 5 20 HJBD COc1ccc(CNC[C@@H](C)c2nccs2)cc1OCc1ccc([N+](=O)[O-])cc1 543634638 BEIYAFUMVXXHJP-OAHLLOKOSA-N 413.499 4.532 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2n1C 545904163 MHSPGNFLIIECON-XMSQKQJNSA-N 420.513 4.804 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549121231 ZUSWGEKUPZASJV-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)C2CCCC2)c1 555010499 AFDUPXUWHWIOOG-UHFFFAOYSA-N 410.474 4.608 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(CCC(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 555648634 XXBCSIDBYRIPIG-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](c3ccccc3)C(C)(C)CO)o2)c([N+](=O)[O-])c1 558408923 KORPBLZRSKOMLS-JOCHJYFZSA-N 410.470 4.713 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)ccn2)c1C 571611870 GJLGIOBLKKGESE-QGZVFWFLSA-N 405.454 4.819 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cs1 584502441 CHIJLGWYMCHDMC-WDEREUQCSA-N 406.489 4.586 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](CC)c1nc(C(F)(F)F)cs1 589374989 FGVAWBYVIHIVIA-LLVKDONJSA-N 402.398 4.905 5 20 HJBD Cc1cc(C)cc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 603645024 QWULOZONYVLZHR-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 603727221 ZPRGDLSTHIKNAF-UHFFFAOYSA-N 422.422 4.675 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc(-c2cccc(Cl)c2)cs1 603960141 ASPLJXLDUYUQOK-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(C(C)(C)C)cc2)C(F)(F)F)c1 604430687 APKAPFQABWJBJS-QGZVFWFLSA-N 410.392 4.934 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCO2)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608945704 XHLMCSLVCIVEFA-OAHLLOKOSA-N 422.359 4.710 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccc(C(C)(C)C)cc2)C(F)(F)F)cc1[N+](=O)[O-] 609010682 BVXRTKGBGNGFGD-IBGZPJMESA-N 424.419 4.863 5 20 HJBD CCCOc1cc(NC(=O)N[C@H](C)c2c(C)noc2C)c([N+](=O)[O-])cc1OCCC 609617021 HMSBZTNKYKHHPY-GFCCVEGCSA-N 420.466 4.660 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 609663940 OJNQBTNZXYGGLD-UHFFFAOYSA-N 414.849 4.526 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cc(Cl)cc([N+](=O)[O-])c1 609854149 JRVYWLQLNVJRBJ-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD COc1ccccc1[C@H]1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 609982177 CLWVQMRIJBSZSN-SFHVURJKSA-N 417.465 4.977 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 610122875 WFUWQHFMDQXAKH-KRWDZBQOSA-N 404.470 4.784 5 20 HJBD CCSCc1cccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1 610178853 JCHAHFSSCWXJMC-UHFFFAOYSA-N 406.529 4.728 5 20 HJBD C[S@](=O)c1ccc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cc1 612966576 OYFWXGCXIZEITH-PMERELPUSA-N 421.434 4.842 5 20 HJBD Cn1c(SCc2nc3cc(Cl)ccc3n2C)nc2cc3ccccc3cc2c1=O 613972960 NBEGVBWCLMNRCS-UHFFFAOYSA-N 420.925 4.919 5 20 HJBD COc1cc(OCc2nc(C(c3ccccc3)c3ccccc3)no2)ccc1[N+](=O)[O-] 731787813 IOEQJJFQJLCMMV-UHFFFAOYSA-N 417.421 4.746 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1c(C)nn(-c2ccc(F)cc2)c1C 735821616 QMBJTAJHXGFSJY-UHFFFAOYSA-N 416.840 4.679 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744368169 CYYZHNMGPXTLLN-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD Cn1ccnc1Sc1ccc(Cl)cc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 748517204 CFIBZMHIIBTTRY-RUDMXATFSA-N 414.874 4.785 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749985457 BXAGFTWNEVOPML-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(OCc1cc(-c2cccs2)on1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 750295302 NVWYJEKAMHUZJX-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD CSc1ccc(C(=O)OCc2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 750430816 VYKSWYKUHAAFMW-UHFFFAOYSA-N 422.462 4.926 5 20 HJBD O=C(Nc1ccc(-c2nccs2)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754629131 HUIYOCYHVDHHFK-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC[C@H](Cc1ccccc1)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755012468 FKUSQTFQVWFYOP-CQSZACIVSA-N 409.255 4.590 5 20 HJBD Cc1nc(COC(=O)CCOc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 757055627 ZJJQQMONLMWQDS-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD C[C@@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nnc(-c2ccc(Cl)cc2Cl)o1 757612575 JBESFMDOFVMVFE-SECBINFHSA-N 408.197 4.904 5 20 HJBD C[C@H](OC(=O)c1cncc(-c2ccccc2)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 758111094 UHVKVVUUCHIGKW-AWEZNQCLSA-N 416.393 4.625 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(N(C)C(=O)C(C)(C)C)cc1 764954628 RQAKCGJDAJWJGN-QGZVFWFLSA-N 412.486 4.778 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OC1CCN(c2ccc(C(C)=O)cc2)CC1 765602541 SVFDFIFZCZHTKO-IBGZPJMESA-N 424.497 4.578 5 20 HJBD Cc1cccc(OCCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776137091 MZSUCYPYAUMOCD-HSZRJFAPSA-N 406.438 4.790 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1nc(Cl)ccc1Cl 776139557 SOTFBZXUOAKKCC-KRWDZBQOSA-N 404.209 4.638 5 20 HJBD Cc1cc(NC(=O)c2c(C)nn(Cc3ccc(F)cc3)c2Cl)ccc1[N+](=O)[O-] 777134029 WQNHGHPRIXFBMC-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc(Cl)c([N+](=O)[O-])c1 778135554 CXKAMCXWVRISHK-LLVKDONJSA-N 411.241 4.569 5 20 HJBD Cc1c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cnn1C1CCCCC1 781160338 AOWKHQMQWWAUHK-UHFFFAOYSA-N 410.430 4.617 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2Sc2nccn2C)c1F 788126601 KCSXUZOOBBAXDA-UHFFFAOYSA-N 420.853 4.833 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2cc(F)cc(Br)c2)C2CC2)c([N+](=O)[O-])c1 792879717 JAAHUGDHXODANY-KRWDZBQOSA-N 424.226 4.646 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(C(F)(F)F)cn2)cc1SC 798672900 BDJRDZLZUNDGBP-SECBINFHSA-N 416.377 4.657 5 20 HJBD C[C@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H](O)c1ccccc1 808832583 QGKQNVJNNGYVHC-YCRPNKLZSA-N 407.426 4.631 5 20 HJBD COC[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1cccc(C(F)(F)F)c1 809918537 IWHXWSNKEHVLNP-CYBMUJFWSA-N 417.771 4.776 5 20 HJBD Cc1cnc(COC(=O)c2cc(-c3ccccc3C(F)(F)F)on2)c(C)c1[N+](=O)[O-] 813215730 JTJRZIAMCAPYPQ-UHFFFAOYSA-N 421.331 4.637 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccc(C(F)(F)F)c1 916148824 AXBQJLOVOSAYPT-WDEREUQCSA-N 415.799 4.945 5 20 HJBD O=C(OCc1cc(-c2ccccc2)no1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 917258667 YJQBTCCUDAGSCH-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CCOCC1)Nc1ccc(Cl)c([N+](=O)[O-])c1 919899463 MLSQAEJDDPOCFX-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD Cc1c(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)oc2c(C)cccc12 920559529 QPZHVGNDWCFAPT-LBPRGKRZSA-N 416.817 4.795 5 20 HJBD CC(C)OC(=O)C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 1116675740 OFCKCBZSFDJJAD-GOSISDBHSA-N 421.291 4.530 5 20 HJBD Cc1nc2ccccc2c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C 1256070927 RQJFYGSXGDGEGJ-CQSZACIVSA-N 418.409 4.728 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)c1ncoc1[C@H]1CCCO1 1318997052 OJHBXBUGOYWTNY-GOSISDBHSA-N 410.382 4.584 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC)c1Br 1320337618 HIZRZSIBYSBSLS-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1cccc(N2CCCC2)c1 1320409551 VROAPBPGZMSLOG-AWEZNQCLSA-N 404.308 4.808 5 20 HJBD Cc1cc(SCC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c(C)cc1Br 1322168270 FDBGKERDHFOTIF-UHFFFAOYSA-N 421.316 4.609 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)[C@H]2CC[C@@H](SC)C2)cc1 1329776810 XPNVEQWHPJFRAM-KBXCAEBGSA-N 416.499 4.752 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccc1 6065541 BYPOJMKSZPTGLK-LJQANCHMSA-N 410.813 4.785 5 20 HJBD Cc1ccccc1-c1nnc(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)o1 10015695 ABKZVDPJLYBUQH-NSHDSACASA-N 418.862 4.726 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1cccc(Cl)c1Cl 11028648 MLJXVWDTQMSRSC-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(C(C)(C)C)cc1 11028886 LEQIOWVFGJRIMS-LBPRGKRZSA-N 404.850 4.730 5 20 HJBD Cc1ccc2c(CC(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)coc2c1C 17720122 FQJBXACQLRVZGJ-AWEZNQCLSA-N 421.409 4.855 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 44694154 BEEYSABHGIEDAP-SFHVURJKSA-N 414.505 4.819 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1Cc2ccccc2-c2ccccc2C1 72078370 IEXWDSRVGOUATD-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3N3CCC(O)CC3)s2)cc1 238162216 XBGQQRFGPCVLFX-UHFFFAOYSA-N 409.511 4.897 5 20 HJBD Cc1nc(Cl)cc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 301144134 IJGISCWIGBJFOH-UHFFFAOYSA-N 409.785 4.912 5 20 HJBD Cc1cc(N[C@@H](CN2CCCC2=O)c2cccc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 301947967 DHHFOXXFBJMJAX-KRWDZBQOSA-N 407.392 4.698 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cn1 409677843 IXMLNBDYQGABET-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD C[C@H](C(=O)Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 426284834 BEZQVUFHFBIVAZ-JTQLQIEISA-N 420.853 4.572 5 20 HJBD O=c1[nH]c(/C=C/c2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)nc2cnccc12 434927196 RBHALFYAPVFPBI-SOFGYWHQSA-N 420.812 4.842 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 436348597 AFHBXMXAEUZVOI-GOSISDBHSA-N 418.375 4.512 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 436348598 AFHBXMXAEUZVOI-SFHVURJKSA-N 418.375 4.512 5 20 HJBD C[C@@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)no1 437151799 ZZHUGPXBBZESLC-CYBMUJFWSA-N 409.446 4.510 5 20 HJBD Cc1cccc(CN(C)[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)n1 437884136 ZATBYIJNHXBOJI-NRFANRHFSA-N 424.888 4.763 5 20 HJBD O=C(CN1CCc2ccc([N+](=O)[O-])cc2C1)Nc1c(Cl)cc(Cl)cc1Cl 438523300 LONHKBLXSBLZGM-UHFFFAOYSA-N 414.676 4.552 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)c1ccccn1 438955065 SVPTZDDVEIITOW-QFIPXVFZSA-N 405.454 4.641 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)CSc2ccc([N+](=O)[O-])cc2)c1 440891786 RPMPHORGPWWSME-UHFFFAOYSA-N 416.890 4.605 5 20 HJBD CCCN(C(=O)c1ccc(SCc2nc(C)no2)cc1)c1cccc([N+](=O)[O-])c1 441426270 CYCOOJXWUYMQKC-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 443933003 CWNNXUNCZTZTQY-ZDUSSCGKSA-N 410.430 4.917 5 20 HJBD O=C(Nc1cccc(-c2ncco2)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444245721 SXZYMKNQGDPNRC-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1cccc(C)c1)Cc1ccccn1 444899223 IEHXAQJWWLNJTM-UHFFFAOYSA-N 406.442 4.541 5 20 HJBD CCn1c(SCc2noc(C3CCCCC3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 448052451 CRNSNFPWBDLJEJ-UHFFFAOYSA-N 414.491 4.596 5 20 HJBD CC(=O)c1cc(F)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1F 461085770 GNAFNKGWWQXZPA-UHFFFAOYSA-N 421.356 4.591 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1OC 466558704 HICTWEXHKRCKCU-ZDUSSCGKSA-N 421.881 4.606 5 20 HJBD Cc1coc(-c2cccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Br)c2)n1 467965258 YFQLPJDUGGPKBR-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD COc1cc(C(=O)NC2CCC3(CCCCC3)CC2)c([N+](=O)[O-])cc1OC(F)F 469956500 NTCHQJINRSMKFF-UHFFFAOYSA-N 412.433 4.828 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2cccc(-c3ccccc3)c2)cc1SC 472193697 IFJFSVMWJDRKPG-UHFFFAOYSA-N 422.506 4.965 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Br)cc2Cl)cc([N+](=O)[O-])c1C 479656052 CMOMNIABMRBZFF-UHFFFAOYSA-N 413.655 4.604 5 20 HJBD Cc1c(CNC(=O)N2CCc3sccc3[C@H]2c2cccs2)cccc1[N+](=O)[O-] 480044534 NEOYFGVYOKAIER-IBGZPJMESA-N 413.524 4.884 5 20 HJBD CCc1c(NC(=O)c2cccc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481865797 MRCWECDCWAGIOY-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@H](c2cccc(F)c2)C1 486489483 QFVMBNDJZWHPDD-NSHDSACASA-N 405.298 4.777 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](CSc2ccccc2)C1 489902563 BCCKVENTNHSHLM-HNNXBMFYSA-N 402.541 4.961 5 20 HJBD C[C@H](CC(=O)c1cccs1)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 496484655 XMPOTBCFORZLQG-OAHLLOKOSA-N 423.494 4.660 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1cccs1)C1Cc2ccccc2C1 498406267 ACOOWHIANMMJKQ-UHFFFAOYSA-N 421.522 4.578 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCC1CCSCC1)c1ccccc1 500286505 BTBOZFYMPQKVIA-LJQANCHMSA-N 419.934 4.661 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCCCC2=O)c1 502808840 ADPVHRKCMKJDOL-UHFFFAOYSA-N 413.499 4.866 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@H]1c1ccccc1 504094905 VRTLRHHCQWJEOW-UWJYYQICSA-N 414.527 4.995 5 20 HJBD Cc1ccccc1-n1c(SCc2c(F)cccc2[N+](=O)[O-])nnc1-c1cccnc1 504554258 SRMUJDDGULDLQE-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccncc2F)cc1OCc1ccc([N+](=O)[O-])cc1 509964655 SWHPQBUMQGHLNJ-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc(OC)c1C 515164283 VWGAXVVJVFNIFD-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD CCCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1Br 515704926 DLGMFXLGNAASDB-CQSZACIVSA-N 421.291 4.736 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(Br)c1Cl 516306671 UPBRTAJNOCWJTF-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD CCN(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CC1 523201354 SQTYDOCVSAASEE-UHFFFAOYSA-N 419.934 4.614 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](CC1CCCCC1)c1ccccc1 523995411 QSEQSPGXILOSDG-OAQYLSRUSA-N 409.486 4.670 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1ccc(OCCOc2ccccc2)nc1 532475017 OUDOIPNPIHEDTP-SFHVURJKSA-N 407.470 4.607 5 20 HJBD CC(=O)Nc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 532730599 VAPSRPBRTDVLNL-UHFFFAOYSA-N 402.863 4.942 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](c3ccccc3)c3ccccn3)o2)c1 533012694 CDEBDULZJKFIJQ-QHCPKHFHSA-N 400.438 4.567 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@H]1c1cc2ccccc2[nH]1 533385083 ZHRFCUUDFGGFGC-NRFANRHFSA-N 422.485 4.641 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 534176044 CARFNGUYJFOXSK-HZPDHXFCSA-N 410.474 4.525 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 534489969 XEKUPECFYPOQMD-UHFFFAOYSA-N 414.505 4.601 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccc(NC(=O)c2ccccc2)c1 535866626 XCLRWQGDEUXUJE-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD COc1ccc(Oc2ccc(CCNC(=O)c3ccc(F)cc3[N+](=O)[O-])cc2)cc1 535884486 FMQXHPJQFGICGX-UHFFFAOYSA-N 410.401 4.507 5 20 HJBD CN(C(=O)c1cnc(-c2cccnc2)s1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252039 QBJFAMCUZJXKFO-UHFFFAOYSA-N 423.479 4.513 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)NC2CC2)cc1 540449756 DXPSEFBELXDPEU-UHFFFAOYSA-N 414.487 4.632 5 20 HJBD COc1cc(-c2nc(CSc3cc(C)ccc3C)no2)cc([N+](=O)[O-])c1OC 544898931 RIKHZPYDAUPHKU-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nc(-c3cccc(-c4cscn4)c3)no2)n1 545143791 BLIKMUCCWSQJRV-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1C 546298205 OUBVKYSCRWNETH-LBPRGKRZSA-N 403.822 4.679 5 20 HJBD Cc1nnc([C@H](C)NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 546824029 ROZAQQLOCJLRFW-JTQLQIEISA-N 418.862 4.691 5 20 HJBD COc1cc(/C=C\c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc(Cl)c1OC 547102272 IZUHJUYBZXOWPG-DAXSKMNVSA-N 401.806 4.794 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)c1 549198973 DKWIDALOVOFFON-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cccc2ccc(O)cc12 555885005 KKNNLONSQXZIDM-UHFFFAOYSA-N 401.216 4.777 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCCCc2ccc(Cl)s2)cc1SC 556471518 LICLSRWXFGEJSU-UHFFFAOYSA-N 414.936 4.793 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cnn1-c1ccccc1C 566867899 JPLLFBZCTABXRX-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3oc(N(C)C)nc3c2)no1 569853984 AVGZUVOPSIHQRV-AWEZNQCLSA-N 407.430 4.588 5 20 HJBD CCCOc1cc(NC(=O)c2cnc(C(C)(C)C)nc2)c([N+](=O)[O-])cc1OCCC 570596467 FBUBKZBHKSECJB-UHFFFAOYSA-N 416.478 4.512 5 20 HJBD COc1ccc(CN(C(=O)Nc2cccc([N+](=O)[O-])c2C)[C@H](C)C2CC2)c(OC)c1 573507687 YPEWECXABFKPSS-OAHLLOKOSA-N 413.474 4.753 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc([C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1 574079267 HQUUBGJDNRTWLJ-FXAWDEMLSA-N 422.485 4.985 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(CC(F)(F)F)C1CCCC1 578345067 ZGRJFEFBPCMWLB-UHFFFAOYSA-N 409.202 4.613 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](CC)NC(=O)c2ccccc2)no1 581850741 DUTZTBLUBFGOCJ-LPHOPBHVSA-N 408.458 4.595 5 20 HJBD O=C(Nc1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)c(C(F)(F)F)c1)C1CC1 586324321 DIODSEKKEUOOFP-UHFFFAOYSA-N 415.318 4.852 5 20 HJBD O=C(NCCc1ccc2c[nH]nc2c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 586831146 FFLNGZGRTWPHMI-UHFFFAOYSA-N 418.478 4.595 5 20 HJBD Cc1ccc(C2(c3noc(-c4cc5cc([N+](=O)[O-])ccc5o4)n3)CCOCC2)cc1 589155593 MENYGGLHLDZLHY-UHFFFAOYSA-N 405.410 4.796 5 20 HJBD CCN1CCN(c2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])c(C)c2)CC1 600850167 LORFHTCHBWLFDW-UHFFFAOYSA-N 422.451 4.676 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1cccc(COCc2ccccc2)c1 603856354 PLBUKSQUQKULKH-UHFFFAOYSA-N 416.437 4.573 5 20 HJBD O=[N+]([O-])c1ccc(NCC2(c3cccc(Br)c3)CCOCC2)c(F)c1 603871293 UEFBSKUIAUADRX-UHFFFAOYSA-N 409.255 4.657 5 20 HJBD Cc1cccc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)c1[N+](=O)[O-] 609021866 UGMQRSZTDNDJKB-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1cc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1OC1CCCC1 609504288 YTYGJTHXOSPCEM-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(NCc1cccc(N2CC=CC2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611205991 BHCBQSCCIQNWAO-UHFFFAOYSA-N 423.538 4.936 5 20 HJBD Cc1noc(C)c1[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 612473239 XYGHCQCFIOVCRE-LBPRGKRZSA-N 403.504 4.973 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNCc1cc2c(cc1[N+](=O)[O-])OCCO2 613338507 GEBJDGMPGCKDJC-UHFFFAOYSA-N 408.454 4.596 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])ccc1OC1CCCC1 727709189 WCZMOACBBKXNFP-LBPRGKRZSA-N 420.412 4.694 5 20 HJBD O=C(c1cnn(Cc2ccccc2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728167663 XXODMJOQPQUMJP-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD CC(C)C(=O)COC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 730845949 BEGXZBDRTAFNOX-LFIBNONCSA-N 424.478 4.904 5 20 HJBD COc1cc(CNCc2c(C)cccc2F)c([N+](=O)[O-])cc1OCc1ccccc1 742380467 FTSHMABKYQBVRF-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1Cl 751093048 JTCXYVOYOYUXNB-LBPRGKRZSA-N 419.840 4.654 5 20 HJBD C[C@H](C[C@H](O)c1cccs1)Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-] 751943839 YQXBORJDZUZHRF-PELKAZGASA-N 405.354 4.507 5 20 HJBD O=C(O)C[C@@H](Cc1ccccc1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 756381651 IPXFCIPPRPKTQW-LJQANCHMSA-N 424.428 4.702 5 20 HJBD COc1ccc(-c2ccc(CNCc3cc([N+](=O)[O-])ccc3Cl)o2)c([N+](=O)[O-])c1 756899835 CSOIPJUIOGQERZ-UHFFFAOYSA-N 417.805 4.715 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1OC 756923268 ZSIIFWPMBPYROD-ZDUSSCGKSA-N 421.881 4.606 5 20 HJBD CC[C@@H](C)N(Cc1ccc(Cl)c([N+](=O)[O-])c1)Cc1nc(O)c2ccccc2n1 761254461 WKAQFZMPAUNNKB-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD CC(C)(C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C1CCCCC1 767042224 KFWUCGMJHTXHPO-UHFFFAOYSA-N 416.396 4.702 5 20 HJBD C[C@H](OC(=O)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767471971 WBKOEZMMDXNFMY-XAQRPHPSSA-N 415.421 4.558 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCCc3nc(C4CCCCC4)cs3)CC2)cc1 773004017 DICALYKBTLPZSJ-UHFFFAOYSA-N 414.575 4.900 5 20 HJBD Cc1ccc(OCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)c1 776135983 UDWHXBWLCUQJBT-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cc(F)ccc1F 779178741 NFLCWUHGXNEQND-LJQANCHMSA-N 418.421 4.605 5 20 HJBD CCc1cc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)nc(C)n1 782133899 YOLHSMSPPBNSEL-UHFFFAOYSA-N 403.394 4.821 5 20 HJBD CC(C)(C)Oc1c(F)cc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1F 782220703 IJRPHPFWCWSJBG-UHFFFAOYSA-N 404.373 4.712 5 20 HJBD C[C@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(=O)N(C)C 785640956 UVQASKHOILVBRX-HNNXBMFYSA-N 401.462 4.567 5 20 HJBD O=[N+]([O-])c1cc(CSc2nnc(Cc3cccc(F)c3)o2)ccc1Br 788099340 KZHKLPLLYVXHQU-UHFFFAOYSA-N 424.251 4.763 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N[C@H](c1ccc(Cl)cc1)c1cccs1 791326024 HOLPLOAMYRXCLS-QGZVFWFLSA-N 405.838 4.550 5 20 HJBD COC(=O)c1sc(/C=C\c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc1Cl 799498278 FOOJWGCVGUSDRA-WAYWQWQTSA-N 407.860 4.785 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1nccn1-c1ccccc1 803068349 GEUAAFOJTGVCQX-KGLIPLIRSA-N 415.833 4.506 5 20 HJBD Cc1ccc(CNCc2ccc3c(c2)CCCN3C(=O)OC(C)(C)C)cc1[N+](=O)[O-] 820802928 CUIZLHWYVQHVPP-UHFFFAOYSA-N 411.502 4.881 5 20 HJBD C[C@@H](OC(=O)c1cc2c(Cl)cccc2s1)C(=O)Nc1ccccc1[N+](=O)[O-] 825218317 HAAWVTZINXZSDM-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OC[C@@H]2CC2(Cl)Cl)cc1 915250006 OKNQGSOIZLVJGT-NSHDSACASA-N 412.225 4.746 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2cccc([N+](=O)[O-])c2)c2ccccc2)ccc1O 919170350 OIGWHKKUYUUFJK-MOSHPQCFSA-N 405.406 4.593 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])Nc1ccc(N2CCCCCC2)cc1 920993178 UXFVBIOXMPVDAN-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 921152871 BUDQPZPNOCQJDM-CQSZACIVSA-N 415.877 4.824 5 20 HJBD O=C(Nc1ccnc(Cc2ccccc2)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1324717649 CKUMMKOTXNTAFD-UHFFFAOYSA-N 403.225 4.535 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(-c2nnc(COc3ccc4ccccc4c3)o2)c1 1327795386 DZKZZPRAQXUAPT-UHFFFAOYSA-N 413.336 4.978 5 20 HJBD Cc1cccc(C(=O)OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)c1[N+](=O)[O-] 9022459 NVDGACBBZYZTPK-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1ccc(Nc2nc(COC(=O)c3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 11028617 JISFHSTZYWQOLF-UHFFFAOYSA-N 419.846 4.814 5 20 HJBD CN(Cc1csc(COc2ccc(Cl)cc2)n1)Cc1ccccc1[N+](=O)[O-] 26349319 BWTZSERHIHQPNC-UHFFFAOYSA-N 403.891 4.916 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3F)n2)cc1Cl 55038376 QBVLOIRNRUUPGF-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD Cc1c(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cccc1[N+](=O)[O-] 58951014 UEINCJHUMPPZDG-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 63980945 NPABPPXCLPKOHH-UHFFFAOYSA-N 405.439 4.520 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@H]1c1cccc(Br)c1 64395248 PZOTWUVBDUZNBJ-OALUTQOASA-N 417.303 4.898 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(OC)cc1[N+](=O)[O-] 64959833 GJSQZGCNDUCJGB-CQSZACIVSA-N 418.471 4.512 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(C)c1 65501087 MYXIDFFYVGYOJM-UHFFFAOYSA-N 418.453 4.649 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(NC(=O)c2cccs2)cc1 71797915 HLPUFJFBOVNQCR-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)c(C(F)(F)F)c1 72042770 SVCPUKOPALJJAB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCN(CC)[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccsc1 236858653 MGSVQBICXJCKJD-IBGZPJMESA-N 416.572 4.953 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3nccn3C(F)F)cc2[N+](=O)[O-])cc1 237875221 QQJBZVNZYCJUEI-UHFFFAOYSA-N 404.442 4.936 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 301468183 YXGAWPCEBNDZLN-CVDCTZTESA-N 423.513 4.719 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCC(F)(F)F)s2)cc1C(F)(F)F 301827098 NIXFQJAOXFLGPJ-UHFFFAOYSA-N 404.317 4.591 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1c(Cl)cccc1[N+](=O)[O-] 303323490 BGSAUPLIYPSVBT-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1cccc(Cl)c1SCC(F)F 303841159 MCGDHVOOGWBPNY-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD CC(C)N(Cc1ccccc1F)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426282379 ICDVFHWBJKFOIQ-UHFFFAOYSA-N 413.371 4.873 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@@H](CO)CC(F)F)cc2[N+](=O)[O-])c1 428649781 SYLZXKMQFNSTMI-MRXNPFEDSA-N 408.445 4.925 5 20 HJBD Cc1cc([C@@H]2CCCN2C(=O)Cc2ccccc2[N+](=O)[O-])ccc1Br 431134714 YFVPPRXTQFTMPM-KRWDZBQOSA-N 403.276 4.572 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2O)cc1 436983053 ZPOSYTCADBIZEM-QFIPXVFZSA-N 405.454 4.510 5 20 HJBD CCOc1cc2c(cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)O[C@@H](C)C2 437406637 QXMMBSLFJZHOAC-AWEZNQCLSA-N 411.458 4.513 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2ccc(Cl)s2)cc1 439241392 XXLBWRNJAUTFAC-UHFFFAOYSA-N 408.888 4.705 5 20 HJBD CCN1CC[C@@H](N(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C[C@@H]1C 443915332 BAWHCIDFSFCSNE-QFBILLFUSA-N 412.490 4.724 5 20 HJBD O=C(N[C@@H]1CCCc2sccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444324109 LVQYCFNFHDTNHN-QGZVFWFLSA-N 410.445 4.828 5 20 HJBD Cc1cc(C)c(C[C@@H](c2nc(-c3c([N+](=O)[O-])nc(C)n3C)no2)C(F)(F)F)c(C)c1 445616627 RDNQIFZGZKZNJX-AWEZNQCLSA-N 423.395 4.501 5 20 HJBD COc1cc(C(=O)Nc2cccnc2-c2ccc(Cl)s2)cc([N+](=O)[O-])c1OC 446600973 UBWVQYACJRLRJT-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(CC2CCC2)c2ccccc2)c(C(F)(F)F)c1 447023478 NCEHXQWUJDRTNR-UHFFFAOYSA-N 414.405 4.609 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3nccc(C)c3[N+](=O)[O-])C2)n1 447671144 PDHNXVQNJLEVHL-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC(CC(F)(F)F)CC1 460738959 VVJOCSNIBSFLSA-UHFFFAOYSA-N 411.428 4.678 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@H]4CCC[C@H]43)n2Cc2cccs2)c1 461317186 WMRNSUMCDVYNDR-DNVCBOLYSA-N 409.515 4.732 5 20 HJBD CN(CC(=O)N[C@@H](c1ccc(Cl)cc1)c1cccs1)c1ccccc1[N+](=O)[O-] 463136937 NSUWGWNHEHGEAL-FQEVSTJZSA-N 415.902 4.652 5 20 HJBD CCCc1ccc(C(=O)CCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464633714 BKWRLEOUQYBSCK-UHFFFAOYSA-N 424.497 4.580 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1OCC[C@@H]2OC 468683079 OCENSGFPWNKIHB-KRWDZBQOSA-N 402.472 4.819 5 20 HJBD C[C@H](CCNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 470472937 IVOCZPKGTWJFCZ-CQSZACIVSA-N 409.408 4.949 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 489935031 OIFSORZWEBESCD-OAHLLOKOSA-N 404.348 4.660 5 20 HJBD COc1ccc(CNC(=O)[C@@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 491232705 QZQLWTTUABTPGZ-HZPDHXFCSA-N 423.494 4.579 5 20 HJBD O=C(Nc1cccc(CO)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 492876373 PSUGGTLBWNMWGN-UHFFFAOYSA-N 411.845 4.605 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1c(Cl)cccc1[N+](=O)[O-] 497860377 NUOHFVWBDFFEBX-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1cccc([N+](=O)[O-])c1 502484408 HAKLIAJEFURYHQ-DZGCQCFKSA-N 412.421 4.525 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2ccccc2s1 502499485 QPYVMPTYCCCLFB-UHFFFAOYSA-N 401.513 4.679 5 20 HJBD C[C@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1cccc([N+](=O)[O-])c1 504401935 SPHJPOISFAFCEX-BTYIYWSLSA-N 424.888 4.544 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](c2ccc3c(c2)OCCO3)C(C)C)cc1[N+](=O)[O-] 504895451 UMOYFYKFGFTYNE-OYHNWAKOSA-N 400.475 4.813 5 20 HJBD Cc1cc2cc(NC(=O)CCNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2[nH]1 505582080 QXJPSYCUHFPGQG-UHFFFAOYSA-N 406.364 4.844 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](COc2ccccc2F)c2ccccc2)c2c(c1)COCO2 507905038 RZJSNMLZOGPXOL-NRFANRHFSA-N 424.428 4.510 5 20 HJBD CNC(=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1C 513557234 SOLPLIDMLGCVPJ-UHFFFAOYSA-N 409.417 4.806 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 518895417 ZABVHFKRYAFPMD-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)NCc3ccc([N+](=O)[O-])cc3)s2)cc1 532060777 OVKKNJIBXHSJHH-OAHLLOKOSA-N 410.499 4.896 5 20 HJBD Cc1ccc(OC2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 532977833 MXOQHQHUEMABHM-UHFFFAOYSA-N 407.470 4.644 5 20 HJBD CC[C@@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1c(C)noc1C 534539566 CPFCRVGLIZOMLA-CXAGYDPISA-N 423.473 4.649 5 20 HJBD Cc1ccc([C@H](C)NCc2nc(-c3ccc(Br)s3)no2)cc1[N+](=O)[O-] 537176396 FNSFTUHEOYIOJV-JTQLQIEISA-N 423.292 4.628 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C 540281794 PMXRDPHGZMICNQ-SJKOYZFVSA-N 422.279 4.563 5 20 HJBD CCCCCn1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)n1 540421494 MBKRYCRSDYKZCQ-UHFFFAOYSA-N 404.536 4.720 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1F 542711769 BVSWAQJLFQFCNQ-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD CCc1ccc([C@H](Nc2cccc(NC(=O)[C@@H](C)OC)c2)c2ccc([N+](=O)[O-])cc2)o1 544381056 UNXRSKXBWOLDQX-IVZQSRNASA-N 423.469 4.925 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@H]2c2ccc(C(F)(F)F)cc2)n1 544541668 XRFDFTGDRZFYMO-LSDHHAIUSA-N 418.375 4.922 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1C 545938143 RXKUUTKNDWNHQP-UHFFFAOYSA-N 404.850 4.893 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 546560885 WTMKZHIVGQDAPP-GFCCVEGCSA-N 412.833 4.590 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 557453365 WLPGEDHGSOKMFD-GDBMZVCRSA-N 408.376 4.688 5 20 HJBD Cc1ccccc1-c1noc(C)c1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 569766893 LJHQRUMKOKXQJA-UHFFFAOYSA-N 415.409 4.989 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(c3ccccc3)CCOCC2)no1 570126646 GKHMKWYVVITNPU-SFHVURJKSA-N 407.470 4.811 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 576019457 ALVJAPXTQHXDHS-HSZRJFAPSA-N 400.478 4.913 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCOCC2)[C@H]1C1CC1 580485693 TVHNCBSIMNEMNI-OAQYLSRUSA-N 424.522 4.777 5 20 HJBD CC(C)(CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccccc1Cl 590261379 CGXJGUBAWNQGRL-UHFFFAOYSA-N 418.924 4.902 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC(C3CC3)CC2)cc1[N+](=O)[O-] 590425136 GTYRVYDBDPRNIF-UHFFFAOYSA-N 424.522 4.810 5 20 HJBD O=C(Nc1nc(C2CCCCC2)cs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 601426562 FYFQYZDWTVAAKN-UHFFFAOYSA-N 400.504 4.952 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(OCc2ccccc2)cc1 604044492 QUOUNKSDJQSVMO-MSOLQXFVSA-N 419.481 4.852 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 604160468 LOHXPYSMPWDAJT-UHFFFAOYSA-N 421.856 4.545 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CCC[C@@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609511160 DKHGPEXDXRVSPR-FGZHOGPDSA-N 418.497 4.523 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2cn3ccccc3n2)cc1 609783531 NNAMPMHOABZZIA-UHFFFAOYSA-N 406.829 4.744 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 609792555 ZCSFNELXORQYFI-UHFFFAOYSA-N 413.836 4.727 5 20 HJBD CN(C)C(=O)Nc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 610066201 WYRUSPYVLRXSMZ-UHFFFAOYSA-N 420.425 4.733 5 20 HJBD COc1cc(C(=O)Nc2ccc(CC(F)(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 610179584 TUKAZVHVFRQZKT-UHFFFAOYSA-N 420.290 4.562 5 20 HJBD CO[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CC1 611988176 JHQTZMSCGFRVOQ-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC(c2nc(-c3ccccc3)cs2)CC1 617405707 VESBBHUKKIMACM-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD Cc1cc(-c2cccc(Cl)c2)ccc1NC(=O)CNC(=O)c1cccc([N+](=O)[O-])c1 726802166 VGKVLNTUZFITKV-UHFFFAOYSA-N 423.856 4.592 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1c[nH]cc1-c1ccc(Cl)s1 729529250 ONDYYYPLVJCHJW-UHFFFAOYSA-N 413.846 4.947 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c2ccccc2)cc1 729824356 COLMZRKXTDVXEV-HXUWFJFHSA-N 421.428 4.542 5 20 HJBD CC1(C)CCc2cc(/C=C/c3ccnc(-c4cccc([N+](=O)[O-])c4)[n+]3[O-])ccc2O1 731562470 BVQRRLAYYBBNEC-SOFGYWHQSA-N 403.438 4.564 5 20 HJBD CC(C)C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@@H](C)c1ccccc1 732577987 DXOHIKKBDMOEDA-DOTOQJQBSA-N 403.910 4.744 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)CCOc3cc(C)ccc3[N+](=O)[O-])cc2)nc1 733370394 HUCDYMUAPBJPSI-UHFFFAOYSA-N 407.426 4.807 5 20 HJBD COc1ccc([C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)n2cccn2)cc1 734085688 SXNPKLKFBKUGIB-BFUOFWGJSA-N 415.833 4.613 5 20 HJBD Cc1c(C(=O)NC(c2cccs2)c2cccs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 737611766 QLXXGQSYZLCIFZ-UHFFFAOYSA-N 417.468 4.762 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745070681 WPSPUUVOHLVUJE-RHSMWYFYSA-N 418.877 4.633 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccco1 746076148 MBYWLOOWOMQDRP-UHFFFAOYSA-N 406.803 4.512 5 20 HJBD Cc1cc(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)n1C1CCCCC1 746233021 KRHHQVHIHOYCDE-UHFFFAOYSA-N 424.457 4.925 5 20 HJBD CC(C)(C)CC(=O)N1CCC[C@H]1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 748489719 GSDMMLDBXVYPRF-SFHVURJKSA-N 410.470 4.594 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N(Cc1csc(Br)c1)C1CC1 752377824 MOCDPDCOFVWXNM-FPYGCLRLSA-N 407.289 4.623 5 20 HJBD CCCn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)ccc1=O 754146763 JPSNHQUMGPTFJH-UHFFFAOYSA-N 415.515 4.701 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755015275 ZHXOBVPNQSIJQD-DGCLKSJQSA-N 407.239 4.515 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])o2)cc1C 758957764 SSLZPHHZMMYGSV-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD O=C(Nc1nnc([C@H]2CCCc3ccccc32)s1)c1cc([N+](=O)[O-])ccc1Cl 762919871 MRSUJHDIAUJKDT-AWEZNQCLSA-N 414.874 4.820 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 763419881 CPLQAGRNPMLRHU-QWRGUYRKSA-N 411.241 4.576 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])c(Cl)c1 768715204 AXGRMROTHVBWFP-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD COc1ccc(CC(=O)O[C@@H](C(F)(F)F)C(C)(C)c2ccccc2)cc1[N+](=O)[O-] 771370060 ZOGQJWOSLVVBMS-GOSISDBHSA-N 411.376 4.598 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(-c3nc4ccccc4s3)o1)CC2 773997165 SUANGROGBMUHDE-UHFFFAOYSA-N 405.435 4.976 5 20 HJBD COc1cc([C@H](C)NC[C@H](O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 775031332 IKBSNFDRFBPXCS-JTSKRJEESA-N 422.481 4.567 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)OC(C)(C)C 789442851 LZZQSMCUYOMMPL-DOTOQJQBSA-N 420.510 4.511 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H](CC(F)(F)F)c1ccc(F)cc1 790910639 DOQUINVOYIYVEW-AWEZNQCLSA-N 422.737 4.879 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nc3c(Br)cccc3s2)c1F 809108653 TYHYLTALLMTXKJ-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3Cl)n2)ccc1F 809919515 BAKXOHOGSRDZNP-SNVBAGLBSA-N 419.800 4.628 5 20 HJBD Cc1cccc2c(CC(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c[nH]c12 811219631 RNCUMJFIOPIZBV-UHFFFAOYSA-N 407.451 4.794 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(N2CCCNC2=O)cc1 812488010 ZFCGPMFWTLZWSJ-INIZCTEOSA-N 417.469 4.750 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812815415 LSOOCFASGGCHDE-UHFFFAOYSA-N 411.245 4.995 5 20 HJBD Cc1nn(-c2ccc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc2)c(C)c1Cl 813242366 QPSLACFPRLQRCQ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)s1 894989310 ZTOUPDYAABBAPO-UHFFFAOYSA-N 417.535 4.839 5 20 HJBD COc1cc(-c2noc([C@@H]3C[C@H]3c3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1OC 904404112 RTMLONWHVODXOU-UONOGXRCSA-N 401.806 4.587 5 20 HJBD COc1ccc(-c2ccc(/C=C(/Cl)c3nc(=O)c4ccccc4[nH]3)o2)c([N+](=O)[O-])c1 916140027 RPFRIAABRBYKNR-MHWRWJLKSA-N 423.812 4.837 5 20 HJBD Cc1ccc(-n2nc(C)c(CC(=O)Nc3cc([N+](=O)[O-])ccc3F)c2C)cc1Cl 917610864 FJPILONTKYUHAG-UHFFFAOYSA-N 416.840 4.679 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Oc1ccc(Cl)c(C(F)(F)F)c1 920314876 LTHGYCGRQNYANI-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 921194591 CHSHZZZADVLTLT-UHFFFAOYSA-N 411.888 4.955 5 20 HJBD Cc1c(-c2nnc(COc3ccc(C(C)(C)C)cc3)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1118066512 WKPQJGYSYLQSOY-UHFFFAOYSA-N 412.402 4.738 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 1323936012 NQIXYLKONWQHII-SFHVURJKSA-N 420.296 4.850 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 1338320846 RQCZANJXOYDWHN-VQIMIIECSA-N 423.282 4.849 5 20 HJBD COc1cc(C(=O)Nc2c(C)cc(C)cc2Cl)c([N+](=O)[O-])cc1OC(F)F 10961314 FAJXWSSNSYNRDH-UHFFFAOYSA-N 400.765 4.727 5 20 HJBD Cc1cccc(Nc2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)s2)c1 21681617 RHIKYONUALMNHJ-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD CCn1c(SCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])nc2ccccc21 24885137 OCTNZEKXDHULLV-UHFFFAOYSA-N 409.414 4.867 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C2CC2)o1 27285538 YYIDANMXULALQG-DNVCBOLYSA-N 409.486 4.716 5 20 HJBD CCn1c(-c2cccs2)nn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1=S 27533011 UJVLMZJIKLVCIY-UHFFFAOYSA-N 423.951 4.814 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCSc2c(F)cccc21 28044530 HZJQWHICKVLOLI-IBGZPJMESA-N 418.493 4.647 5 20 HJBD COc1cc(C(=O)N(C)Cc2cccc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 45187719 WCWAFUOXMZEMKC-UHFFFAOYSA-N 416.380 4.630 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 64092082 VRJBXSOZTUHVMV-UHFFFAOYSA-N 411.421 4.726 5 20 HJBD Cc1cc(NC[C@@H]2CCCN(Cc3cccs3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64550816 KDEFCEKIFUYSIP-KRWDZBQOSA-N 423.542 4.746 5 20 HJBD CCOc1c(OC)cc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1OC 64551037 AKQLSJAZZQJNBA-UHFFFAOYSA-N 400.353 4.642 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cc2ccccc2Cl)s1 105412403 BFWCTWGSCQRGAZ-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD CNC(=O)c1ccc(N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)c([N+](=O)[O-])c1 301213477 WINGKJAMUINDAX-LLVKDONJSA-N 414.462 4.699 5 20 HJBD CC(=O)c1ccc(Nc2cccc(C[S@](=O)Cc3ccccc3)c2)c([N+](=O)[O-])c1 301318184 PJIJTPMWAXNWAF-GDLZYMKVSA-N 408.479 4.990 5 20 HJBD C[C@@H](Nc1cccc(COCC2CC2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 303046847 QWVBJIYVJBKDOE-CYBMUJFWSA-N 403.866 4.614 5 20 HJBD COc1cc(C(=O)N2c3ccccc3S[C@@H](C)[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 430636533 JNVGXFBCQSRRRY-QWRGUYRKSA-N 424.425 4.734 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 432484494 GIIROWZSUVHSLT-ACJLOTCBSA-N 423.391 4.916 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 437236683 AYGIBLZYHWTADW-SNVBAGLBSA-N 401.410 4.774 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)o2)cc1 437465440 FWFDRHNYJYDYTI-UHFFFAOYSA-N 404.422 4.862 5 20 HJBD COc1ccc(-c2nc(COc3ccc(C(C)=O)cc3[N+](=O)[O-])cs2)cc1OC 440824738 PHPRBJWMAPWPNC-UHFFFAOYSA-N 414.439 4.517 5 20 HJBD Cc1ccc([C@@]2(C)CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 447698549 LRKCQEHDOPUTMY-MHZLTWQESA-N 410.517 4.653 5 20 HJBD C[C@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 461401848 AKZNOFXZIRAQEE-CYBMUJFWSA-N 408.376 4.528 5 20 HJBD Cc1cc(=NC(=O)c2cc(Cl)ccc2Br)c2cc([N+](=O)[O-])ccc2[nH]1 462596589 WLQBDWMKOQMSNX-UHFFFAOYSA-N 420.650 4.542 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(F)cc1Br 462620900 QJKKPBYOWRPVPX-NSHDSACASA-N 413.218 4.843 5 20 HJBD CN(CC(=O)N[C@@H](c1ccc(Cl)cc1)c1ccsc1)c1ccccc1[N+](=O)[O-] 463143979 PLRSSOJKIKRBTA-FQEVSTJZSA-N 415.902 4.652 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])n2)cc1Cl 466402710 ZWPOZYRCEAUFKZ-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(NCCn1cccc1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467752976 IORLBBNXBXUXBT-UHFFFAOYSA-N 401.875 4.631 5 20 HJBD Cc1nnc(-c2ccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)cc2)o1 468950138 LRKMDLJUZUFQNZ-UHFFFAOYSA-N 414.421 4.800 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)CC[C@H]1c1ccccc1 470009344 ODCRDACJDGSASK-GHTZIAJQSA-N 407.514 4.851 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 472252520 TUSSFVOGHFVRRX-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(COc3ccccc3)c2C)c([N+](=O)[O-])c1 475157276 OQYBPNJYYCWGFP-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD COc1c(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)cccc1[N+](=O)[O-] 476339309 UCNYJKDADKGKLH-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD COc1cccc(N2CC[C@@H](NCc3ccc(-c4ccc([N+](=O)[O-])cc4)s3)C2)c1 477224208 YTYMDGWZLVETAV-QGZVFWFLSA-N 409.511 4.700 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 481847593 VJZULHHMBWUDEV-VIFPVBQESA-N 415.646 4.511 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(-c3cccc(Br)c3)cn2)c1[N+](=O)[O-] 482502660 FAAPNSBQCHKHNG-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCCOc1ccc(C(C)(C)NCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 483266296 VXEDURKRHANULI-UHFFFAOYSA-N 405.882 4.500 5 20 HJBD CO[C@@H]1CCC[C@@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)C1 483608654 VLCAEPXJIHKOPH-CRAIPNDOSA-N 424.457 4.574 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1Oc1ccc(Cl)cc1 483919508 UKPINFROYYPKML-UHFFFAOYSA-N 407.213 4.680 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486963080 FCROAJQMQWMSHK-GOSISDBHSA-N 407.392 4.615 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1C(=O)N1CCCCC1 487119448 NHSXSPLSOYFETR-UHFFFAOYSA-N 423.494 4.996 5 20 HJBD CN(C(N)=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 487186148 AKDOWLYYYYAYSS-UHFFFAOYSA-N 410.405 4.653 5 20 HJBD CCc1ccccc1NC(=O)C[C@@H](C)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 494454353 SDQNYDKEYAWRPK-CYBMUJFWSA-N 409.408 4.683 5 20 HJBD CCc1ccc([C@@H](Nc2cccc(N3CCNC3=O)c2C)c2ccc([N+](=O)[O-])cc2)o1 494473883 LFUBSIOCMBMMBJ-QFIPXVFZSA-N 420.469 4.790 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@H](c1ccc(C)cc1)c1cccnc1 497885880 WBFBUUSLZKGFLO-JOCHJYFZSA-N 405.454 4.559 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1OC 502566563 RWCZYIAPZQRTGF-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD C[C@H](NC(=O)Nc1ccccc1C(=O)N1C[C@H](C)C[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 510774644 WCMNUHNJLWYUMF-ZACQAIPSSA-N 424.501 4.596 5 20 HJBD CC[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)N1CCCC1 518767584 JEBYQVMUFQQWOV-CQSZACIVSA-N 422.323 4.581 5 20 HJBD CCCCc1nc(CSCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)cs1 522672877 FWHKSGOHMSUNOT-UHFFFAOYSA-N 407.517 4.625 5 20 HJBD Cc1ccc(CNc2cccc(CS(=O)(=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 532743610 HGIAWRNOTOYWQC-UHFFFAOYSA-N 402.516 4.763 5 20 HJBD C[C@@H](NC[C@H]1CCN(c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1 536879158 WDPXGQGGIIXDPF-HUUCEWRRSA-N 404.308 4.534 5 20 HJBD CN(C(=O)[C@@H]1C[C@H]1c1cccc(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150724 ODQOUMCXAAWGIH-UONOGXRCSA-N 415.421 4.763 5 20 HJBD CCCCOc1ccc(-c2nnc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)o2)cc1 537177261 WSISRZIDRQYWMX-MRXNPFEDSA-N 410.474 4.983 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](CC)[C@@H](c2ccc(C)cc2)n2cncn2)cc1[N+](=O)[O-] 539039274 BSAOYKOHBHMEGC-KPDCDPCYSA-N 423.517 4.612 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 539077365 ALCMWZNLNBKOSC-CQSZACIVSA-N 420.307 4.654 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1COC(C)C 541880176 JHSDCAUVHHTTES-UHFFFAOYSA-N 402.516 4.952 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 542524831 BTCMHWAYBYPGAG-APWZRJJASA-N 409.511 4.865 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3cc(Cl)cc([N+](=O)[O-])c3)n2)c1)c1ccco1 545039069 QIYMWJPBJAOREC-UHFFFAOYSA-N 410.773 4.811 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1=O 545688905 JDSCRYIDDIFRJB-GDBMZVCRSA-N 420.469 4.987 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(C(=O)N(C)c3ccccc3)cc2)n1 545696275 MAEZNOZIMPTOCU-UHFFFAOYSA-N 414.421 4.897 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCc2ccc(O)cc2)CC1 546613807 BIMIBDCBRXJAIE-NRFANRHFSA-N 410.514 4.741 5 20 HJBD Cc1cc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)ccc1NC(=O)CC(C)C 547265742 DJKSOQTVVGHJBB-UHFFFAOYSA-N 408.458 4.837 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(F)cc2Cl)CC1)c1cccc([N+](=O)[O-])c1 552275187 NYPIKWOEWZSXHG-IBGZPJMESA-N 414.868 4.532 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3ccc(-c4nc5ccccc5[nH]4)cc3)ncnc2s1 557573664 ICAPWJRIDQRXRR-UHFFFAOYSA-N 402.439 4.755 5 20 HJBD CC(C)c1c(NC(=O)NCc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 562921270 MVJSKLSZQDXJMW-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD CC(=O)Nc1ccc(-c2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1C(F)(F)F 566882283 NXCNJPYLQMOAJN-JTQLQIEISA-N 420.347 4.774 5 20 HJBD Cc1ccc(-c2noc([C@@H]3CN(Cc4ccccc4)CCC3(F)F)n2)cc1[N+](=O)[O-] 578398618 JPVXJSZGAWBHRO-KRWDZBQOSA-N 414.412 4.578 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2c(C)cc(C)cc2C)no1 578555008 HDVNWGXKNPTFJJ-GOSISDBHSA-N 422.485 4.821 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])[C@H]1CCc2ccccc21 600815726 XOQOMEUXKOYELY-KRWDZBQOSA-N 407.392 4.562 5 20 HJBD Cc1nc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c2c(C)c(C)sc2n1 603562458 NKSGQSQFZGQMDW-UHFFFAOYSA-N 413.484 4.867 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1OCCC(C)C 603638351 CHHJUQIWGFFTKN-UHFFFAOYSA-N 424.400 4.882 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccc(F)cc1)c1cccs1 603642491 YMAFSBNTQJICTJ-GOSISDBHSA-N 401.419 4.715 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCc2cccnc2)cc1 603741461 FBFIUJBEVOCRNP-OAHLLOKOSA-N 417.421 4.806 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc4cc([N+](=O)[O-])ccc4n3)CC2)c(OC)c1 603848315 JXLMCBWAMABNKS-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD CC(C)(CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(Cl)cc1 603944361 DCTKDCDHSLVFKO-UHFFFAOYSA-N 401.894 4.874 5 20 HJBD COc1ccc(S(=O)(=O)Nc2ccc(Oc3ccccc3)cc2C)cc1[N+](=O)[O-] 609447751 XILYSOIYFGSSRA-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD O=C(Nc1ncc(C(F)(F)F)[nH]1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611212612 IUJUSMYSDWICGH-UHFFFAOYSA-N 400.382 4.624 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)c(F)cc(F)c1F 611400218 MJFXXBOVNRVXQX-UHFFFAOYSA-N 402.369 4.582 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c1[N+](=O)[O-] 614400872 LPIODXGEBFWXJB-RKDXNWHRSA-N 416.343 4.520 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCCN2C(=O)OC(C)(C)C 729413981 SLZKKYMLLBWPNK-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCCCC[C@H]1c1ccc(Cl)cc1 735265113 WEODXCXJAQOONA-SFHVURJKSA-N 403.822 4.914 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCc1ncc(-c2ccccc2F)o1 735803100 UAIWHZOGSFOPLG-UHFFFAOYSA-N 403.797 4.922 5 20 HJBD COc1cc(NC(=O)OC(C)(C)C)c(Cl)cc1C(=O)Nc1cccc([N+](=O)[O-])c1 742464627 KYFXCLZCLTWFTC-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCC1)N1CCC(c2cc3ccccc3[nH]2)CC1 744044375 KZEYPFUXDDHVDN-UHFFFAOYSA-N 418.497 4.696 5 20 HJBD CCc1ccc(-c2noc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])n2)cc1 745565581 SUZUXBMWEIMSTO-UHFFFAOYSA-N 421.331 4.583 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2)oc1C 748626019 JYCJETNXGKAZJX-UHFFFAOYSA-N 410.386 4.644 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2C[C@@H](O)c2cccs2)cc1[N+](=O)[O-] 754396246 PMGKXNCVTQHLKU-CRAIPNDOSA-N 402.516 4.682 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nnc(-c2cccc(Cl)c2)o1 756767814 KEDXMXHZYAVQNJ-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 757264113 WVMFDMXCZBIBCD-UHFFFAOYSA-N 424.888 4.864 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nnc(-c2ccc(Br)s2)o1 760428235 AVSQKZNRZXHQMK-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1cccc([N+](=O)[O-])c1Br 762922956 VAGFNDOLUDSDBM-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764241385 BCVSJMNMUUIDOD-IBGZPJMESA-N 412.515 4.535 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1cccc(F)c1F 767135975 SXOFCLIAFILNAA-SSDOTTSWSA-N 419.609 4.780 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1N)[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 787114737 MGYYLGYBYMMVPQ-HXUWFJFHSA-N 413.836 4.831 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccn(-c2ccc(Cl)cc2Cl)n1 800149245 PQQHOULOQLDOLQ-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD CO[C@@H](COC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 801859255 ZUNFGATYNSYOSA-FQEVSTJZSA-N 409.388 4.504 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2cccnc2)nc2ccccc12 811505492 NJTWTNVLYXFHJR-UHFFFAOYSA-N 405.845 4.890 5 20 HJBD CC(C)(NC(=O)Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccccc1[N+](=O)[O-] 814615274 DWRBCKBKCUWDTK-UHFFFAOYSA-N 404.417 4.530 5 20 HJBD Cc1ccc(Sc2ccc(CNC3CCC4(CC3)OCCO4)cc2[N+](=O)[O-])cc1 916835032 CANOJFFKNOEXIH-UHFFFAOYSA-N 414.527 4.830 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nnc(-c2cccc(Cl)c2)o1 917264351 YRDBBMFXLVBOKZ-JTQLQIEISA-N 413.773 4.962 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2C(=O)N(C)C2CCCCC2)cc1[N+](=O)[O-] 917805645 BEDJCFNZSMOEFT-UHFFFAOYSA-N 424.501 4.684 5 20 HJBD COc1ccc(CSCc2nnc(-c3cc(C)nc4ccccc34)o2)cc1[N+](=O)[O-] 1345713962 KPKKKACOBJETSB-UHFFFAOYSA-N 422.466 4.943 5 20 HJBD O=C1N/C(=C/c2ccc(-c3ccccc3[N+](=O)[O-])o2)C(=O)N1c1cccc(Cl)c1 1126653 YKYAXMMZRZSSDN-LFIBNONCSA-N 409.785 4.606 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C1(c2ccc(Cl)cc2)CCCC1 5839371 DWAPCYBGKCBSEN-UHFFFAOYSA-N 416.861 4.550 5 20 HJBD COc1ccc(NC(=O)CSCc2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 10109751 FWZMOXCXHRAFCA-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 10874781 LHOPMPLLJXXJRW-LBPRGKRZSA-N 424.375 4.921 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCSc2ccccc21 11250832 QKGZJSMPEJBJQQ-LJQANCHMSA-N 400.503 4.508 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 17656076 YXHXYGALAOSANC-OAHLLOKOSA-N 423.425 4.529 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(Cl)ccc2O)cc1[N+](=O)[O-])c1ccccn1 54141300 COHPKKGWWVWRMH-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 63479564 QIEKMXLHKNOWIU-UHFFFAOYSA-N 408.336 4.674 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n(-c2ccccc2F)n1 64908507 SUYVOSVIQPWTRY-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD COc1cc(OCC(=O)N(C2CC2)[C@@H](C)c2ccc3ccccc3c2)ccc1[N+](=O)[O-] 66027109 AQZQHJMUPIMMAK-INIZCTEOSA-N 420.465 4.888 5 20 HJBD CCN(Cc1cccs1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 108787833 NIZMSKQOMAKHGP-OAHLLOKOSA-N 410.499 4.887 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(Cl)cc3C)s2)c1 147205929 ILPFZUACTATNMR-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C2CCCCC2)no1 237641871 MKGAQGSTSYDIIA-ZDUSSCGKSA-N 413.503 5.000 5 20 HJBD Cc1c(NC(=O)c2ccc(Br)cc2C(F)(F)F)cccc1[N+](=O)[O-] 326206084 DHOPAJRJPUEMFN-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CCOC1(C)CCN(Cc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])CC1 430093077 CKBINXSEBPRAMC-UHFFFAOYSA-N 414.502 4.573 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1ccccc1C 430162993 GRGWVALLVFYAMG-UHFFFAOYSA-N 403.442 4.775 5 20 HJBD CC(C)(NC(=O)c1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1 430531178 UHBWOBUPMNOKRS-UHFFFAOYSA-N 409.467 4.574 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(CC(F)(F)F)c1ccccc1 432675011 HGYBNXUAIYROJA-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD CCn1c(Sc2nccc(Oc3ccccc3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 432845556 FBNFNIHJJTVTSE-UHFFFAOYSA-N 420.454 4.607 5 20 HJBD O=C(Nc1nc2c(s1)CCCC2)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435940425 IOPIXJRKLSBGDZ-UHFFFAOYSA-N 411.433 4.547 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nc(-c4ccccc4F)no3)co2)cc1 435982144 LAXWFTODHGWGOH-UHFFFAOYSA-N 412.402 4.872 5 20 HJBD CN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(C(F)(F)F)cc1Cl 439118757 OICICSHZGPZLER-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD C[C@H](Cc1cccc(O)c1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442639825 YNEKFJLDEXFYKI-OAHLLOKOSA-N 408.479 4.813 5 20 HJBD CC(C)(CNC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(Cl)c1 445190774 QFOUACGYBMVRBK-UHFFFAOYSA-N 417.712 4.780 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 465602505 OHWFCGRLLRTKHL-CYBMUJFWSA-N 414.512 4.622 5 20 HJBD Cc1nc(COc2cccc(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)c2)cs1 466294857 CQYDKIBNPCYMCO-UHFFFAOYSA-N 417.874 4.522 5 20 HJBD CCc1sc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])nc1-c1ccc(OC)cc1 466348258 USLDGURKJTWIKQ-UHFFFAOYSA-N 401.419 4.681 5 20 HJBD Cc1nc(-c2ccccc2Br)sc1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C 466815586 MUEOTQFLVIHJCS-SECBINFHSA-N 422.308 4.696 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@H](C(F)(F)F)C3(C)C)cc2[N+](=O)[O-])CC1 470097471 LXSWFSSCBXKLRJ-KRWDZBQOSA-N 413.440 4.634 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)n2)cc1 472448762 PZMIYUYNRVNEBN-LSDHHAIUSA-N 423.473 4.637 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccc(NC(=O)C2CCCC2)c1 475637776 LESISVLBFTVLFJ-UHFFFAOYSA-N 410.474 4.662 5 20 HJBD Cc1c(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)csc1Br 476677341 OSVZQKDDYBYZAW-OAHLLOKOSA-N 413.318 4.615 5 20 HJBD O=C(NC[C@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1)c1ccccc1 482218507 YKTUFHGTQHLFID-LJQANCHMSA-N 423.516 4.670 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc(F)cc2)C(C)(C)C)cc1OC 484356454 YUHUKNNFGVFQNY-UHFFFAOYSA-N 404.438 4.582 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCc2nc(-c3ccc(C(C)C)cc3)no2)cc1[N+](=O)[O-] 488084114 CNURALDMLNOHOC-INIZCTEOSA-N 422.485 4.887 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc3ccccc3c2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1 488707325 WNOLCSJKJKEPQO-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C[C@@H](C)Cc1ccc(OC)cc1 489060970 GLKNDHMTAXUSFN-HNNXBMFYSA-N 402.516 4.666 5 20 HJBD C[C@H]1CSCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 489549237 HLKOGLXADMOQDC-CQSZACIVSA-N 419.934 4.685 5 20 HJBD C[C@H](Sc1nnc(-c2cc3ccccc3o2)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 489682420 GSSMNKMUVIFXKD-NSHDSACASA-N 410.411 4.510 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492959109 JDKBKOOFRZTLFJ-MOPGFXCFSA-N 404.388 4.908 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2cc(Br)cs2)cs1 497956804 VNXVTCCWQIVKAP-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD CC[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCc2ccccc2C1 500294174 CKPLSVOWHODHPO-OAQYLSRUSA-N 422.554 4.645 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@H](c2c(F)cccc2F)C1 500637269 UNMUGKBZKPARPR-JTQLQIEISA-N 400.303 4.522 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(Cc2cccc(F)c2)n1 504076525 NRTNZGCCPWYWDM-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)NC2CC2)ccc1C 504090789 JAJRWPGEOPIQNP-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD CN(C[C@@H]1OCCc2ccccc21)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 506265465 YCYHWEDUGGLAAC-QHCPKHFHSA-N 418.449 4.773 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)CSc1ccccc1[N+](=O)[O-] 507530064 ILPBOOOAVGDRQV-CYBMUJFWSA-N 413.524 4.996 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OC[C@@H]1CCCO1 509813331 PWHZMQXYWFGZMH-AWEZNQCLSA-N 422.890 4.537 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccccc1)c1cccc(Cl)c1 510185159 KZQNIPMAHMBSGC-NRFANRHFSA-N 414.820 4.672 5 20 HJBD COCCN(Cc1ccco1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 524223191 HNUWMRVAPODPPE-UHFFFAOYSA-N 411.414 4.661 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)c2cc(Br)ccc2n1 524229698 USJGVFNSLVOEAR-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534989421 AWRBPRPUMXSTBI-UHFFFAOYSA-N 420.469 4.699 5 20 HJBD Cc1c(NC(=O)NC[C@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)cccc1[N+](=O)[O-] 536487749 HENGPNSNZDRNHM-AUUYWEPGSA-N 420.872 4.510 5 20 HJBD CC(C)(CCCO)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 537869183 SJRRLVKMQKNUAW-UHFFFAOYSA-N 411.296 4.506 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1OC(F)F 538144071 KYKSOKKEJZNZKZ-WDEREUQCSA-N 413.808 4.527 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)CCOc1cc(C)ccc1[N+](=O)[O-] 538426801 RGLKRXOQWOUETC-UHFFFAOYSA-N 419.481 4.783 5 20 HJBD C[C@H](C(=O)Nc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 544402908 VCRWVQDVLYKCEV-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD Cc1c(Cc2noc([C@H](C)Oc3cccc(Br)c3)n2)cccc1[N+](=O)[O-] 545076931 QDGRBCRSHFYSTR-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2c1noc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 545102095 XKXYJHRPLNLWKZ-GOSISDBHSA-N 404.426 4.527 5 20 HJBD CCC[C@H](NCc1cn2cc(Br)cc(C)c2n1)c1cccc([N+](=O)[O-])c1 545457959 PQUHINREWQCEQP-SFHVURJKSA-N 417.307 4.944 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)C(=O)Nc1cccc(-c2cccc(Cl)c2)c1 551405067 GYSODWPHHRKDJO-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD Cc1nc(-c2cccc(N[C@@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)c2)oc1C 552289646 FZTQTGQDCIQNGJ-FQEVSTJZSA-N 403.442 4.802 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccn(C)c(=O)c2c1 552803552 ILBARZFJNPGWKD-UHFFFAOYSA-N 411.483 4.591 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1 560740719 XWOMZPOTCUODLK-RDJZCZTQSA-N 408.376 4.688 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1OC 578514000 XDWDTQZEXGJTGF-LLVKDONJSA-N 412.364 4.512 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cnn1-c1ccc(Cl)cc1 580635774 HIMUWHJFABYSAZ-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)C1(c2ccccc2)CC1 583225060 YNHZPQFWKFYXEQ-MRXNPFEDSA-N 414.530 4.868 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc(-c4cccc5cccnc45)cc3)n2)nc1 589137377 TXGOUFWBTQXZJW-UHFFFAOYSA-N 409.405 4.846 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 603461929 OWDLADBYPXQEJC-UHFFFAOYSA-N 414.414 4.891 5 20 HJBD Cc1cc(CN(C)C(=O)c2cccc(C)c2[N+](=O)[O-])cc(C)c1OCC(F)(F)F 604018545 UAUGETHKQPGSKR-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccnc1Oc1ccccc1 604448148 RNIIHBVPJQRMJU-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD C[C@@H](Cc1ccc(O)cc1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609773335 XGGYFTQAMKCTEM-HNNXBMFYSA-N 407.426 4.845 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)sc2C)cc1 609853189 CPMUIZATWLHALX-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 610060802 REKKPYGAHVTFGQ-GOSISDBHSA-N 409.530 4.563 5 20 HJBD Cc1ccc(-c2cc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)n[nH]2)s1 729859047 IPSOWKVDYNTTSF-UHFFFAOYSA-N 411.487 4.597 5 20 HJBD O=C(COC(=O)[C@H]1C[C@H]1c1cccc2ccccc12)Nc1cc([N+](=O)[O-])ccc1Cl 730876549 PVOGDORHHZNROW-ROUUACIJSA-N 424.840 4.687 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)cc1F 732963576 LGPHEWDLTFCKDQ-LZMFZKJZSA-N 423.419 4.919 5 20 HJBD COc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 734779546 WFQVOODOPFAYBA-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735800120 BASPIVSYSADYLN-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CSCC[C@@H](C)Nc1ccc(S(=O)(=O)Nc2ccc(C)cc2C)cc1[N+](=O)[O-] 736296652 LRGNLVOXAFXAAV-OAHLLOKOSA-N 423.560 4.566 5 20 HJBD CCc1nc(C)c([C@H](C)OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 741135433 JDKTYECCEOZYKV-NSHDSACASA-N 403.485 4.964 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)O[C@H]1CCCc2nc(-c3ccccc3)sc21 741195977 URDPKNUBZVFTRC-SFHVURJKSA-N 410.451 4.718 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3c(F)cccc3Cl)s2)cc1 741255289 QDZMJSXHUXWTBJ-QGZVFWFLSA-N 406.866 4.939 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cccc2c1C 744673597 UBYUQFXJJKTQLL-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@@H](c1ccc(Cl)cc1)c1ccccc1Cl 745962979 DFCIFTYRQMRARB-IBGZPJMESA-N 420.252 4.821 5 20 HJBD C[C@@H](OC(=O)CNc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751693755 XDHQDIWYLGGLAN-GFCCVEGCSA-N 401.806 4.620 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccc(C(F)(F)F)cn2)CC1)c1cccc([N+](=O)[O-])c1 756811792 ZPCQUSUSOFKABM-ZDUSSCGKSA-N 423.391 4.529 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1OCC 756917450 YJADTIFOADHSAB-CYBMUJFWSA-N 421.881 4.606 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)NC1CCCCCCC1 760246266 IPPFALPEMLXVTM-UHFFFAOYSA-N 403.504 4.712 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 761499579 QPOFIDBVLOWNFV-UHFFFAOYSA-N 412.352 4.672 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(F)cc3C(F)(F)F)no2)cc1F 763314758 YTEVFVATAZCSNG-UHFFFAOYSA-N 401.247 4.521 5 20 HJBD CCOC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1 764000549 XSNMSDUNFARAPY-UHFFFAOYSA-N 403.460 4.552 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2ccc(C)cc2)o1 765527006 IKOMJAJQBCWMDA-WBVHZDCISA-N 409.442 4.826 5 20 HJBD CCCOc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 768304273 ZWPDHACRTACCSO-LBPRGKRZSA-N 411.380 4.842 5 20 HJBD O=C(OC[C@@H]1CCO[C@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 769361078 GVLFMYZTPFXYLM-LPHOPBHVSA-N 410.451 4.653 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 769475605 CJZSILTVINQQTC-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1sc(Br)cc1[N+](=O)[O-] 770148127 ZZFVRRRZBGXCAS-UHFFFAOYSA-N 417.310 4.570 5 20 HJBD COc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1OC1CCCC1 770528145 ZKONZMSKJPOQJQ-UHFFFAOYSA-N 422.485 4.937 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@@H](c4cccc(C(F)(F)F)c4)C3)n2)cc1 784486345 NFVFYLUPLWRPLB-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(C)c(C)nn2-c2ccc(Cl)cc2)c1F 791033078 QQAUORCBNOKZSC-UHFFFAOYSA-N 402.813 4.751 5 20 HJBD O=C(Nc1ccc2c(c1)N(CC(F)F)CCS2)c1cc(Cl)ccc1[N+](=O)[O-] 791234796 PKAMOPAHIDBFFV-UHFFFAOYSA-N 413.833 4.678 5 20 HJBD CN(Cc1ccc(Br)cc1Cl)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 791361771 RDTXDDMQNJMTJM-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1 801625042 UWGVFQFGANMEBU-UHFFFAOYSA-N 416.743 4.783 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 806428094 DNXUCOVLAXJICR-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])c2)n1 812997925 GIEQTSNPFPWKQK-UHFFFAOYSA-N 403.225 4.919 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813244931 WWHXOLLOVUBJTI-UHFFFAOYSA-N 412.855 4.612 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813389135 RTXVSEROGHAKPF-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD O=C(/C=C\c1ccco1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 890308081 RRWQBLIEKQMMCZ-NTMALXAHSA-N 403.346 4.580 5 20 HJBD COc1ccc([C@H](NC(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cc1 920078983 LFTLLUZGEMROCE-HXUWFJFHSA-N 411.458 4.819 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 920113590 YUAVLMVUXZZLNV-AWEZNQCLSA-N 422.397 4.599 5 20 HJBD O=C([C@H]1CC=CC[C@@H]1c1nc2ccccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116143890 PLBICNYQUKLCRM-ROUUACIJSA-N 405.479 4.797 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)ccc21 1323221286 KGYPULAVXKGICW-UHFFFAOYSA-N 418.375 4.700 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)o1 1326221320 OMYZHOZZPLHWIL-UHFFFAOYSA-N 402.410 4.933 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c(C)[nH]c4ccccc34)CC2)c([N+](=O)[O-])c1 1345372190 YGLXYDPJPLXSMG-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD COc1ccc([C@H]2CCCN2c2ncnc3c(Cl)cc([N+](=O)[O-])cc23)c(OC)c1 1347049418 LWGMIFRSLUKZDR-QGZVFWFLSA-N 414.849 4.550 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Oc2ccc(F)cc2)cc1 10965854 LXEWWJZPPRUIEV-UHFFFAOYSA-N 424.384 4.940 5 20 HJBD C[C@@H](Sc1nccn1Cc1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 16685326 NISLQMSQWMEUED-CQSZACIVSA-N 407.455 4.743 5 20 HJBD Cn1c(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])nnc1-c1ccccc1 24771350 DBVBTIZJZCHEOH-UHFFFAOYSA-N 416.462 4.773 5 20 HJBD O=C(NCc1cc(F)cc(F)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 30482528 DXLRFFLUQYJRMF-UHFFFAOYSA-N 400.406 4.954 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1cccs1)C1CC1 43759210 JPXGYTKOTLIFKW-UHFFFAOYSA-N 413.421 4.668 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccncc3)n2C2CC2)cc1C(F)(F)F 301099831 QZZQETSVZBVFHS-UHFFFAOYSA-N 407.377 4.753 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC(c2nc3ccccc3s2)CC1 301710520 XOJZCDCLGQXYDD-UHFFFAOYSA-N 419.304 4.746 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C(=O)c1ccccc1[N+](=O)[O-] 301996943 HCTUKYYNDMOMCE-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 303760384 OGFJMLBFVNXGRU-PMACEKPBSA-N 410.517 4.868 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)c(Br)c1)c1ccc(F)cc1[N+](=O)[O-] 393145796 JIBKGKQKIYIVLP-UHFFFAOYSA-N 423.116 4.647 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@@H]1[C@H]1CCCCC1=O 426891525 PWVMZZXGEBPNGS-USXIJHARSA-N 422.909 4.546 5 20 HJBD CC(C)(NC(=O)Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1 431202490 JCVJPOIWDWXBFD-UHFFFAOYSA-N 412.471 4.687 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)n1 433130395 HRELNGCQSXLOFO-INIZCTEOSA-N 422.485 4.902 5 20 HJBD CC(C)c1[nH]nc(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 434231316 MZVDSDPQOYEJDO-NSHDSACASA-N 410.396 4.826 5 20 HJBD Cc1noc(C)c1COc1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437113900 CJCBWEKZRQOCLP-UHFFFAOYSA-N 421.453 4.583 5 20 HJBD COc1cccc([C@@H](C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 439818841 YIEKSSLBTZTPGW-AWEZNQCLSA-N 410.392 4.688 5 20 HJBD Cc1sc(NC(=O)C2(Oc3ccccc3F)CC2)nc1-c1cccc([N+](=O)[O-])c1 440681359 KCAMDAWCQSVYGT-UHFFFAOYSA-N 413.430 4.716 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2cccc(OC(F)F)c21 443868112 LIZQHFPRZCNPBL-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD CC(C)n1c([C@@H]2CCCN(Cc3nc4ccc([N+](=O)[O-])cc4[nH]3)C2)nc2ccccc21 444672199 YWKYLBFYJGDBQU-MRXNPFEDSA-N 418.501 4.781 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F 445985512 LTMLPWFNFYECLS-WBVHZDCISA-N 421.419 4.786 5 20 HJBD CN(C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1nc2ccccc2n1C 461281962 FGAYZCDAWIJWRR-UHFFFAOYSA-N 418.478 4.909 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](CSc4ccccc4)C3)o2)c1 464765664 OULFZGDHIHBGID-KRWDZBQOSA-N 409.511 4.907 5 20 HJBD CC[C@@H](NC(=O)c1ccc(SC)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465265110 HCPGQOZXYSDZIH-SECBINFHSA-N 405.423 4.673 5 20 HJBD CN(CCC1CCOCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 466846530 OZXMHGZRIGYGPT-UHFFFAOYSA-N 418.877 4.929 5 20 HJBD CCOC[C@H]1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 467759803 VHVFVNSCORQVOR-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD C[C@H](CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C[C@@H](C)O 467791644 ZCSCZPJIYDSZJH-QWHCGFSZSA-N 408.907 4.536 5 20 HJBD CC(C)(C(=O)Nc1cc(Br)c(F)cc1F)c1ccc([N+](=O)[O-])cc1F 468220876 JSYNDUUAXSOEOJ-UHFFFAOYSA-N 417.181 4.691 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])CC[C@@H]1c1ccccc1 470002511 GJTYTIUBXRHSFS-WBVHZDCISA-N 414.527 4.981 5 20 HJBD CCOc1cc(C(=O)N2C[C@@H](c3ccccc3)c3ccccc32)c([N+](=O)[O-])cc1OC 470210707 VYGVUFAQKYCOAX-IBGZPJMESA-N 418.449 4.794 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 472091084 VHMREOFTCFHMEO-UHFFFAOYSA-N 422.441 4.999 5 20 HJBD COc1ccc(SCCCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 474149951 KXPWPZYMIOJHMF-UHFFFAOYSA-N 400.500 4.667 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 478192090 JWRCGONDVCRJBR-DOTOQJQBSA-N 422.453 4.983 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)NCc1cccc([N+](=O)[O-])c1C 480060113 VRFMREPZDZXCGO-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCOc2c(F)cccc21 480105082 RXJXPOBTRZQUJY-KRWDZBQOSA-N 404.463 4.878 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2oc(C3CC3)nc2c1 481695710 ZZKLKRFIZYRGOT-UHFFFAOYSA-N 400.822 4.631 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 481851782 FNUQDOFQNLIWNI-UHFFFAOYSA-N 404.470 4.521 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 482815194 DWEQHKVMICDHIF-UHFFFAOYSA-N 420.487 4.765 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)o1 484021575 BQCRJSKICOBRDT-INIZCTEOSA-N 421.453 4.596 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OC(F)F)c(C(F)(F)F)c1 484571487 FLOHWBMWALAQJV-UHFFFAOYSA-N 420.290 4.866 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@@H]2C)c1[N+](=O)[O-] 486253219 RJYXDIHYKHOCTO-BLLLJJGKSA-N 406.891 4.572 5 20 HJBD COc1ccc2cc(CN(C)C(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)ccc2c1 493154292 MQSIMAUBKCXBGF-INIZCTEOSA-N 407.470 4.968 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCC[C@H]1c1nc2ccccc2o1 497355394 VULKJSAKEHKRLN-HNNXBMFYSA-N 417.368 4.705 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccc(Cl)c2)C(C)C)cc1OC 499880440 VJOOXGQQLGYFPG-UHFFFAOYSA-N 406.866 4.706 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 502089674 IWXHCDFFZLPGRD-UHFFFAOYSA-N 406.438 4.586 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccnc(O)c1 502227185 ARPGIUHXPMLQLI-LBPRGKRZSA-N 413.817 4.632 5 20 HJBD Cc1cc(N(C)C)cc(C)c1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 505139262 MBDAWGJSRVCBQS-UHFFFAOYSA-N 424.423 4.737 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cc5cc([N+](=O)[O-])ccc5o4)CC3)c2c1 509101221 VLVLWMOJKREAFM-UHFFFAOYSA-N 419.437 4.851 5 20 HJBD CCOc1cc(C(=O)N(CC)Cc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OC 511447436 SRZGSWZMTSWSRF-UHFFFAOYSA-N 408.454 4.818 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CC(F)(F)F)[C@H](C)C(C)C)cc2[N+](=O)[O-])CC1 517343220 DNKBZRPTIGDMFC-OAHLLOKOSA-N 415.456 4.880 5 20 HJBD COc1ccc(CC(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)cc1[N+](=O)[O-] 519043095 PZBIONWUZOGALH-UHFFFAOYSA-N 422.485 4.576 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 521086984 GYUSMCIJDDHYJP-ZIAGYGMSSA-N 422.359 4.517 5 20 HJBD Cc1c(NC(=O)N[C@@H](c2ccccc2)c2nc3ccccc3n2C)cccc1[N+](=O)[O-] 522248475 ABIAXOQLVPZSSI-NRFANRHFSA-N 415.453 4.701 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2cccs2)cc1 523339918 BYBSJJPQBCEOJG-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1ccc([N+](=O)[O-])cc1Br 523505531 YFLGYDUOPQZEES-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCSC[C@H]1c1ccccc1 524533095 MHAVDUIWDNUDIF-SFHVURJKSA-N 411.508 4.649 5 20 HJBD Cc1ccc([C@H](C)NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 530967805 MZUXRMLRGWOSOX-AWEZNQCLSA-N 404.392 4.959 5 20 HJBD O=C(Nc1ccc(CN[C@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)c1ccco1 531698602 LDBCXCLDKDEQOA-HXUWFJFHSA-N 405.454 4.551 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc(-c4cccnc4)s3)n2)cc1[N+](=O)[O-] 535587570 AFEVFMWRBOPPIM-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)F)c1 537019415 XXWBDMCDSPXHHE-VXGBXAGGSA-N 404.373 4.658 5 20 HJBD COc1ccc(-c2ccc(CNc3nnc(Cc4ccsc4)o3)o2)c([N+](=O)[O-])c1 537490388 KGINMFCCRRBXBZ-UHFFFAOYSA-N 412.427 4.511 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1OC(F)F 538145118 RVPBCLNYGNAQFX-NWDGAFQWSA-N 404.373 4.658 5 20 HJBD COc1ccc(-c2nc(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)cs2)cc1OC 538656860 KLDPLXXJTKQZIH-UHFFFAOYSA-N 422.466 4.647 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)F)cc1 540323525 JTEFCBNYNFQVGV-VXGBXAGGSA-N 404.373 4.658 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)[C@H](C)OC)c1 540729856 DUCHJPQARRUAAM-LSDHHAIUSA-N 403.504 4.551 5 20 HJBD Cc1ccc(OC2CCN(C(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)CC2)cc1C 544422831 IYXDWRZZWSHXFK-INIZCTEOSA-N 400.450 4.524 5 20 HJBD COc1ccc2oc([C@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)c(C)c2c1 544479187 MLGZMYCTOAUPLU-ZDUSSCGKSA-N 408.414 4.559 5 20 HJBD Cc1c(Br)cc(-c2nc([C@H]3CCOc4ccccc43)no2)cc1[N+](=O)[O-] 545688433 FPTHGKFDCLKKHY-ZDUSSCGKSA-N 416.231 4.630 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1NC(=O)C(C)(C)C 546535027 JIGCQKDOCGYVJL-UHFFFAOYSA-N 415.465 4.943 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551309078 ZARIHEBQRFNATF-HWPZZCPQSA-N 416.783 4.773 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(Cl)cc2)no1 553707941 TVPLDBQEDWTXRM-NSHDSACASA-N 418.862 4.666 5 20 HJBD Cn1ccnc1[C@H](NCC[C@@H](c1ccccc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 556217603 NZBRTHCSJQAQCM-RBUKOAKNSA-N 418.419 4.744 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCC[C@@H](c2ccccc2)C1 558303264 MVFHJISSGJVMMZ-MRXNPFEDSA-N 418.443 4.979 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2CN(C)c2ccccc2)c1 567410918 NWWWNBNWBSICCS-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD Cn1ccnc1Sc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 590655526 QPEKAYWIIPMGST-UHFFFAOYSA-N 410.480 4.947 5 20 HJBD Cc1ccc(-c2cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c3c(C)noc3n2)cc1 590851044 MWQGAELQFAFAJE-UHFFFAOYSA-N 414.421 4.618 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 599152451 HHRAJGQOINULTC-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1cccc(OCc2ccccn2)c1 603982255 CHEYRDAIYZJUHO-HNNXBMFYSA-N 417.421 4.806 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)s1 609305451 GWRBJONWYXBTTD-AWEZNQCLSA-N 414.512 4.962 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1OC 609500880 MCXHFRBPWRIQGM-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(c2nc(-c3ccccc3)cs2)CC1 610712765 KJPXRXBDPJGKDX-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD C[C@H]1c2ccccc2OCCN1c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 618583221 BJNLAGXGIXKWPX-JTQLQIEISA-N 403.307 4.604 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1 619273007 PVQVWDPRLVOKOI-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])Cn1nc(Cc2c(F)cccc2Cl)oc1=S 727419955 ORXDMSLYXXGYAT-UHFFFAOYSA-N 422.869 4.587 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@@H]1Cc2ccccc2O1 727961716 GUTMBCQNVYCGTP-NRFANRHFSA-N 424.840 4.769 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)c2ccccc2)c1 734407071 YZNHPKMCBZOMLM-BBTLOVRBSA-N 406.463 4.555 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc(C)c2)c1 737693286 WPBGVNBSULGXMH-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1cc2ccccc2c(Cl)n1 739577702 FNBSGUACODBQOE-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1ccc(N2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[C@H](C)C2)cc1C 747969980 PPAPZLGZCBSSJZ-QZTJIDSGSA-N 421.501 4.533 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749963011 FCLQQHBHGIWSNM-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 750188769 ZLRMCOXFLJBVJK-MRXNPFEDSA-N 412.833 4.666 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(/Cl)c1nc(O)c2c3c(sc2n1)CCCC3 751205546 NTBSYBMGNLPHAO-XYOKQWHBSA-N 403.847 4.626 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2coc(-c3c(F)cccc3F)n2)c1 751627154 GAXBZNXKMNYTQD-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755057263 VKUVPURNJZYHBA-LSDHHAIUSA-N 416.449 4.840 5 20 HJBD O=C(O)c1ccc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)o1 756286684 UOOUVVHBOCVUAV-UHFFFAOYSA-N 402.790 4.622 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1OC 756923266 ZSIIFWPMBPYROD-CYBMUJFWSA-N 421.881 4.606 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758737387 RBKQJRCBXOGNHM-GJZGRUSLSA-N 423.469 4.703 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3cc(F)ccc3C(F)(F)F)no2)C1 761632529 GZWIPFRRJMGUTR-HNNXBMFYSA-N 422.294 4.668 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(COc3ccc4ccccc4c3)no2)C1 761734324 NMAPQONXDZOBRW-HXUWFJFHSA-N 416.393 4.576 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 763184807 CCEYZVPGWCCTJH-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc(Cc2ccc(Cl)cc2)no1)c1cccc([N+](=O)[O-])c1 763401130 DIZZVSIUBCLSQI-QWHCGFSZSA-N 415.833 4.630 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764242935 LLOACRVJSDROMO-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1cc(F)c(F)cc1[N+](=O)[O-] 772557905 DQBGSNXQUJRRGC-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775927670 GTQJRDOJKKBEQB-BDJLRTHQSA-N 413.817 4.632 5 20 HJBD COc1ccc(NC(=S)Nc2ccc(OC(F)F)c(Cl)c2)cc1[N+](=O)[O-] 782208650 WCCCWFHMBPMCPY-UHFFFAOYSA-N 403.794 4.667 5 20 HJBD C[C@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1cccc(F)c1 791174484 LXWXUCHDVXCELR-GFCCVEGCSA-N 421.266 4.575 5 20 HJBD COc1ccc(OC(=O)[C@@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 792124097 RGZLGYLVGUAKCV-LSDHHAIUSA-N 410.451 4.869 5 20 HJBD CCN(C[C@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1)CC(F)(F)F 809951402 ANMMFSNLWYRHTC-LLVKDONJSA-N 414.255 4.608 5 20 HJBD O=C(NCc1ccccc1OCC(F)(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812926772 IDYIVTZRULBGMZ-UHFFFAOYSA-N 423.174 4.773 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)S(=O)(=O)c1cc(Cl)c(F)cc1[N+](=O)[O-] 814985785 SHPWMQSLWBEOQF-JTQLQIEISA-N 412.826 4.515 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815512528 ZAGGNTCKNZSZIF-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD COc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1OC1CCCC1 913931552 LKHOPHWQNKCISQ-UHFFFAOYSA-N 402.472 4.656 5 20 HJBD CCN(CC)C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 1116560804 XGFIXACMGLISSR-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD O=C(Nc1cccc(OCCc2ccccc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1327066269 GHKBERKQBOFFQP-UHFFFAOYSA-N 403.438 4.764 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@](CO)(C(C)C)C3)cc2[N+](=O)[O-])cc1 1347384691 VBSBSENFBBGKHV-JOCHJYFZSA-N 414.527 4.535 5 20 HJBD C[C@H](OC(=O)CSc1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17892581 PSTMEUBZIGNFMU-NSHDSACASA-N 419.846 4.695 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)c1 26561181 FHTMJDKVTIZQGP-KBPBESRZSA-N 413.455 4.738 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CSc1ccc(C(F)(F)F)cn1 56288006 BMEIIKIKGGUBMG-UHFFFAOYSA-N 413.421 4.538 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccc2C(F)(F)F)cc1OC 56319217 YHWNTVVHRZWKHR-LLVKDONJSA-N 412.364 4.512 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc12 57835395 RLYCOSSOXJGDDZ-UHFFFAOYSA-N 404.451 4.507 5 20 HJBD COC(=O)c1sc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)nc1C 60959246 GQHQTRYLBCTZEU-UHFFFAOYSA-N 423.516 4.537 5 20 HJBD CCCCOc1cccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 65114495 UYBVQZXZZCFMIX-UHFFFAOYSA-N 400.478 4.972 5 20 HJBD C[C@@H](Nc1ccc(F)c(C(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 65887239 KJSWPWJBLIIPJU-MRVPVSSYSA-N 405.735 4.845 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 106310928 FJXVMISGVSMMOO-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(COCC2CC2)c1 149216169 ONFVJJZKFVLRTQ-CYBMUJFWSA-N 404.850 4.581 5 20 HJBD CC(C)c1ccc(CN[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 236722808 PWDKAXOKTHPGOK-SFHVURJKSA-N 424.545 4.825 5 20 HJBD Cc1ccccc1C[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)C(=O)O 426647736 QENKXGQHMKEZTA-SFHVURJKSA-N 410.495 4.665 5 20 HJBD O=C(O)C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 426811921 OSDIKTRYMURBAO-QGZVFWFLSA-N 417.874 4.677 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3[C@H]4CC[C@@H](C4)[C@H]3C(=O)O)cc2[N+](=O)[O-])cc1 426823332 IJOMQGAPRIRMIE-LVNJIZSUSA-N 412.511 4.643 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(C)nc2)cc1[N+](=O)[O-] 432720854 ZFDUHIMIQXGOHR-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CNc1ccc(Oc2nc(C(C)(C)C)ns2)c(Cl)c1 435886147 SUXWNKFBCODQMG-UHFFFAOYSA-N 422.898 4.535 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 437844777 IPPUBEHCDSWNBL-INIZCTEOSA-N 413.474 4.847 5 20 HJBD Cc1c(C(=O)N2CCN([C@H](C)c3ccccc3[N+](=O)[O-])CC2)sc2ccccc12 441441127 BNBHZKJZDFIHHH-MRXNPFEDSA-N 409.511 4.637 5 20 HJBD CSCc1cc(F)ccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444224781 LIRODMXOKLTAOH-UHFFFAOYSA-N 424.472 4.753 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 444681908 XPMMKIOXIHZIMK-HNNXBMFYSA-N 402.454 4.998 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(-c2ccc(Oc3ccc(C(C)(C)C)cc3)nc2)n1 445576847 XIPYXZQDLJUCKV-UHFFFAOYSA-N 420.429 4.530 5 20 HJBD Cc1c(Br)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1F 447641930 USMUKLCIXMKBDJ-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2C(F)(F)F)nnc1-c1ccncc1 448097102 MGRWSERDKSDKFY-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD CC[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 461404109 FZWMDNXPMLFNOP-OAHLLOKOSA-N 422.403 4.604 5 20 HJBD CCc1ccc([C@H](COC)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)o1 462729762 SEZMTYZHWCVIMX-FQEVSTJZSA-N 409.486 4.995 5 20 HJBD C[C@H](NC(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 482402184 RUSOTRLDLOUHLG-BJOHPYRUSA-N 403.307 4.537 5 20 HJBD COc1cc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)ccc1[N+](=O)[O-] 482718352 GGKAJIMUMLMMML-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(OCC(F)F)c2)cc1SC 483665273 GWNABCUYMULWJI-UHFFFAOYSA-N 412.414 4.530 5 20 HJBD C[C@@H](c1ccncc1)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)CC1 485403015 IRTNYWSIWHUSKW-INIZCTEOSA-N 421.476 4.828 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 485596169 XEEYXBUVXVQSDF-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD CC(C)[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 486206818 UWGQLFGOSXAUDV-ZDUSSCGKSA-N 419.247 4.824 5 20 HJBD Cc1cc(NC(=O)C[C@H](c2ccccc2)C(F)(F)F)n(-c2ccc([N+](=O)[O-])cc2)n1 486504300 LOVGHKVSXWVYHB-QGZVFWFLSA-N 418.375 4.764 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)c1Br 486847595 SIQCHRALCNYOLY-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)N1CCC(C(F)F)CC1 493881785 HTVMNBSTNNPHGF-UHFFFAOYSA-N 423.847 4.978 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCc3ccc(C(C)C)cc32)cc1SC 494243190 YFWQIWLWTWJCHW-KRWDZBQOSA-N 400.500 4.866 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nc2ccccc21 498136924 WAIPVXPSEBASMQ-AWEZNQCLSA-N 403.442 4.565 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@H](C)c1cccc(C(F)(F)F)c1 503934053 GHAHMBWIAXQBQS-LLVKDONJSA-N 402.394 4.525 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 506473643 ZMUOMDNYHSFTSD-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 507616537 LGEMIRPEGONEQR-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1 513666193 KOJCZLSWHABCFL-CQSZACIVSA-N 419.865 4.580 5 20 HJBD Cc1cc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)n(-c2ccc(Cl)cc2)n1 515029194 GLOAKUKXVROISM-UHFFFAOYSA-N 411.849 4.569 5 20 HJBD Cc1nc(C)c(CCNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)s1 518285003 ALSREUHIVWLKHF-UHFFFAOYSA-N 401.463 4.932 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3ccc([N+](=O)[O-])cc3)o1)O[C@@H](C)C2 518769581 GEXCAKHWSFYCFC-HNNXBMFYSA-N 408.454 4.867 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21 520566452 WHEZWBQLBXIQRV-INIZCTEOSA-N 411.458 4.594 5 20 HJBD COc1cccc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1OC 525286706 GQQHKLGFAVLFTA-UHFFFAOYSA-N 420.465 4.778 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCc2sccc2[C@@H]1c1cccs1 533921165 QDFNEYHWBDXZNR-OAQYLSRUSA-N 423.519 4.916 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])c1C 534020248 PXIQRUCJFYYZHQ-UHFFFAOYSA-N 424.457 4.590 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N(C)Cc1ccccc1 536303937 KWSLNEAPGSWJEH-OAHLLOKOSA-N 411.483 4.530 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])[C@H](C)CO1 539431691 UNRJESMCYLEZCX-DYESRHJHSA-N 417.465 4.567 5 20 HJBD CCOc1cccc2cc([C@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)oc12 543517100 NBAHDXGEIFIUES-ZDUSSCGKSA-N 408.414 4.641 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2ccc(OCc3cccnc3)cc2)no1 546991942 HBHNGSSMOMDECT-FMIVXFBMSA-N 414.421 4.713 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nc2ccsc2c1=O 549039460 CJVDRXHENOIJCC-UHFFFAOYSA-N 415.418 4.697 5 20 HJBD O=C(N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 553119337 HWSRSLKVKCLOCY-QGZVFWFLSA-N 402.332 4.591 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(OC)cc1Cl 554798542 FAYRPJLWAUWHOS-UHFFFAOYSA-N 410.879 4.556 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@](F)(c4cc(F)cc(F)c4)C3)o2)c1 556509016 RWKAJQKEMDLJRN-OAQYLSRUSA-N 417.387 4.641 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3cnc(-c4ccc(Cl)cc4)s3)cc2N1 557681477 ZRKPJLRDLVGQLN-UHFFFAOYSA-N 414.874 4.869 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@H](c2ccccc2F)C1 558952224 XMHWVHSMNZAUDE-JTQLQIEISA-N 405.298 4.777 5 20 HJBD Cn1ccnc1[C@@H](NCCCCc1ccc(Cl)s1)c1cccc([N+](=O)[O-])c1 560273900 ABXZIOXXMMBYPA-SFHVURJKSA-N 404.923 4.745 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cc(F)c(F)cc3N3CCCCC3)n2)cc1 571489465 DRVMQOVUXZKEEX-UHFFFAOYSA-N 415.400 4.525 5 20 HJBD COC(=O)c1cccc(CSc2nc3ccccc3c3nc4ccccc4n23)n1 572205226 QKAJSEIZJSGVJT-UHFFFAOYSA-N 400.463 4.510 5 20 HJBD C[C@H](Sc1nncc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 603460491 RFGPOVOYJQGBOQ-JTQLQIEISA-N 422.388 4.676 5 20 HJBD Cc1cccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])o3)n(Cc3ccccc3)n2)c1 603567596 DZHXLZUIXUSMEB-UHFFFAOYSA-N 402.410 4.660 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603872377 JCVJQKQRUOFHKZ-UHFFFAOYSA-N 400.438 4.596 5 20 HJBD Cn1c(C(=O)N(Cc2ccsc2)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 603987126 DBFWNJNTQAIQIG-UHFFFAOYSA-N 420.288 4.604 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@@H](c1ccccc1)c1ccc(F)cc1 609304412 DUNUEJMFMCNLOW-QFIPXVFZSA-N 408.429 4.994 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Cn2cnc3ccccc32)cc1 609785534 DDBGGKAKZSVJRE-UHFFFAOYSA-N 420.856 4.827 5 20 HJBD CC(C)(C(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1 610037888 CODBPLSOKCZEEI-UHFFFAOYSA-N 409.467 4.825 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccc(F)cc1-c1ccc(F)cc1 728483447 FEEXRWROJXNXNK-UHFFFAOYSA-N 402.328 4.697 5 20 HJBD CN(C(=O)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1)c1ccccc1 729654230 FWDHTOXZSTUGJQ-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD O=C(NC[C@H](O)c1cccc(C(F)(F)F)c1)c1cc2ccccc2c2cccnc12 730839847 RFKXVVUMXOHLDY-FQEVSTJZSA-N 410.395 4.870 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2ccc([N+](=O)[O-])cc2Br)o1 745075011 YZSQHDKPGKDVTE-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCCc1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(F)c1 752407020 NJRBESPZQPARPT-UHFFFAOYSA-N 418.375 4.603 5 20 HJBD C[C@@H](C(=O)OCc1coc(-c2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])cc1F 755068943 OZPMOCVWFOIFQX-LLVKDONJSA-N 404.781 4.889 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC(=Cc2ccccc2F)CC1 757004034 HNSABUFGHLEVOZ-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cs1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 758750235 GQJHPSQBFPRHPR-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@@H]1/C=C/c1ccccc1 759088956 VJYUMTTWWBPIPL-AYJWMTRPSA-N 401.260 4.675 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 759680430 WGTYGOOXLBTWGI-UHFFFAOYSA-N 424.457 4.913 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1Oc1ccccn1 760519993 AWZPGICSEMHIHD-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD C[C@H](C(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1cccc([N+](=O)[O-])c1 761698001 BSVNEHGGMWYRFO-LBPRGKRZSA-N 403.410 4.823 5 20 HJBD CC(C)COc1ccccc1-c1noc([C@@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1 761700465 KYECQSRPBGCMIR-IBGZPJMESA-N 408.414 4.545 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2ccco2)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 767493730 QFCDQXDWCKDFGO-SNVBAGLBSA-N 420.830 4.754 5 20 HJBD COCCC(=O)N1CCC(NCc2c3ccccc3c(Cl)c3ccccc23)CC1 767925345 BXKUGZGJBNYOQO-UHFFFAOYSA-N 410.945 4.764 5 20 HJBD CN(Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cc1nnc2ccccn12 769202758 JVBJCYCWKHBTML-UHFFFAOYSA-N 423.860 4.715 5 20 HJBD CC(C)(COc1ncc(Cl)cc1Cl)NC(=O)c1cc([N+](=O)[O-])ccc1Cl 772230404 BVYPMHSITOIIHR-UHFFFAOYSA-N 418.664 4.537 5 20 HJBD Cc1ccc(-c2cc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])on2)cc1 776130733 BWRUIQSFQPMLEI-QFIPXVFZSA-N 415.405 4.900 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)ccc2OCC2CCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 786670600 KKOWSMIVRCLIKU-UHFFFAOYSA-N 419.821 4.896 5 20 HJBD C[C@H](OC(=O)C1CC(c2ccc(F)cc2)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 796108729 ACOHHPWSPFKSPK-JQRITLKVSA-N 411.389 4.582 5 20 HJBD CCOc1cc(N2CCN(C(=O)Nc3ccc4ccccc4c3)CC2)ccc1[N+](=O)[O-] 797606580 IQLYPJFPEFDDBZ-UHFFFAOYSA-N 420.469 4.501 5 20 HJBD O=C(NCc1cc(F)c(F)cc1Br)Nc1cccc([N+](=O)[O-])c1Cl 809915493 RUHZGXZXPQILPF-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD CC(F)(F)c1ccc(-c2noc([C@@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 810318550 FJYSYLHOBABJCA-INIZCTEOSA-N 400.341 4.622 5 20 HJBD C[C@H](NC(=O)c1cc2ccccc2c2cccnc12)c1ccc(N2CCCNC2=O)cc1 812760948 HIBZWAQFQQRBTB-KRWDZBQOSA-N 424.504 4.799 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813090696 OYABCPFHMBKIMX-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1ccc(Cl)cc1Cl 846284394 STNJFBBDFILEST-UHFFFAOYSA-N 423.252 4.542 5 20 HJBD CCOc1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C(C)C)cc1 914512356 YCCZQYNWYCZCEF-UHFFFAOYSA-N 421.291 4.807 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1sc2ccccc2c1Cl 914884134 VIQHDDWANXNJPU-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)N3CCc4cc([N+](=O)[O-])ccc43)cs2)cc1 918557235 DHLCCYRVDLYKGC-UHFFFAOYSA-N 407.495 4.974 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2CCOC(=O)c2cccc([N+](=O)[O-])c2)sc1C 918636838 YTAOAAIEKMUJCI-KRWDZBQOSA-N 416.499 4.515 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 918642865 OUGKAZFMBUXJLO-UHFFFAOYSA-N 418.291 4.908 5 20 HJBD C[C@@H](Sc1ccc(Cl)cc1)C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 918967021 DDSLWGBTJCZXBA-LLVKDONJSA-N 419.846 4.522 5 20 HJBD C[C@@H](Oc1cccc(Br)c1)c1nnc(-c2cc(F)cc([N+](=O)[O-])c2)o1 1118357350 GTZVYZYSLOBHQO-SECBINFHSA-N 408.183 4.686 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@H](c2ccc(Cl)s2)C1 1252332021 PVJXAGRUSKTPJA-LBPRGKRZSA-N 408.888 4.738 5 20 HJBD CCOc1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3F)o2)cc(OCC)c1OCC 1261579669 VGCUGZCYIBMQCQ-UHFFFAOYSA-N 417.393 4.647 5 20 HJBD O=C(CC1c2ccccc2Oc2ccccc21)N1CCc2ccc([N+](=O)[O-])cc2C1 1319560975 XQMCZANYJOVBKN-UHFFFAOYSA-N 400.434 4.807 5 20 HJBD CCOc1ccc(C(C)=O)cc1CSc1nc2cc3ccccc3cc2c(=O)n1C 7168908 GAOZJTXIKVZXIH-UHFFFAOYSA-N 418.518 4.980 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(OC(F)(F)F)cc1 14376725 RWQWGNPSFOIHTN-UHFFFAOYSA-N 409.345 4.869 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1)c1cc2ccccc2o1 24708742 GDPARROHUUIKCQ-HSZRJFAPSA-N 402.406 4.626 5 20 HJBD CC(C)(NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 32400220 XRHAKAXNFONLPA-UHFFFAOYSA-N 409.305 4.501 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(OC)ccc2OC)cc1 33147946 TZKZEITVRAZAOH-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD COc1cc(F)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53459133 VMYVQJKWFAQJMB-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 55152102 KEFKHBRMBUHICA-ZIAGYGMSSA-N 405.882 4.713 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1Cl 55689096 VKOALEGVQQBSRX-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Oc3ccccc3Cl)cc2)c1[N+](=O)[O-] 78773109 QRWPGJKFCPKLKI-UHFFFAOYSA-N 400.822 4.968 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)N[C@@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 195635466 FPTJMSGOIUGACS-HNNXBMFYSA-N 422.485 4.735 5 20 HJBD Cc1cc(C(=O)N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc([N+](=O)[O-])c1 195692278 VEPYULXHLDJYJX-OAQYLSRUSA-N 418.428 4.563 5 20 HJBD O=[N+]([O-])c1cc(CNCCCOC2CCOCC2)ccc1Oc1ccccc1Cl 237929695 ZPLVZPFCZUTJDU-UHFFFAOYSA-N 420.893 4.716 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 301432177 HJGRHUBQLNUFBP-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD O=C(c1ccccc1F)N1CCC(Nc2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 302043410 SKEVNGTYDHJFKP-UHFFFAOYSA-N 412.248 4.757 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2 410051766 ZHFCKJPFKLZETN-QHCPKHFHSA-N 402.450 4.748 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)Cc1cccc(Cl)c1 426697397 WSYLCAYKOGONIA-HNNXBMFYSA-N 414.845 4.541 5 20 HJBD O=C(N[C@H]1CCC[C@H]1CCO)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 428799094 VJJHGACEEGHWTA-GUYCJALGSA-N 420.918 4.680 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 431402496 KHJIKOPGJPBUQE-UHFFFAOYSA-N 412.490 4.570 5 20 HJBD Cc1c(F)ccc(Cl)c1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 433132910 NCMUOGHLKFXSEI-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD COc1cccc(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)c1[N+](=O)[O-] 435815143 CSIOESVXNTUDIS-CQSZACIVSA-N 411.483 4.869 5 20 HJBD CC1(C)CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC[C@H]1O 438533230 LNJSQCLKVQLHOQ-QGZVFWFLSA-N 420.918 4.632 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 440876255 AUMBVKMIUZTDCZ-UHFFFAOYSA-N 423.860 4.537 5 20 HJBD O=C(Nc1cccc(CSC2CCCCC2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 440901997 FIVALEVARLBBDY-UHFFFAOYSA-N 413.499 4.738 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 445167775 SMQMLDGBTWSHCZ-QZTJIDSGSA-N 424.284 4.640 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(-c2nc(-c3ccc(O)nc3)no2)cc1 445435959 NUXJOSQYAHMTOX-UHFFFAOYSA-N 410.773 4.858 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC[C@@H]3c3ncc[nH]3)c([N+](=O)[O-])c2)cc1 447043665 CKYPXAITNKDCGC-LJQANCHMSA-N 405.458 4.610 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3CCO[C@@H](C4CC4)C3)cc2[N+](=O)[O-])cc1 462191964 ABDITUMAFHEIGF-YLJYHZDGSA-N 412.511 4.742 5 20 HJBD CC(=O)Nc1ccc(C(F)(F)F)cc1NCc1cc([N+](=O)[O-])ccc1OC(C)C 462223589 INHDHCPGYRRAKT-UHFFFAOYSA-N 411.380 4.971 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](C)c1ccc(Cl)c2ccccc12 462467062 IXQQEMKIWJYPRU-CYBMUJFWSA-N 413.861 4.699 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1SCCc2sccc21 463770231 VMNKNNHWQGGDCK-HNNXBMFYSA-N 403.510 4.749 5 20 HJBD Cc1ccc(-n2cc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cn2)c(C)c1 466944002 SSRLGMWBVVEFQQ-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2N)nc1-c1ccc(Cl)cc1 468746721 ZXJJMSUIVCKXHL-JTQLQIEISA-N 416.890 4.753 5 20 HJBD CCOc1cc(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474735394 LTLABSYRCJQVFG-UONOGXRCSA-N 420.893 4.920 5 20 HJBD COc1cc(CN[C@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OC(F)F 475711345 BNYCXNYYKPZQFJ-SNVBAGLBSA-N 410.348 4.941 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(F)c(C)cc2s1 478098752 XSUMWWSLRFEOTC-UHFFFAOYSA-N 421.475 4.643 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)cc([N+](=O)[O-])c1C 480161932 SFMWSPWZAJVGAS-UHFFFAOYSA-N 412.364 4.970 5 20 HJBD Cc1cc(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2[nH]c(C)c(C)c2c1 480341354 XKJUADVNHONDQZ-UHFFFAOYSA-N 406.486 4.849 5 20 HJBD Cc1cc(C)c(-c2nnc(SCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)o2)c(C)c1 483248609 WLGDRJFDTCPTKP-UHFFFAOYSA-N 423.454 4.912 5 20 HJBD Cc1cc(C(=O)N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)ccc1[N+](=O)[O-] 484002092 FRNWCKZEAOPFHN-HXUWFJFHSA-N 418.428 4.563 5 20 HJBD O=C(Nc1ccnn1-c1ccccc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485923351 JYKITPKGADVCBJ-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCOc1cc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)ccc1[N+](=O)[O-] 486935829 JAUQRQRIHBDTOS-UHFFFAOYSA-N 415.453 4.853 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1C(=O)N1CCCCC1 490145584 LLIJPEOCZZBJGM-KRWDZBQOSA-N 424.501 4.720 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497811621 JFTUMFHMNSPWQT-CVEARBPZSA-N 414.767 4.527 5 20 HJBD C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCOC1 497926681 COZYZLQUPYWASZ-LJQANCHMSA-N 406.891 4.556 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)N(c1ccccc1)C1CCCCC1 498085538 XSLXSKTYEOJSIY-UHFFFAOYSA-N 423.469 4.649 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)s2)c(F)c1 500861468 BITDWRZABKKWDR-LLVKDONJSA-N 415.446 4.665 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 504929190 HYAOIJUWGVJHIU-HXUWFJFHSA-N 407.514 4.769 5 20 HJBD Cc1sc(NC(=O)[C@H](C)Oc2ccccc2F)nc1-c1cccc([N+](=O)[O-])c1 511077427 ARUBESVSFFIQMZ-NSHDSACASA-N 401.419 4.572 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512932064 CBEVIWQGOFQKDH-UHFFFAOYSA-N 415.902 4.995 5 20 HJBD C[C@H]1Cc2ccccc2N1Cc1occc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 518575608 FLIJXOKQUUYKKQ-JKSUJKDBSA-N 405.454 4.630 5 20 HJBD COc1ccc(Br)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 523356090 UOKIPDIOWUZWLO-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD O=C(Nc1ccc(F)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 523508402 ZVQVXOOWWWAWKR-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)CCC2 524150410 HMSNODZCGQZSKV-CYBMUJFWSA-N 412.418 4.502 5 20 HJBD C[C@H](Nc1ccccc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 525405035 SQKXUEPPSXNRTG-LBPRGKRZSA-N 423.391 4.842 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@H]1c1cccc(F)c1F 525519463 UMOKIZUYECTISI-KBPBESRZSA-N 401.394 4.739 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc2cc(Cl)ccc2s1 531273625 OPUZFIKDZATUKQ-NSHDSACASA-N 421.931 4.697 5 20 HJBD O=C(Cc1ccco1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 532496800 NKBBBRPGVFAXGQ-UHFFFAOYSA-N 404.781 4.632 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2cccc(F)c2)CC1 533240903 NACXJOCPXHFTJX-HNNXBMFYSA-N 410.449 4.800 5 20 HJBD CCc1nn(C)c(CC)c1CNCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 533637736 SCBNJQGXGXNANJ-UHFFFAOYSA-N 407.518 4.511 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cccc2c1CN(c1nccs1)C2 534686846 IMOPGKCNQWCFEI-UHFFFAOYSA-N 410.499 4.971 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN1CCC(C(=O)c2c(F)cc(F)cc2F)CC1 537623680 NDSZBJWZNSDEDZ-UHFFFAOYSA-N 422.403 4.506 5 20 HJBD COc1cc(/C=C(/CC(=O)O)c2nc3cc(Cl)ccc3s2)ccc1[N+](=O)[O-] 538857796 HVQDARKUSXAHSS-WDZFZDKYSA-N 404.831 4.882 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](c2ccccn2)c2ccccc2OC)cc1[N+](=O)[O-] 539052700 OYEAVMOHSKDRMP-QMHKHESXSA-N 407.470 4.837 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(OCC(F)(F)F)c1 539538005 SKRGLLWFSXVEDA-UHFFFAOYSA-N 415.393 4.684 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)c1ccon1 540914863 RVTSWABOMYFZIY-LLVKDONJSA-N 403.847 4.878 5 20 HJBD CCOc1c(Cl)cc(/C=C/c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1OC 544908751 RWBKJWKBMFPDJB-CMDGGOBGSA-N 415.833 4.800 5 20 HJBD CO[C@H](c1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1)c1ccccc1Cl 545104206 GFSCYHFUFBVISW-KRWDZBQOSA-N 400.822 4.608 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@@H]3c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547195728 ZJKCLEKTJGBPJU-KGLIPLIRSA-N 419.359 4.867 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C 551343011 PSDNFMBXAQVKDC-BKEDOTJMSA-N 417.531 4.812 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 557753338 ZOJSDYNUHYLMHR-JMUQELJHSA-N 423.513 4.594 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Oc2cccnc2)cc1 557932785 HWTIAALRTRCUGK-CABCVRRESA-N 409.417 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 562030738 SZPLARCYAWNAPL-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1ccc(Br)c(F)c1)c1cccc([N+](=O)[O-])c1 572097600 YSGAOUCCYCULTC-KPZWWZAWSA-N 421.266 4.964 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590422130 GPZHWQBXLHRJJX-GOSISDBHSA-N 420.431 4.883 5 20 HJBD C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 603435072 IMBGXNWQJMTNNL-ZDUSSCGKSA-N 407.392 4.705 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)SCc2ccccc2)c([N+](=O)[O-])cc1OCC 603753554 JSMZOQPJQDGVRS-AWEZNQCLSA-N 404.488 4.653 5 20 HJBD O=[N+]([O-])c1ccc(OCc2csc(-c3ncccn3)n2)c(Cc2ccccc2)c1 603909358 HENQGDHTDIGZMO-UHFFFAOYSA-N 404.451 4.678 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Sc3ncccn3)cc2C)cc1[N+](=O)[O-] 608895526 PVLWGTTTZCMRNP-UHFFFAOYSA-N 412.496 4.819 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](CN1CCCCC1)c1ccccc1 609042778 QZHRGBXBVUPNLI-IBGZPJMESA-N 402.882 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)Nc1ccc(OC(C)C)cc1C(F)(F)F 609219178 LXKYGYKOAXRLEA-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD O=C(NCC1CCC(c2ccccc2)CC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609549955 KLPDZQKEWHTICO-UHFFFAOYSA-N 405.454 4.552 5 20 HJBD CCOc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 609784842 HSHRZXXOYUHDNX-UHFFFAOYSA-N 402.756 4.847 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCCC[C@H]2c2ccc(C)o2)cc1SC 609984589 QGAXAARWSPQBCW-HNNXBMFYSA-N 404.488 4.984 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610041469 SGPCRMKDYWOIHL-UHFFFAOYSA-N 423.513 4.692 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611205189 MQYYZNCXRXNQBJ-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD CC(C)C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611475780 MAMJHDCAOWAWOG-NVXWUHKLSA-N 406.548 4.953 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2Cc3ccccc3-c3ccccc32)c2ncccc12 613317856 PJYBEMQTLPFSDY-UHFFFAOYSA-N 417.446 4.519 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 616122790 SYSMZMITLPBQES-QWRGUYRKSA-N 413.318 4.806 5 20 HJBD CCCOc1cc(NC(=O)C2C[C@@H]3CCC[C@H](C2)C3=O)c([N+](=O)[O-])cc1OCCC 619204206 QXGPAOSHPUIZRT-XYPWUTKMSA-N 418.490 4.506 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)cs2)cc1 735803133 WGMZEEVAVGACTC-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1ccc(NCCCNC(=O)c2ccccc2SC(F)(F)F)c([N+](=O)[O-])c1 739652813 BUCPAOKKYAYZHG-UHFFFAOYSA-N 413.421 4.747 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1cc(F)c(N2CCCC2)c(F)c1 740440935 RKRMZWDWCUFGDL-UHFFFAOYSA-N 418.444 4.618 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745075097 SAUWCAYGLDDBMH-KXBFYZLASA-N 409.442 4.764 5 20 HJBD C[C@H](OC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1)c1cccc([N+](=O)[O-])c1 748936837 SGZOMQYOAMURQQ-LBPRGKRZSA-N 412.833 4.624 5 20 HJBD C[C@@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 750549896 AXJIJOALJUVRFE-DTWKUNHWSA-N 417.270 4.637 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751630260 FQLQWPUFHWWQLR-UHFFFAOYSA-N 413.773 4.710 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751641960 RQRSEUSMNPJLJM-UHFFFAOYSA-N 413.386 4.664 5 20 HJBD CC(C)(Cc1ccccc1Cl)NC(=O)c1cccc([N+](=O)[O-])c1Br 758284103 YDIONCKWWJYTOJ-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 762311022 YXBLQWCVFHZQDQ-WQLSENKSSA-N 405.370 4.519 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)cc2)cs1 763403495 OJVCHGYDJBXLCH-SNVBAGLBSA-N 403.410 4.796 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cccc1C(C)C 763815996 ZGGUJYHXWWNUER-UHFFFAOYSA-N 416.499 4.934 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)NCc2cccc(C(F)(F)F)c2)C2CC2)c1 768477745 FEGIWJJXPFVIMP-UHFFFAOYSA-N 409.433 4.653 5 20 HJBD Cc1noc(C)c1CN(C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 768780520 XLBIDZLNNFFTGY-UHFFFAOYSA-N 420.263 4.854 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2cccs2)ccc1[N+](=O)[O-] 771185579 KMKYSHUHTBJHMO-LJQANCHMSA-N 411.483 4.732 5 20 HJBD CC(C)Oc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 774903051 ZADCAVRKUALYAP-KBPBESRZSA-N 405.882 4.713 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 775483954 ZCKNQCBTPVRFQM-UHFFFAOYSA-N 417.878 4.582 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2c1 775491374 FFOWCMOEWSEJSH-UHFFFAOYSA-N 411.845 4.610 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@@]12CCc1ccccc12 776134291 PCQSHIIBDUOFEE-KIFXHHALSA-N 400.434 4.527 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781464460 FNYXJVTUEVGYSV-UHFFFAOYSA-N 413.351 4.842 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccnc(Oc3ccc(F)cc3F)c2)c1[N+](=O)[O-] 791023445 ZGNNLTKUHJTRNS-UHFFFAOYSA-N 403.316 4.760 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 794092813 OKKKJGZAWPSPKP-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2cccc(OC(F)(F)F)c2)C2CC2)c([N+](=O)[O-])c1 796291882 XAMCVYSXZBYBRD-KRWDZBQOSA-N 411.336 4.643 5 20 HJBD CC[C@H]1CCCC[C@@H]1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 796532748 UUJQMLOZTXZYJQ-YJBOKZPZSA-N 400.479 4.598 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798674269 HDFQTISSBPFNHU-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD O=C(NC1CC1)c1ccc(N2CCC[C@@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 864006327 HKBHYQJWUALHJO-QGZVFWFLSA-N 419.403 4.847 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(-c3ccc(Br)o3)no2)c1 904630012 KFPGINLQTQSUFR-UHFFFAOYSA-N 419.235 4.658 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC=C(c2c[nH]c3ccccc23)CC1 913039083 BYVDMIQBRQNPQT-OAHLLOKOSA-N 415.453 4.976 5 20 HJBD COc1cc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc(OC)c1OC 920330473 FNUWOFMQOAAZNE-UHFFFAOYSA-N 409.394 4.507 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 1321629326 CUSFELFQKQDQJO-IZZDOVSWSA-N 401.394 4.733 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cc(Cl)ncc2Br)n1 1323891904 NCBCTNQROQTUFA-UHFFFAOYSA-N 409.627 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(Cc2ccc(Cl)cc2)n1 1337361191 LJKRPVAORCGATA-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD CC(C)n1ncc2c1[C@H](Nc1ccc([N+](=O)[O-])c(OCc3ccccc3)n1)CCC2 1337988205 NDCYVNOKJQIYNU-GOSISDBHSA-N 407.474 4.836 5 20 HJBD O=C(O[C@H]1CCN(c2ccc([N+](=O)[O-])cc2)C1)c1nc(-c2ccccc2)oc1C1CC1 1343352983 YMTLMMJFNOSWTO-IBGZPJMESA-N 419.437 4.563 5 20 HJBD C[C@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 9483464 ZLVIAQFSZQZBBR-QMMMGPOBSA-N 411.270 4.897 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)c1 26561179 FHTMJDKVTIZQGP-KGLIPLIRSA-N 413.455 4.738 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1)c1ccccc1 58378611 CWTZVUBUWNJKQV-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD COc1ccc(-c2nn(-c3ccccc3)cc2CNCc2ccccc2[N+](=O)[O-])cc1 237406178 NMJHKXABWIMLIU-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD Cc1cc(N2CCC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)c2cc([N+](=O)[O-])ccc2n1 302105156 XBYMWCVJTUZXFP-CVEARBPZSA-N 414.506 4.581 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)c1 304065253 SOVBFKFJEPZDSM-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD CC(C)[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(F)cc1 410263131 GQIXFFFEKKBHOH-NRFANRHFSA-N 415.465 4.684 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc(F)cc1)C1CCOCC1 427746043 YTWLFYVFTZVJDM-IBGZPJMESA-N 414.477 4.510 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(F)c2)C(C)(C)C)cc1OC 427887809 RBHYOYYLBLWFHE-LJQANCHMSA-N 404.438 4.658 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 429922442 IUYHUALUZFJYPF-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD CCCOc1ccc2c(c1)CCN(C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)C2 435667493 LQAOSPRMPJGOJT-UHFFFAOYSA-N 421.400 4.575 5 20 HJBD CC(=O)Nc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)ccc1F 440613698 NLQFJIYSJHENRC-UHFFFAOYSA-N 407.401 4.539 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H]2CCC[C@@H]2c2ccc(C)cc2)cc1OC 443900064 VAGXUDBPDXSXCG-MJGOQNOKSA-N 412.486 4.719 5 20 HJBD COc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 444322810 VQYFVWHYNLMPPQ-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463114358 RXOPGGIBIKVTES-ZDUSSCGKSA-N 400.353 4.720 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)c1c([N+](=O)[O-])cccc1C(F)(F)F 464689849 KXPCQSPHXRNDDV-LBPRGKRZSA-N 420.309 4.765 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])C1(c2cc(C(F)(F)F)ccc2Cl)CC1 467977326 BBHSMJAFPCWQJQ-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD CC(=O)N1CC[C@@H](Nc2cc(C)c([N+](=O)[O-])cc2Br)c2ccccc21 473513786 QGWGCHIZQFMZJE-OAHLLOKOSA-N 404.264 4.576 5 20 HJBD Cc1nc(NC(=O)c2ccccc2CSc2nc3ccccc3o2)ccc1[N+](=O)[O-] 475320660 VLUZDXOADKYPKD-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 477911419 UOEAENDYAXFLHL-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cc(C(=O)N(c2cccc(C(F)(F)F)c2)C(C)C)c([N+](=O)[O-])cc1OC 479086639 WENKFJLOIWNAGR-UHFFFAOYSA-N 412.364 4.686 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 479361910 QPUXVXKSDXMZSR-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CC[C@H](Nc2ccccc2)C1 480508493 FXQGZLOYGMHOFF-HNNXBMFYSA-N 413.275 4.596 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])nn1C)c1ccc(-c2ccc(C(F)(F)F)cc2)s1 481702003 NIEHKGIAOOFSBB-SNVBAGLBSA-N 424.404 4.567 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@H](c2ccccc2F)C1 482885570 HBAAPBCYLVDHCZ-ZWKOTPCHSA-N 414.480 4.746 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCC[C@H]1C(F)(F)F 484025353 KYEUBIDODZPMLY-DOTOQJQBSA-N 401.429 4.884 5 20 HJBD Cc1ccc(C[C@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 489587596 QEZFLWNHYPHPRR-GCJKJVERSA-N 418.497 4.951 5 20 HJBD COc1ccc(C(=O)N[C@@H](Cc2cccc(F)c2)c2cccc(F)c2)cc1[N+](=O)[O-] 489885913 OWQTYELZXWAREX-IBGZPJMESA-N 412.392 4.595 5 20 HJBD Cc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1NC(=O)CC(C)C 490359230 AXEQRBXGDXJYEX-UHFFFAOYSA-N 409.486 4.693 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 493034394 QRAZJTAYDIABRS-MAUKXSAKSA-N 413.474 4.528 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 493250676 DWDSEIVPKZMIIX-OAHLLOKOSA-N 412.490 4.704 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC1CCCC1)c1cc2c(c([N+](=O)[O-])c1)OCO2 494251750 SLGGKZNJNQKWAY-UHFFFAOYSA-N 404.806 4.551 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2ccccc2C1 498212968 STHXFANSGPOMQN-UHFFFAOYSA-N 410.417 4.681 5 20 HJBD COc1cccc(C2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 498436766 RRSIIOFEIMTCFX-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD CCOc1ccc([C@@H](Nc2ccc(C(=O)NC)cc2[N+](=O)[O-])C(C)C)cc1OCC 498842687 ZPQMAUJNZMRWGN-NRFANRHFSA-N 415.490 4.561 5 20 HJBD C[C@@H](N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1cccnc1 499063703 CNWIGZOUUPKZPM-JLTOFOAXSA-N 410.861 4.674 5 20 HJBD C[C@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)cc1 499403811 MURUFLBSUOQLPR-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccc(OC(F)(F)F)cc3)cs2)c1 500139886 AYRZQLURLICVGN-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(C)(c3ccccc3)CC2)cc1SC 510234633 CUOSHHQJDJPEBW-UHFFFAOYSA-N 400.500 4.519 5 20 HJBD O=[N+]([O-])c1ccc2[nH]nc(-c3nc([C@@H](F)c4ccc(C(F)(F)F)cc4)no3)c2c1 511991384 XQVVDOGTYGFKLA-ZDUSSCGKSA-N 407.283 4.599 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c2C(C)C)cc1 513008965 MPSZFTOBLFVALJ-UHFFFAOYSA-N 406.486 4.527 5 20 HJBD Cc1ccccc1CN1CCC(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 520203295 VWQMOEQFRSPSOQ-UHFFFAOYSA-N 405.498 4.917 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520389680 CNOUYCZQZLXSPS-UONOGXRCSA-N 406.866 4.680 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3Cl)OC[C@H]2C)c1 521080090 JOVMNPKOSCVLLO-XIKOKIGWSA-N 406.891 4.572 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)s1 521631019 JPVLWOFSNSCNHE-QGZVFWFLSA-N 421.482 4.582 5 20 HJBD COc1cccc(Oc2ccc(N[C@@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)cc2)c1 521839169 UQISHHGCGKZQKE-JOCHJYFZSA-N 419.437 4.613 5 20 HJBD CCC[C@@H](NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 522595160 VVSJLANNUADJJH-MRXNPFEDSA-N 413.305 4.763 5 20 HJBD COCCN(C(=O)[C@H]1CCC[C@@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 525529574 GZIYNDSYRJMGDX-ZBFHGGJFSA-N 403.504 4.524 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N1c2ccccc2C[C@H]1C 534058200 VRDCOYULFWRJOL-HUUCEWRRSA-N 423.494 4.849 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1C1CC1 534999310 RLGHUTRAHATAGR-NSHDSACASA-N 421.295 4.583 5 20 HJBD CC[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)[C@H](c1ccc(C)cc1)n1cncn1 536642090 SRJXUYISCIQOMD-APWZRJJASA-N 417.416 4.576 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)oc12 541583646 VFUWYLREICXLER-STQMWFEESA-N 400.406 4.860 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 544458251 CSKSKMDVUWWLTI-UHFFFAOYSA-N 422.485 4.953 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 546093326 ZTLMRBJLVHYNNF-INIZCTEOSA-N 415.298 4.569 5 20 HJBD Cc1sc(NC(=O)[C@@H]2CCC(=O)c3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 551518664 IHPXVGCSPPAQTQ-QGZVFWFLSA-N 407.451 4.726 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C(C)C)no1 572443314 CKWBEDPYTJJILP-FXAWDEMLSA-N 422.485 4.841 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 603572092 PKZLDIZSDRNQLM-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD Cc1cc(Oc2ccc(N(C)S(=O)(=O)c3cccs3)cc2)ccc1[N+](=O)[O-] 603837333 JZOXDAMOYCKIBZ-UHFFFAOYSA-N 404.469 4.582 5 20 HJBD CC(C)c1ccc(CN(C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)C2CC2)cc1 604046199 ROHDZKAEIOBAHJ-UHFFFAOYSA-N 404.470 4.709 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)CSc1ccc([N+](=O)[O-])cc1 608949795 XPHDIJSDPZIPGV-UHFFFAOYSA-N 423.332 4.587 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)c2nc3ccccc3o2)cc1 609215856 QFXQHWIDAYXRFL-UHFFFAOYSA-N 419.393 4.814 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609547952 OTPVMIXKJJDTQK-UHFFFAOYSA-N 415.405 4.680 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 609837488 URKBDHSIRVBGFT-CABCVRRESA-N 412.515 4.883 5 20 HJBD CC(C)n1ncc2cc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])ccc21 618374724 SZWUSMMNNQCXKG-UHFFFAOYSA-N 409.265 4.602 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 619639049 LAMFDSUMUCELOI-INIZCTEOSA-N 408.458 4.886 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)ccc21 726510640 DFGICANOFOYOJX-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](O)c3ccc(OC(F)(F)F)cc3)o2)c1 726606074 SHXUZNIOWUYDRG-GOSISDBHSA-N 422.359 4.577 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 727992720 MDIYEWQTJSDOCZ-SFHVURJKSA-N 410.861 4.931 5 20 HJBD CN(CCc1nc(-c2ccc(Cl)cc2)no1)Cc1cc([N+](=O)[O-])ccc1Cl 740873449 BEIABYDHFHTWHN-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)cc([N+](=O)[O-])c1 744871577 WDDSFYVRQSKQEL-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745299271 CRGZJYFDWUXTKT-MNOVXSKESA-N 411.241 4.576 5 20 HJBD Cc1c(C(=O)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748714661 ICYDEIHAAKUCJD-MRXNPFEDSA-N 422.441 4.621 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(N2CCOC2=O)cc1 753939779 QJBVVIIJMDNAKJ-MDKPJZGXSA-N 409.486 4.613 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)cc2)cs1 763403492 OJVCHGYDJBXLCH-JTQLQIEISA-N 403.410 4.796 5 20 HJBD COc1ccc(Nc2nc(COC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 763410452 XFKPNISEVYPQIB-ZDUSSCGKSA-N 413.455 4.650 5 20 HJBD CC(C)=C[C@@H]1[C@H](C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)C1(C)C 765868307 GPSUSCWCLFTBSD-IEBWSBKVSA-N 412.490 4.620 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCO[C@@H](C)C2)cc1 775489857 TYXYCOCSOXUIBF-HNNXBMFYSA-N 415.515 4.573 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 782630164 UBEXHFHWEUGXRE-OAHLLOKOSA-N 409.364 4.991 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccnn2Cc2cccc3ccccc23)c1F 788128182 JVGZAMYAAWCDFX-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)c1F 790386663 LYBHAMTXGILFKC-LJQANCHMSA-N 408.433 4.725 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)c2C(C)C)cc1 791189728 BTDBKYXVSMOJAU-UHFFFAOYSA-N 407.474 4.531 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796440939 FPKHZQWCPSYGBY-MRXNPFEDSA-N 412.486 4.875 5 20 HJBD O=C(OCc1ccc(Cl)nc1Cl)c1cc([N+](=O)[O-])c(Br)cc1F 800179381 PCNVKXYMXWPHIS-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD Cc1cc(C)cc([C@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 801538239 ZHPORUHPMJDIBO-HXUWFJFHSA-N 414.527 4.710 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccnc(-c2ccc(Cl)cc2)n1 805659440 USHLDAIKXYFBMN-UHFFFAOYSA-N 404.209 4.716 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 809951983 TZDRLNRZQTUYMC-LLVKDONJSA-N 417.293 4.650 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(Br)cc2C(F)(F)F)c(F)c1 864016673 IRPXOQQENJWQLT-CQSZACIVSA-N 423.160 4.661 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3c(Cl)cccc3Cl)no2)cc1-n1ccnc1 904309020 WMGAEIGYDJJQHD-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 915837808 JZNKLLQIAOQMSP-UHFFFAOYSA-N 420.803 4.510 5 20 HJBD Cc1ccc(-c2nn(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c(=S)n2C)cc1 919950390 JDDAEPZLRKALDW-UHFFFAOYSA-N 411.531 4.703 5 20 HJBD CS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 1116137266 MZOUVGVJCKLTNJ-HYVNUMGLSA-N 414.531 4.519 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(Cn3ccc4cc([N+](=O)[O-])ccc43)o2)cc1 1322088680 XHIIZUKYIBEUSI-CQSZACIVSA-N 408.414 4.520 5 20 HJBD COc1ccc(NC(=O)c2csc(Cc3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 1322817451 CBLUVCVJCSBYMV-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD Cn1cc([C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c2ccccc2)cn1 1325239971 KZVBKHRIQDJSEC-QFIPXVFZSA-N 404.495 4.936 5 20 HJBD COc1ccc(NC(=O)N[C@H](COc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 1347484146 NXAIRFRCOPYGIA-HXUWFJFHSA-N 407.426 4.545 5 20 HJBD O=C(COc1ccc(F)cc1Cl)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 7107658 HVILFACVMAGFOP-UHFFFAOYSA-N 407.810 4.528 5 20 HJBD CCNc1ccc(C(=O)O[C@@H](C(=O)Nc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 11298120 KXMQJZVFJPSAOP-OAQYLSRUSA-N 419.437 4.563 5 20 HJBD CN(CC(=O)N[C@H](c1ccccc1)c1ccc(Cl)cc1)Cc1ccccc1[N+](=O)[O-] 26347661 FOIGPUVLKCRQMK-HSZRJFAPSA-N 423.900 4.586 5 20 HJBD CSc1ccc([C@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 27161610 IAJJEHRXPIMEQJ-AWEZNQCLSA-N 424.526 4.669 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 59286542 TTZJOQXLVBQBDC-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD Cc1ccc(C[C@@H]2CCCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 65163823 NIJDCEPIKRIMSV-NRFANRHFSA-N 421.541 4.601 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)n1 195721141 YRDLZXZCTMFGJW-UHFFFAOYSA-N 424.844 4.645 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccco1 426976480 XNRAUJKBHQIOEG-FQEVSTJZSA-N 408.435 4.931 5 20 HJBD Cc1noc(C[C@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccc2)n1 428653715 YVVXCYSBRRRIPF-FQEVSTJZSA-N 404.426 4.620 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(Oc3ccncc3)c(Cl)c2)ccc1[N+](=O)[O-] 430570881 KREJUHHDVHZFMJ-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c(OC[C@H]2CCCO2)c1 431408483 CPZAQXRLWJGULX-GOSISDBHSA-N 413.474 4.518 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCCc1ccccc1[N+](=O)[O-] 435455932 ZIVIBKANMHCZDU-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1c(F)cccc1F 436105379 POIREOQZMVKYIN-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC[C@@H](CCCc2ccccc2)C1 439691140 MJQHJUCOYZYIOL-GOSISDBHSA-N 419.481 4.724 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCCO[C@H]1c1ccc(C)cc1 439906966 ARIHDBBJNGQASW-UTKZUKDTSA-N 413.474 4.591 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCO[C@H](c3ccco3)C2)cc1[N+](=O)[O-] 440651705 XOVJECMSMHRYKB-SFHVURJKSA-N 404.488 4.540 5 20 HJBD CC(C)N1CCC[C@@H](CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442024741 LQWUUBBPJOOQOM-KRWDZBQOSA-N 413.543 4.596 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@H]1c1ccccc1 443901477 QEUMRPISZPMUOZ-YVEFUNNKSA-N 410.417 4.932 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OCc2cccnc2)c1 443984894 FLYCUZKJSGUXJQ-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD C[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1Cl 444079173 FRZRPPMTPZPXIA-AWEZNQCLSA-N 406.869 4.532 5 20 HJBD CN(Cc1cc(C(C)(C)C)n[nH]1)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 447063084 IEKQEPZSXICUCT-UHFFFAOYSA-N 408.458 4.680 5 20 HJBD C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 461306211 ZKHMWLJHTXMACF-BXKMTCNYSA-N 414.480 4.904 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(C(F)(F)F)(C(F)(F)F)C1 462614435 MIWYODDCXXXXNN-LBPRGKRZSA-N 412.330 4.507 5 20 HJBD COc1ccc([N+](=O)[O-])c(NCC2(c3ccc(Br)cc3)CCOCC2)c1 462799287 SABYZDYNOCMZPI-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(OC2CCCCC2)CC1 463626394 HFTOBXKCGHIQNH-UHFFFAOYSA-N 400.397 4.568 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)ccc2OC(C)C)cc1[N+](=O)[O-] 464677749 PDWYFRUYWKLSOQ-UHFFFAOYSA-N 424.906 4.916 5 20 HJBD C[C@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC[C@@H]2CCCCO2)c(F)c1 467987392 APKKCURSWYEFTR-LPHOPBHVSA-N 402.466 4.575 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 475653828 WVOPMDPSIACZAZ-ZWKOTPCHSA-N 405.564 4.577 5 20 HJBD COc1c(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)cccc1[N+](=O)[O-] 476328574 RUSPAMRNAACZJB-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1ccc([C@H](C)N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 479546178 QBFPURFPRPLANI-MEDUHNTESA-N 402.800 4.960 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2c[nH]c3ncccc23)CC1 483178919 MWRNKBYJLRLEDE-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cc1[N+](=O)[O-] 483442581 KKZWVNBFPRVDBZ-UZUQRXQVSA-N 424.522 4.747 5 20 HJBD O=C(NCc1cc2ccccc2[nH]1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486516599 SIKPVYICOZHGIX-UHFFFAOYSA-N 401.422 4.585 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CN2CCSCC2)c1 486580785 PLGCCRDVTUIHBT-UHFFFAOYSA-N 417.556 4.508 5 20 HJBD C[C@H](NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccccc1[N+](=O)[O-] 490768768 LVTPNXBYTFXDAS-GTNSWQLSSA-N 406.364 4.740 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@H](c1ccccc1)C(F)(F)F 490882454 WENPWAMBVTXXKK-CXAGYDPISA-N 412.433 4.928 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)Cc1ccc(Br)c(F)c1 494923740 NVEPNYXMCHBNCR-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 496576587 ZXFBBJDDYGBYER-QFIPXVFZSA-N 407.445 4.943 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3ccccc3C2)cc1[N+](=O)[O-])c1ccccn1 498204507 IYPGNVDEELRZNZ-QGZVFWFLSA-N 416.481 4.752 5 20 HJBD Cc1cccc([C@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498631919 VIXKATHQJRTGGD-KKSFZXQISA-N 407.495 4.685 5 20 HJBD COc1ccccc1[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccccc1 498905577 CCDYDQFICCUKDQ-QHCPKHFHSA-N 403.438 4.690 5 20 HJBD CCSc1ccc([C@@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])cc1 505611166 QLCCSIUKKSPRLZ-CQSZACIVSA-N 410.499 4.844 5 20 HJBD COc1c(Cl)cc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1Cl 506289221 JLCFEWVZHLCBFQ-QMMMGPOBSA-N 419.648 4.970 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)CSc3ccc([N+](=O)[O-])cc3)CC2)n1 509659361 RSCPKEVOAVVDJG-UHFFFAOYSA-N 419.572 4.847 5 20 HJBD O=C(N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 512273396 AIMDNKVWMPIQSH-SUMWQHHRSA-N 408.376 4.511 5 20 HJBD COc1ccc2cccc(CC(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2c1 513936911 ABUHLKKRRFRJCM-QFIPXVFZSA-N 408.479 4.573 5 20 HJBD COC(=O)c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 514817997 MIKISDXSHYCIMO-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2ccc(C(F)(F)F)cn2)cc1 515625863 GXTLQFWCAIWXMY-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)s2)cc1 515674503 HOKNKVMKGGIKJV-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD Cc1cc(Br)cc(C)c1CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 520181526 LYUSVDIVGWPJCV-ZDUSSCGKSA-N 406.280 4.535 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(-c2ccc(OC(F)F)cc2)s1 522238952 CPJJJYOLYBAZIB-UHFFFAOYSA-N 404.394 4.855 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523507558 BRSMAXMUAFSUKR-CQSZACIVSA-N 419.319 4.772 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 524459739 SHVLHOUYIRJUKN-ZDUSSCGKSA-N 424.906 4.783 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC(c2ccccc2C(F)(F)F)CC1 531627881 BWKBOCPFFHKPIY-UHFFFAOYSA-N 418.375 4.646 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538219908 XTKIPCUKNVFKCT-BFUOFWGJSA-N 406.479 4.740 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(-c2ccncc2)cc1 538239971 KNKVRGLNUZPFDH-OAHLLOKOSA-N 401.426 4.558 5 20 HJBD Cc1cccc(CSCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 538254130 MMKXPMJIVNFJPY-UHFFFAOYSA-N 413.524 4.923 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Oc2ccc(NC(=O)c3ccco3)cc2)c1Br 543435134 HPJRKBMSVSNCBC-UHFFFAOYSA-N 418.203 4.698 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 543643165 YYRUZZVNEVTVRT-IBGZPJMESA-N 414.824 4.888 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2ncn(C)n2)c1 544028826 LJCXTWGOLUKFJM-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CCOC(=O)c1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)sc(C)c1C 548358286 CSXZWXASMGDZRL-UHFFFAOYSA-N 420.490 4.789 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3nccn3-c3ccccc3)o2)c([N+](=O)[O-])c1 554720017 RJFBYRMJRXGSBJ-MRXNPFEDSA-N 418.453 4.900 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCN(Cc2ccccc2)CC1(C)C 558755631 PCDVTSIRVLDNIU-HXUWFJFHSA-N 410.518 4.634 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](C)Cc1ccccc1C(F)(F)F 579845535 UBLFZCZRYXVPAH-LBPRGKRZSA-N 411.380 4.717 5 20 HJBD O=C(Nc1cccc2nc(O)oc12)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 581104746 YBRSKYVAOJLNRF-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590312127 NLFDBVWLACRZQB-UHFFFAOYSA-N 400.263 4.611 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N(Cc1cc(Br)ccc1F)C1CC1 603840038 SPFSNRJVERCXGP-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD COc1ccc([C@@H]2CCCCCN2S(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 604024378 KCVYHUREFJYOCX-SFHVURJKSA-N 424.906 4.563 5 20 HJBD COc1ccc(CC(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 609011994 IGLMBXQCOKEMQL-INIZCTEOSA-N 423.494 4.514 5 20 HJBD Cc1ccc(NC(=O)N(CCCO)Cc2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 609030922 RFUQQUWCSKUENI-UHFFFAOYSA-N 412.273 4.627 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1O 611212523 BHHPEWKWSNJLTJ-UHFFFAOYSA-N 416.499 4.616 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 611402675 NGTAASOFEUWIPM-UHFFFAOYSA-N 404.879 4.555 5 20 HJBD COc1cc(CNCc2ccc([C@H](C)O)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 725846941 ZAJOFPJSAYFFKA-KRWDZBQOSA-N 422.481 4.526 5 20 HJBD C[C@@H](OC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1)c1cccc([N+](=O)[O-])c1 726026450 WJWQCJQKKVUHJY-PPDQVPDSSA-N 416.518 4.844 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 728899949 ZBUIFCOVVUXCKN-OFNKIYASSA-N 406.486 4.516 5 20 HJBD O=C(OCc1nc(Cl)ccc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 729205036 IYBQSYYNWYELJP-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=C(NC[C@@H](O)c1cccc(C(F)(F)F)c1)c1cc2ccccc2c2cccnc12 730839848 RFKXVVUMXOHLDY-HXUWFJFHSA-N 410.395 4.870 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@H]1c1cccc2ccccc12 730873720 YWUMFEIWVLJJOY-VQTJNVASSA-N 415.405 4.645 5 20 HJBD CCN(C(=O)[C@H](C)OC(=O)c1cccc(C)c1[N+](=O)[O-])c1cccc2ccccc12 731688296 DREVJRXOBKZSIK-INIZCTEOSA-N 406.438 4.655 5 20 HJBD CCOc1ncc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1Cl 732735007 MCIYRLWVCXYGIC-UHFFFAOYSA-N 410.257 4.752 5 20 HJBD O=C(OCc1cc(Cl)nc(Cl)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 733473765 HTRDRNMULVBOBL-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD CCc1cc(=N[C@H](c2ccccc2)C2CCOCC2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 734485027 RBOBNJOUIZJCEO-HSZRJFAPSA-N 418.497 4.616 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccncc1F 741175397 DYEPRSIEXMFPQA-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccc(Oc2ccc(Cl)cn2)cc1 742769645 IIFPXIVSRWCDHZ-UHFFFAOYSA-N 417.780 4.592 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744362385 JPIULHQVPZDONN-UHFFFAOYSA-N 411.336 4.573 5 20 HJBD COc1ccc(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cc1[N+](=O)[O-] 748016072 GMTGBGANNKYOCS-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD Cc1cc(C)c(OC(=O)c2nc(C3CC3)n(-c3ccccc3Cl)n2)c([N+](=O)[O-])c1 748609775 XFNVXMOAJSHOCJ-UHFFFAOYSA-N 412.833 4.542 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cccc(Cl)c1[N+](=O)[O-] 750186661 RWZJMZDFQUIUHL-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(Nc1cccc(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1)c1ccccc1 750429863 SXOBKVKRBGPBEV-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD CN(Cc1cccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)c1)C(=O)OC(C)(C)C 755157515 FGCGOFDMZCETPG-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCCC[C@H]1Cc1ccccc1 758211973 CBLXAGXNHNIEOY-HNNXBMFYSA-N 403.276 4.595 5 20 HJBD C[C@H](C(=O)Nc1ccccc1C(=O)Nc1ccc(Cl)cn1)c1cccc([N+](=O)[O-])c1 761640997 HFURPHCGJGGMGR-ZDUSSCGKSA-N 424.844 4.638 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)c([N+](=O)[O-])cc1F 763373971 PCDJSDRLPFMCCU-KRWDZBQOSA-N 421.403 4.916 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C[C@@H](C)N(C)C(=O)OC(C)(C)C)no1 771121087 OIXGAFRYWSTRGI-CHWSQXEVSA-N 422.507 4.629 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nnc(-c2cccs2)o1 781258241 AUVVPMTUUGNBPI-GFCCVEGCSA-N 417.446 4.711 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1cccc(Cl)c1 781261541 WTASGUMRCPPYLF-ZDUSSCGKSA-N 420.852 4.519 5 20 HJBD O=C(Oc1ccc(OCc2ccncc2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781443908 CSPFLCPFNDRLEI-UHFFFAOYSA-N 422.440 4.875 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OCC 790467161 ZCXJJCDHTFYDGK-GFCCVEGCSA-N 424.856 4.605 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CNC2(c3cc(C(F)(F)F)ccc3Cl)CC2)c1 792432323 AMMSNFDXROIBJS-INIZCTEOSA-N 400.784 4.579 5 20 HJBD CC(C)O[C@H](COC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1 795513580 OGJZXDXKSIZGRJ-MRXNPFEDSA-N 408.248 4.680 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 802956404 VLKQROIAFDVNNU-UHFFFAOYSA-N 414.458 4.503 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)ccc1Cl 805434882 DAARXXZBVGTKFZ-YHYXMXQVSA-N 405.863 4.674 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C1CC1 805854959 CXHIULVNGSIOOD-UHFFFAOYSA-N 402.834 4.842 5 20 HJBD CC(C)(CCc1noc([C@H]2C[C@@H]2c2ccc(OCc3ccccc3)cc2)n1)[N+](=O)[O-] 812816042 JNTRTEHCMNWEDP-UXHICEINSA-N 407.470 4.908 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCO2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812843036 HACDCUVJLKBQPO-UHFFFAOYSA-N 403.605 4.579 5 20 HJBD Cc1nn(CC(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1-c1ccccc1 815238968 HOAYUYPPJWVFSU-UHFFFAOYSA-N 419.359 4.529 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@H]1OCCc2sccc21 815249874 KHUXKIMNBKFLHO-MGPLVRAMSA-N 415.389 4.668 5 20 HJBD Cn1ccnc1[C@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 915307798 WDCKRJCCEIBOMH-MRXNPFEDSA-N 420.256 4.546 5 20 HJBD Cc1c(C(=O)Nc2ccc(N(C)Cc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 917820144 AXCGLYMXGLRMOU-UHFFFAOYSA-N 420.425 4.700 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc([C@H]3Cc4ccccc4CN3Cc3ccccc3)o2)o1 1117259138 GGCYUWVMEJUWSZ-GOSISDBHSA-N 402.410 4.537 5 20 HJBD CC(C)(C)c1ccc(C2(C(=O)OCc3cc([N+](=O)[O-])cc4c3OCOC4)CC2)cc1 1248622250 SFWSVADDFMJLRZ-UHFFFAOYSA-N 411.454 4.534 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1323370687 USAFRRDLGGYJIB-HXUWFJFHSA-N 407.495 4.974 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5044245 VYRAYYNRLAPRGW-STQMWFEESA-N 404.850 4.946 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1ncnc2sc3c(c12)CCCC3 6111771 QVQJEQZUODKARV-UHFFFAOYSA-N 414.512 4.518 5 20 HJBD CCN(CC)C(=O)Cc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 33072821 LNEHVIPHAQLWAW-UHFFFAOYSA-N 411.502 4.556 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1F 42710310 YBBNCOZOOJSINM-UHFFFAOYSA-N 408.389 4.688 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)c(F)c1 65845815 HEVMAVLJWSZVKL-UHFFFAOYSA-N 406.332 4.647 5 20 HJBD COc1cc(CNCc2cccc(N(C)C)c2)c([N+](=O)[O-])cc1OCc1ccccc1 237113795 LDUYUWBFWJABST-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(CN3CCCC3)n2-c2ccc(Cl)cc2)cc1 301065550 CGXLXDFMTVOGHG-UHFFFAOYSA-N 415.906 4.576 5 20 HJBD Cc1ccccc1C[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)O 426666699 UNYGKBSBPHHOIJ-QGZVFWFLSA-N 410.495 4.665 5 20 HJBD C[C@H](NC(=O)Nc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 429175675 UTHWPRMPALWGDR-HNNXBMFYSA-N 410.474 4.996 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCC3([S@@](C)=O)CC3)cc2[N+](=O)[O-])c1 441375292 IMZCDQFCHHKEOR-GDLZYMKVSA-N 416.543 4.820 5 20 HJBD O=C(NCc1nc2ccccc2[nH]1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442435247 UFJBRLDTOWPQRE-UHFFFAOYSA-N 404.451 4.552 5 20 HJBD CCc1[nH]nc(C(=O)N[C@H](c2ccc(C)cc2)c2ccc(F)cc2Cl)c1[N+](=O)[O-] 444179086 CJXQJSYARCIRJD-QGZVFWFLSA-N 416.840 4.501 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292194 RMDWLOJONZEFAA-JTHBVZDNSA-N 418.880 4.595 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CCc3ncc(-c4ccccc4Cl)o3)n2)s1 445479754 HVIFZOHHZMEYFW-UHFFFAOYSA-N 402.819 4.800 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 462605946 WRCVJQCUGZEYEV-QUCCMNQESA-N 409.530 4.505 5 20 HJBD C[C@H](c1ccsc1)N1CCN(C(=O)c2cc3ccccc3c3cccnc23)CC1 463091550 JMQOJLWNSIEQMU-QGZVFWFLSA-N 401.535 4.969 5 20 HJBD CC(C)c1cccc(O[C@H](C)C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 464638409 LSTPGTGGJMGLMT-QGZVFWFLSA-N 412.486 4.556 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)c1c([N+](=O)[O-])cccc1C(F)(F)F 464689848 KXPCQSPHXRNDDV-GFCCVEGCSA-N 420.309 4.765 5 20 HJBD Cc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1Br 470052959 FZHJXKHGZKDRQB-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 470270564 KWNWHCHKWJLXBI-UYAOXDASSA-N 423.494 4.677 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C(C)C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 470383657 QFKNXLXNGBWUTI-AWEZNQCLSA-N 406.385 4.605 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 471530129 ITVQZAYYNMVQOV-UHFFFAOYSA-N 413.218 4.860 5 20 HJBD C[C@H]1CN(c2ccccc2NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@@H](C)O1 478191082 RZNBUJKLSUNRHX-IYBDPMFKSA-N 424.526 4.944 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)CCC2 479545225 QHMTTWRSGOMVKR-CZUORRHYSA-N 402.520 4.702 5 20 HJBD CCC(=O)c1ccc(NCCC(=O)Nc2cc(C)c(Cl)cc2OC)c([N+](=O)[O-])c1 480047942 VQEIYMHCWXNRSD-UHFFFAOYSA-N 419.865 4.599 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 480497813 WPTZLUTVPOUOLM-NWDGAFQWSA-N 409.255 4.552 5 20 HJBD O=C(Nc1ccn(-c2ccccc2Cl)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480739668 KKEDEJQUGKQRAJ-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486103625 IIYADPVZBXQSJW-UHFFFAOYSA-N 423.494 4.674 5 20 HJBD CC(C)c1c(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 487048059 RZMCLBVEUNWSQK-UHFFFAOYSA-N 407.474 4.961 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 487431787 NYHLCKWDUYEWDE-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2Nc2ccc(F)cc2F)c1[N+](=O)[O-] 494314888 HQPLJMZODJLJNB-UHFFFAOYSA-N 401.373 4.715 5 20 HJBD O=C(c1cc2c(OC(F)F)cccc2[nH]1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498140113 KHDUMUIMGICQCJ-SFHVURJKSA-N 419.409 4.565 5 20 HJBD COCCN(c1nc2sccn2c1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 498983441 QZRJHNLOSQYXSL-NSHDSACASA-N 414.409 4.537 5 20 HJBD C[C@@]1(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C[C@H]1c1ccccc1 501677143 VROBMPSTPOWUNR-DFBJGRDBSA-N 400.453 4.744 5 20 HJBD CC[C@H](C)OCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 505551438 SJCXYUBOJWLNMV-LBPRGKRZSA-N 410.829 4.611 5 20 HJBD COc1cc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)ccc1[N+](=O)[O-] 510825215 NBFRWKGFZIJEIT-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)cc1)c1ccccc1 520420072 HHIAHBVZSVLBQA-HNNXBMFYSA-N 419.437 4.791 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 521858520 RWVDPADQDHGKMA-LSDHHAIUSA-N 417.252 4.830 5 20 HJBD CCOc1ccccc1NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522692686 UMLSSNUCKLZAAD-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 523997103 HWSAJWXVKAZBMC-OAQYLSRUSA-N 421.453 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1 531499382 NXDCIWWTHPXLBJ-UHFFFAOYSA-N 415.534 4.796 5 20 HJBD C[C@@H](NCc1n[nH]cc1Br)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531968833 OAOZOLTWGXCHJF-SNVBAGLBSA-N 407.293 4.660 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](C)CSc3ccccc32)cc1SC 532827468 RMTIVZPUFRBPIR-LBPRGKRZSA-N 404.513 4.714 5 20 HJBD CN(C(=O)c1cnn(-c2ccc(Cl)cc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 533607174 WBWMJWURSHMAIF-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccn1 534107582 GOLQYXASYKKVDK-OAQYLSRUSA-N 419.462 4.733 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1)C[C@H]1CCCCO1 537120512 RQKUSGAFGOUALA-GOSISDBHSA-N 417.531 4.688 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)c1ccccc1 541106465 KRLVMYNTWINXJI-NRFANRHFSA-N 407.426 4.523 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)CSc2ccccc2[N+](=O)[O-])n1 543126101 OXUBKAMSRVTQSQ-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD CCc1ccc([C@H](NCCc2ccc(NC(C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 544355387 PLRAMWACARASJJ-HSZRJFAPSA-N 407.470 4.630 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CC=C(c2cccc(Br)c2)CC1 545111692 JYRGSEXJNLHXIO-UHFFFAOYSA-N 415.287 4.606 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(CCn4cc(Cl)cn4)n3)cc2)c1 545765340 PPHOEIJXFVHKMB-UHFFFAOYSA-N 411.805 4.530 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2n1C 545904160 MHSPGNFLIIECON-AVRDEDQJSA-N 420.513 4.804 5 20 HJBD CC(C)Cn1ncc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c1C(F)F 546490537 GPCJEVADLYRBAZ-UHFFFAOYSA-N 416.388 4.507 5 20 HJBD CC[C@@H](CC(F)(F)F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 546875347 QSMYGBAZNHJXNR-OCCSQVGLSA-N 410.396 4.624 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COCC(F)(F)F)cc2)c(OC(F)F)c1 546899274 PBRGYMXPQUOLNR-UHFFFAOYSA-N 406.307 4.887 5 20 HJBD COc1ccc(Br)cc1-c1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 547051148 DBPBSJFIEDILKY-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccccc2n1C(F)F 547246934 WSHTZELHAITMGC-LLVKDONJSA-N 420.391 4.634 5 20 HJBD Cc1cc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc([N+](=O)[O-])c1 552421513 YSSFNRNMWGVIGQ-IBGZPJMESA-N 422.403 4.820 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 553716450 AFMLDOAALNCAEX-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCCOC(C)C)cc2[N+](=O)[O-])cc1 566944569 UALSKJITENZECW-UHFFFAOYSA-N 402.516 4.942 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 567426777 KLPHXWQVNRYYGZ-HNNXBMFYSA-N 403.442 4.527 5 20 HJBD CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)c1csc(-c2cc(F)ccc2F)n1 570857438 FOSPLLZBPALBRR-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)Nc4ccc([N+](=O)[O-])cc4)cc3)o2)c1 603038733 PCUWAMLOZHIPRL-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)CSc3ccc([N+](=O)[O-])cc3)CC2)cc1 603814480 UGIWSDMGNGCIKB-UHFFFAOYSA-N 414.527 4.880 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 604076361 XSWXRFLVLAHNAB-DLBZAZTESA-N 420.469 4.690 5 20 HJBD COc1ccc(CC(=O)Nc2ccnc(Oc3ccccc3Cl)c2)cc1[N+](=O)[O-] 609816148 PTAHATYHHWSZOD-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2)oc1C 610570759 IOUDEAABDMVCJT-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)nn1 610960391 UHQXQQQOKLNRJV-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD COc1cc(OC)c(C2CCN(C/C=C\c3ccccc3[N+](=O)[O-])CC2)c(OC)c1 611253663 ASGXENXPRSOROE-VURMDHGXSA-N 412.486 4.513 5 20 HJBD Cc1cccc(C)c1Oc1ccccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-] 731616079 ZNFLHFAXUVZUTF-UHFFFAOYSA-N 420.421 4.834 5 20 HJBD CC(C)(C)c1ncc(/C=C\C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 744156773 KUVVIYUFHBERHI-HJWRWDBZSA-N 413.455 4.760 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(C2SCCS2)cc1 748542153 UBEHZGLYQKWUKV-UHFFFAOYSA-N 412.496 4.716 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cc1[N+](=O)[O-] 748650401 RZYWSRGYJWFACJ-UHFFFAOYSA-N 422.510 4.903 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1cccc(NC(=O)c2ccccc2)c1 750429764 SAZBEFQISSELDR-UHFFFAOYSA-N 422.462 4.683 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536672 UARMPFKXBXTKOB-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1cccc([N+](=O)[O-])c1Br 758492625 GJLBBLPCBLMHLM-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc1[N+](=O)[O-] 761570634 CISICSVEHPNOMF-GOSISDBHSA-N 408.414 4.660 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1cc([N+](=O)[O-])ccc1Br 762525535 TUMJFGSLXORFJT-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1cccc(Cl)c1[N+](=O)[O-] 776352612 FQOMWHGIPYRDBT-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1coc(C2CC2)n1 776746793 ZSDJAGCGCKPBFC-UHFFFAOYSA-N 413.817 4.945 5 20 HJBD Cc1cnc(COC(=O)c2cc(Cl)cc(F)c2Br)c(C)c1[N+](=O)[O-] 791001344 OPOAQLXTGWDPGU-UHFFFAOYSA-N 417.618 4.519 5 20 HJBD O=C(C[C@H]1CCCOC1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 793531578 NKXPDPQQCQIWCO-CQSZACIVSA-N 422.840 4.613 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)s2)c(F)c1 799870014 SJRQSKWBZZMRCL-UHFFFAOYSA-N 423.372 4.705 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 813009002 CBZUORRSJKGPLY-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@H](c2cccc(C(F)(F)F)c2)O[C@H](C)C1 813628112 LHMCOTYWXJYQBV-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cccc3scnc23)c(-c2cccs2)c1 820781682 FHRMTPWKHVMKPF-UHFFFAOYSA-N 418.477 4.701 5 20 HJBD C[C@@H](OC(=O)C1(c2ccccc2)CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 845382868 AJWPRLZIUAXQJF-CQSZACIVSA-N 416.861 4.630 5 20 HJBD COC(=O)c1ccc(/C=C\c2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)nc1 917146528 PXUWYCPBAHPBBN-BAQGIRSFSA-N 420.421 4.534 5 20 HJBD C[C@H](Nc1ccc(NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)cc1)c1ccccc1 920810316 UZFMEAWGUBJOLQ-INIZCTEOSA-N 415.453 4.756 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 1121271667 CJJKSZIAUZRRTI-OAHLLOKOSA-N 422.938 4.824 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1319457566 GGJNJSYEGHRTKJ-LBPRGKRZSA-N 418.375 4.510 5 20 HJBD Cc1cccc(-n2nc(C)cc2NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 1321501346 FGPOHSROGULTBJ-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD C[C@H](Oc1ccccc1C(F)(F)F)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1322777362 HPRYFMGYEDOOQQ-NSHDSACASA-N 409.320 4.716 5 20 HJBD CON(C)C(=O)c1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1323961243 WQMLTYMAYHNKDO-UHFFFAOYSA-N 415.833 4.754 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(COc3ccccc3OCc3ccccc3)o2)c1 1329038433 FOGNNALTFDFCIU-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD Cc1cc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1ccco1 1336559872 QOUOOSNGLHIFDC-NRFANRHFSA-N 411.483 4.542 5 20 HJBD CCOC(=O)c1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)o1 1347223569 YZSGIOQYJBGWEF-UHFFFAOYSA-N 404.806 4.568 5 20 HJBD Cc1c(NC(=O)c2cc3c(C)nn(Cc4ccccc4)c3s2)cccc1[N+](=O)[O-] 8269955 MEKLJDGUCNHCGI-UHFFFAOYSA-N 406.467 4.923 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COC(=O)c3ccc([N+](=O)[O-])s3)cc2)c1 22707200 OARKZMIUNFAJCK-UHFFFAOYSA-N 412.423 4.553 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)c2ccccc2)cc1[N+](=O)[O-] 24369081 HFIJLXSLBXICAR-UHFFFAOYSA-N 406.463 4.824 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3nc(C4CC4)sc3c2)c([N+](=O)[O-])cc1OC 63980034 QAUYHQPIKRJLFZ-UHFFFAOYSA-N 413.455 4.742 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64899136 KPUPNKMVTGFZTP-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)cc1 110893571 CVGWOEQYWYDRKR-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD COc1cc(CNCc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OCC(F)(F)F 237272604 BSAOGKJFHHEETF-UHFFFAOYSA-N 420.387 4.988 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccc(NC(N)=O)cc1 237570611 UQDXVSCOACYYDU-LBPRGKRZSA-N 414.849 4.850 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(CCc1ccc(F)cc1)C1CCCC1 302969131 RVFGHSQYGZWNPV-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD Cc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cccc1NC(=O)c1ccccc1 409496702 BUOXSMWCJKJAFS-UHFFFAOYSA-N 421.478 4.886 5 20 HJBD CCc1ccc(-c2nc(Cn3nc(-c4cccc([N+](=O)[O-])c4)ccc3=O)cs2)cc1 428277624 OVHVQGJNANGUHU-UHFFFAOYSA-N 418.478 4.553 5 20 HJBD O=C(Nc1cccc(-c2ncc[nH]2)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436330473 ZNAYZPFCBXZMAO-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@@]1(O)CCC[C@@H](C(F)(F)F)C1 437035121 DWGFNPPOZDDHGU-CKEIUWERSA-N 406.470 4.539 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 437592650 RPFRSWSLLAOLSL-ZDUSSCGKSA-N 423.300 4.812 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437985392 JZRFMBGAVNOFTB-CVDCTZTESA-N 423.513 4.745 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 438560852 ICYHTDTUOUTMIP-UHFFFAOYSA-N 418.497 4.563 5 20 HJBD Cc1ccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c(C)c1O 439293999 KTQZVANEBLLCKV-UHFFFAOYSA-N 421.240 4.662 5 20 HJBD Cc1cnn(-c2ccccc2)c1NC(=O)c1ccc(N2CCC[C@@H](C)C2)c([N+](=O)[O-])c1 439836607 ZHDCMOZSFJAEQR-MRXNPFEDSA-N 419.485 4.578 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CC2(CCC2)c2c(F)cccc21 445172066 JATNZTJZPRHVOC-UHFFFAOYSA-N 411.252 4.640 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccco1 445266880 VLFWYJPZWFGAJZ-UHFFFAOYSA-N 421.272 4.854 5 20 HJBD Cc1sc(CCN[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)nc1-c1ccccc1 447578397 FFMXKNLUUUDDJZ-ROUUACIJSA-N 411.531 4.539 5 20 HJBD COc1ccc(CCCCCC(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1 460366564 YWHYYGBPTRHNSG-QFIPXVFZSA-N 414.527 4.981 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2c(C)nn(C)c2Sc2ccccc2)cc1[N+](=O)[O-] 463578307 UEXMKSVNSVNRCW-AWEZNQCLSA-N 410.499 4.587 5 20 HJBD Cc1cccc(C(=O)N[C@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1[N+](=O)[O-] 464463048 TZKKXMXSIOWWQB-INIZCTEOSA-N 411.458 4.973 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](c3ccc(F)cc3)C2)cc1SC 471509291 VNHHKGPGLRXRBM-ZFWWWQNUSA-N 404.463 4.531 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCOCC1 474875474 HTAZELJJLPMDNY-ZDUSSCGKSA-N 404.850 4.585 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccncc3)n2-c2ccccc2)c(F)c1 476516512 YKSJGWIOLKVHQM-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD O=C(Nc1c(Br)cc(F)cc1Br)c1ccc([N+](=O)[O-])cc1 481783162 ZOVANIPDOHFXIT-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1ccc(CN[C@@H](C)c2nc(-c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 483633092 FJVQOWJRTRGIIW-LBPRGKRZSA-N 417.263 4.567 5 20 HJBD O=C(Nc1cc(F)ccc1Br)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484333067 LPUJBJUTJMQSNH-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485217627 QHIQJJYHCIESIE-QGZVFWFLSA-N 411.355 4.712 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])ccc2n1 485486498 FUMUNOUJXXZRDC-UHFFFAOYSA-N 409.265 4.602 5 20 HJBD CS[C@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])C(C)(C)C 486141868 DTSDUXCPHCXXDX-LJQANCHMSA-N 402.516 4.681 5 20 HJBD CN(CCc1ccncc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 486472346 NASGKQPWRLWGRJ-UHFFFAOYSA-N 424.888 4.570 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 486821486 SDRLDZFTIDNROO-INIZCTEOSA-N 413.543 4.662 5 20 HJBD COC(=O)c1cc(NC(=O)N(Cc2cccc(Cl)c2)C(C)C)c(C)c([N+](=O)[O-])c1 486872328 IVRXCBPMJXFIQR-UHFFFAOYSA-N 419.865 4.786 5 20 HJBD CCc1ccc(C(=O)N(CC(=O)Nc2cccc(C)c2C)[C@@H](C)CC)cc1[N+](=O)[O-] 489100211 VNUCUAHHTNLVHT-INIZCTEOSA-N 411.502 4.653 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@H]1CCSc2ccccc21 492125828 BDPPDBHCKPHQBH-HNNXBMFYSA-N 411.405 4.650 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1cc(F)cc([N+](=O)[O-])c1 494226570 ISEOURPYORUDBG-INIZCTEOSA-N 408.813 4.588 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])c2C1 494461509 TXKCHLJDMHVXFJ-UHFFFAOYSA-N 418.291 4.581 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 499215242 YZYDJAZOVXMSAX-GOSISDBHSA-N 407.495 4.874 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 499376547 YDRPXFWAPYDEJP-CYBMUJFWSA-N 405.376 4.788 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 502850369 QYYQKZCORPFSQY-UHFFFAOYSA-N 406.438 4.586 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(OC)cc1Cl 510076001 ZAQYGMKXOLHJFW-UHFFFAOYSA-N 407.854 4.708 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@H]1C[C@H](C)N(Cc2ccccc2)C1 510861694 PLGASZIZCSCZJH-PXNSSMCTSA-N 410.518 4.634 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(F)cc2)c1C(F)(F)F 514519708 PACHWXDRJOUJSR-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD CC[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1nnc2n1CCCCC2 517551582 QAONDZAAGLTCHN-GOSISDBHSA-N 411.531 4.882 5 20 HJBD COc1ccc(-c2noc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])n2)cc1 517911674 NKDCTSYRWDCKIK-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CC1(C)[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@H]2CCO[C@H]21 524946559 CWPYGRVICPKPHZ-ZTFGCOKTSA-N 421.291 4.520 5 20 HJBD COc1ccc(NC(=O)[C@H](N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 530727274 GRYOOEYYCIOIEJ-UZUQRXQVSA-N 419.481 4.942 5 20 HJBD Cc1nn(C)c(C(=O)N[C@H](CCCCc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 531673658 NSLQUSYWKPPIKM-HXUWFJFHSA-N 406.486 4.521 5 20 HJBD COC(=O)c1sc(NC(=O)c2cc3ccccc3c3cccnc23)nc1C1CC1 536276801 ZTHCZVSGHAGQLD-UHFFFAOYSA-N 403.463 4.761 5 20 HJBD COc1ccc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)cc1[N+](=O)[O-] 539006245 ZZHPGICXMPOMBL-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cnc(Oc2ccccc2)cn1 539154959 QBGZIOMEHOMDPP-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CCc1ccc([C@H](NC[C@@H](C[C@H](C)O)c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 541545641 NSPCFRCWABAPQO-WPSZSDGUSA-N 408.498 4.984 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 541604748 RJTAMCLROFOHJN-QVKFZJNVSA-N 422.460 4.907 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)c1 544951640 IFEOWWPVPAXMKT-XFXZXTDPSA-N 414.421 4.713 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 545102567 KHINWVQLJNGAHJ-YRYLYKBFSA-N 416.231 4.647 5 20 HJBD CC(C)(C(=O)N1CC=C(c2c[nH]c3ccccc23)CC1)c1ccc([N+](=O)[O-])cc1F 545873402 XNIDKXBZYOCNLL-UHFFFAOYSA-N 407.445 4.809 5 20 HJBD CCN(CC)C(=O)CCCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 547199828 HSDMWHPYPTXYAC-UHFFFAOYSA-N 424.457 4.628 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(OC2CCCC2)cc1)c1cccc([N+](=O)[O-])c1 551812513 TXIIFFZXRUKEGR-JOCHJYFZSA-N 406.486 4.529 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)c1 554966364 SKIGTIIPEFCHCA-HXUWFJFHSA-N 420.469 4.676 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)cn2)cc1 557929629 JNOBATLKRQYAMD-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD COc1cccc(C(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(OC)c2)c1 569785370 KNOIMSPADOBCER-INIZCTEOSA-N 420.465 4.621 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 571143639 DPELXAJOYLGJON-SNVBAGLBSA-N 420.903 4.993 5 20 HJBD CCN(CC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C(c1ccccc1)c1ccccc1 580462904 VYXOXMFRVJNWGM-UHFFFAOYSA-N 419.481 4.653 5 20 HJBD CO[C@H](C)c1nc(Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cs1 584502439 CHIJLGWYMCHDMC-MNOVXSKESA-N 406.489 4.586 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(OCc2ccc(F)cc2)CC1 589375055 PQSWHZBQFTUAPU-UHFFFAOYSA-N 401.438 4.509 5 20 HJBD CCCN(Cc1ccccc1F)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 603519963 YRDWTQBBQLQDHZ-UHFFFAOYSA-N 424.476 4.648 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 603643182 VQXFWVMEFVNFSI-LJQANCHMSA-N 402.882 4.515 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CCC[C@@H]1Cc1ccccc1 606206625 UZZSGLCHELQBEH-NFBKMPQASA-N 410.517 4.682 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 609755247 DVRQXTXPKURYCF-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cccc(C)c3c2Cl)cc([N+](=O)[O-])c1 609884508 GJJQWOXHRACIDG-UHFFFAOYSA-N 404.831 4.810 5 20 HJBD C[C@H](NC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1)c1ccccc1-c1ccc(Cl)cc1 610051456 JUUJGFGEKPWJGR-AWEZNQCLSA-N 412.877 4.724 5 20 HJBD C[C@@H](N[C@H](Cc1ccccc1)c1ccccc1F)C(=O)Nc1ccccc1[N+](=O)[O-] 610139739 BTKHJEIPNLSRII-IIBYNOLFSA-N 407.445 4.635 5 20 HJBD CN1CCOc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc21 611206583 KGMCLUDLNOFDPO-UHFFFAOYSA-N 413.499 4.710 5 20 HJBD Cn1c([C@H](NCc2nc3cc([N+](=O)[O-])ccc3o2)c2ccccc2)nc2ccccc21 614212628 VGZAUNUJCHYVPD-JOCHJYFZSA-N 413.437 4.502 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 725921920 ADZVSRQGFHGSCO-UHFFFAOYSA-N 420.450 4.569 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1csc(COc2ccc(F)cc2)n1 728868613 XFOJFWBWLDKMLM-XCVCLJGOSA-N 414.414 4.526 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCCC2)c(F)c1 729035145 FHURNXMHEQZHIT-UHFFFAOYSA-N 400.454 4.777 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@@H](c1ccc(-c3ccccc3[N+](=O)[O-])o1)N2 730272637 KMDHVMXDOBEAEB-NRFANRHFSA-N 405.410 4.592 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@@H](c1ccc(Cl)cc1)c1cccnc1 730427787 DNALRRZLMAPETN-QFIPXVFZSA-N 421.840 4.931 5 20 HJBD O=[N+]([O-])c1cn(CN2CCCC[C@H]2c2cc3ccccc3[nH]2)nc1-c1ccncc1 742147623 KEAYJNSIUPSGIU-FQEVSTJZSA-N 402.458 4.519 5 20 HJBD Cc1cccc([C@@H]2CCCN2c2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])c1C 742412781 QTUIRVIWXZUODW-INIZCTEOSA-N 410.442 4.549 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c(Cl)c1 745073867 LFOFBFZQYNMBEV-CQSZACIVSA-N 418.877 4.713 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 757409917 RKHJUXBIWUROFD-MRXNPFEDSA-N 404.422 4.789 5 20 HJBD C[C@H](c1nc(C2(NC(=O)OC(C)(C)C)CCCC2)no1)c1ccc([N+](=O)[O-])cc1F 758286874 YRRSGXUXBFXAFR-LBPRGKRZSA-N 420.441 4.563 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccccc3C(F)(F)F)no2)C1 761378402 WJOKPTNQPQQNLX-OAHLLOKOSA-N 404.304 4.529 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])c(Cl)c1 764288203 NUUAGRCRDSTKLR-GOSISDBHSA-N 402.237 4.816 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc([N+](=O)[O-])c2cccnc12 765613961 PHESMHJNBGKWKB-UHFFFAOYSA-N 403.360 4.824 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ncc(Cl)cc1Cl 777058512 FJEUTUVJKWCBLL-UHFFFAOYSA-N 413.260 4.600 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1ccc(Cl)c([N+](=O)[O-])c1 777441645 FFDDWWYLFFNPLR-DFNIBXOVSA-N 413.905 4.516 5 20 HJBD CCCCOc1ccc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c(C)c1 781606428 LCWHMYGNODIDQK-UHFFFAOYSA-N 401.419 4.562 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CC[C@H](CSc2ccccc2)C1 790562478 TUAAYPVAJAJVBS-ZDUSSCGKSA-N 408.882 4.571 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NCc1nc(-c2ccccc2F)cs1 790969356 URNLBHJYJYOXQI-UHFFFAOYSA-N 423.828 4.509 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 797476516 UNXGHLAYRPGBOR-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1nc(Cl)c(Cl)c(Cl)c1Cl 808838713 CSOZYAIDVLMCCJ-UHFFFAOYSA-N 423.083 4.918 5 20 HJBD COc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CC2)cc1 812480993 GYMCJTOTQWMUET-LJQANCHMSA-N 410.392 4.572 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c(Cl)c1 815406010 RAVOKZCJHPEMKT-NSHDSACASA-N 411.241 4.702 5 20 HJBD Cc1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 817038148 AYOSYDAKAICUFP-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C(C)C)c1C 877030773 JBBZADNQPSNYJJ-SFHVURJKSA-N 404.850 4.685 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921267527 TXPGWNCHDWPXMR-OAHLLOKOSA-N 414.458 4.964 5 20 HJBD CCCCOc1ccc(-c2nnc(COc3ccc([N+](=O)[O-])c(F)c3)o2)cc1OC 1118417480 GMVUJFKINNDCHJ-UHFFFAOYSA-N 417.393 4.550 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(F)cc2OC(F)F)cc1[N+](=O)[O-] 1319663695 JYTQFLDEWULNLN-RYUDHWBXSA-N 411.380 4.765 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1-c1nnc(C2CCN(C(=O)OC(C)(C)C)CC2)o1 1328448429 GGIHZPAIELJJTN-UHFFFAOYSA-N 416.478 4.685 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 7671817 OMLHGTJGWZPAIC-SFHVURJKSA-N 410.301 4.715 5 20 HJBD CCOc1cc(NC(=O)c2ccc(SC(F)F)cc2)c([N+](=O)[O-])cc1OCC 11214114 JUAQQMHQAYRHEA-UHFFFAOYSA-N 412.414 4.959 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc3cc(C)c4ccccc4n23)cccc1[N+](=O)[O-] 23407792 PPWICMUDAAVFRM-CQSZACIVSA-N 421.482 4.527 5 20 HJBD CCOCCCNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 28493604 ITEDBDHUNPMGHT-UHFFFAOYSA-N 417.512 4.512 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 53455924 XTYUVMGVQGSBRG-SJORKVTESA-N 404.470 4.962 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 55466241 RVPNGWBMXNEDRQ-HNNXBMFYSA-N 408.458 4.578 5 20 HJBD C[C@@H](NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 57282242 AOROWFRXMVNQDY-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD Cc1cc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)ccc1Oc1cccnc1 57310453 KIEALVRWCQLEDA-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD Cc1ccc(N(C)C(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 60352373 PRBPHWOEVUTXPC-UHFFFAOYSA-N 421.419 4.801 5 20 HJBD Cc1c(NC(=O)N[C@H](C)CCc2ccc(Br)cc2)cccc1[N+](=O)[O-] 65437771 PIJKFDOWODBXDQ-GFCCVEGCSA-N 406.280 4.809 5 20 HJBD COc1cc(C(=O)NCC2(c3cccc(Cl)c3)CCCC2)c([N+](=O)[O-])cc1OC 65853299 MYZPSNDJYBURJW-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD CC(C)c1ccc(C(=O)Nc2sccc2C(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 108692070 KSNZFNSWYIPZRH-UHFFFAOYSA-N 423.494 4.962 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)co2)cc1 110076582 XXRUMRBJTFVRLS-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD Cc1ccc(CCC2CCN(Cc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 302927506 CKTWUSGHRHGAPB-UHFFFAOYSA-N 406.486 4.798 5 20 HJBD Cc1ccccc1C[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)O 426666698 UNYGKBSBPHHOIJ-KRWDZBQOSA-N 410.495 4.665 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC(O)(C(F)F)CC3)cc2[N+](=O)[O-])cc1 428461234 QXHHAWPOANXKRM-UHFFFAOYSA-N 408.470 4.646 5 20 HJBD CC[C@@]1(CO)CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 428461863 RZQZTIWGZCJMFN-LJQANCHMSA-N 423.307 4.602 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](c1cc(F)cc(Br)c1)C1CC1 430201054 ISPHEEYWXPVGBK-GOSISDBHSA-N 409.255 4.746 5 20 HJBD CCCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1ccc(C)cc1 432563999 YJMUTNKBBDCPQN-UHFFFAOYSA-N 408.458 4.611 5 20 HJBD O=C(Nc1cc(C2CC2)nn1-c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436340676 GPIAWISJMKBXIJ-UHFFFAOYSA-N 420.472 4.997 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437278828 WCKWKMSXAWBXSG-INIZCTEOSA-N 420.347 4.594 5 20 HJBD C[S@@](=O)C1(CNCc2ccc(Oc3ccc(C(C)(C)C)cc3)c([N+](=O)[O-])c2)CC1 441374127 GPFHOROBQNTGGX-GDLZYMKVSA-N 416.543 4.685 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C[C@@H](C)O)[C@H](C)Cc1ccc(Cl)cc1 441672720 GHLDVWIKZLQQED-HUUCEWRRSA-N 419.909 4.711 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCN(Cc2ccc(Cl)cc2)CC1 443945231 GPOJZOMAWRGDTF-UHFFFAOYSA-N 416.909 4.605 5 20 HJBD CSc1ncc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)n1-c1ccc(F)cc1 444728557 GDCCNGAYVCZPRZ-UHFFFAOYSA-N 400.435 4.511 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CCCC1)c1sc(Br)cc1[N+](=O)[O-] 446096088 QKXNHAILAUSPQQ-UHFFFAOYSA-N 413.268 4.757 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1c[nH]c2cccc(Br)c12 464718361 CNOQKLXXNDWTLG-UHFFFAOYSA-N 402.248 4.582 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3ccc(N4CCCCC4)cc3)no2)cc1 467254480 QLWVGZGEEVSZBK-UHFFFAOYSA-N 407.474 4.680 5 20 HJBD O=C(c1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(F)(c2cccc(Cl)c2)CC1 467876521 OVRSDZYNXHQHHX-UHFFFAOYSA-N 401.825 4.831 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 468251010 NHDXGDUPVJDNBS-UHFFFAOYSA-N 415.371 4.851 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 468899972 JMPXWRFYKGPGLH-INIZCTEOSA-N 424.526 4.683 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OCc2ccccn2)c(F)c1 471521061 CXULBDAGSWRASU-UHFFFAOYSA-N 415.808 4.543 5 20 HJBD CC[C@H](NC(=O)N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1cccc([N+](=O)[O-])c1 474496698 NYAWOWJVFDEKEK-OKZBNKHCSA-N 405.401 4.503 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 475225464 VUVVQADICYHHPS-AWEZNQCLSA-N 414.409 4.920 5 20 HJBD CCOc1cc(OCc2nnc(-c3c(-c4ccccc4)noc3C)o2)ccc1[N+](=O)[O-] 478718518 KOKVLERJPXLZEQ-UHFFFAOYSA-N 422.397 4.586 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](CCc1ccccc1)C(F)(F)F 479680671 XRGMVAVIKQGKJG-DYVFJYSZSA-N 412.433 4.755 5 20 HJBD CN(CC(=O)Nc1ccc(Cl)c(Cl)c1)c1ccc2ncccc2c1[N+](=O)[O-] 480400536 CGEMGLCLDAQHHU-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)NCc2cccc([N+](=O)[O-])c2C)c1 484473096 JABDNEWJXGYADG-UHFFFAOYSA-N 404.854 4.617 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccsc1)N(CC)CC 484492916 UZRNGZHKTUTFKH-QGZVFWFLSA-N 407.561 4.581 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccc1C 485757132 SHVRNARNTCLJLV-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)CSc2ccccc2[N+](=O)[O-])c1C 485878597 YDMCRCFCWYIGAA-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486167926 QBLJKDCVHYFHMJ-RMLUDKJBSA-N 413.268 4.611 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 486988416 QWMMVIIZDXSXCE-KRWDZBQOSA-N 407.392 4.615 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1ccc(Cl)cc1[N+](=O)[O-] 487098684 SJAHGKLSEILQGZ-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)(C)OC(=O)C1CCC(NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 487194946 XLYIMIKYAFMBCB-UHFFFAOYSA-N 402.413 4.604 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489179212 BQYRHYDMDUWFKN-CVEARBPZSA-N 417.531 4.763 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@H]1c1ccc(C)cc1 489935701 UNASZVOWHONEON-UTKZUKDTSA-N 414.527 4.913 5 20 HJBD Cc1c(CC(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)cccc1[N+](=O)[O-] 494217519 WHFRDQBWRMBFDW-KRWDZBQOSA-N 418.877 4.686 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1 496521256 WWWTXDMFAOBGGC-HSZRJFAPSA-N 419.481 4.813 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1cc([N+](=O)[O-])ccc1OC(F)F 497794904 HDVTYOSUDTWUNZ-CYBMUJFWSA-N 404.291 4.620 5 20 HJBD CC[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1OC(F)F 498820499 YREJOSQAJCZCJD-QGZVFWFLSA-N 419.428 4.995 5 20 HJBD CCCN(c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@@H](C)c1cc2ccccc2o1 498858301 KVAJWQCCYAKVDP-AWEZNQCLSA-N 402.472 4.722 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C 505589834 BOBQYQKBJSKRIO-FOIQADDNSA-N 401.532 4.517 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)c1ccc(Cl)c(Cl)c1 511911409 PCXHXJBXBJVDTD-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cccc(-c3ncco3)c2)cc1[N+](=O)[O-] 513967467 KRRUWLGBVKEBTN-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1OCC 515192886 OFRAHZLKFLDLOX-OAHLLOKOSA-N 415.490 4.704 5 20 HJBD O=C([C@H]1C[C@H]1c1c(F)cccc1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 523844666 QRPLRBWUMKHMTM-OLZOCXBDSA-N 414.330 4.568 5 20 HJBD O=[N+]([O-])c1cccc(CN[C@@H](Cc2ccccn2)c2ccc(Br)cc2)c1 530439447 KJMULGWSENLFCR-FQEVSTJZSA-N 412.287 4.826 5 20 HJBD O=C(Nc1cccnc1-c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 535101881 QEXBMMGTRLOERO-UHFFFAOYSA-N 402.454 4.512 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(C(=O)Nc2ccccc2)cc1 535349533 IWECZELHVQUTDH-UHFFFAOYSA-N 414.421 4.510 5 20 HJBD C[C@@H](NCc1coc(-c2ccc(Br)cc2)n1)c1cccc([N+](=O)[O-])c1 537075675 BJMRRWKUPNSBCV-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1 537158546 GPNCIXCJFLTOAR-QGZVFWFLSA-N 419.481 4.868 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)CC(=O)N(C)Cc2ccccc2Cl)cc1[N+](=O)[O-] 539119986 HVQHDUFLTLZJRI-CVEARBPZSA-N 403.910 4.644 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc([S@](C)=O)cc1 539530028 MLRFPPXCMQSOTG-ASHKIFAZSA-N 400.525 4.912 5 20 HJBD CCOc1ccc(-c2nc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1OC 540375499 GMPWDUMHPQGOSM-AWEZNQCLSA-N 413.499 4.976 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 542563181 PJRIPMSLDRNFSV-UHFFFAOYSA-N 402.447 4.570 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)oc12 542587398 SNAJJNHLMWPVBS-OAHLLOKOSA-N 411.458 4.728 5 20 HJBD CCC(=O)Nc1cccc(-c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 546976857 OVKONRYHLGHCPY-NSHDSACASA-N 416.821 4.787 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@@H]2c2ccccc2C(F)(F)F)n1 547082643 GMZSVWDQEWAYQT-ZIAGYGMSSA-N 418.375 4.922 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC1(Cc2ccc(F)cc2Cl)CCOCC1 555638301 VPFFFBDNJUSRPR-HYXAFXHYSA-N 404.869 4.782 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 560358023 DWPIINXLGODORG-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)Cc2ccccc2O1 582146638 CIDIDZYCZNFUOA-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CO[C@H](C)c1nc(Cc2noc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cs1 584502438 CHIJLGWYMCHDMC-GHMZBOCLSA-N 406.489 4.586 5 20 HJBD CCOc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OCc1cccnc1 590551337 XZLDKNXACIWZMG-UHFFFAOYSA-N 421.453 4.837 5 20 HJBD COc1ccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccccc2C)cc1[N+](=O)[O-] 603638561 ZBYZXEQLXFZWCH-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CCCCN([C@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 604494934 LABSLCMHGHSANE-CYBMUJFWSA-N 400.884 4.702 5 20 HJBD COc1cccc2c1OCC[C@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 604571060 UJBUBTKVLBYXNH-LJQANCHMSA-N 420.421 4.649 5 20 HJBD COc1cccc([C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N2CCCC2)c1 608835418 BMJFHDPVAQROLO-HSZRJFAPSA-N 421.497 4.790 5 20 HJBD COc1cccc(CO[C@H](C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609756119 WRLXHRRCTMHJDJ-MRXNPFEDSA-N 422.437 4.940 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)C1CC1 609863683 CPRRDIVLSCIDOO-UHFFFAOYSA-N 409.467 4.573 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1Cc1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609975048 DOBSPXPDBAWXCK-CRAIPNDOSA-N 422.403 4.677 5 20 HJBD COc1ccc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc(C)c2)cc1[N+](=O)[O-] 609977845 WVZKGDAUCPFYRL-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(Cc2cccc(F)c2)CC1 610060757 GTJDLANHURCRDY-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD COC(=O)c1cc(C(=O)Nc2cccc(Cl)c2SC(C)C)cc([N+](=O)[O-])c1 610176081 SGALNEUGFZVBKW-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@H](Nc2ccccc2)C1 611202745 FLZYCKHZBUFUEE-KRWDZBQOSA-N 411.527 4.956 5 20 HJBD Cn1ccnc1[C@@H](NCCc1ccc(-c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 611560844 NHXDSDOBEHDEJI-DEOSSOPVSA-N 412.493 4.917 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3ccc(COCc4ccccc4)cc3)c2c1 611702540 VVMOIEZZJINKRN-UHFFFAOYSA-N 400.438 4.867 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)n1 617696632 GLGXVBDJYSWYFG-HNNXBMFYSA-N 408.483 4.895 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cc(C3CC3)nc21 734889795 SBNIVXMMGGPFRE-UHFFFAOYSA-N 414.849 4.808 5 20 HJBD COCC[S@](=O)Cc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 735022931 SPLMNMLUWRZXMA-PMERELPUSA-N 418.518 4.535 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cc1 739620914 PYOHOJZXUIKJFB-IRXDYDNUSA-N 411.502 4.752 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 742013950 NIUKFORVLBRILA-UHFFFAOYSA-N 405.292 4.716 5 20 HJBD CC/C(=C\C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(C)cc1 745126666 NWQYAOPNMWJYNR-GXDHUFHOSA-N 402.834 4.532 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)Cc3cccc([N+](=O)[O-])c3C)cs2)cc1 748473330 ZYEJGPOWCQXMKN-UHFFFAOYSA-N 412.467 4.533 5 20 HJBD COc1cc(COC(=O)[C@@H](C)c2ccc(Cl)s2)c([N+](=O)[O-])cc1OC(F)F 750550538 ZIKCFDLBUWZDGY-QMMMGPOBSA-N 421.805 4.767 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1cnc(-c2cccs2)s1 751443482 KQFQZVVEIOTYNE-GHXNOFRVSA-N 417.855 4.778 5 20 HJBD CCc1ccc([C@H](Nc2ccc(OC)c(NC(C)=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753712149 XOZPRNJGGMDLJR-JOCHJYFZSA-N 409.442 4.919 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754638357 DVELJDQZFMOVEV-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755057257 VKUVPURNJZYHBA-CABCVRRESA-N 416.449 4.840 5 20 HJBD CC(C)[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Cl 755065873 NJLDVIGIFIXGPY-KZULUSFZSA-N 422.840 4.697 5 20 HJBD Cc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnc(Cl)c1Br 759278760 BIGIDLJOJXVRPR-SSDOTTSWSA-N 416.634 4.595 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1occc1COc1ccccc1 760470197 IIINYQMRVYSLKI-UHFFFAOYSA-N 420.377 4.779 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccoc2Cl)cc1)c1cc([N+](=O)[O-])ccc1Cl 764749398 SQUBHXNEOOMZHJ-UHFFFAOYSA-N 421.192 4.966 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC2(CCC2)c2cc(Br)ccc21 765097250 BMJIQDVXVQXDSO-UHFFFAOYSA-N 423.213 4.718 5 20 HJBD O=C(Nc1ccccc1-c1n[nH]c(-c2ccccc2)n1)c1ccc([N+](=O)[O-])c(Cl)c1 765472642 YKUSBTHKZUVQDF-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1cc(F)c(F)cc1[N+](=O)[O-] 769288650 WMATUJRIVRFKGK-MEDUHNTESA-N 414.330 4.958 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(F)cc1C(F)(F)F 770317758 KXDWFIMCCNPPQC-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(N[C@H](C)c2ccccn2)c1[N+](=O)[O-])c1ccccn1 771146737 LQTYTYHNFFRDSQ-ZIAGYGMSSA-N 408.418 4.639 5 20 HJBD C[C@H](CCOc1ccccc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139842 RNCQDJHOUDGTGS-VGSWGCGISA-N 406.438 4.728 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)Cn2cc(Br)c(-c3ccc(Cl)cc3)n2)cc1 776277172 LOLUMBPBWHIJLA-INIZCTEOSA-N 422.666 4.608 5 20 HJBD O=C(N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1cc([N+](=O)[O-])ccc1Br 778138736 ZCBLVMQOQBAUMO-HNNXBMFYSA-N 419.250 4.862 5 20 HJBD O=[N+]([O-])c1ccc(Br)c(OCc2ccc(Oc3ccccc3)nn2)c1 786746220 QYIXONLNTXULLG-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD COc1cc(C(=O)Nc2ccccc2NC(=O)OC(C)(C)C)cc([N+](=O)[O-])c1C 790580347 OSSRLORVWUMEFP-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD COc1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])[C@@H](C)C2CC2)cc1 790724131 OSZGEVUIGSKBKZ-ZDUSSCGKSA-N 420.868 4.766 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](c2ccccc2OC(F)F)C(F)(F)F)c1F 790928917 ZIWHEFXSKWWSGH-CQSZACIVSA-N 422.281 4.677 5 20 HJBD CC(C)([C@@H](OC(=O)[C@@H]1CCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 796834277 SVJREVJMCQOWRR-YPMHNXCESA-N 406.223 4.524 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(c3ccc(C(F)F)cc3[N+](=O)[O-])C2)cc1 809351737 LCNHIBVDXIFCPD-OAHLLOKOSA-N 418.444 4.879 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C/c3cn4ccc(Br)cc4n3)nc2c1 811687920 KJHSPFJKGDPVRX-SDQBBNPISA-N 418.638 4.618 5 20 HJBD CC(C)n1c(CCNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 813457736 MJKYRHDHTVFATP-UHFFFAOYSA-N 421.284 4.805 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)cc12 915139132 OEAZIDVHLAWRRU-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N[C@@H](c1ccccc1)c1cccc(Cl)c1 916454027 JWHXRKLRWBQXEI-QFIPXVFZSA-N 420.856 4.504 5 20 HJBD O=C(Nc1cccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1)c1ccccc1 917057152 QEBNSAIKOHGZCX-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OCc1ccc(S(=O)(=O)C(F)F)cc1 920307389 AISYNQYPODRDJV-UHFFFAOYSA-N 419.405 4.837 5 20 HJBD Cc1ccccc1-n1ncc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1C(F)(F)F 1324019235 LUOFYHVORIVZQQ-UHFFFAOYSA-N 415.331 4.825 5 20 HJBD CCOc1cc(NC(=O)Nc2ccn(C3CCCCC3)n2)c([N+](=O)[O-])cc1OCC 1326477000 NIWBMRSRLKWSOX-UHFFFAOYSA-N 417.466 4.738 5 20 HJBD Cc1cc(C)c(Br)c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c1 1341445214 KXXBEWQXLJBETM-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD COc1ccc(NC(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])c1 1344472844 MTQXCZCSHRMGCC-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2sc(-c3ccccc3)nc2C)c([N+](=O)[O-])c1 1526863281 RCAABBCBUJMFQL-CYBMUJFWSA-N 412.471 4.918 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)c1 1790281427 COBWJTHQCCQKLP-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD CC[C@H](C)[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5680582 NYMVRBOPQMRPMP-DJJJIMSYSA-N 404.850 4.560 5 20 HJBD COc1cccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)c1OC 6085273 HDBBLHDINOKMOP-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 15419522 YNSGWGWCIVLIID-CYBMUJFWSA-N 404.850 4.921 5 20 HJBD CCOc1ccc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 16168362 QKOJAQOGLYJPMS-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cc2nc3ccccc3s2)cc1 17588412 OCRIYGRPQVSGSX-UHFFFAOYSA-N 403.463 4.977 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(OC(F)(F)F)cc1 31330039 AWYBQRMUXCSBOO-LBPRGKRZSA-N 414.405 4.633 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2ccccc2F)c([N+](=O)[O-])cc1OCC 47393937 BEWMYSQXTUAWDJ-ZDUSSCGKSA-N 405.426 4.756 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 50297650 NDHSXOGLOKEINW-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1cccc(F)c1)C[C@H]1CCCO1 51190657 XVGOKLHUJKHXDI-MRXNPFEDSA-N 407.829 4.600 5 20 HJBD CCCCOc1cccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 53178318 AOXOFNLLNFLCGS-UHFFFAOYSA-N 410.373 4.636 5 20 HJBD O=C(Nc1ccccc1CN1CCSCC1)c1cc2cc([N+](=O)[O-])ccc2s1 138542327 YHCGEZDNXMOEGV-UHFFFAOYSA-N 413.524 4.611 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3cc(F)ccc3OC)o2)c([N+](=O)[O-])c1 237439818 XATAQSQTEUJRCL-CYBMUJFWSA-N 400.406 4.862 5 20 HJBD COc1cc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Br)ccc1OC(C)C 301522046 HHNYMLNLILFSEV-LLVKDONJSA-N 410.268 4.721 5 20 HJBD CNC(=O)c1ccc(N2CCCC[C@H]2c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])c1 302220600 MWZXBKIEQRHROB-INIZCTEOSA-N 407.392 4.705 5 20 HJBD CCN(CC)CCN(Cc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 302981707 FKAJSXGIUHMZEI-UHFFFAOYSA-N 404.898 4.624 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303946230 ZNUJMOPSTYJWTD-BBRMVZONSA-N 411.458 4.876 5 20 HJBD CC(C)(C(=O)NCCCCc1nc(-c2cccnc2)cs1)c1ccc([N+](=O)[O-])cc1 425927656 XCDSATRSUQBMRK-UHFFFAOYSA-N 424.526 4.530 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])s2)c1Cl 426884076 WLSRGKOVVCEIBF-UHFFFAOYSA-N 419.894 4.703 5 20 HJBD COc1ccc(CN(CCc2ccccn2)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1 431430677 CTAOZGLIAJYYBU-UHFFFAOYSA-N 420.469 4.584 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1ccc(C(C)(F)F)cc1)c1ccccc1[N+](=O)[O-] 431665100 CNFCATZQLUPFRO-KRWDZBQOSA-N 420.412 4.519 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)c1[N+](=O)[O-] 436603566 LYWFRACJGUZLCW-UHFFFAOYSA-N 423.454 4.676 5 20 HJBD O=C(Nc1cc(C2CC2)nn1-c1ccccc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437374930 QDVDRVPQLZTNGX-UHFFFAOYSA-N 417.469 4.854 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 437391179 LVCMSJHMMQLQEQ-CZIWCDLHSA-N 404.416 4.636 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236395 ZSJPDVBKBSGJDO-UKRRQHHQSA-N 402.416 4.780 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1sc(Br)cc1[N+](=O)[O-] 444836615 QLTZQWAFXZFDST-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(OCc2ccccn2)c(Cl)c1 446083844 UTVYTIQBTFMDOH-UHFFFAOYSA-N 411.845 4.794 5 20 HJBD Cc1cc(NCc2cccc(CN3CCCC3=O)c2)c(C(F)(F)F)cc1[N+](=O)[O-] 461767561 LROKXDXJIHHBHK-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Sc3nnc(-c4cccc5ccccc45)o3)cc2N1 462856018 UAZFGOCQWDJUNS-UHFFFAOYSA-N 418.434 4.834 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 463966821 VIDBVGUSMVHDDR-UHFFFAOYSA-N 402.398 4.744 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1c(Br)cccc1Br 466354334 BSZQLSUUDRJONC-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOc1cc(NC(=O)NCc2cc(F)ccc2SC)c([N+](=O)[O-])cc1OCC 466788035 IIJRWNCJMXUYHY-UHFFFAOYSA-N 423.466 4.575 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1cccs1)CC(F)(F)F 470790221 XBNZLTMSTGVKPV-UHFFFAOYSA-N 412.311 4.880 5 20 HJBD COc1c(C(=O)Nc2cccc(Cl)c2SCC(F)F)cccc1[N+](=O)[O-] 476075296 ZGQMKPQCXBRKNC-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1c(Cl)cccc1[N+](=O)[O-] 478768727 OQTHQWHQQZRUCQ-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OC(F)F)c3cccnc23)cc1[N+](=O)[O-] 479256651 HBNQEBINEVAXOP-UHFFFAOYSA-N 405.382 4.719 5 20 HJBD O=C(N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1Br 479370574 CYWBBTANJZWPSO-HNNXBMFYSA-N 419.250 4.862 5 20 HJBD CCC[C@H](NCc1cn2cc(Br)ccc2n1)c1cccc([N+](=O)[O-])c1 480901239 PRGHUKOPHOYEAE-KRWDZBQOSA-N 403.280 4.636 5 20 HJBD Cc1cc2n[nH]cc2cc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 481307453 CUWPNUVZPWMVHN-CQSZACIVSA-N 416.441 4.600 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCN(C)C)cc1 484336097 RCHNJMCGCOTROP-UHFFFAOYSA-N 417.531 4.536 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 489241755 WUCWQUXBDDRTLB-MRXNPFEDSA-N 404.470 4.952 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494425718 NBXIWAMOZIBADV-NFBKMPQASA-N 423.513 4.745 5 20 HJBD COc1cc(C(=O)N(C)[C@H](c2ccccc2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 496261260 DTGZXESTNOFOGF-SFHVURJKSA-N 408.401 4.674 5 20 HJBD CCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cnn1-c1ccccc1 498271738 JAGKMHJLQSTALH-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccc(C)c2)Cc2ccco2)cc1OC 499582149 PZOXTTJNQRCTMH-UHFFFAOYSA-N 424.453 4.746 5 20 HJBD C[C@H](NC(=O)N(Cc1csc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 502484363 GKEZXLBAJOWRAY-NSHDSACASA-N 424.320 4.854 5 20 HJBD CCn1c(SCc2nc3ccccc3n2C)nc2cc3ccccc3cc2c1=O 504263107 CFOWJIFWMXLKCG-UHFFFAOYSA-N 400.507 4.749 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2ccc(OC)c([N+](=O)[O-])c2)n1 508596613 UBCCIQISYOBHBF-INIZCTEOSA-N 417.849 4.865 5 20 HJBD CC(C)n1nccc1[C@H]1OCC[C@@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 512343517 PMYSQBQZVPUGKH-RXVVDRJESA-N 412.515 4.721 5 20 HJBD Cn1ccnc1[C@@H](NCCc1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 513756714 ZSJQLLDVGCONCK-SFHVURJKSA-N 405.285 4.557 5 20 HJBD CCC(=O)c1ccc(OCCCCC(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1 513839160 FQIJHIRKTPNNRZ-UHFFFAOYSA-N 410.470 4.716 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 513984046 XSLIFWBZSDQUDH-JKSUJKDBSA-N 417.893 4.810 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 518836123 BPHOUZJEQRMZMZ-KBPBESRZSA-N 406.866 4.680 5 20 HJBD O=C1CCCCN1CCCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520228840 NAUJEZPTDAKVSY-UHFFFAOYSA-N 417.893 4.533 5 20 HJBD COc1cc(C(=O)Nc2cc(C3CCCCCC3)[nH]n2)c([N+](=O)[O-])cc1OC(F)F 522858607 YTEHKHJJPQCWJH-UHFFFAOYSA-N 424.404 4.618 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 522940134 VZXINFFQAODNNK-UHFFFAOYSA-N 412.467 4.867 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCN1C 525616575 SWEQABGRNBETOS-HIFRSBDPSA-N 419.934 4.612 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)ccc2OCC(F)(F)F)c1 531453117 BIFDLTCSAYMTNQ-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Sc3ncccn3)cc2C)c1 537274514 APYDAFXHLSURMC-UHFFFAOYSA-N 424.482 4.560 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n([C@@H]2C[C@H]3CC[C@H]2C3)n1 538207913 KCUKGTRPXWNFPA-CMKODMSKSA-N 411.506 4.903 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)c(F)c2)C1 538273942 RJVRIVLNJOSCLB-SFHVURJKSA-N 422.453 4.587 5 20 HJBD CN(C(=O)Cc1cccc(OC(F)F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452165 YCMSGANFJHVZSB-UHFFFAOYSA-N 419.409 4.525 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(c1ccccc1)c1ccccc1 541627995 BFPOZDVAZPYESS-QGZVFWFLSA-N 414.465 4.955 5 20 HJBD C[C@@H](c1cccc(C(=O)c2ccccc2)c1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 544914960 ZVNUAJLYKYIXHU-INIZCTEOSA-N 413.433 4.951 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccccc3OC(F)(F)F)no2)c1 545587166 UCRZQYPRDDIMHS-UHFFFAOYSA-N 404.304 4.546 5 20 HJBD C[C@H](c1nc(Cc2ccccc2Br)no1)c1ccc([N+](=O)[O-])cc1F 546286637 ZMOYTVLKMWNKKA-JTQLQIEISA-N 406.211 4.622 5 20 HJBD COc1cc(Cc2noc(-c3ccc(NC(=O)CC(C)C)c(C)c3)n2)ccc1[N+](=O)[O-] 546470640 BUBVLQKKEZUOIJ-UHFFFAOYSA-N 424.457 4.537 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC(Cc2ccc(F)c(F)c2)C1 548380614 AXWQPKCTMUVFAD-UHFFFAOYSA-N 406.454 4.688 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 552041863 NOCKPCGSCFOBOW-KRWDZBQOSA-N 418.293 4.603 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 559187033 VAVIGGDPRYCXEG-IRXDYDNUSA-N 400.784 4.887 5 20 HJBD O=C(N[C@@H](CCO)c1ccc(Cl)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2o1 561330731 QWHPRRGPSJPQBH-HNNXBMFYSA-N 409.225 4.501 5 20 HJBD O=C(NCCCc1cccc(Cl)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 561500497 VVKLRVVWMTUONR-UHFFFAOYSA-N 416.783 4.552 5 20 HJBD Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 566931486 UFWOKNWARLYVOO-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3ccc(Cl)s3)no2)ccc1[N+](=O)[O-] 584258584 ZBWAYOAKOCQAME-ZDUSSCGKSA-N 418.862 4.645 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2-c2ncc3n2CCCC3)c1 590536913 FNVRTEKXJKCTEP-UHFFFAOYSA-N 420.469 4.511 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1)C1CCCCC1 604118556 LNBHAUPSYIPWJN-UHFFFAOYSA-N 421.453 4.998 5 20 HJBD O=C(c1cc2c(cc1[N+](=O)[O-])OCO2)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608945702 XHLMCSLVCIVEFA-HNNXBMFYSA-N 422.359 4.710 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1ccc([N+](=O)[O-])cc1Br 610041108 SJWMPWLJVUGFMN-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1ccc([C@H](NC(=O)Cc2ccccc2[N+](=O)[O-])c2ccccc2Cl)cc1 610055144 HAJOKMYHDZLTOY-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)c2ccccc2C(F)(F)F)n(-c2ccc([N+](=O)[O-])cc2)n1 610222113 HHOQAPLYOXGWRM-ZDUSSCGKSA-N 418.375 4.850 5 20 HJBD CCCOc1cc(NC(=O)N[C@@H](C)c2cnccc2C)c([N+](=O)[O-])cc1OCCC 611302165 VGBGQQOQPHOHDC-HNNXBMFYSA-N 416.478 4.759 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4ccc5ccccc5n4)no3)cs2)c1 612893690 INILPBDGBNJCOD-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD CC1CCN(c2ccc(CNC(=O)c3cc4ccccc4c4cccnc34)cn2)CC1 614005297 KKNDVOREJJABDA-UHFFFAOYSA-N 410.521 4.949 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1c(Cl)cccc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 726028092 AJIRLMSKVLTQEV-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)c1cc(F)cc([N+](=O)[O-])c1 731529122 MNOHVCZCOPFHFR-UHFFFAOYSA-N 402.422 4.776 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)cc2)nc1 733235291 DRUMWBKKGKPAFF-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(OCCc2ccccc2)CC1 743278086 KYBBMFIYMSUPGA-UHFFFAOYSA-N 403.866 4.504 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)cc1 744491633 RPQHGUUFVOQYDT-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1cc(F)ccc1[N+](=O)[O-] 744883734 YUYCRUDLGJPOHJ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1cc(Cl)cc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745344932 CWDOSYFGRAXZIX-NZVBXONLSA-N 423.252 4.576 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746063969 QMPWDUNKYWLQDC-SECBINFHSA-N 408.197 4.870 5 20 HJBD C[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cl)cc2)no1 746065079 YGQVAVPWOBDADN-LLVKDONJSA-N 419.846 4.695 5 20 HJBD CC(C)(C)c1cnc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)s1 755015217 WSUKKJUBOBKJAF-UHFFFAOYSA-N 402.245 4.503 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCCC(C)(C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 756267261 YCIJREUEOYINPF-NEPJUHHUSA-N 416.396 4.700 5 20 HJBD COc1cc(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)ccc1[N+](=O)[O-] 762993252 KLYWCPSPWPMTEL-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD CCc1ccc2c(c1)[C@H](OC(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCO2 765161589 HMJFYAKNENUPEJ-XMMPIXPASA-N 413.473 4.784 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2cc(C)on2)cc1OCc1ccc([N+](=O)[O-])cc1 768438043 OYSGLHILPCFAQD-OAQYLSRUSA-N 423.469 4.816 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1csc([N+](=O)[O-])c1 768816694 NDLKXBRIPSZDLP-JTQLQIEISA-N 420.434 4.589 5 20 HJBD CCCN(C(=O)Nc1cccc([N+](=O)[O-])c1C)C1CCN(C(=O)OC(C)(C)C)CC1 768952958 SRGXEUMFDQCDFG-UHFFFAOYSA-N 420.510 4.547 5 20 HJBD COc1ccc(Br)c(CC(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)c1 769211380 CFPSUMFEKGXPNJ-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCCC(=O)Nc1cc([N+](=O)[O-])ccc1F 769992311 VYIXEZCRWSPDBH-QGZVFWFLSA-N 413.371 4.654 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770009643 KZAXWAZWHHQMLM-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])c(Cl)c1 778140026 WROBGWGHHWROPN-LLVKDONJSA-N 411.241 4.569 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 782167094 MRVZTQSYHWBSQM-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD O=C(CSc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])OC1CCCCC1 784630621 RHGYJXIYXUNANY-UHFFFAOYSA-N 414.352 4.612 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)Nc1ccccc1Cc1ccccc1 795261987 PNXIJBYOOURZNU-UHFFFAOYSA-N 414.820 4.996 5 20 HJBD Cc1cc(C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)nc(-c2ccc(Cl)cc2)n1 803404290 LLSHZVYIQZEHDJ-UHFFFAOYSA-N 419.771 4.649 5 20 HJBD COCCCOc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 811506635 YWYOUGRBIVIISX-UHFFFAOYSA-N 415.273 4.938 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](CC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccccc1 813244567 HWPKBHCZZKMXOA-HNNXBMFYSA-N 419.865 4.843 5 20 HJBD O=C(NC[C@@H](O)c1cc2ccccc2s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813478683 KQHUNGJQCGPUKW-CYBMUJFWSA-N 411.266 4.580 5 20 HJBD O=C(NCc1ccccc1N1CCCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813511469 LLDXCXUJSDOWBA-UHFFFAOYSA-N 408.285 4.822 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc3c(Cl)cccc3s2)cccc1[N+](=O)[O-] 856293455 YGNAXMQUBAPFOR-NSHDSACASA-N 418.858 4.955 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N[C@H](c1ccccc1)C1CCCCC1 915782662 BTRJLWUCRANUAU-LJQANCHMSA-N 415.877 4.625 5 20 HJBD COc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 916431480 HKFYMLCCRVVZRX-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1c(NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)cccc1-c1nnc2n1CCCCC2 917677809 YGCBQCCWSQZBQV-KAMYIIQDSA-N 417.469 4.540 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCC1)c1cc([N+](=O)[O-])ccc1Cl 917800456 LLHRHTWJKWKMBH-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 919522722 HPAQXCXKOTWPFI-KGLIPLIRSA-N 409.442 4.774 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CCCOc1cccc(Cl)c1Cl 921149348 QNOGPOANJXZMBL-UHFFFAOYSA-N 400.214 4.675 5 20 HJBD Cc1cc([C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)o1 1318016051 HDCAHPHFBOYTGI-JTQLQIEISA-N 402.394 4.793 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1cccc(Cl)c1F 1318796992 KTKHWTFOOUHUQM-UHFFFAOYSA-N 422.787 4.815 5 20 HJBD CC(C)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(C(F)(F)F)cc1 1320621517 WIMFMBPJMKEFEY-UHFFFAOYSA-N 407.392 4.617 5 20 HJBD CCOc1cc(NC(=O)N(CCc2ccccc2)C(C)C)c([N+](=O)[O-])cc1OCC 1321553881 CUSXXOVBIJPHJP-UHFFFAOYSA-N 415.490 4.877 5 20 HJBD C[C@@H](OC(=O)c1cccc(C(F)(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841040 GECHLQOGHVDHOH-SNVBAGLBSA-N 407.304 4.582 5 20 HJBD CC(C)N(C(=O)COC(=O)c1ccc([N+](=O)[O-])o1)c1ccc(Nc2ccccc2)cc1 10922372 UMBQWXXJKJYINK-UHFFFAOYSA-N 423.425 4.530 5 20 HJBD O=C(Nc1nc(-c2cc3ccccc3o2)cs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 12493719 BXQZKOKJZUTRTO-UHFFFAOYSA-N 410.367 4.625 5 20 HJBD Cc1nc2ccccc2c(C)c1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 19621341 MKGXIJVUWLKTTF-AWEZNQCLSA-N 418.409 4.728 5 20 HJBD Cc1c(NC(=O)CCN2CCC(c3nc4ccccc4s3)CC2)cccc1[N+](=O)[O-] 26227655 TXHASWOAHLSXQW-UHFFFAOYSA-N 424.526 4.721 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1Cl 29508977 BMTVFTDEFKKIGQ-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 63613559 CSSVHVAWJAHBCN-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[nH]n1 65318111 AZCCSWBUYMEPCP-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD Cc1cccc(COc2ccc(NC(=O)CCn3nc(C)c([N+](=O)[O-])c3C)c(C)c2)c1 78554617 TWSYTMQVEXWFBI-UHFFFAOYSA-N 422.485 4.633 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3ccc([N+](=O)[O-])c4cnccc34)o2)c1 105330703 VAKJHOHZXLMCIL-UHFFFAOYSA-N 408.439 4.873 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2c2ccc(C)s2)c([N+](=O)[O-])cc1OC(F)F 261490447 ZZQMXYWMYDAYPK-LBPRGKRZSA-N 412.414 4.552 5 20 HJBD CC(C)(C)C[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(F)cc1 301920632 CZHCBLWHTVEJQW-CYBMUJFWSA-N 400.497 4.788 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 303150420 SLWKATOHLMVSDC-MRXNPFEDSA-N 419.275 4.652 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](c3ccccc3C)C[C@H]2C)cc1[N+](=O)[O-] 303726625 CHFSTTVHTZBIIX-SJLPKXTDSA-N 414.527 4.550 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ncc(Cc2cccc(C(F)(F)F)c2)s1 303927424 PZVXUTGJFCEHOM-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1 427461896 FSSUKSKHILWAIQ-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3ccc(O)c([N+](=O)[O-])c3)s2)cc1 436972872 VLXPMSDLKVOEHK-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD Cc1cc(O)c(C(C)C)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 439230841 HKQGRHUNXKXTII-UHFFFAOYSA-N 400.478 4.931 5 20 HJBD C[C@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 439635105 ACUHXVNPEYUKJX-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@@H]3c3cc4ccccc4[nH]3)o2)cc1 440322581 YDRRAKAGWGBDKG-HXUWFJFHSA-N 403.442 4.853 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2Oc2ccccc2)cc([N+](=O)[O-])c1OC 440615522 RKJUPBDYNFNZAR-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD CCOc1cc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1O 445414629 YQKZCFVIXQDRKO-UHFFFAOYSA-N 403.822 4.728 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3cccc(-c4nc(C)cs4)c3)n2)c1 445581091 XRJVSYZIMOLRNC-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=C(Nc1nc(-c2ccccc2OC(F)F)cs1)c1ccc(F)cc1[N+](=O)[O-] 466325181 KGNOKJPJFXAQCF-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCCO)N1CCc2c(-c3ccccc3)cccc21 468588762 KUXLKDZAFWFYNT-UHFFFAOYSA-N 420.490 4.549 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 470116824 WPGHJGPEEDTLQQ-CQSZACIVSA-N 410.405 4.591 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc(Br)cc1[N+](=O)[O-] 471515887 VEGGGUBLGNDSTR-WFASDCNBSA-N 407.239 4.563 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C/c1ccc2cc([N+](=O)[O-])ccc2n1 478336825 FOCHBZUXTLVYAS-SOFGYWHQSA-N 402.859 4.760 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCCC[C@@H]3c3ccncc3)cc2[N+](=O)[O-])CC1 479197432 QRWZGNZZKSBESZ-OAQYLSRUSA-N 422.529 4.984 5 20 HJBD O=C(NCCc1cccnc1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 483974595 MLXWZNDRXMITBM-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(F)cc1OC(F)F 488519540 GRDPBGYTCTZZJT-UHFFFAOYSA-N 423.269 4.676 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2CCC(C)(c3ccccc3)CC2)cc1SC 489243618 XVTOHNSDTIEXKJ-UHFFFAOYSA-N 414.527 4.956 5 20 HJBD CCn1ncc2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc21 490179133 VUPGZEWOYDRDCW-UHFFFAOYSA-N 415.453 4.829 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F 494222287 FAEXTLAGBQSYRL-CQSZACIVSA-N 408.813 4.588 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496446250 HDUCNEUJJSQFSZ-GOSISDBHSA-N 403.410 4.581 5 20 HJBD CCNc1ccc(C(=O)N(CC)[C@H](c2ccc(C)cc2)c2cccnc2)cc1[N+](=O)[O-] 497892778 WIBAUXOGJUHYFD-HSZRJFAPSA-N 418.497 4.982 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)N[C@@H](c1ccc(F)cc1)C1CCCC1 504509746 OTLWICLBTUZFIQ-HSZRJFAPSA-N 422.460 4.645 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(F)c(Br)cc2F)cc1[N+](=O)[O-] 511074798 FTEWJEUNYYABHS-VIFPVBQESA-N 414.206 4.827 5 20 HJBD O=C(c1cccc(Br)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 515198483 VQVIXPXTRNKSRD-UHFFFAOYSA-N 417.181 4.562 5 20 HJBD CC1(C)Oc2ccc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)cc2O1 515427755 AJTDCUYXYNLPIE-UHFFFAOYSA-N 419.437 4.967 5 20 HJBD O=C(c1cc2ccccc2n1Cc1ccccc1F)N1CCc2ccc([N+](=O)[O-])cc21 515748138 QCSNDSHAPXKFQW-UHFFFAOYSA-N 415.424 4.940 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cn1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 515991448 CRPDHBRJFAYOGL-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@@H]2CCCC[C@@H]12 516111465 UKRLWBIGVFYYFY-IVMMDQJWSA-N 400.397 4.577 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@H](c2ccccc2Cl)OC[C@@H]1C 518365715 MCPFSQDSOBWXID-CKFHNAJUSA-N 420.918 4.717 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1C[C@@H](c2ccccc2Cl)OC[C@H]1C 518365718 MCPFSQDSOBWXID-TYILLQQXSA-N 420.918 4.717 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1C 521749849 VYTWHRCFPGJESH-UHFFFAOYSA-N 420.874 4.854 5 20 HJBD CC[C@H](COC)NC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 524110570 BRKIYUUQNFIYML-CYBMUJFWSA-N 417.512 4.511 5 20 HJBD CCc1ccc(O)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 531305282 UKRRTWMWLCWHEY-AWEZNQCLSA-N 406.442 4.683 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](c1cccc(Cl)c1)c1ccccn1 534726734 YNLNPAWPSXXBMK-JOCHJYFZSA-N 420.856 4.573 5 20 HJBD Cc1cc([C@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])C2CC2)ccc1F 535485524 WFRODYFMURKBDO-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(OCc2ccccn2)c(F)c1 536726928 ZMEFMVVPYIZTBK-UHFFFAOYSA-N 409.417 4.885 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1nc(-c2ccc(F)cc2)cs1)c1cccc([N+](=O)[O-])c1 539279449 IDEHAYMPQDHQCH-QWHCGFSZSA-N 414.462 4.535 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(OC(F)F)cc(OC(F)F)c1 539517298 ZHPDSRDOQGUNIT-UHFFFAOYSA-N 402.300 4.667 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccccc3n2Cc2ccccc2C)c1 540001880 PHLTUBKIMDTMQX-UHFFFAOYSA-N 416.437 4.562 5 20 HJBD C[C@H](C(=O)Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])cc1F 541622053 LQUNUUNZDAJLLB-AWEZNQCLSA-N 418.490 4.888 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ncc(-c2ccccc2)o1 541641950 GRRDUZVIRQMFMD-HNNXBMFYSA-N 404.426 4.798 5 20 HJBD C[C@H](O)CN(C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C1CCCCC1 542678379 SJXWEYGCIXQQPC-INIZCTEOSA-N 413.474 4.935 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 543263152 UNRXHYWEUQWDJV-MRXNPFEDSA-N 406.891 4.713 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 545122703 AZLKOLGQPKUKBR-JXFKEZNVSA-N 418.440 4.529 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C 551343014 PSDNFMBXAQVKDC-XMDUPGNXSA-N 417.531 4.812 5 20 HJBD CC[C@@](C)(COc1ncccc1Cl)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 552155694 AEOLHBWORCMFQQ-FQEVSTJZSA-N 416.865 4.636 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)Cc1ccc([N+](=O)[O-])cc1 552457786 DYYZLWMISWLPSE-UHFFFAOYSA-N 420.309 4.834 5 20 HJBD COc1cc(Cc2noc(-c3c(-c4ccccc4C)noc3C)n2)ccc1[N+](=O)[O-] 554815853 BEQFEYBXKMHCDM-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1 555460971 GBGHGIRXGVMISW-IAGOWNOFSA-N 402.319 4.512 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 559233945 JCNARNDWCFDPAG-UHFFFAOYSA-N 423.391 4.528 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@@H]1C[C@@H]1C 561653964 BHCLHKCDKKFAMG-APPDUMDISA-N 421.375 4.627 5 20 HJBD CCn1ncnc1CNc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 565923421 QIVBQFIBDJBQBV-UHFFFAOYSA-N 406.368 4.581 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 566373553 KILJNHPJYRXJIJ-QFBILLFUSA-N 410.392 4.687 5 20 HJBD CCOc1ccc([C@H](C)NCc2c(OC)cccc2OC(F)(F)F)cc1[N+](=O)[O-] 571457718 LVXIZFJONHBNLN-LBPRGKRZSA-N 414.380 4.752 5 20 HJBD C[C@@H](C(=O)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1cccc([N+](=O)[O-])c1 580610024 JQSKLSFNTIXKPL-CRAIPNDOSA-N 421.522 4.744 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC=C(c2ccncc2)C1 582597565 TUSVXDWUTWJKDB-UHFFFAOYSA-N 401.422 4.712 5 20 HJBD Cn1cc(C(=O)Nc2cc(C(F)F)ccc2Cl)c(-c2ccc([N+](=O)[O-])cc2)n1 584554740 WTYGFHORNGKZLU-UHFFFAOYSA-N 406.776 4.839 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])cc2)nn1 590120575 AKQIZVMJKUGEHO-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc([N+](=O)[O-])c(C)c1 609673972 NPTPNDQCIZGYQE-ZDUSSCGKSA-N 416.421 4.694 5 20 HJBD COc1cc(CN2CCSC[C@@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 609706121 ZTIFNBPPNMUGQE-QGZVFWFLSA-N 424.469 4.803 5 20 HJBD COc1cccc(C[C@@]2(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])C[C@H]3CC[C@H]2C3)c1 609784128 AQJUFLVCLSJPHC-VAXXYWNWSA-N 408.498 4.611 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)N1CCCc2ccc([N+](=O)[O-])cc21 609859536 OEIARHFUAFRXMF-UHFFFAOYSA-N 401.875 4.770 5 20 HJBD Cc1c(Cl)ccc2c1N(C(=O)C1CCN(c3ccccc3[N+](=O)[O-])CC1)CCC2 609870703 WSQYEEQVRGZQSI-UHFFFAOYSA-N 413.905 4.752 5 20 HJBD COc1ccc(Br)cc1SCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 610167584 NUXPWCZMIIOTHT-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCOCC2)cc1 726757231 JWZWUKCYZLPFIY-UHFFFAOYSA-N 415.515 4.574 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cn1 727708766 HDGQUTIIWGVJOJ-UHFFFAOYSA-N 415.352 4.630 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Nc2ccc(F)cc2)c2ccncc12 732107811 ZFCFXZHEBLXHSJ-UHFFFAOYSA-N 405.389 4.617 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCC(F)F 733422104 MCZDGPYUEUYNPT-UKTHLTGXSA-N 404.394 4.944 5 20 HJBD Cc1nc(-c2ccccc2F)sc1[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 734735965 QUTGPVAJMQNNEC-CYBMUJFWSA-N 416.430 4.849 5 20 HJBD CCc1ccc(OC(=O)C2CCC(NC(=O)CC3CCCCC3)CC2)c([N+](=O)[O-])c1 734740640 XVMBHAXRPFCJIX-UHFFFAOYSA-N 416.518 4.708 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](O)c2cc(Cl)cc(Cl)c2)o1 735676992 MQFYDCWRTFMUQN-SFHVURJKSA-N 407.253 4.985 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cc(F)ccc2SCC(F)F)cc1[N+](=O)[O-] 737611747 QEJMFVIBGQFSNS-UHFFFAOYSA-N 415.349 4.560 5 20 HJBD CC[C@@H](CC(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccc(C)cc1 739173459 QNPXFZPJZXLKCJ-IBGZPJMESA-N 411.502 4.642 5 20 HJBD Cc1sc(NC(=O)c2cccc(-c3cnn(C)c3)c2)nc1-c1cccc([N+](=O)[O-])c1 741543739 IKIKTZBJCLLBJK-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1ccc([N+](=O)[O-])c(F)c1 744943439 MXTUAEBRMQJTEJ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@H](C)c1ccccc1C(F)(F)F 745220606 BECWQIHXOXYPQH-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD Cc1ccc(C[C@H]2CCCN(C(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)C2)cc1 748731937 FBTUUKLLXWECOQ-GOSISDBHSA-N 411.458 4.523 5 20 HJBD CCOc1cc(COC(=O)Cc2sc(C)nc2-c2cccs2)ccc1[N+](=O)[O-] 755521423 XQNLCPJOVSFMND-UHFFFAOYSA-N 418.496 4.773 5 20 HJBD CCc1ccc(C(=O)N(Cc2ccccc2)C[C@@H](O)c2ccsc2)cc1[N+](=O)[O-] 757080258 DNBQOXYZCIHBSI-OAQYLSRUSA-N 410.495 4.595 5 20 HJBD COCCN(C(=O)c1cc(Cl)cs1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 757813183 KNQHETYTRAQBHM-UHFFFAOYSA-N 423.903 4.726 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2cccc([N+](=O)[O-])c2Br)c1 757983198 QHHQHDQPXHLMHJ-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758227643 SPKMZZYWRGMBGR-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CSc1ccc(-c2nc([C@@H](NC(=O)OC(C)(C)C)C(C)C)no2)cc1[N+](=O)[O-] 762027681 PEZMMNISVKBDDD-AWEZNQCLSA-N 408.480 4.589 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 762092887 YYOUZVDPNZAHTK-UHFFFAOYSA-N 421.453 4.764 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)s2)cc1OC 766588631 BJNZIOITCVGXFD-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1cc(Br)cc([N+](=O)[O-])c1 770436676 LESLFJSXYOETAE-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cc1cccc(OCc2cccnc2)c1 778840643 PZLWCHCLFPLXIJ-KRWDZBQOSA-N 406.438 4.724 5 20 HJBD O=C(Nc1nc(-c2ccc(F)cc2)ns1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780683650 MIKSMWRNRBYEEQ-UHFFFAOYSA-N 416.437 4.591 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc2[nH]c3ccccc3c(=O)c2c1 781260517 VKBBHVBVPCEMAE-UHFFFAOYSA-N 408.797 4.600 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCC2(SC)CC2)cc1[N+](=O)[O-] 799685892 ICIAKTBISPCGGW-UHFFFAOYSA-N 417.508 4.600 5 20 HJBD Cc1c(C(=O)N(C)c2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 809320982 NTTVJYJGDVWBSV-UHFFFAOYSA-N 417.727 4.760 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccco1 809922859 SJVOTSKHLPSPIG-GOSISDBHSA-N 401.806 4.761 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 825187959 JUNCKZFHQKZATH-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1cccc(OC[C@H]2CCCO2)c1 864026599 SHHFXFWCHKLRGV-OAHLLOKOSA-N 407.264 4.527 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1coc(-c2cccc(Cl)c2)n1 914581086 ULISTGPIMPWYQO-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD O=C(Cc1ccccc1)Nc1cc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1F 917575862 DCIGSWLNHVHEKK-UHFFFAOYSA-N 424.457 4.724 5 20 HJBD O=C(OCc1cccc(C(=O)N2CCCCC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 917966013 ZMGLDCGVNNXDPZ-UHFFFAOYSA-N 424.478 4.793 5 20 HJBD Cc1cc(C(=O)Nc2ccccc2C(=O)N[C@@H](C)c2ccccc2)cc([N+](=O)[O-])c1 920840155 KWGWCSOKLAZPON-INIZCTEOSA-N 403.438 4.647 5 20 HJBD O=C(Nc1nnc(-c2ccncc2)s1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 1322656789 XPMAICQJDYTNRE-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1324890501 OQKUCNSHZDCBJD-OAHLLOKOSA-N 424.526 4.991 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)o1 1341308427 MEACFHDEPYCOBT-UHFFFAOYSA-N 424.457 4.613 5 20 HJBD COc1ccc(NC(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)c([N+](=O)[O-])c1 1345875168 BVZFQEKOJGKLGR-UHFFFAOYSA-N 413.474 4.802 5 20 HJBD O=C(COc1ccc(Cl)cc1Br)Nc1cccc([N+](=O)[O-])c1Cl 1347460292 KSDJPGSAQPREHF-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)n2)cc1 6235207 PGCLLQBQUXRXCA-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCc3cc(C(=O)O)ccc32)cc1[N+](=O)[O-] 13448028 ZBGLXZNRHOYSDO-UHFFFAOYSA-N 414.483 4.634 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(Cc3csc(Cc4ccc(Cl)cc4)n3)n2)c1 60370999 YQCGZMIYCLLVJE-UHFFFAOYSA-N 411.874 4.602 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)no1)C(=O)c1ccc([N+](=O)[O-])cc1 63633583 ARWFOBGXNMPWEO-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C1CC1)[C@H]1CCc2ccccc21 64808979 WHPTZHUYZZHCFV-UGSOOPFHSA-N 400.862 4.694 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c2ccccc2)cc1 104823565 NYAYEHKHSQOESR-HSZRJFAPSA-N 417.465 4.697 5 20 HJBD CCCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)[C@H]1CCc2ccccc2C1 108917324 NFZFEOCDTTUTKA-NRFANRHFSA-N 407.514 4.605 5 20 HJBD O=[N+]([O-])c1ccc2sc(/C=C\c3cnc4ccc(Br)cn34)nc2c1 210213793 DAILYUNULXLUAI-UTCJRWHESA-N 401.245 4.785 5 20 HJBD C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303933968 XPDWVHVTGKSISI-PKOBYXMFSA-N 414.480 4.698 5 20 HJBD O=C(Nc1ccc(Br)c(C(F)(F)F)c1)c1ccc(F)cc1[N+](=O)[O-] 326749526 KULSYJHJKCPJEK-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)n1 431140171 ULYPADMXQCZBFV-CQSZACIVSA-N 409.446 4.707 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccnc3c([N+](=O)[O-])cccc23)CC1)c1ccccc1 432323288 PSQVNBJPIRSLNU-IBGZPJMESA-N 418.497 4.740 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CC2(CCC2)c2cc(Br)ccc21 433730747 VOGVGDLMYXMXQU-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD CCN(CCc1cccs1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436090004 DDUSUJROCVYJJL-UHFFFAOYSA-N 412.461 4.726 5 20 HJBD O=C(N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 437527145 MQOQVZGXOATUER-YPFXGUDJSA-N 400.303 4.568 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(N2CCCC2)c1 437984602 GFSSXDHARMSLAT-KRWDZBQOSA-N 408.502 4.630 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1cccc2c(=O)c3ccccc3[nH]c12 445136296 OSUIUGKRAGLCPW-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 445675668 NUQUHYMWDLIVSX-QFIPXVFZSA-N 413.477 4.957 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N(Cc1cccs1)c1ccc2c(c1)OCCO2 445716309 OOVQFBRUUMDXFN-UHFFFAOYSA-N 424.478 4.594 5 20 HJBD C[C@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)[C@@H](OC1CCOCC1)c1ccccc1 447588945 VYDDHNFKINONNK-YRUKQIKQSA-N 410.514 4.887 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nncn2CCc2cccs2)c(C(F)(F)F)c1 448111157 KNGADPOOLXOORQ-UHFFFAOYSA-N 414.434 4.802 5 20 HJBD Cn1cc(C(=O)Nc2ccc(OC3CCCC3)c(F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 460435172 IUASLKMSNOPILJ-UHFFFAOYSA-N 424.432 4.708 5 20 HJBD Cc1ccc([C@@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C[C@@H]2CCCO2)cc1 461057309 MTQMAZAAHXTNCK-UTKZUKDTSA-N 421.501 4.538 5 20 HJBD C[C@H](CCc1cccc(F)c1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 463849221 IXVREJIWOPSBID-CQSZACIVSA-N 412.383 4.894 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)nc12 465271928 GMFDTMXUASDGSV-UHFFFAOYSA-N 400.435 4.505 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCCC2)c2ncccc12 471411682 MKOLUCIJEOVKER-UHFFFAOYSA-N 419.485 4.797 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](CC(C)C)c2ccccc2OC)cc1SC 471470764 JSEIXHDZIXWMTI-INIZCTEOSA-N 418.515 4.851 5 20 HJBD Cc1ccsc1-c1nc(=NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)s[nH]1 472250074 MQSAVZWXIZOCGV-UHFFFAOYSA-N 420.541 4.658 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c(C)c1 472713759 NREOANQMYJENRP-LBPRGKRZSA-N 405.451 4.510 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc2cc[nH]c2c1 473571898 PAEZVGAUQBCXQF-UHFFFAOYSA-N 400.438 4.965 5 20 HJBD COC(=O)[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 475136688 HXROZPYYHCLNMA-LJQANCHMSA-N 416.430 4.592 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 475642123 VEHRLYRBIIYDBM-OAHLLOKOSA-N 414.531 4.958 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477698811 GTHYBCOMKCKBDS-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 477755001 RNKFKGKJAUMWQE-GDLZYMKVSA-N 414.414 4.516 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F 479767724 REGQLOJNNCUZAB-ZDUSSCGKSA-N 409.255 4.590 5 20 HJBD COc1ccc(NC(=O)N2CCc3c(Br)cccc3[C@@H]2C)cc1[N+](=O)[O-] 481273045 GIMRKOUVYBQXEE-NSHDSACASA-N 420.263 4.517 5 20 HJBD Cc1c(CNC(=O)c2cccnc2SCc2ccc(F)cc2)cccc1[N+](=O)[O-] 481480490 NDWSZINMMLPAEU-UHFFFAOYSA-N 411.458 4.660 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)c2C(C)C)cc1 481857450 BAWUIUBFNOZHFN-UHFFFAOYSA-N 403.442 4.946 5 20 HJBD COc1ccc([C@@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)C(F)(F)F)cc1 482291333 DBTVZOMQAMVIPH-GOSISDBHSA-N 423.391 4.581 5 20 HJBD CCc1c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cnn1C(CC)CC 482701685 YOJMNUPNZKVDSJ-UHFFFAOYSA-N 416.525 4.913 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3c(Cl)ccc4c3CCO4)cc2[N+](=O)[O-])CC1 484583630 LNRPAMRRLPPEER-UHFFFAOYSA-N 415.877 4.672 5 20 HJBD Cc1nc2c(s1)CCC[C@H]2C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 486445234 YOHPYYWCNHAMTA-CYBMUJFWSA-N 414.512 4.566 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2cccnc2)c(F)c1)c1ccccc1[N+](=O)[O-] 488031186 CWICATVGYZKKKR-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)CC3 491573460 IDONTUAPXJLTSQ-LSDHHAIUSA-N 409.511 4.539 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc21 492934870 BTWLNDHKYJQEIW-OXJNMPFZSA-N 421.501 4.881 5 20 HJBD CCOc1cc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1OC(F)F 502316578 YPZYZWMHIHYDIO-UHFFFAOYSA-N 422.409 4.740 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1-n1cccn1 502744589 QOBORGIFLJHANO-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)cc1 504751480 UUYDUARVKRZDLD-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD CC(=O)Nc1cccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1 509571904 VAIDPPIHAREIIH-UHFFFAOYSA-N 404.470 4.611 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2cccc(COC3CCCCC3)c2)c([N+](=O)[O-])c1 509590898 NXFWAGZKUXOWRL-UHFFFAOYSA-N 404.488 4.553 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc2c(cc1Cl)OCCCO2 509592447 OEAOISZVACTFNG-UHFFFAOYSA-N 419.865 4.852 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)Oc2ccccc2F)nc1-c1cccc([N+](=O)[O-])c1 511077426 ARUBESVSFFIQMZ-LLVKDONJSA-N 401.419 4.572 5 20 HJBD O=C(NCc1noc2c1CCCC2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 516805304 HGMBJADWMDOGED-UHFFFAOYSA-N 409.467 4.543 5 20 HJBD CNc1ccc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)cc1[N+](=O)[O-] 517106119 XFEKQVHELSRENM-UHFFFAOYSA-N 421.501 4.512 5 20 HJBD COc1ccccc1[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)N1CCCC1 518992491 CQRHCEYDROQUKX-JOCHJYFZSA-N 421.497 4.790 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] 522269389 KKKLWQWZGQMBHH-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD O=C(NCc1cccc(NC(=O)Nc2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1 522507386 MFQWGJVEBXCEPU-UHFFFAOYSA-N 405.414 4.561 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2c(ccc(Cl)c2Cl)C1 523206197 SJLJTZNUFPTBIN-NSHDSACASA-N 411.310 4.967 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccccc2Cl)cc1)n1cc([N+](=O)[O-])cn1 523953867 ZSIDYELLZNPIJS-UHFFFAOYSA-N 400.822 4.611 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](c2ccccc2)CC1 524197953 CDGDQPVBYJJBCM-QGZVFWFLSA-N 402.878 4.813 5 20 HJBD CC(C)c1ccc(CNc2cccc(CS(=O)(=O)NC(C)(C)C)c2)cc1[N+](=O)[O-] 533210041 OIVNGTDQIQJGMH-UHFFFAOYSA-N 419.547 4.548 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)NCCc3cccc([N+](=O)[O-])c3)ccc21 533992241 LLSNSLLOHPRHKO-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3cccc4ncccc34)cc2[N+](=O)[O-])C1 536353823 JWKIOVJCHCYNBD-IRXDYDNUSA-N 418.497 4.902 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@@H]2CCCO2)c1 537135107 GEFFNCPMFXXJFJ-SFHVURJKSA-N 416.499 4.905 5 20 HJBD COc1cc(CN[C@H](C)c2cccc(N3CCCC3)c2)c([N+](=O)[O-])cc1OC(F)F 537176497 JYMPHSZNFVGRCZ-CQSZACIVSA-N 421.444 4.656 5 20 HJBD CC(C)C[C@@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 538152118 SAZWVUKUCXNGFB-QGZVFWFLSA-N 409.408 4.590 5 20 HJBD C[C@@H]1C[C@H](NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCN1C 539070429 JSWNJSMXLCXRST-UKRRQHHQSA-N 407.873 4.752 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(OC[C@H]3CCCCO3)CC2)s1 539825614 QZWSOWZHQHIOQV-GOSISDBHSA-N 416.543 4.873 5 20 HJBD COc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1O 542672943 GAKDBKQSUPRRGQ-UHFFFAOYSA-N 402.472 4.844 5 20 HJBD COc1ccc(NC(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)cc1[N+](=O)[O-] 543887054 BLRYAAFODHZJSG-UHFFFAOYSA-N 413.474 4.802 5 20 HJBD COc1ccc(NC(=O)[C@@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 543928504 RMDTVRNDTSWCJT-CABCVRRESA-N 409.467 4.902 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 544447573 IYBKFHDMEDJRLL-CFJPQMKISA-N 406.479 4.523 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(COc3ccc4ccccc4c3)no2)c1 545641949 OGPRSUYQUZAGHF-UHFFFAOYSA-N 400.394 4.713 5 20 HJBD COCCCOc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC 553234713 FTYRJIKUPMIZHI-UHFFFAOYSA-N 416.474 4.569 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 553716451 AFMLDOAALNCAEX-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 558008037 YJMFHPTUBRWDMT-LBPRGKRZSA-N 405.376 4.798 5 20 HJBD COc1cc(C(=O)N[C@H]2CC[C@@H](F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 561670583 TVOGUSGKQFNQIO-KGLIPLIRSA-N 408.813 4.670 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(N3CCCC3=O)c2)no1 561872726 XONRBDXENVYOAE-MRXNPFEDSA-N 406.442 4.508 5 20 HJBD O=[N+]([O-])c1cc2c(Sc3nnc(-c4ccco4)n3-c3ccccc3)ncnc2s1 568425025 KNLRTTOZBYGKFO-UHFFFAOYSA-N 422.451 4.591 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccccc2F)C2CC2)c([N+](=O)[O-])cc1OCC 603651352 HIDXEXOHEUKXMV-UHFFFAOYSA-N 417.437 4.728 5 20 HJBD CCOc1ccc(Oc2ccc(NC(=O)CCn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 608800403 DJHTYCAFOJIUCM-UHFFFAOYSA-N 424.457 4.628 5 20 HJBD CCOC(=O)c1ccc(N(CC2CC2)C(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 609098110 NTBDDXDDZTZRCL-UHFFFAOYSA-N 414.483 4.550 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)n1 609646728 PFDAULAYPYIPKR-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD O=C(c1ccccc1[N+](=O)[O-])N(CC(F)(F)F)c1ccc(Br)cc1 609832297 YFXNYPUAMAPCMT-UHFFFAOYSA-N 403.154 4.566 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(O)nc4ccc(F)cc34)n2)cc1[N+](=O)[O-] 609967421 ZFABAEFLYDPDCW-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD Cc1nn(CCC(=O)N(c2ccc3[nH]ccc3c2)[C@@H](C)CC(C)C)c(C)c1[N+](=O)[O-] 726914726 GBCUMNQNCRNPCG-HNNXBMFYSA-N 411.506 4.747 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H]1C[C@@H]1c1ccc2ccccc2c1 731271965 VNULGZHMZBCLAE-UXHICEINSA-N 415.405 4.645 5 20 HJBD Cc1nc2c(s1)[C@@H](OC(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCC2 733065923 AWKHNBKTENAKBI-HNNXBMFYSA-N 401.469 4.718 5 20 HJBD C[C@](O)(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc(F)cc1F 734004109 NZJNJCJAVDJFGD-FQEVSTJZSA-N 404.438 4.599 5 20 HJBD Cc1c(Cl)ccc2c1N(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)CCC2 736062572 HJKYYONPDSRPSZ-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD CCc1ccc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)cc1[N+](=O)[O-] 747013267 WENRBGYPWPEZJA-ZDUSSCGKSA-N 404.850 4.611 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)o2)c([N+](=O)[O-])c1 749296080 NQEIZMAPXDXOBW-CQSZACIVSA-N 411.414 4.931 5 20 HJBD COc1ccc(COc2ccc(C(C)=O)cc2[N+](=O)[O-])cc1SC(F)(F)F 749818585 ALFCOPMAYJETFG-UHFFFAOYSA-N 401.362 4.997 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])cc2s1 749921121 PKQAQYLPTXXUGR-UHFFFAOYSA-N 418.862 4.849 5 20 HJBD CCOc1cc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1[N+](=O)[O-] 754832882 MDRIVNIPXBDILE-UHFFFAOYSA-N 415.427 4.990 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCC1)c1cc(F)cc([N+](=O)[O-])c1Br 754995910 FHYVZIDSLHVLAI-QGZVFWFLSA-N 407.239 4.768 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764283676 UHICWEDFNFRXDK-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(Nc1ccc2nc(O)[nH]c2c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 767753476 LKJKCTQQYXXDNT-UHFFFAOYSA-N 406.423 4.580 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1ccc(Br)cc1[N+](=O)[O-] 770348237 IMTCLRFVIHFZBZ-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(C[C@@H](O)c3ccc(OCc4ccccc4)cc3)n2)s1 771223277 FTUASCDXKQXCCB-QGZVFWFLSA-N 423.450 4.561 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccn(-c2ccc(Cl)cc2Cl)n1 772216709 AXWYCXGIWJKWRW-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD CC(C)Oc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 774903056 ZADCAVRKUALYAP-ZIAGYGMSSA-N 405.882 4.713 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cc([N+](=O)[O-])ccc1Cl 776257996 WDBKKURIETUPIH-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)c2nc(O)c3c(C)c(C)sc3n2)c1[N+](=O)[O-] 777074297 VMJPGHTVURMNLN-SECBINFHSA-N 419.484 4.562 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1csc(COc2ccc(F)cc2)n1 778755605 BKGYBHLHESXLIS-ZDUSSCGKSA-N 416.430 4.996 5 20 HJBD O=C(Oc1ccc(Oc2nccs2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 779222178 WDSQCLJGFJGVNN-UHFFFAOYSA-N 408.338 4.664 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN(Cc1cccc(Br)c1)C1CCOCC1 786442755 TZMPPTZWJBNOFK-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2C(=O)O[C@@H](C)c2cc3ccccc3o2)ccc1[N+](=O)[O-] 786475585 UNYLRDUXNVUGRE-HNAYVOBHSA-N 422.437 4.558 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1F 788281302 MGUPRDQBXIVYCR-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(Cl)cn1 792447213 GJUGIVHVNFNRES-SSDOTTSWSA-N 419.062 4.550 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccccc1Cl 792515282 AENACUMMDFEMQR-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 796443914 SNXMOWPVWBTUNJ-JKSUJKDBSA-N 412.486 4.956 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809913594 OSYTWWYWSNQKDI-KXBFYZLASA-N 403.866 4.846 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(c2ncc3n2CCCC3)CC1 809997818 FOXIPBZXMQLEQG-UHFFFAOYSA-N 409.317 4.814 5 20 HJBD CCCCNC(=O)c1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811473821 NIHKIFRVJVRGPN-UHFFFAOYSA-N 410.301 4.721 5 20 HJBD CN(C)CCCOc1ccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811479039 ZVEPQYKQBFDNHC-UHFFFAOYSA-N 412.317 4.522 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812830085 DURMWABJFGGSBB-UHFFFAOYSA-N 405.184 4.775 5 20 HJBD O=[N+]([O-])c1c(Cl)cccc1OCc1nc(-c2ccc(Br)s2)no1 814775649 QIZYZNSQPOVYIA-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD O=c1c2ccccc2nc(S/C=C/c2ccc([N+](=O)[O-])o2)n1Cc1ccccc1 821690190 WOTWCSMSPUWQNP-OUKQBFOZSA-N 405.435 4.709 5 20 HJBD Cc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1NC(=O)CN1CCCCC1 864007791 UXOHXTTZTAZSJO-UHFFFAOYSA-N 416.909 4.593 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 915277200 HJGLDDUQXACWAD-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](c1ccccc1)c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 1318487493 TZWWFNGYEYQXFT-RNUYOQPASA-N 422.441 4.762 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2cccc(Br)c2)c([N+](=O)[O-])c1 1517708096 FQTOULPUFVZTJI-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD O=C(CSc1ccc(Br)cc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 5738430 XFTZBLLUMFFHMR-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 7108107 WSLDUVSVNLIPFN-STQMWFEESA-N 404.850 4.946 5 20 HJBD C[C@H]1CCCC[C@@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 15797013 SAJYDZXDOJYVPT-BBRMVZONSA-N 413.440 4.525 5 20 HJBD C[C@H](OC(=O)c1occc1-c1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 16537624 VKJRPRGLVBDLDH-LBPRGKRZSA-N 414.801 4.692 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Cl)c(Cl)c1 22495610 KSADGLKXOBZUIX-LLVKDONJSA-N 411.241 4.702 5 20 HJBD O=C(Nc1cc(N2CCCC2=O)ccc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 41930369 BBLPCDBQALMGBY-UHFFFAOYSA-N 415.858 4.842 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCCC[C@@H]1c1ccncc1 49764151 LHGXZVJOLIPLMD-JOCHJYFZSA-N 411.505 4.694 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1Cl)C1CCCCC1 55648327 IXEKMBMMDSSICS-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD CN(C(=O)c1cc(-c2ccco2)n(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1 58615055 OHMSRDDHTGQOEU-UHFFFAOYSA-N 422.828 4.971 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 59775768 WNIPSFMZZYYUNC-FKGHJDOFSA-N 421.497 4.673 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1Cc1csc(-c2ccc(C(F)(F)F)cc2)n1 97150585 NSZSCEQVYASZCC-UHFFFAOYSA-N 421.356 4.693 5 20 HJBD COC(=O)c1cc([C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)oc1C 430980702 GAWYCOBWPHBWCS-CYBMUJFWSA-N 408.479 4.628 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccccc1Br 431133427 BNYUNCVHXHFPQU-XJKSGUPXSA-N 421.316 4.755 5 20 HJBD CC[C@@H](NC(=O)N[C@@H](C)c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 436203397 ITMFJGATRYKRPM-GXFFZTMASA-N 412.309 4.930 5 20 HJBD CCN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)[C@H](Cc1ccc(F)cc1)C(C)C 437123221 LCXKUFJLCDBFTH-JOCHJYFZSA-N 424.476 4.649 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437806669 WNIBBQUMQDYNGB-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)cc21 438084082 HOVITLPDBBNYNW-UHFFFAOYSA-N 423.473 4.601 5 20 HJBD CC[C@@H](C)CN(CC)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 442163619 LGARTFJSOZVFHE-CYBMUJFWSA-N 421.544 4.641 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 443804991 SBSCFCXFWNCVQD-INIZCTEOSA-N 406.364 4.617 5 20 HJBD CSc1ccc(N(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 444013101 WQKAEXNHSCBWAM-UHFFFAOYSA-N 410.445 4.958 5 20 HJBD O=C(NC[C@]1(O)CCC[C@H](C(F)(F)F)C1)c1cc2ccccc2c2cccnc12 444481107 KVWBTPWOMVRLMS-BTYIYWSLSA-N 402.416 4.601 5 20 HJBD O=C(COc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)CC1CC1 447305785 NLQAXCIPUNQVEC-UHFFFAOYSA-N 423.252 4.902 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)OCCc2sccc21 460952413 BLBHANIVVXTDKR-HXUWFJFHSA-N 420.556 4.622 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)c(C)c1 465170895 XPAAVQWBJYNYBC-CQSZACIVSA-N 416.499 4.746 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 466899441 BXNUMWXUMJTZFQ-WCQYABFASA-N 409.305 4.827 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2F)C(C)C)cc1SC 468621290 MYMOGCYWOUIYIX-SFHVURJKSA-N 410.442 4.731 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)c(Br)c1)c1ccccc1[N+](=O)[O-] 470710582 RTFFAEJUDHYIKZ-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)ccc1[N+](=O)[O-] 477679955 LBBKDRCLEMPWGV-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1cc(OCC(=O)Nc2c(Cl)cccc2C(F)(F)F)c(F)cc1[N+](=O)[O-] 479182945 DCYKCYQFDRTPAV-UHFFFAOYSA-N 406.719 4.732 5 20 HJBD Cc1ccccc1-n1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cn1 482388118 FJRNBKHOJAUQDE-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)cc2s1 484663483 JQTBNUGFWSAMDL-UHFFFAOYSA-N 413.846 4.604 5 20 HJBD Cc1cc(Br)ccc1CS(=O)(=O)[C@@H](C)c1cc([N+](=O)[O-])ccc1C 485333204 UFYXKMFJQPXSFT-ZDUSSCGKSA-N 412.305 4.650 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1ccccc1 486230971 JTVHKGUZBOOLQR-HXUWFJFHSA-N 408.479 4.845 5 20 HJBD CN(C)c1ccccc1N(C)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486305462 WDMVGIBTFMJKEO-UHFFFAOYSA-N 405.454 4.516 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1CN1C[C@H]2CCC[C@@]2(c2nc(-c3ccccc3)no2)C1 486455267 AWHHTOAFASVGCL-AUSIDOKSSA-N 404.470 4.507 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F 494494892 MERLBYMHZJAJET-QWHCGFSZSA-N 422.840 4.545 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497793090 RXUOBRQRNKJANS-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCN(C(=O)c1csc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 497899740 QBSNCRLZTPCKQX-SFHVURJKSA-N 401.875 4.956 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@H](Oc2ccccc2Cl)C1 502245108 AFXCTCJNXJRHSI-AWEZNQCLSA-N 402.859 4.756 5 20 HJBD CN(CCc1cccc2ccccc12)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 505072566 ZCXZSCCYQFJCFG-UHFFFAOYSA-N 403.482 4.663 5 20 HJBD CCCCOc1ccc(-c2nnc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)o2)cc1 505612351 RLLKSRLJMLTSLD-UHFFFAOYSA-N 419.441 4.736 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3nc(C)cs3)cc2)c([N+](=O)[O-])cc1OC 506108280 SXXWRGOZZAWVAW-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 508039842 SXVOJLHXZLOUGA-NSHDSACASA-N 403.287 4.592 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1cc(Cl)ccc1C(F)(F)F 508224929 WACKZPHEPWCDCP-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(F)cc2OC(F)F)cc1[N+](=O)[O-] 510241094 LXDRGSVXZSWJIK-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc(Cl)c1OCC(C)C 515682387 KTIPAWXQLRDIGG-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c12 517811127 KZNBYOMWUNQCLG-UHFFFAOYSA-N 418.409 4.546 5 20 HJBD CCn1cc(CC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c2ccccc21 523311003 KTNDPWSPOKBKQZ-UHFFFAOYSA-N 406.467 4.874 5 20 HJBD COc1ccc(CN[C@@H]2CCC[C@@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 524224919 RDOSLULLJACZOM-UYAOXDASSA-N 416.543 4.946 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)cc1 525594637 DBNLDZMWMBUVIU-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD C[C@]1(CCCO)CCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 538836345 MJKFVDAALHMXMH-OAQYLSRUSA-N 418.877 4.808 5 20 HJBD Cc1noc(C)c1C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353535 PSZNMVSXZRTJTR-UHFFFAOYSA-N 400.460 4.626 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)[C@H](OC1CCOCC1)c1ccccc1 540149555 RXXOGEOZTUTAFU-IECBHUPTSA-N 412.530 4.831 5 20 HJBD CCO[C@@H](CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)C1=CCCC1 540451970 TXJHRCKHQFFEEP-SFHVURJKSA-N 401.488 4.587 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(Nc2ccc(F)cc2)CC1 541428684 OVZLPZAMPSEZNE-UHFFFAOYSA-N 423.897 4.583 5 20 HJBD C[C@H](NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)[C@H](C)c1ccccc1C(F)(F)F 541636305 SOZOSJJLNYGOFD-STQMWFEESA-N 420.391 4.945 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(OCc2ccccn2)c1 541786207 FDJVOWQJVLLINH-AWEZNQCLSA-N 410.405 4.591 5 20 HJBD COc1cc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(OC)cc1Cl 542188513 WYAFKTQGBOJVBT-UHFFFAOYSA-N 417.270 4.650 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C2CCCCC2)no1 544507429 RXUDCUIURBKELM-AWEZNQCLSA-N 411.462 4.574 5 20 HJBD Cc1cc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)nn1C1CCCCC1 545076315 XTHXOATVCRFWGP-UHFFFAOYSA-N 406.446 4.727 5 20 HJBD COc1ccc(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)cc1[N+](=O)[O-] 552760702 RCSHAFMUUYVAJO-UHFFFAOYSA-N 401.781 4.710 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 553061646 LPIVYNOCPXEHOT-QZTJIDSGSA-N 421.522 4.955 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2cccc(F)c2F)CC1 562538553 UPWBHIUVIVDCQO-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD CS[C@@H]1CCCCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2Cc2ccccc2)C1 572452442 BJXVYPHORYNOAS-HXUWFJFHSA-N 423.542 4.624 5 20 HJBD CC(C)(C(=O)NCCc1nc(C2CCCCC2)cs1)c1ccccc1[N+](=O)[O-] 578731614 LGBCJFYXZABUIR-UHFFFAOYSA-N 401.532 4.736 5 20 HJBD CC(C)S(=O)(=O)Cc1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600853597 LFSBRVIRWLOJKK-UHFFFAOYSA-N 416.421 4.549 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)N[C@H](C)c4cccc([N+](=O)[O-])c4)CC3)c[nH]c2c1 604126510 BEFYZOURKJRFEC-MRXNPFEDSA-N 404.470 4.944 5 20 HJBD CCCN1CC[C@@H](CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 604417888 JGYUUXCKNXYXRO-KRWDZBQOSA-N 403.910 4.862 5 20 HJBD CCn1nc(C)c(CNC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)c1C 608948248 JDUZPXUYTCLSHE-UHFFFAOYSA-N 424.526 4.818 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)c1 609151435 UMAUCSHMAWRSQC-SFHVURJKSA-N 424.482 4.734 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 609653025 XENRAUYIUHULNH-HTAPYJJXSA-N 421.522 4.879 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2c(F)cc(Br)cc2C1 610731040 UKIJLFOEPFTRTB-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(F)cc2OC2CCCC2)c([N+](=O)[O-])cc1OC 610912250 NHLBNXHNIPACBK-UHFFFAOYSA-N 418.421 4.715 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-c2ncco2)c1 611089829 MYQYMVLJVDIUDF-UHFFFAOYSA-N 420.347 4.709 5 20 HJBD COc1cc(OC)c(C2CCN([C@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)c(OC)c1 611515204 LESJBGSQGYMVDX-MRXNPFEDSA-N 414.502 4.870 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1Cc2cccc(Br)c2C1 613689658 QNJTYDHUSIRCJQ-UHFFFAOYSA-N 415.165 4.532 5 20 HJBD CC(C)C(NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)C 615353798 CAKXXZWVJMNAFN-UHFFFAOYSA-N 421.544 4.543 5 20 HJBD Cc1ccc(NC(=O)c2cccc(OC(=O)CCNc3ccccc3[N+](=O)[O-])c2)cc1 727328047 OZAAWARFUXXREP-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD CC(C)CNC(=O)c1cccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 727507088 UDRSIQKIMZNONL-UHFFFAOYSA-N 412.467 4.552 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1 727926288 CEHQVKKGOCJTRQ-HUUCEWRRSA-N 424.236 4.615 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@@H](c1ccccc1)c1cccnc1 728416661 SCEUTHRKWFUINK-MHZLTWQESA-N 420.468 4.883 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 729117260 IMRJKXJJXSEWEX-UHFFFAOYSA-N 402.428 4.764 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)C1(Sc2ccccc2)CCCC1 733556703 QWZIFZGQERRJLR-UHFFFAOYSA-N 415.467 4.610 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734893042 IDFXKYLJUZLTIB-UHFFFAOYSA-N 420.849 4.721 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(Cc2cc(Cl)ccc2[N+](=O)[O-])CC1 746674877 YFFHIDKMAVTYQL-UHFFFAOYSA-N 412.795 4.760 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cs2)o1 748944740 ORGDQGLMXYLFEY-UHFFFAOYSA-N 417.399 4.502 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)C[C@H](O)c1ccsc1 757088808 BPDYZISKVPJRDK-IBGZPJMESA-N 416.886 4.686 5 20 HJBD C[C@@H](OC(=O)C(C)(C)C1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 757835939 DJGKEZRBPBUPOQ-LLVKDONJSA-N 416.396 4.700 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)NCCc2cccc([N+](=O)[O-])c2)n1 759995513 PVAICQBPTCOFRS-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 760836189 IJPDBESYFARDTN-OAHLLOKOSA-N 403.276 4.513 5 20 HJBD O=C(/C=C\c1ccc2[nH]ccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 765794280 FFENVBLKELTQEG-VURMDHGXSA-N 403.360 4.680 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cs1 766223055 IKXCLXSHXWFQOJ-UHFFFAOYSA-N 421.434 4.632 5 20 HJBD C[C@@H](CCC1CC1)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 769647248 ZRCAPJNAEHWFIK-ZDUSSCGKSA-N 415.877 4.809 5 20 HJBD CC(C)CN(C(=O)[C@H]1CSCCS1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496453 VBZUDTAQIMADEP-MRXNPFEDSA-N 423.585 4.556 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 781259142 IRBZLBLFNSMPNI-UHFFFAOYSA-N 408.797 4.600 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)ccc1Oc1ccncc1 787723295 WAXYDBJUBLVNMF-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(N4CCOCC4)ccc3C)o2)c([N+](=O)[O-])c1 797469961 ILKNACMUYFCJJK-UHFFFAOYSA-N 423.469 4.621 5 20 HJBD O=C(c1cc(Br)ncc1Cl)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 808384230 CYBHSFLXQKBFEF-UHFFFAOYSA-N 424.682 4.995 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813785190 KBSOTBCBVXEHAW-LYDJUCFBSA-N 415.534 4.910 5 20 HJBD O=C(N1CC[C@@H](C(F)(F)F)c2ccccc21)C(F)(F)c1ccc([N+](=O)[O-])cc1 817482569 OEVOAMBSCQKKKB-CQSZACIVSA-N 400.303 4.769 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 829093392 YOEATZDSYWNHFP-RNKPRXRFSA-N 405.819 4.677 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nc(-c3ccc(N4CCCCC4)cc3)no2)cc1OC 904611613 CDSKTAXNSCSDKD-UHFFFAOYSA-N 424.457 4.709 5 20 HJBD COc1cc(CNc2ccc3c(c2)OCCO3)ccc1OCc1ccc([N+](=O)[O-])cc1 916839486 CLZIVRGANLSFQQ-UHFFFAOYSA-N 422.437 4.566 5 20 HJBD COc1cc(COC(=O)c2cc([N+](=O)[O-])ccc2C)cc(Cl)c1OC(F)F 921279234 HZFUPVJJICJPBT-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)cc1)C1CC1 1323955531 RDICMIRUQJTSHF-UHFFFAOYSA-N 418.453 4.529 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)CC2)cc1 1330235512 ZFPPBLWJYPDXJG-UHFFFAOYSA-N 422.529 4.587 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 5429068 NHCRUEJYFVRMLF-NSHDSACASA-N 402.501 4.644 5 20 HJBD Cc1ccc(NC(=O)[C@H](Sc2nccn2C2CC2)c2ccccc2)c([N+](=O)[O-])c1 11891967 SONLPZQRECKRPG-LJQANCHMSA-N 408.483 4.907 5 20 HJBD COc1cc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 18181414 RQKNMMKVEQUWDF-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)CSc2ccccc2[N+](=O)[O-])c(Cl)c1 24124519 QOIHQIRFEUYRMU-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD CCOc1cc(C(=O)N(c2ccccc2)c2nc(C)cs2)c([N+](=O)[O-])cc1OC 26768456 JEAQNCYQIBONBJ-UHFFFAOYSA-N 413.455 4.746 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1OC 29147255 YUZCZAZPUXCCGD-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1C(F)(F)F 43644042 FWRKXNOTQIEPSI-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD O=C(Nc1ccc(CN2C(=O)Cc3ccc(Cl)cc32)cc1)c1ccc([N+](=O)[O-])cc1 62916250 UXFJNZAVNXZBGY-UHFFFAOYSA-N 421.840 4.590 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cc(F)c(F)cc2F)c(-c2cccs2)c1 97552519 RSZKFWJOYQQVDE-UHFFFAOYSA-N 415.370 4.508 5 20 HJBD CN(c1ccccc1-c1ccccc1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 139799084 VVYUNNSRLPVHDK-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD CC(=O)c1ccc(N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)c([N+](=O)[O-])c1 301284971 NYXBKXCHUKQGNV-CQSZACIVSA-N 404.426 4.618 5 20 HJBD Cc1ccc([C@H](Nc2ccc(C)cc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)cc1 301485616 WRXRGFCVVRXDEN-QFIPXVFZSA-N 410.495 4.817 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1Cc2ccccc2[C@H](c2ccccc2)C1 303043452 HKLLHXQELBXRBA-QHCPKHFHSA-N 424.460 4.568 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F 303121254 IMSRSRHTDFNOMR-LSDHHAIUSA-N 416.359 4.746 5 20 HJBD CCOc1cc(NC(=O)NCc2ccc(OC(C)C)cc2)c([N+](=O)[O-])cc1OCC 410223535 FMVWWOVYLAAZRC-UHFFFAOYSA-N 417.462 4.501 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCC1)O2)c1ccc(Br)cc1[N+](=O)[O-] 429967734 YGBANRCKMDWGEO-UHFFFAOYSA-N 419.231 4.651 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccn1 429969673 OFSJPEUEVQLOQY-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCO[C@@H](c4ccc(C(F)(F)F)cc4)C3)ccnc12 432177085 IIFWWDYHSPAKCN-GOSISDBHSA-N 403.360 4.740 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)s1 437247225 CHSLYJPXROFMIK-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2C)c1 437771478 FLKXKGZHKIDXEN-UHFFFAOYSA-N 419.485 4.902 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2cccc(Br)c2)cccc1[N+](=O)[O-] 439122965 IDZJZXBVZPEQGT-SFHVURJKSA-N 403.276 4.572 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCn2c1nc1ccccc12 440424386 SIPOUGWHZGSDHT-UHFFFAOYSA-N 416.462 4.756 5 20 HJBD CO[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@@H](C)C1 443069013 OBXSRLGOZJJJIF-GXTWGEPZSA-N 409.280 4.617 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443897177 DIPFNCRMJLLRQH-GOSISDBHSA-N 401.344 4.528 5 20 HJBD CCOc1cccc([C@@H]2C[C@@H]2NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444287185 JLDMISYLFYWTBQ-FPOVZHCZSA-N 416.452 4.505 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc2c(=O)c3ccccc3[nH]c12 445131289 DBIRNOURLUPTDU-NFBKMPQASA-N 418.880 4.595 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])n2)cc1 466418872 CJCWVGFMPGDQMT-UHFFFAOYSA-N 401.419 4.899 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)Nc3ccc(Br)cc3[N+](=O)[O-])ccc2NC1=O 467011783 CSQNUAOHHCTKOL-QFYYESIMSA-N 420.263 4.640 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1c(F)cccc1NC1CC1 471131652 AODSMXBWPQXCNO-UHFFFAOYSA-N 401.441 4.507 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472160862 UYSHGPNJPIBAKD-MRXNPFEDSA-N 411.405 4.936 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ncccc1Br 476048423 WPMUGPUSXJKCSL-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(=O)N(c1ccc(C)c(C)c1)c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 481758624 DCCNUKCMXUYWJW-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)C[C@H](CC)c2ccccc2)cc1OC 482267753 PGDJDZALAFIDJJ-INIZCTEOSA-N 400.475 4.658 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Br)ccc2C)cc1SC 482931596 MSACIGKHMWBYFB-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 485685332 SJKDOCWACKUNRX-KRWDZBQOSA-N 423.494 4.997 5 20 HJBD CSc1cccc(C(=O)NC[C@@H](c2cccs2)N2CCC(C)CC2)c1[N+](=O)[O-] 485766227 JFVZDERJXKOOMO-INIZCTEOSA-N 419.572 4.581 5 20 HJBD CN(C[C@H]1CCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 487942227 GHBRVVOUPLIOAW-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(C)c1 488224739 VWRCCAJUGHSJBZ-UHFFFAOYSA-N 415.515 4.653 5 20 HJBD CC(C)N(C)c1cccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 488248921 DFHDLPJDZIMCKG-UHFFFAOYSA-N 410.396 4.780 5 20 HJBD Cc1nn(C)c(C)c1[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 488855274 JMGMNTGTJUPXTH-SFHVURJKSA-N 416.547 4.671 5 20 HJBD CC[C@@H](C)[C@](C)(O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 489052377 JEOXPPHVGOCIIF-KZULUSFZSA-N 411.296 4.504 5 20 HJBD O=C(Nc1cc(Br)ccc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494137520 RRHKOKFEXULLTN-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(N2CCCC2)c1 495050900 UQEXWURVGGOGIX-QGZVFWFLSA-N 408.502 4.630 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccc([C@H]3C[C@H]3C)o2)n(-c2ccc([N+](=O)[O-])cc2)n1 500617686 DFUONUZPXIONQS-PBZHRCKQSA-N 406.442 4.548 5 20 HJBD CN(C)Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Cl 500747146 CDJHMKUJLJXRTR-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 502409166 GIWGMDOHVJAJHM-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD C[C@@](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 504571839 YSYDOUOBLDMWFL-OAHLLOKOSA-N 406.282 4.821 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 504777343 DOJFMCYHALDAFH-SFHVURJKSA-N 416.546 4.842 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1noc(Cc2ccccc2)n1 504931443 YBFYATKGPRDCIH-DOTOQJQBSA-N 412.515 4.790 5 20 HJBD COc1ccc(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)cc1[N+](=O)[O-] 505139838 PSHRXPLBTWPTRC-IBGZPJMESA-N 404.422 4.524 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2/C=C/c2ccccc2)cc1[N+](=O)[O-] 505351715 JRXFUOMRJPVWEY-JARZOMNASA-N 412.511 4.541 5 20 HJBD CN(Cc1c(F)cc([N+](=O)[O-])cc1F)[C@H](c1ccc(Cl)cc1)c1cnccn1 509021836 MICKQXWCSSHTQL-LJQANCHMSA-N 404.804 4.538 5 20 HJBD CC(C)COC[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccco1 509705319 VHZNDYMYRVFLJK-IBGZPJMESA-N 403.479 4.522 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)COc2ccccc2[N+](=O)[O-])cc1F 510224899 BBLONMLRJRFDMH-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD COc1ccc(CNC(=O)CSc2cccc3cccc(Cl)c23)cc1[N+](=O)[O-] 515731261 OSAJEEHOZVUQHD-UHFFFAOYSA-N 416.886 4.819 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 521573132 CQDXGSIDPPQVRD-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)co2)cc1 522575118 LBEVANSSERJZSH-UHFFFAOYSA-N 407.470 4.828 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc(Cl)cn1 522716162 SASXYOZYEVSYEC-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)c1[N+](=O)[O-] 524112280 SQPTXNDNVOVXSF-UHFFFAOYSA-N 412.421 4.549 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2F)c([N+](=O)[O-])cc1OC(F)F 524389884 FAXIIDNZDPJHPT-UHFFFAOYSA-N 424.253 4.615 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc(F)cc([N+](=O)[O-])c1 524680997 NWVKHQZZVVXQBK-INIZCTEOSA-N 415.421 4.804 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@@H](C)[C@H](c3ccccc3)C[C@H]2C)cc1SC 525644114 RJQFLMNVNZEZTF-IJEWVQPXSA-N 400.500 4.732 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 531205171 ZUTCAALFKNZRJT-UONOGXRCSA-N 405.882 4.551 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)c2sc(C(C)C)nc2C)cc1OC 534438772 SYEACNQRTKFTJP-ZDUSSCGKSA-N 421.519 4.724 5 20 HJBD O=C(CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(F)cc1 534793691 QRHKNTNMPRXAOR-UHFFFAOYSA-N 401.419 4.651 5 20 HJBD C[C@@H](NCCOc1ccccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 537027580 FIHDKCQIRXOLGI-QGZVFWFLSA-N 405.454 4.577 5 20 HJBD Cc1cc(NC(=O)c2cc(-c3ccco3)nc3c2cnn3C(C)C)ccc1[N+](=O)[O-] 537444091 FZDHYXLIRPFMCR-UHFFFAOYSA-N 405.414 4.741 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 539858336 FURPMFYHHNWGHD-RXVVDRJESA-N 409.530 4.645 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 539911800 FMFOCNSXHWFVJR-UHFFFAOYSA-N 409.486 4.753 5 20 HJBD CCOc1cc(/C=C\c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc(Cl)c1OC 545529592 WYHKGOSRCGAYLS-HJWRWDBZSA-N 415.833 4.800 5 20 HJBD CCOCc1ccccc1-c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 545634363 YOLLYGUBPKKKBK-UHFFFAOYSA-N 408.439 4.972 5 20 HJBD Cc1cc(F)ccc1-c1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)cc1F 546486565 XJWLAJPIXWWYDG-UHFFFAOYSA-N 408.364 4.884 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 546493823 CQTAJMHSXDGISB-KRWDZBQOSA-N 412.471 4.541 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 551811263 ILSIWKGORXECGO-CYBMUJFWSA-N 421.469 4.732 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(NC(=O)c2ccccc2)c1 554855500 FMDZJQIEHLTHOU-UHFFFAOYSA-N 418.453 4.554 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2nc(-c3ccccc3F)cs2)c1 555104661 PBRACMVUDRGVGV-UHFFFAOYSA-N 409.389 4.749 5 20 HJBD COc1cc(CNc2ccccc2OC(F)F)c([N+](=O)[O-])cc1OCC(F)(F)F 557027244 NSBCPSYDLGKOOH-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 558134244 MIRXKECHXOSWBP-CYBMUJFWSA-N 420.391 4.727 5 20 HJBD Cn1ccnc1[C@@H](NCCc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])cc1 560526849 HKPPZGBNKYDTEH-SFHVURJKSA-N 405.285 4.557 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1c(F)cccc1Cl)c1cccc([N+](=O)[O-])c1 560546410 RUVYIXPCMKNVAW-LJQANCHMSA-N 416.884 4.778 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC[C@H](c2nc(C(F)(F)F)cn2C)C1 584139906 JACJAPGWRUHKCJ-MBSJSRAVSA-N 408.424 4.630 5 20 HJBD CN(C)c1c(Cl)cccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603743081 UFKMIWXSCZTXTL-UHFFFAOYSA-N 417.771 4.508 5 20 HJBD COc1ccc([C@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)N2CCCC2)cc1 608835451 GWWZODCCIRVWCH-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H]1CCN(c2cc(Cl)ccc2C)C1 608840205 DIIZWKPWOVJCHS-HNNXBMFYSA-N 402.882 4.513 5 20 HJBD C[C@@H](NC(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 608984336 XSBSWOMIEFITQP-XIKOKIGWSA-N 424.284 4.746 5 20 HJBD CN(C(=O)c1ccc(Oc2cccc(Cl)c2Cl)nn1)c1ccc([N+](=O)[O-])cc1 610222475 VSRZSZIKELYAPT-UHFFFAOYSA-N 419.224 4.761 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 610304866 UZAOMULQDVKMSC-YCRPNKLZSA-N 420.444 4.889 5 20 HJBD CO[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 611984098 HYLUYVNSVSRGJD-AWEZNQCLSA-N 409.280 4.618 5 20 HJBD C[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 728489148 ZFFRRAMOLJZLGQ-AWEZNQCLSA-N 412.392 4.943 5 20 HJBD O=C(OCc1cc(Cl)ccc1OCc1cccnc1)c1ccc([N+](=O)[O-])c(F)c1 731516737 IUQUOQNQICHCRF-UHFFFAOYSA-N 416.792 4.718 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1cc(F)ccc1[N+](=O)[O-])C2 731668985 MAENARZGSYPVQO-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@@H](C)c1ccc(C(F)(F)F)cc1 733522245 IKNPSTOVXUURSI-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@@H](O)c3ccsc3)cc2[N+](=O)[O-])cc1 740114775 SYWFCVUXKHMPNN-GOSISDBHSA-N 414.508 4.579 5 20 HJBD COc1cc(CN(c2ccc(C(C)=O)cc2[N+](=O)[O-])C2CC2)ccc1OC(F)F 743324478 BKTPSSXEYCZBIM-UHFFFAOYSA-N 406.385 4.576 5 20 HJBD Cc1cc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc2n1 744135863 ITQRFHARMKZELD-UHFFFAOYSA-N 408.457 4.755 5 20 HJBD COCCS(=O)(=O)c1ccc(NC(=O)c2c3ccccc3cc3ccccc23)cc1 746521818 CRVQGGWCKROTKM-UHFFFAOYSA-N 419.502 4.665 5 20 HJBD CCN(CC(=O)Nc1ccccc1C(F)(F)F)c1nc2ccc([N+](=O)[O-])cc2s1 753117243 IQUKJTXGPMRQHD-UHFFFAOYSA-N 424.404 4.688 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2Br)cc1 753778081 CPPIUCRMTYFBFE-INIZCTEOSA-N 419.275 4.733 5 20 HJBD C[C@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1ccc([N+](=O)[O-])cc1 757664008 LHDKSXUSJMSUHK-HNNXBMFYSA-N 415.494 4.536 5 20 HJBD Cc1ccc(-c2noc(C[C@@H](NC(=O)OC(C)(C)C)c3ccccc3)n2)cc1[N+](=O)[O-] 761639179 PPLOTOKLZPXYQN-QGZVFWFLSA-N 424.457 4.762 5 20 HJBD Cn1ccnc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(Cl)cc1 770018425 HZHCBWCOESAJSW-MRXNPFEDSA-N 420.256 4.546 5 20 HJBD O=c1nc(/C(Cl)=C\c2ccc([N+](=O)[O-])cc2F)[nH]c2cc(C(F)(F)F)ccc12 777915322 SYNUSQZXSQQQSB-LFYBBSHMSA-N 413.714 4.726 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1ccccc1Cl 780282010 CHDDFMWLRQUKFY-UHFFFAOYSA-N 408.863 4.546 5 20 HJBD CCN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H](C)c1cc2ccccc2o1 781606833 OKYQCMVFXHCCSE-CQSZACIVSA-N 411.414 4.792 5 20 HJBD CC(C)c1ccc(C(=O)OCC(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 784257168 QXESUFUGUZOZRM-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 791770364 VYIIUWSGPHIEFG-UHFFFAOYSA-N 421.496 4.618 5 20 HJBD COc1ccc(OC(=O)[C@H]2CC=CC[C@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 792124094 RGZLGYLVGUAKCV-CABCVRRESA-N 410.451 4.869 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ccc(N)cc2[N+](=O)[O-])C3)cc1 804444197 XCHOQUIDGOJGKE-UHFFFAOYSA-N 417.469 4.692 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1ccccc1F 877878345 WDDJIXVKMDGMSA-GOSISDBHSA-N 416.430 4.769 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Br)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 915496755 VUEOCCNCSJSUAR-GHMZBOCLSA-N 412.211 4.568 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 917263182 MTYHROSIRGXFKX-ZDUSSCGKSA-N 410.426 4.650 5 20 HJBD O=[N+]([O-])c1ccccc1-n1ccc(-c2nnc(COc3ccc4ccccc4c3)o2)n1 1117237231 SHICGFXUCYRSFA-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](C)c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 1319658682 YKDMAIFHASNBBJ-NSHDSACASA-N 412.870 4.507 5 20 HJBD O=C(c1c2ccccc2cc2ccccc12)N1CCn2c(nnc2-c2ccccc2)C1 1323426873 GECHDJMJJQKSNK-UHFFFAOYSA-N 404.473 4.908 5 20 HJBD CC1(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CCCC1 1785747887 BBKOVQDWZOZASF-UHFFFAOYSA-N 411.458 4.516 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccc(Br)cc3s2)c1 18183557 AIQXDXCGDRYIRS-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD C[C@H](NC(=O)COc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 24780374 JINGZGSHEOVNLP-VIFPVBQESA-N 403.649 4.811 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc4cc(Cl)ccc4o3)CC2)c([N+](=O)[O-])c1 42739116 VCUAHMQGUHPHAA-UHFFFAOYSA-N 402.794 4.682 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1Cl 47489004 QQKRVNUTQJKTSS-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD O=C(c1sccc1Br)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107799360 MMXLMYMUIUBUOT-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD Cc1cccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1Br 131246999 RZKUCMSDDOJEAT-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCCCc1ccc([C@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641501 FBJQFQJCFVNUTP-SFHVURJKSA-N 412.515 4.560 5 20 HJBD COc1ccc(-c2ccc(CNC3CCN(c4cccs4)CC3)o2)c([N+](=O)[O-])c1 237415441 FSSZKLZQONVFQM-UHFFFAOYSA-N 413.499 4.684 5 20 HJBD O=[N+]([O-])c1ccc(OCc2noc(Cc3ccc(Br)cc3)n2)c(Cl)c1 303480614 OKZSKBIJCQUIJR-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc(Cn4ccnc4)c3)n2)cc1[N+](=O)[O-] 410203654 CXCMGMPWTQOMKQ-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@H]3CCCc4ccc([N+](=O)[O-])cc43)c2)ccn1 426311483 NYJXGVBSEVNHED-NRFANRHFSA-N 418.453 4.631 5 20 HJBD CC(C)(C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1ccccc1[N+](=O)[O-] 433250725 XLGASTUNZJHPAS-UHFFFAOYSA-N 414.465 4.909 5 20 HJBD COc1cccc(C(=O)Nc2ccc3[nH]c(-c4ccc(F)cc4)nc3c2)c1[N+](=O)[O-] 435544213 OMMQKYDFBIWADS-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD Cc1sc(NC(=O)[C@@H]2SCCc3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 443883028 UDUIYONDJBGHIH-GOSISDBHSA-N 411.508 4.996 5 20 HJBD CC[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1C 444174851 HUXXIFQINKVMLH-SFHVURJKSA-N 400.478 4.577 5 20 HJBD C[C@H]1Cc2cc(F)ccc2[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292597 RYCDVAAVOMRTOC-LSDHHAIUSA-N 400.453 4.968 5 20 HJBD CSc1ccc(-c2nc(CC(=O)Nc3cccc(Cl)c3C)no2)cc1[N+](=O)[O-] 445335836 CHDZYGJBHYBIIC-UHFFFAOYSA-N 418.862 4.510 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 446854137 ZFTVFYAJOLJMJK-KDOFPFPSSA-N 409.408 4.904 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1Cl 447721944 XQEHXWHSINWNEZ-UHFFFAOYSA-N 414.795 4.874 5 20 HJBD O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)c1cc2ccccc2c2cccnc12 460493923 SZSATEUBJKVNAA-UHFFFAOYSA-N 424.439 4.633 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](c1cc(F)ccc1F)c1ccccc1Cl 460607019 NPANQSGNYAEIOG-NRFANRHFSA-N 416.811 4.975 5 20 HJBD O=C(CCCCCc1cccs1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634882 DBZWQIBJXACRSY-UHFFFAOYSA-N 402.516 4.829 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(N4CCCC4)cc3)no2)ccc1OC(F)F 482547229 BBIAVHKAWAOPII-UHFFFAOYSA-N 402.357 4.513 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485577071 DBMUHQUVVPYYHY-NJYVYQBISA-N 416.481 4.579 5 20 HJBD O=C(c1ccc(CSc2ccccc2)cc1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 486993071 BLJYTFLTTKLNCN-UHFFFAOYSA-N 422.510 4.561 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2cccc(F)c2F)nc1 489169203 OUICYRPKDMEEIT-UHFFFAOYSA-N 414.368 4.724 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-c3noc(C(F)(F)F)n3)c2)c1[N+](=O)[O-] 490290545 YKLGMLIHGCBMJQ-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD COc1ccc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OCC(F)(F)F 497397074 LMTHGYSDUAGJCY-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@H]1c1ccc(F)cc1F 497548461 YLKYUGQESZPQCM-GJZGRUSLSA-N 401.394 4.739 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H](Oc2ccccc2Cl)C1 502286417 PRTOPTZORRGQCH-CQSZACIVSA-N 414.767 4.561 5 20 HJBD COc1cc(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)ccc1[N+](=O)[O-] 505116784 GESCAAXYVYZZSF-IBGZPJMESA-N 404.422 4.524 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1c(F)cc([N+](=O)[O-])cc1F 506278273 CCABWJOENICTRK-UHFFFAOYSA-N 419.428 4.510 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 507938755 WYIXKDTZHLCNAU-CQSZACIVSA-N 416.840 4.817 5 20 HJBD CCN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 507951442 USYVUSLIHKTQLZ-OAHLLOKOSA-N 404.426 4.750 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1Cc1ccccc1)N(C)CCc1ccccc1[N+](=O)[O-] 511127517 VXKLLUUVZLPPAM-IBGZPJMESA-N 417.509 4.687 5 20 HJBD C[C@H](NC(=O)c1c(-n2cccc2)sc2c1CCSC2)c1cccc([N+](=O)[O-])c1 512708954 UJKBGFCKVAZJGT-ZDUSSCGKSA-N 413.524 4.727 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 517599859 KGVCQMSSZMYFAI-RBBKRZOGSA-N 406.461 4.783 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)Cc2ccc(Cl)cc2)cc1SC 522961431 TVJFAIRXARXTQP-GFCCVEGCSA-N 408.907 4.682 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)[C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 524338537 MSUCIOJZEYTWKH-PKTZIBPZSA-N 402.450 4.594 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(-c3ccccc3)ccc2Cl)cc([N+](=O)[O-])c1 524568177 OYSCBOLDMHBYMX-UHFFFAOYSA-N 410.813 4.954 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1c[nH]c2cccc([N+](=O)[O-])c12 534255021 BZZVPYSUCCKDDH-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD CC[C@H](NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1c(C)noc1C 534539567 CPFCRVGLIZOMLA-DYVFJYSZSA-N 423.473 4.649 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCCN(c4ccccc4F)C3)cs2)c1 534976547 KFRVHDKOCLPWHC-INIZCTEOSA-N 412.490 4.616 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 536770205 CLRGKHOYQQDEMV-UHFFFAOYSA-N 401.373 4.605 5 20 HJBD C[C@@H]1CN(C)CC[C@@H]1NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 536855645 BUWZVRLGTHDJHA-ACJLOTCBSA-N 407.873 4.609 5 20 HJBD CC(C)Sc1ccc([C@H](C)NCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)cc1 537102202 SFCXWRFLDKIRRI-HNNXBMFYSA-N 415.515 4.744 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)c(F)c1 538564640 JONLHIKBSYJGOA-UHFFFAOYSA-N 406.435 4.665 5 20 HJBD Cc1ccc([C@H](NC(=O)CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2cccs2)cc1 539377172 PJNSYSVGUNHPGQ-SBUREZEXSA-N 423.538 4.830 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@H]2c2ccccc2)c(C(F)(F)F)c1 545191435 IVNLFRRIKACWPW-INIZCTEOSA-N 414.405 4.530 5 20 HJBD Cc1ccc(Cc2noc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)n2)cn1 546345899 GLLAMPVAWHUUND-UHFFFAOYSA-N 401.426 4.551 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 547383361 CMUOFCLHBFEXGY-OAHLLOKOSA-N 406.385 4.572 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc2nc(C(F)F)sc2c1 552383911 POFIOLPGNPSDBG-UHFFFAOYSA-N 402.382 4.805 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2COCc2ccccc2)c1 558762970 BQFDZVLHNVNRBF-UHFFFAOYSA-N 420.465 4.640 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc3cc(Br)cnc23)c1 578552215 HMBSBNIGFIDRJW-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3noc4cc(F)ccc34)CC2)c1 589539389 HDIFYQWAJZVXCJ-UHFFFAOYSA-N 415.446 4.617 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2nc(C)nc3scc(CC(C)C)c23)c1 603859844 AUPFZYXJDXHTHB-UHFFFAOYSA-N 401.444 4.685 5 20 HJBD CC(C)N(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cn1 603998931 LZMHMTXEZWITFH-GFCCVEGCSA-N 424.320 4.675 5 20 HJBD CSc1nccn1-c1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1 604346874 GYCIQLJNARLFDL-UHFFFAOYSA-N 410.499 4.553 5 20 HJBD Cc1cc(=NCc2ccnc(Oc3ccccc3)c2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 604508526 HJVFBFTUHJICQX-UHFFFAOYSA-N 413.437 4.582 5 20 HJBD Cn1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(C2CCCCC2)n1 608846804 YCJARKQJWJYVLF-UHFFFAOYSA-N 411.487 4.752 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 609155256 LUFRRRRIUVBZMN-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1cccnc1Sc1ccccc1 609202057 GQJJHKVAAYMSDS-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD CCC(=O)Nc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609763296 YLTOPGYIKJJOFS-UHFFFAOYSA-N 405.410 4.988 5 20 HJBD CCn1cnnc1CCNCc1ccc(Oc2cc(C)ccc2C(C)C)c([N+](=O)[O-])c1 609851538 WXKJTIOOVGRGGE-UHFFFAOYSA-N 423.517 4.763 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)c2)oc1C 610041715 SDWRNTQKSPLBMT-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CN(Cc1ccccc1Br)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610105590 NGZOACWWKKACIM-UHFFFAOYSA-N 420.307 4.522 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)C1 611093664 VZDVMMQQFPTTBS-NSHDSACASA-N 407.289 4.746 5 20 HJBD O=C(Nc1nnc(C(F)(F)F)s1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611199914 UJFUMILNRAHCQY-UHFFFAOYSA-N 418.422 4.752 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C[C@H]2CCOC2)C1 611479844 ROHJHGPUTJTWPU-DLBZAZTESA-N 406.548 4.766 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3ccccc3s2)cn1 612571075 SDMPVBMKRJOIIC-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3ccc4c5c(c(=O)oc4c3)CCCCC5)cc2N1 725784615 KGDIIKQLJBASPW-UHFFFAOYSA-N 420.421 4.647 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H](c3ccccc3)C[C@H]2C)CC1 734355427 MYEWEQVTEWJTJV-QUCCMNQESA-N 407.514 4.849 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Cl)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 735636577 AZEGFSSMAQQSCH-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1cc(Cl)sc1Cl 736419037 MOHNGTYMPNMSQK-UHFFFAOYSA-N 401.680 4.726 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2cccc(Cl)c2)o1)c1ccc([N+](=O)[O-])cc1 739567107 XBRXOWFTRMSWOF-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 750034962 AOXIKIQXYTXTPM-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD Cc1cc(Cc2noc(Cc3ccccc3NC(=O)OC(C)(C)C)n2)ccc1[N+](=O)[O-] 762306701 VIRMHKVXUGPIHN-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767133506 DRGWOOZVAGJECS-CQSZACIVSA-N 417.387 4.654 5 20 HJBD Cc1ccc(NC(=O)[C@H](Sc2ccc([N+](=O)[O-])cn2)c2ccccc2)c([N+](=O)[O-])c1 772857965 KLQOUCKJNZFJTL-LJQANCHMSA-N 424.438 4.679 5 20 HJBD CC(C)Oc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 774989016 OTDQFBNXUWOAGS-KGLIPLIRSA-N 405.882 4.713 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(C(C)(C)O)cc1 775216565 BEBDGGIJCXLPCT-UHFFFAOYSA-N 402.516 4.644 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC[C@H]1/C=C\c1ccccc1 780293891 SHLZBFHIXDNQHC-CDNLZTBQSA-N 401.260 4.675 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCC(C)(C)SC)cc1[N+](=O)[O-] 783430578 WGDBPPADBBDETG-UHFFFAOYSA-N 419.524 4.846 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2ccc(Br)cc2)C2CC2)cc1 783750110 XFZBHWGMNYYLBB-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cc(-c2cccnc2)nc2ccccc12 785418795 BOZXTYZVUANODW-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1cccc(N2CCOC2=O)c1 797434771 XTTHTZSHWCIWRJ-CQSZACIVSA-N 422.416 4.926 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1Cc2cccc(Cl)c2C1 807815096 BVHLGFDKKOEEDH-UHFFFAOYSA-N 410.807 4.769 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809955341 HEHQVJVBCOPERZ-UHFFFAOYSA-N 409.269 4.702 5 20 HJBD CCC(CC)n1nccc1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811214549 CKRRFIVZMUTIFA-UHFFFAOYSA-N 400.460 4.633 5 20 HJBD Cc1cc(C)c(NC(=O)COC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)c(Cl)c1 815405908 NMCVPESWWGOWAB-UHFFFAOYSA-N 411.241 4.622 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(C(F)(F)F)nc1Cl)c1ccc([N+](=O)[O-])cc1F 817869325 AKBHCQRNPKUJFG-DTWKUNHWSA-N 419.762 4.782 5 20 HJBD COc1ccccc1/C=C(\C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccccc1 914729209 YOWOMTMHLGOYDJ-PGMHBOJBSA-N 402.450 4.803 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(COCCOc3ccccc3)c2)cc1 916030676 RBCUGTGMMLFKLZ-UHFFFAOYSA-N 423.494 4.999 5 20 HJBD C[C@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 916149075 JBRHAHREULPSII-QMMMGPOBSA-N 420.655 4.983 5 20 HJBD Cc1cc(C(=O)OCC(=O)N2c3ccccc3Sc3ccccc32)cc([N+](=O)[O-])c1 920900327 JRSMTYAIIGYVLI-UHFFFAOYSA-N 420.446 4.890 5 20 HJBD COc1cc(C(=O)NC[C@@H]2C[C@@H](C)CC[C@@H]2C(C)C)c([N+](=O)[O-])cc1OC(F)F 1115903341 JYGHSXQATQPVPP-MELADBBJSA-N 414.449 4.643 5 20 HJBD C[C@H](c1ccccc1)n1cnnc1SCc1ccc([N+](=O)[O-])cc1Br 1255164702 KKDRSPMGIIFQIA-GFCCVEGCSA-N 419.304 4.850 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cccnc2Nc2ccccc2F)o1 1322781327 YZXYOLNYWOLNRR-UHFFFAOYSA-N 407.361 4.502 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])o1)Nc1ccc(C2(NC(=O)CC3CCC3)CCC2)cc1 1786207992 CFNPQGRZHIHKOI-PKNBQFBNSA-N 423.469 4.525 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5853023 FYVMFRPKEMPVQN-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 7035703 YLEJUNYAQUUSNZ-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1NC(=O)c1ccccc1 25873171 ORCGTHJAVBYWKR-OAHLLOKOSA-N 421.478 4.966 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(C(F)(F)F)cc2)cc1OC 30477572 OEULGPLHZRCIPW-LLVKDONJSA-N 412.364 4.512 5 20 HJBD Cc1ccc(-c2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c3c(C)noc3n2)cc1 60190533 NSPUJYDEWIKWKH-UHFFFAOYSA-N 406.373 4.806 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 60348720 FPSAWUZRGWIVJZ-HNNXBMFYSA-N 401.488 4.706 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(Cl)c(Cl)c1)C1CC1 65510570 NXUVOOMRAONLTQ-UHFFFAOYSA-N 408.285 4.818 5 20 HJBD CN(C)c1ccc(C(=O)c2ccccc2C(=O)Nc2ccccc2Cl)cc1[N+](=O)[O-] 71840521 SPQPTCRRYYSNFJ-UHFFFAOYSA-N 423.856 4.798 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(NC(=O)Nc2ccccc2)cc1 71958903 MGZUONAMMSMSEA-CYBMUJFWSA-N 410.455 4.791 5 20 HJBD COc1cc(CN[C@@H](C)Cc2ccc(O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 237153569 SXHIWIZTBGCMJH-KRWDZBQOSA-N 422.481 4.609 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC(c3c[nH]c4ccccc34)CC2)c([N+](=O)[O-])c1 248033132 YBVKQDPZCOMROZ-UHFFFAOYSA-N 406.486 4.598 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccc3ncccc3c2[N+](=O)[O-])CC1)c1ccccc1 301239890 NUCYUSCDCAKMQU-LJQANCHMSA-N 418.497 4.740 5 20 HJBD CCOC(=O)c1cc(C)sc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 303015210 KMUAHFQLZYGVOC-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD O=C(CCSCc1ccc(OCC(F)(F)F)cc1)Nc1ccccc1[N+](=O)[O-] 409839841 CBARUYDOBOQXEW-UHFFFAOYSA-N 414.405 4.798 5 20 HJBD CCCN(C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)CC(=O)Nc1ccccc1 410036494 HJIIKMSFDIFCLE-CQSZACIVSA-N 418.881 4.909 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 429887205 DLUMBEFWVZLOCI-ZDUSSCGKSA-N 410.392 4.691 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)c1[N+](=O)[O-] 435899411 ZBVCARIPTKEVCT-UHFFFAOYSA-N 422.812 4.709 5 20 HJBD Cc1cccc([C@@H]2CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)c1 444297851 VBDWDQXRSYPKKY-QGZVFWFLSA-N 400.453 4.759 5 20 HJBD Cc1cc(Br)c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1Cl 444916475 ABQCUUKHJWKEOY-UHFFFAOYSA-N 412.671 4.983 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4ccc(Br)cn4)no3)cc2c1 445398888 ZZOQDLJOJXGDKR-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccn(C2CCCC2)n1 446426124 XOYJDWHKSBVBRG-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD CCCOc1ccc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)c1 446996242 IZVMXIAKYZPTQE-UHFFFAOYSA-N 418.393 4.512 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 462231108 WOMSLHYJCPVOQJ-KRWDZBQOSA-N 421.419 4.690 5 20 HJBD CSc1cccc(F)c1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 462855347 SKRWXGIPFPXTBR-UHFFFAOYSA-N 403.460 4.856 5 20 HJBD O=C(CSCc1ccc(Cl)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464666530 SYMOPFRDKKHEPZ-UHFFFAOYSA-N 420.918 4.551 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Br)s1 468173469 HPEFWIHLOZEESG-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2N)cc1 468743568 IUGMIDPSRMSLOX-HNNXBMFYSA-N 405.454 4.686 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1cccc([N+](=O)[O-])c1 472903798 KDXNZIOGXUAPPX-CQSZACIVSA-N 410.405 4.591 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 479087412 AGQNRTISGKLROA-ZDUSSCGKSA-N 413.421 4.782 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)n[nH]1 486675178 JUBQAINCXURCIS-NWDGAFQWSA-N 403.870 4.991 5 20 HJBD O=c1c([N+](=O)[O-])cn(CCOc2ccc(Oc3ccccc3)cc2)c2ccccc12 487867471 HUBJZTURCLUUCR-UHFFFAOYSA-N 402.406 4.781 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497875486 UDPHQFFLERSNNG-QFIPXVFZSA-N 423.444 4.698 5 20 HJBD C[C@H]1CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C[C@H]1C 501631336 VHQCHFALGCHUEJ-UONOGXRCSA-N 415.877 4.619 5 20 HJBD COCC1(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCC1 501788112 MGXWCBZDMYLVOS-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@@H]2O)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 505204017 LZLZDOCGAYRLPN-ZWKOTPCHSA-N 412.467 4.744 5 20 HJBD C[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Oc2ccccc2)cc1 507030048 NOVCENYSYOMTFZ-HNNXBMFYSA-N 412.467 4.964 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccc2ccccc2c1)C1CC1 509040313 SDTIZMGFHWPSRR-UHFFFAOYSA-N 412.449 4.739 5 20 HJBD CNC(=O)Nc1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)ccc1F 512904238 PITAQSJEXHDZLE-UHFFFAOYSA-N 400.435 4.826 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)c2)cn1 512945717 AZJJACCSHMETJO-UHFFFAOYSA-N 414.421 4.774 5 20 HJBD O=C(NCCCOc1ccc(Cl)cc1Cl)c1ccc(Cl)cc1[N+](=O)[O-] 520126226 BDRZFBSAPJNEDC-UHFFFAOYSA-N 403.649 4.754 5 20 HJBD CCc1cc(N2CC[C@H](c3ccccc3OC)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 523107129 JRVXFOQYMPAJLP-KRWDZBQOSA-N 404.470 4.617 5 20 HJBD COc1ccc(-c2ccc(CNC3(c4ccccc4)CCOCC3)o2)c([N+](=O)[O-])c1 525697557 AHYSNZRBFOQVRS-UHFFFAOYSA-N 408.454 4.659 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1c(C)nc2c3ccccc3nn2c1C 531495204 GZDIKNKNLQRXMK-UHFFFAOYSA-N 417.469 4.596 5 20 HJBD Cc1cc(NC(=O)c2oc3ccccc3c2CSc2ncccn2)ccc1[N+](=O)[O-] 537442396 PTPVASCFYFREBT-UHFFFAOYSA-N 420.450 4.984 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(C(F)F)[nH]c2c1 537881223 KIGAVMHVTRQKPC-UHFFFAOYSA-N 403.389 4.553 5 20 HJBD CCc1nnc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 538609155 SQTSVLICFIXVJR-UHFFFAOYSA-N 409.265 4.643 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@H]([C@@H]3CCOC3)C2)ccc1Oc1ccccc1Cl 538751609 DOGYKCHZKZFLBO-DLBZAZTESA-N 402.878 4.899 5 20 HJBD COc1cc([C@@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1OC(C)C 539254139 MVLJGSMEVJIRAJ-OAHLLOKOSA-N 411.458 4.896 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)C(c1ccccc1)c1ccccc1 541626168 GWDMOXWTMSRYGU-SFHVURJKSA-N 403.482 4.652 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(OC(C)C)c(F)c2)cc1SC 544063188 SNYUFIWLBNYRMT-UHFFFAOYSA-N 412.414 4.643 5 20 HJBD CCC(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)c1 545196054 CQKJNYZGHQXTAA-UHFFFAOYSA-N 406.442 4.529 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3ncc4ccccc43)cc2)c1 549007660 UJEYWHCCRXTLOS-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD COc1cc([C@@H](C)NCc2cc(O)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 549928282 MQXRREXLUWQTJE-MRXNPFEDSA-N 408.454 4.739 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 551698593 JVENXRJKLBJACX-KRWDZBQOSA-N 418.293 4.603 5 20 HJBD Cc1c(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 553380159 CNZRNUNSCBDDDY-SGTLLEGYSA-N 408.376 4.524 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)cc1 558307555 WGOLVAARCMLGJV-SFHVURJKSA-N 407.495 4.910 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)[C@H](C)C2CC2)c(OC)c1 558708834 IMSPCVNVLRLEHZ-JKSUJKDBSA-N 412.486 4.543 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1cccc(Cl)c1F)c1cccc([N+](=O)[O-])c1 560550251 WCPJWVPUMCOCFI-IBGZPJMESA-N 416.884 4.778 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccc(COC2CCCC2)cc1 566943395 OZWCPDDSKPDOAB-UHFFFAOYSA-N 410.879 4.508 5 20 HJBD O=C(c1ncsc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 574021693 IBCBWWBOPRZOKQ-UHFFFAOYSA-N 407.373 4.917 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 577439688 MGGGTMJWDAYYCS-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cccc(C(F)(F)F)n4)no3)cc12 582446551 SHRROWXQYHIAGF-UHFFFAOYSA-N 408.339 4.812 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 582517393 XRIVTPZILYUGQE-UHFFFAOYSA-N 409.364 4.516 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc(Cl)c1OCC(C)C 603569777 RSQHQLAZDZCJSF-UHFFFAOYSA-N 406.866 4.564 5 20 HJBD Cc1ccc(-c2nc(CSCc3cc([N+](=O)[O-])cc4c3OCOC4)cs2)o1 603632705 IWNIZEFMSFBTST-UHFFFAOYSA-N 404.469 4.920 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 603742975 PQEPYRMTKQOYQT-UHFFFAOYSA-N 416.433 4.988 5 20 HJBD CC(C)n1ncc2cc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc21 609764325 WKVUIDQAXXCFNO-GFCCVEGCSA-N 402.838 4.585 5 20 HJBD CC(C)(C)OC(=O)NCCc1ccc(CNc2ccc3cc([N+](=O)[O-])ccc3n2)cc1 725791562 FBJCZVFTZQUFPG-UHFFFAOYSA-N 422.485 4.822 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](O)c2ccc(OC(F)(F)F)cc2)o1 726606085 SMZILOSIBVGQNQ-GOSISDBHSA-N 422.359 4.577 5 20 HJBD CCNc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 728166231 WWTMOLGIYBQMQK-UHFFFAOYSA-N 418.837 4.645 5 20 HJBD O=C(COC(=O)[C@H]1C[C@@H]1c1cccc2ccccc12)Nc1cc([N+](=O)[O-])ccc1Cl 730876547 PVOGDORHHZNROW-MSOLQXFVSA-N 424.840 4.687 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@@H]1c1ccc(Cl)cc1 731921008 YEUNGQGJNQCFGJ-CQSZACIVSA-N 416.833 4.586 5 20 HJBD CCc1ccc([C@@H](C)CC(=O)OCC2CCN(c3ncccc3[N+](=O)[O-])CC2)cc1 739173562 RKTMYQOCQMQRTH-KRWDZBQOSA-N 411.502 4.506 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@H](c1ccccc1)c1cc(Cl)ccc1Cl 745965583 REQJNTHTYSIRRH-LJQANCHMSA-N 420.252 4.821 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@H]2CCCc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748620275 RTQJYWAQAVMQLY-IBGZPJMESA-N 409.442 4.802 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 749507030 GLWKRNRKXQTKEZ-UHFFFAOYSA-N 407.382 4.880 5 20 HJBD Cc1ccc(-n2c(SC[C@@H](O)c3cccc([N+](=O)[O-])c3)nnc2-c2ccco2)cc1 749685521 IENLLJPPKZUDNY-GOSISDBHSA-N 422.466 4.570 5 20 HJBD CCC1(CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CCC1 752782897 FMXIQOJDKBKVQZ-UHFFFAOYSA-N 415.877 4.811 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1 753637815 WCOSZMZPRPJCJB-UHFFFAOYSA-N 422.441 4.671 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC(Oc2ccccc2Cl)CC1 754381329 AERDHIIHFFTJLR-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756964037 NKVWEGTYVKLKLC-UHFFFAOYSA-N 405.882 4.625 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760499599 FVXRKMOEPMKOIZ-UHFFFAOYSA-N 417.421 4.921 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1OC(C)C 760712190 JNDMMGVHSHDOKK-UHFFFAOYSA-N 413.474 4.633 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](C)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 763099778 FBAVYBOGJLWZGY-HZPDHXFCSA-N 405.495 4.515 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1ccccn1)c1ccc(Cl)cc1 770075242 PBOXTUVMMDJWPU-UHFFFAOYSA-N 403.772 4.768 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])CC1 772181764 CQPXZFOFUMEMCT-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD Cc1cc2c(S[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)ncnc2s1 783420549 FKSWXYQKKBBKOM-VIFPVBQESA-N 408.892 4.681 5 20 HJBD Cc1ccc(C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)cc1NC(=O)c1ccccc1 789430729 FRXZHBJNQVHICY-UHFFFAOYSA-N 419.437 4.524 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)c1F 790292152 WNRACBHPPGGCCL-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790601657 PGMRSZBJMUUKSU-QVKFZJNVSA-N 420.868 4.556 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CSc1nnc(NC[C@@H]2CCCO2)s1 809952832 BXBFHQNQPKWEDH-JTQLQIEISA-N 421.331 4.636 5 20 HJBD CC(C)[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc2ccccc2[nH]1 812830351 TUHGNXXZYQMTQA-HNNXBMFYSA-N 407.257 4.905 5 20 HJBD O=C(Nc1ccnn1CCc1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812957915 WQJKYUGHSRQVLO-UHFFFAOYSA-N 405.241 4.593 5 20 HJBD Cc1ccc(/C=C\c2nc(=S)n(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)[nH]2)o1 861645344 BQUAYOJDXATNJW-VURMDHGXSA-N 419.894 4.664 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(SCc3ccco3)c([N+](=O)[O-])c2)c(C)c1 912730470 YQOBFUDGXUPWRB-UHFFFAOYSA-N 418.496 4.898 5 20 HJBD C[C@@H](OC(=O)c1[nH]c2ccccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 915430630 BTLFCVLZMBSVRN-SECBINFHSA-N 422.224 4.567 5 20 HJBD CCC(CC)c1cc[n+](/C(C(=S)NC2CC2)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 916202463 DZIRLACDGCJRCU-UHFFFAOYSA-O 412.535 4.749 5 20 HJBD Cc1c(C(=O)Nc2ccccc2COCc2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916399454 ZUPAGPVAARAWKD-UHFFFAOYSA-N 421.409 4.781 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 2662660 FDPRSULCYATZGH-UHFFFAOYSA-N 409.876 4.597 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@@H]2/C=C/c2ccccc2[N+](=O)[O-])cc1 7889410 JGOBKKAZJOGBLW-NCSHYYEOSA-N 401.422 4.715 5 20 HJBD Cc1c(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)oc2c(Cl)cccc12 10964673 XGWYNDPZBRRWGF-UHFFFAOYSA-N 402.790 4.716 5 20 HJBD Cc1ccc(C)c(C(=O)CCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 17656077 YXHXYGALAOSANC-HNNXBMFYSA-N 423.425 4.529 5 20 HJBD Cc1ccc(-n2cnnc2S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 17740487 GFSPLXIJVUBGNI-AWEZNQCLSA-N 422.470 4.696 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 27357151 CZHKGTGGSUUPKV-INIZCTEOSA-N 408.401 4.551 5 20 HJBD C[C@H](N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(C)(C)C 30422876 IHSBSVRZQLIALD-KRWDZBQOSA-N 420.513 4.883 5 20 HJBD CSc1ccc(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)cc1[N+](=O)[O-] 31812855 XQXDAXXRSVSNCR-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3cccnc3)n2Cc2ccccc2)cc1 42131890 PKQWUCNFGOHIGJ-UHFFFAOYSA-N 417.494 4.631 5 20 HJBD COc1ccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1Br 43148716 RCODQCHCFXVNKQ-NSHDSACASA-N 408.252 4.557 5 20 HJBD COc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(OC)cc1Cl 50290105 XLNRMQMDOHKBGV-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc([N+](=O)[O-])cc2F)cs1 54917065 DOHXLJVSIYYPOT-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccn(-c2ccccc2)n1 105663906 IYIQTMGGKOJGBF-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CCOc1ccc(C2(CNc3ccc([N+](=O)[O-])c4cnccc34)CCOCC2)cc1 301080198 ICXIRXQSAJCZTP-UHFFFAOYSA-N 407.470 4.702 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c2ccncc12 301182843 IGPADDNXHUMQAX-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD C[C@@H](Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1c(Cl)ccc(F)c1Cl 301885700 MCVVRUSJFJQBHZ-MRVPVSSYSA-N 407.250 4.617 5 20 HJBD CCc1nn(C)cc1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 410168291 ZMMHFRLWXPMAON-UHFFFAOYSA-N 416.890 4.948 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(Oc2nncc3ccccc23)cc1 427688418 CPBIMAZVPZVMTO-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD O=C(Nc1ccccc1C(=O)N1CC2(CCCC2)C1)c1ccc([N+](=O)[O-])cc1Cl 428307131 SPAOTDVLAZBRIA-UHFFFAOYSA-N 413.861 4.517 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@H]2CC(=O)c2ccco2)cc1[N+](=O)[O-] 434256698 OWAJXGHBBUMAME-HNNXBMFYSA-N 402.472 4.568 5 20 HJBD CSc1c(F)cccc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 435460423 YFEITLBUEHMLOA-UHFFFAOYSA-N 402.369 4.661 5 20 HJBD CCCCOc1cccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436070976 OVUCSINNUQBRNX-UHFFFAOYSA-N 400.478 4.790 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 439954274 RINRSAQRVIIXRT-UHFFFAOYSA-N 405.458 4.500 5 20 HJBD COc1ccc(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)c(Br)c1OC 441261385 BOGBVOUGNFSYBM-UHFFFAOYSA-N 421.291 4.539 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc4ccc(F)cc4o3)C2)cc1OC(F)F 443909865 XMRZSRNLNVGGQD-NSHDSACASA-N 407.348 4.861 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1ccc(F)cc1)CC1CC1 444034111 HHCKCYQTVPOZOM-UHFFFAOYSA-N 400.453 4.873 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccc(Oc2ccccc2)cc1 444817153 LPWLTNLTKLYBGM-UHFFFAOYSA-N 403.398 4.585 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 445663416 YDDYCLFKMHMSBY-UHFFFAOYSA-N 416.441 4.502 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3ccc([N+](=O)[O-])c(C)n3)C2)n1 447670699 SUDWBDBYFHUDEX-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD O=C(CCCOc1ccccc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460352343 YJIDZSHLXPYSHA-IBGZPJMESA-N 406.891 4.681 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1C(=O)N1CCC(C)CC1 462424462 DTLLDTHNZHLBRV-LJQANCHMSA-N 423.513 4.674 5 20 HJBD CCOc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1OC(F)F 464828803 DDCDGCBSKLYRNO-UHFFFAOYSA-N 415.780 4.570 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C(C)C 465213782 JTQPHXYZNKVGPR-KRWDZBQOSA-N 408.907 4.800 5 20 HJBD C[C@H](O)C[C@H](CNC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 468964098 LBIALSNGPJRENU-FXAWDEMLSA-N 419.481 4.623 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H](C)[C@H](n3ccnc3)C2)cc1[N+](=O)[O-] 469388419 KUPHMBRSGMFJRT-QFBILLFUSA-N 416.547 4.653 5 20 HJBD COc1ccc(-c2csc(CNC(=O)NCc3cccc([N+](=O)[O-])c3C)c2)cc1 479316375 OACHAFPCEIMDLL-UHFFFAOYSA-N 411.483 4.640 5 20 HJBD Cc1onc(-c2ccccn2)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 482204235 BWFRRVGRUOVIEG-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD COc1cccc(-c2noc([C@H](C)N[C@@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])n2)c1 483662537 YUCHLIUBAKBMCJ-TWOQFEAHSA-N 422.485 4.715 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(-n2ccnc2)c1 485252440 BOQUUAYYDZQNAX-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD CSc1cccc(C(=O)NCCc2nc3cc(Cl)ccc3s2)c1[N+](=O)[O-] 485764300 AHBNQBIPHAHASI-UHFFFAOYSA-N 407.904 4.552 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(Oc3cccc(F)c3)nc2)c1[N+](=O)[O-] 486245577 RBCIKVGUTPXTRA-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CC(C)OCc1ccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 488354730 LMTYYEGYTWKEHN-UHFFFAOYSA-N 411.380 4.860 5 20 HJBD CCn1c(SCc2coc(-c3cccs3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 489457944 RHFOAOLSYQEAQY-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccc(C(F)(F)F)cc2)cc1 492004271 XCFCLBQMDVKXQO-GOSISDBHSA-N 420.343 4.735 5 20 HJBD Cc1sc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)nc1-c1c[nH]c2ncccc12 503893352 QFAHCYDZNZIHKS-UHFFFAOYSA-N 418.438 4.637 5 20 HJBD CC(C)(C)CN(Cc1ccccc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 504872073 YOMZIEXNRIPFSC-UHFFFAOYSA-N 409.530 4.886 5 20 HJBD COc1ccc(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)cc1[N+](=O)[O-] 505380448 SFUIJGARNLULDU-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)NC(C)C)cc1 505757812 JRYVCMWVFLAGIX-UHFFFAOYSA-N 416.503 4.879 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 507444396 WVLQOTLNHQSUJK-UHFFFAOYSA-N 407.470 4.851 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(N[C@@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 511027456 FABQQKBRCVHCMG-KRWDZBQOSA-N 407.392 4.919 5 20 HJBD COc1cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc(OC)c1C(C)C 511614094 CWUBAKHXCDSHQN-OAQYLSRUSA-N 416.499 4.623 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1OC 512941800 UHZMJVVVBMPMRI-UHFFFAOYSA-N 420.893 4.750 5 20 HJBD C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 514169651 JXMXUDNIGFFESZ-INIZCTEOSA-N 406.442 4.685 5 20 HJBD COc1cc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccccc2C)ccc1[N+](=O)[O-] 523378679 KSOLPJXPHJBKER-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)CCCNc2ccc([N+](=O)[O-])cc2)c1 524701244 VSRFZRXSJZWENJ-UHFFFAOYSA-N 418.497 4.719 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1nc2ccccc2n1C1CCCC1 524845165 YNKBVKKIFDZTLW-UHFFFAOYSA-N 403.442 4.653 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1Br 533014452 VKHWMGRDJPEYRS-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(C)n2[C@H]2CCC[C@@H](C)C2)cccc1[N+](=O)[O-] 535335456 IGUXXPDUSPHGMB-INWMFGNUSA-N 417.535 4.674 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1F 535483157 LMSYCVPYRUOORZ-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535547853 JRFCENAAEGXKEL-FQEVSTJZSA-N 417.469 4.573 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536318277 ABMXGRLLSKRUJF-UHFFFAOYSA-N 407.348 4.780 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nn1-c1cccc(F)c1 537336786 JOSKDVCRFIQCHP-UHFFFAOYSA-N 414.462 4.981 5 20 HJBD Cc1ccc([C@H](C)NC2CCN(C(=O)c3ccccc3Cl)CC2)cc1[N+](=O)[O-] 538020107 AZJOUNDQHKQRBZ-HNNXBMFYSA-N 401.894 4.512 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C 540281777 PMXRDPHGZMICNQ-PXAZEXFGSA-N 422.279 4.563 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(-c3ccccn3)oc2c1 540758027 FVPSCLCQVHZLEQ-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1F 542762850 ZLYMORZMYVNWTI-STQMWFEESA-N 415.396 4.524 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)cc(C)nc21 543854625 OMDJQAYXLYZGIF-LLVKDONJSA-N 421.379 4.890 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)[C@H](OC1CCOCC1)c1ccccc1 544371798 SVLAMHLATGZINY-VFNWGFHPSA-N 404.894 4.663 5 20 HJBD COc1ccc(CSCc2nc(COc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 544823863 OPHALMZXAUSHNH-UHFFFAOYSA-N 421.862 4.652 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2NC(=O)C2CC2)no1 546996896 FDBKSGYMYHOLGV-GFCCVEGCSA-N 410.455 4.847 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1csc(-c2ccc(F)c(F)c2)n1 547372451 SSCCWLGWBYROGW-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD Cc1nc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)sc1C(F)(F)F 559733958 KLDSEWFPCGVGRE-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(C(F)(F)F)cc1 564133328 ZVZZSTBYKKVPHQ-JTQLQIEISA-N 401.772 4.556 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 565260438 VTTOVWZQAIHGOP-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 584026102 PKDNINFVLMAFRP-INIZCTEOSA-N 424.422 4.832 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)CCNc3ccccc3[N+](=O)[O-])CC2)cc1 603814393 DOAXLTPCPXDPSF-UHFFFAOYSA-N 411.502 4.590 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(F)cc2C(F)(F)F)cc1[N+](=O)[O-] 609065334 UUDVFGCBQFZJOZ-UHFFFAOYSA-N 418.368 4.633 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1OC 609500881 MCXHFRBPWRIQGM-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD COc1cc(CN2CCC(c3ccccc3F)CC2)c([N+](=O)[O-])cc1OC(F)F 609895413 OJHZNXVSZUPLSJ-UHFFFAOYSA-N 410.392 4.724 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccccc1C(F)(F)F 610041976 QOLRJGOFKDITRE-SECBINFHSA-N 417.181 4.867 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@@H](OC1CCCC1)c1ccccc1 726933030 BLTXTRWWURXVDW-FQEVSTJZSA-N 402.878 4.907 5 20 HJBD Cc1c(Br)cccc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 726977396 TYLCLQMFBOKIRP-JTQLQIEISA-N 412.671 4.758 5 20 HJBD Cc1ccc(Cc2sc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])nc2C)cc1 727708568 WDGMHPZDQFZFNR-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1C[C@@H]1c1ccc(Cl)cc1Cl 730610657 LAANYCJUVWCEBY-HZPDHXFCSA-N 424.236 4.615 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)[C@H]1C[C@H]1c1cccc2ccccc12 730873008 TUGTVFMCTFMYJQ-PMACEKPBSA-N 415.405 4.645 5 20 HJBD Cc1c(C(=O)NCC2(Sc3ccccc3)CCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 731592486 YOHYELZYNWEMIO-UHFFFAOYSA-N 415.471 4.646 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)[C@@H](O)c1ccc(F)cc1 734845769 FPHWRGFIMIJHQA-QMHKHESXSA-N 409.461 4.714 5 20 HJBD COc1cc(N2CCC(OC(=O)C3(c4ccccc4)CCCC3)CC2)ccc1[N+](=O)[O-] 739386824 YSQXOPYBUBXPMK-UHFFFAOYSA-N 424.497 4.627 5 20 HJBD CCCCn1c(SCc2cc(Cl)ccc2[N+](=O)[O-])nnc1[C@@H](CC)N(C)C 746049068 SHEDZIBSRZDOJL-OAHLLOKOSA-N 411.959 4.945 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749932970 FKLGGNKUWIURJT-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1C 758907925 BVYHKWCXSBJVKQ-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](OC1CCCC1)c1ccccc1 760509887 HQGCOZBWDIIASE-OAQYLSRUSA-N 422.437 4.994 5 20 HJBD Cc1nc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 761582146 MVZLUEHNLIQXFM-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@H]2CCCN2C(=O)OC(C)(C)C)no1 763339220 HYFGMWOPGORNGI-SWLSCSKDSA-N 420.491 4.903 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1ccc(Cl)cc1Cl 763719218 XSDOBZDQDUUYFA-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(Nc1cccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1)c1cccs1 777921758 GKZTVZHYXJNZMP-UHFFFAOYSA-N 417.393 4.639 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1ccc(Cl)cc1 781266853 BPRJCIKBPUEHIU-ZDUSSCGKSA-N 420.852 4.519 5 20 HJBD CC(C)(NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CCOCC1 785612511 YAYOOXFCKWAENV-UHFFFAOYSA-N 420.513 4.742 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)cc1 789441859 DDASLKCOZLPXGK-UHFFFAOYSA-N 411.483 4.633 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790601659 PGMRSZBJMUUKSU-VFNWGFHPSA-N 420.868 4.556 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1c(-c2ccccc2)nc2ccccn12 790638738 CJYYRQZJBGNMGY-UHFFFAOYSA-N 424.819 4.883 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)n1 791045815 YINHUYVFGBEHEA-UHFFFAOYSA-N 424.310 4.672 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1 801626372 JLIRGGKEMFCICS-UHFFFAOYSA-N 414.462 4.648 5 20 HJBD Cc1ccc([C@H](O)c2ccccn2)cc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 808027715 MQZBITSMENPBGQ-QFIPXVFZSA-N 419.481 4.930 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1Cl 812852223 DSEGYWJQHKLTLY-UHFFFAOYSA-N 402.621 4.766 5 20 HJBD O=C(OCc1cncc(-c2ccsc2)c1)c1ccc(Br)cc1[N+](=O)[O-] 816254658 QOBOGOYGMODKJQ-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(Oc3cccc([N+](=O)[O-])c3)cc1)N2 877849431 DOWPERKQFRUULG-NRFANRHFSA-N 405.410 4.592 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(SC(F)F)cc2)cc1[N+](=O)[O-] 914706882 CAJAFUQKXOIIEU-UHFFFAOYSA-N 414.455 4.790 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)cc12 915427417 ABBCXWCXDIGTTH-OAHLLOKOSA-N 402.410 4.555 5 20 HJBD CNC(=O)c1ccc(Cl)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 918649690 BQDQDWOINVIBRD-UHFFFAOYSA-N 424.844 4.604 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(Cl)s2)C1 1322211195 KFRIGDLLGHUULG-GFCCVEGCSA-N 402.259 4.569 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 1926149 QRWIYJLPCWPQCO-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD Cc1c(C(=O)NCCc2csc(-c3ccc(Cl)cc3)n2)cccc1[N+](=O)[O-] 5137282 IPFHSHHGGCBSHX-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)O[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 5563137 HSOWHRZOVGACFQ-AWEZNQCLSA-N 410.451 4.816 5 20 HJBD CC(C)Cn1c(SCC(=O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccccc1F 9198919 QAIZWXWKOPUACN-UHFFFAOYSA-N 414.462 4.623 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2nc3ccccc3s2)CC1 43126973 LREYEDWJIHKBAT-UHFFFAOYSA-N 412.471 4.625 5 20 HJBD COc1ccc(OCCS[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 50249830 PKEKWGKUVBIPPV-ZDUSSCGKSA-N 401.444 4.527 5 20 HJBD COc1ccc(Cl)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 64831921 UENZTPLOWXMRIC-OKILXGFUSA-N 417.893 4.991 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccncc3)n2-c2ccccc2)c(F)c1 301803581 VAIJXXAHELAEET-UHFFFAOYSA-N 411.393 4.667 5 20 HJBD Cc1cc(N2CCC[C@@H]2C[C@@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301833365 SBLGBJRMPRQGDQ-QZTJIDSGSA-N 410.499 4.514 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1NCc1ccc(Oc2cccc(F)c2)nc1 301851426 APOGUCHOHBLGSZ-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD CC[C@H](C)[C@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 426022311 LMIPTNOEYQJZTP-LIRRHRJNSA-N 419.913 4.579 5 20 HJBD Cc1cn2c(-c3noc(-c4csc(-c5ccc([N+](=O)[O-])cc5)n4)n3)c(C)nc2s1 426627246 DYSFBWVQJJVLIV-UHFFFAOYSA-N 424.467 4.761 5 20 HJBD O=C1[C@@H](Nc2ccc(Oc3ccc(Cl)cn3)cc2)CCN1c1cccc([N+](=O)[O-])c1 434261802 XYWNIFWDBAIZGD-IBGZPJMESA-N 424.844 4.653 5 20 HJBD Cc1ccc(CSCCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 435815174 CZCKRRXVAWDTLM-UHFFFAOYSA-N 420.509 4.792 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 437384993 OMHDQEGCOWOOSH-HNAYVOBHSA-N 424.834 4.899 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)n1 439131152 VLYGXRQUJBDAML-KRWDZBQOSA-N 416.437 4.502 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)no1 439907926 BSKHUIRFLRZQOW-UHFFFAOYSA-N 424.457 4.745 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1cccc(N2CCCC2)c1 447232625 STKIJHHYWVNNSI-SFHVURJKSA-N 410.518 4.876 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)CC1CCOCC1 462497898 BHLLIZJKRMWDGQ-JOCHJYFZSA-N 410.514 4.619 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1CC(=O)c1ccco1 462772673 BXSYDAUNBWGOOT-AZUAARDMSA-N 412.486 4.801 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 468182698 KHDNMXIDXODYLK-UHFFFAOYSA-N 405.454 4.648 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 470772214 JDFPABFZTFFLFW-AEFFLSMTSA-N 408.376 4.572 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 477583681 YNJRHNVFYKXCLN-UHFFFAOYSA-N 414.421 4.531 5 20 HJBD CC(C)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C(C)(C)O 480481847 KADCOTPTCQEWPY-KRWDZBQOSA-N 411.296 4.502 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1c(F)cccc1F)C1CC1 481090471 HVULKTWDMSEXBW-UHFFFAOYSA-N 404.416 4.764 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(Br)s1 481966441 KHMFAQQTWZQQNB-UHFFFAOYSA-N 415.334 4.503 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2ccc(C(C)(C)C)cc2)cc1SC 482748071 CDBWJYXDYPIKFF-UHFFFAOYSA-N 402.516 4.595 5 20 HJBD CCc1onc(-c2ccccc2Cl)c1C(=O)Nc1cc([N+](=O)[O-])ccc1OC 483117492 IZUFCIZPCHZVHV-UHFFFAOYSA-N 401.806 4.727 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 485603113 SGGSAXACRJTMDM-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD CSc1cccc(C(=O)NC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)c1[N+](=O)[O-] 486401606 DPSGRFSHLOHXQF-JXFKEZNVSA-N 400.500 4.523 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(C(C)(C)C)cs1 487505897 YWDABDGNEOJVGS-LBPRGKRZSA-N 423.560 4.578 5 20 HJBD Cc1nc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)sc1Cc1ccc(F)c(F)c1F 487580006 FZAKDLNZECWSAS-UHFFFAOYSA-N 421.400 4.549 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 490941416 UXFAQBWNQSQQIK-MRXNPFEDSA-N 407.392 4.635 5 20 HJBD C[C@H](Nc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 497536247 PZDZISBIGSXVIP-QMMMGPOBSA-N 407.250 4.617 5 20 HJBD O=C(Nc1ccc(OCC2CCCCC2)cc1)c1cc2c(cc1[N+](=O)[O-])OCCO2 498425731 YCNLOXAKPGIXMD-UHFFFAOYSA-N 412.442 4.577 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@H]1CCc1ccccc1 498758736 FQGIOVIPHUVUKJ-SFHVURJKSA-N 407.495 4.956 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)sc1Cc1ccccc1F 498815396 JUFVMMKHAQMVEK-UHFFFAOYSA-N 422.441 4.890 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1/C=C\c1ccccc1 505367838 XMWTWSLXRMJBRI-NONRQZMLSA-N 408.501 4.859 5 20 HJBD CC(C)(NC(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1 511544210 AZHIQJDFWMONOO-MRXNPFEDSA-N 413.371 4.962 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512989935 BHBJDOMIPVQLLB-UHFFFAOYSA-N 415.902 4.995 5 20 HJBD CCOC(=O)c1sc2nc(C)nc(N[C@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 515499888 ZFFXAZPUJJYRQB-LLVKDONJSA-N 400.460 4.566 5 20 HJBD O=C(Cc1csc(-c2cc(Br)cs2)n1)Nc1cccc([N+](=O)[O-])c1 515638365 IEKQNTPHEUNFQT-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1 521711504 AIRPTSNUFBCCCK-OPAMFIHVSA-N 422.506 4.990 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 522876634 YHSNUUMUMUTCSG-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 523576923 KELDHILJAPDCMC-UHFFFAOYSA-N 402.454 4.818 5 20 HJBD Cc1ccc(NC(=O)c2cccc(OCc3cc([N+](=O)[O-])cc4c3OCOC4)c2)cc1 524169968 NAXZYRYABBDKFC-UHFFFAOYSA-N 420.421 4.601 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2cnn(CC(F)F)c2)cc1 532610150 HELVGBFNTKLYBP-UHFFFAOYSA-N 424.411 4.521 5 20 HJBD Cc1nc2ccccc2n1C[C@@H]1CCCN1c1ccc([N+](=O)[O-])c(OC(F)F)c1 533686605 VMJNZUZCJSAWTQ-HNNXBMFYSA-N 402.401 4.523 5 20 HJBD CN(C(=O)Cc1coc2cc(F)ccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252241 OSVNIGCRJHPRBN-UHFFFAOYSA-N 411.414 4.809 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cnn(-c3ccccc3)c2C(C)C)c1 539560684 BJKGRMULSOSMSY-UHFFFAOYSA-N 408.458 4.620 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(-c4ccc[nH]4)cc3)cc2)c(C)c1[N+](=O)[O-] 540421102 FFHJFLRUHBHRNV-UHFFFAOYSA-N 415.453 4.704 5 20 HJBD CO[C@H](c1ccccc1F)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 540626092 RJJWMEGKTNWTNP-YJYMSZOUSA-N 406.479 4.740 5 20 HJBD O=C(N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 541628469 OYEGXCFGDQZRFB-DOTOQJQBSA-N 400.431 4.599 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1C 541678917 DUYNWOVDUMNEOL-HNNXBMFYSA-N 424.476 4.607 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccco1 544402407 CTUNOOGHWSAMDX-GOSISDBHSA-N 417.531 4.503 5 20 HJBD Cc1nn(C)c(C)c1[C@H](C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545780814 VOYPTDLKMSKOKJ-ZDUSSCGKSA-N 419.441 4.939 5 20 HJBD COc1cc(Cc2noc(CCC(=O)c3csc4ccccc34)n2)ccc1[N+](=O)[O-] 547179610 UBTARYJRNASMFU-UHFFFAOYSA-N 423.450 4.607 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC1CCCC1)c1cc([N+](=O)[O-])cc2c1OCCO2 547966187 RGUTXOJPVFEDCL-UHFFFAOYSA-N 418.833 4.593 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1Cl 551528259 JISUBGBEUITVGC-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccccc1OCc1ccc(F)cc1 560992644 DSVAZYKEGHDAER-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCOCC4)[C@@H]3C3CC3)c([N+](=O)[O-])c2)cc1 565097976 VVOVWHUZNNNNEN-QFIPXVFZSA-N 421.497 4.551 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc3nc(O)oc23)cc1[N+](=O)[O-] 579773911 PYKQBQLJSSPWER-UHFFFAOYSA-N 401.444 4.832 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(F)c3ccccc23)cc1OC 580486466 FSQZTISJLUGWFH-CYBMUJFWSA-N 412.417 4.785 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3ccc(-c4csc(C)n4)cc3)n2)c1 584686675 KCDYNXKDEIHTHE-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCc3csc4ccccc34)cc2)c(C)c1[N+](=O)[O-] 603771635 WJBNOQAARYXENP-UHFFFAOYSA-N 420.494 4.601 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 603966220 GMHPQZZLNSBLOD-GFCCVEGCSA-N 415.446 4.569 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@@H](OCC(F)(F)F)C1 603982904 QWMHCHFVTGCJSV-MRXNPFEDSA-N 424.375 4.571 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccccc1COCc1ccccc1 604008369 RHBQUQYSNZVCON-UHFFFAOYSA-N 405.454 4.671 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N[C@H](CC(F)(F)F)c2ccccc2)cc1[N+](=O)[O-] 604041832 CXBWFTLQUGYCFT-CQSZACIVSA-N 422.812 4.529 5 20 HJBD O=C(Nc1ccc(-c2ncon2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 608875316 SGZOPAAAMYZEOI-UHFFFAOYSA-N 402.366 4.689 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCCC[C@@H]1c1ccccc1C(F)(F)F 608945399 LDNKDSVMTPTNPG-QGZVFWFLSA-N 408.376 4.990 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)c2ccccc2)cc1OC 608948831 IKCVBMXJDKUBKQ-OAQYLSRUSA-N 420.465 4.634 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608978804 JZHQPVSZFMSSMG-HNNXBMFYSA-N 414.405 4.530 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 608993045 YACQYOZWHGOMAF-QGZVFWFLSA-N 410.257 4.815 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2)Cc2ccc(F)cc2)cc1[N+](=O)[O-] 609016498 HSJIFAAVMCBKQR-UHFFFAOYSA-N 408.429 4.514 5 20 HJBD O=C(Nc1nnc(-c2cccc(Cl)c2)s1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 609021932 QATRXVLCICJPED-UHFFFAOYSA-N 415.862 4.593 5 20 HJBD Cc1c(NC(=O)NC[C@H](C)Sc2ccc(Br)cc2)cccc1[N+](=O)[O-] 609469587 JLYWZAXIONXBJP-NSHDSACASA-N 424.320 4.968 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 609700235 OAIZZIWCQRYZMB-KXBFYZLASA-N 402.541 4.701 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@]2(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610190451 MZDQHWYKLSXXGO-XTZPKPCCSA-N 416.481 4.623 5 20 HJBD COc1cnc2ccccc2c1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 619795501 PSOLAYQKFFRFFT-UHFFFAOYSA-N 420.450 4.552 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(N2CCOC2=O)cc1 726607521 YXQMMQZLJAXLCA-HNNXBMFYSA-N 407.426 4.662 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 729402924 GMMWXVJVLYVKGP-UHFFFAOYSA-N 410.430 4.535 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)Nc3ccc(F)c([N+](=O)[O-])c3)sc2c1 729729172 KGTRDOSILGBYSR-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1ccc(CSC(F)F)o1 730958306 RTJHNYKWBUZUPC-WDZFZDKYSA-N 414.773 4.642 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])c(C)c1 731622909 VEZGJDOEJNFEGA-CQSZACIVSA-N 402.472 4.624 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c(-c2nc3ccccc3[nH]2)c1 731979113 HNMQPIRGUKENFX-UHFFFAOYSA-N 417.381 4.607 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ncc(C(C)(C)C)o2)cc1[N+](=O)[O-])c1ccccn1 733463540 YSPRLYODUZGRBG-CQSZACIVSA-N 424.457 4.805 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCC[C@@H](C(F)(F)F)C1 739580028 KVAYCNPWWGQRAY-MWLCHTKSSA-N 410.186 4.769 5 20 HJBD Cc1cccc(NC2CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 740871195 SUIZQGAMIDELJE-UHFFFAOYSA-N 424.379 4.911 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)C(=O)c1ccc(Oc2ccccc2)cc1 744833382 RUUSSHDOMPMUKI-AWEZNQCLSA-N 409.369 4.954 5 20 HJBD C[C@@H](OC(=O)C1CCCCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 745122459 CEFITVOGXWFDEO-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccccc1C(F)(F)F 745308941 LXJXAOUUJGZURX-LLVKDONJSA-N 421.331 4.511 5 20 HJBD C[C@@H](OC(=O)CCOc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751685807 TVALLYTXAQSGPK-CYBMUJFWSA-N 416.817 4.977 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H]2CCO[C@H](c3ccc(C)cc3)C2)cc1SC 757486503 IJQLPEMMEYAMTR-YJBOKZPZSA-N 417.483 4.711 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@H]2C[C@H](O)c2ccco2)c([N+](=O)[O-])c1 758485759 DEFLMCOJXBCJIO-RXVVDRJESA-N 406.438 4.511 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 763023322 WIRPWLLQFNRPHG-UWJYYQICSA-N 407.470 4.585 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)CCCc2ccccc2)c1=S 764888049 CJEQFESCDUGDRK-UHFFFAOYSA-N 411.531 4.531 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)o2)c(C)c1 768352418 PGXUWANGNAHAJK-GFCCVEGCSA-N 416.434 4.520 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2ncccc2C)cc1[N+](=O)[O-] 771855805 LGLCCLYLCQOJRK-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD CCOc1cc(Oc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])ccc1[N+](=O)[O-] 774690806 PSSQIBLLBCPAGG-UHFFFAOYSA-N 423.250 4.743 5 20 HJBD COc1cc([C@@H](C)NC[C@H](O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 775031338 IKBSNFDRFBPXCS-VGSWGCGISA-N 422.481 4.567 5 20 HJBD C[C@H](CCOc1ccccc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139840 RNCQDJHOUDGTGS-VGOFRKELSA-N 406.438 4.728 5 20 HJBD Cc1noc(COc2ccc(N[C@@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])cc2)n1 777688977 JAGANGXVLJTWRB-OXQOHEQNSA-N 408.458 4.689 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccn1)c1cc(Br)cc([N+](=O)[O-])c1Cl 780762666 NYYPFKNBQYDTNH-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD COc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)ccc1OCc1ccccc1 800150402 NZDHZVNNIHBLAW-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD O=C(NC[C@H](O)c1ccc(C(F)(F)F)cc1)c1cc2ccccc2c2cccnc12 807793637 GJFMAIHMLLCSDC-FQEVSTJZSA-N 410.395 4.870 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1-c1nc(Cc2ccccc2[N+](=O)[O-])no1 809240713 WJMHRDWQSCBTBJ-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(c2ccc([N+](=O)[O-])cc2C(=O)NC2CC2)CC1 917092855 FFHQUMQIXKTGRW-UHFFFAOYSA-N 418.497 4.661 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 917782440 GLTGJFFCXRIQBK-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nc(-c3ccc(F)c(C)c3)no2)c1 918922137 XCKXNIVVAPLMNF-NSHDSACASA-N 417.418 4.732 5 20 HJBD Cc1csc(SCc2ccc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)n1 1252263980 OLWUJMSUMGYOLZ-UHFFFAOYSA-N 411.508 4.808 5 20 HJBD Cc1nc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 9788651 FMZZHEWQEGVZLP-UHFFFAOYSA-N 422.919 4.909 5 20 HJBD O=C(Nc1cccc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c1)C(F)(F)F 11332387 CNKAGQLCXNLBBE-GHXNOFRVSA-N 410.739 4.709 5 20 HJBD CSc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)cc1[N+](=O)[O-] 14706965 LSQVVXXKEWMOTN-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD Cc1c(NC(=O)COc2ccc(C(=O)c3ccc(Cl)cc3)cc2)cccc1[N+](=O)[O-] 20890570 NXFZMIQKODRJNG-UHFFFAOYSA-N 424.840 4.805 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 47140559 WOZXFBANCWRKDB-GFCCVEGCSA-N 404.854 4.555 5 20 HJBD CC(=O)c1ccc(Sc2ncc(-c3ccccc3)n2C[C@H]2CCCO2)c([N+](=O)[O-])c1 71838341 WBWHHUQAUYLBTC-GOSISDBHSA-N 423.494 4.991 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-n3cccc3)ccc2F)cc1SC 112532789 SPSJLONRKFYISY-UHFFFAOYSA-N 401.419 4.507 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2c(CC)oc3ccccc23)c([N+](=O)[O-])cc1OC 248130617 JOJMYFZQTHHTHC-UHFFFAOYSA-N 412.442 4.583 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCCc3c(C)noc3C)cc2[N+](=O)[O-])cc1 303351716 XSTWXQUMLWDOKE-UHFFFAOYSA-N 411.483 4.632 5 20 HJBD COc1cc(CNCCc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 409564970 GIFUEMNPOJZQAE-UHFFFAOYSA-N 418.799 4.530 5 20 HJBD Cc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1NC(=O)CC(C)C 427596079 HJQMTDGUGCEIMR-UHFFFAOYSA-N 409.486 4.693 5 20 HJBD Cc1noc(C[C@H](N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])c2ccccc2)n1 429462894 RZHIPQPOHNYOHM-TYPHKJRUSA-N 406.486 4.571 5 20 HJBD O=C(N[C@H]1CCCOc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436281069 DBIGDVCOCOMUKI-IBGZPJMESA-N 420.415 4.603 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 436396595 YUJYLGCOPSSJNT-CQSZACIVSA-N 422.190 4.893 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCCc2nc(CC)sc21 439868009 CUKNKIQMLCHUMR-HNNXBMFYSA-N 404.492 4.554 5 20 HJBD Cc1c(NC(=O)C(=O)N(C)[C@H](C)c2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 442531709 UKTVONAVXRJWBI-QGZVFWFLSA-N 417.465 4.728 5 20 HJBD O=C(Nc1ccc(OCC2CC2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444020770 LPCGRESSCBNDEP-UHFFFAOYSA-N 402.425 4.862 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccccc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 460497357 CAIMAZGFYGDAEP-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD Cc1cc(NCc2nnc3ccc(C(F)(F)F)cn23)c(C(F)(F)F)cc1[N+](=O)[O-] 461721427 WAUZUTORYLZZCA-UHFFFAOYSA-N 419.285 4.596 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 464514872 JCMUJGWABYHESK-UHFFFAOYSA-N 410.392 4.650 5 20 HJBD CCOc1cc(C(=O)N(c2ccc(F)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC 465474677 DSEUVKDIXJWTMI-UHFFFAOYSA-N 402.422 4.731 5 20 HJBD COCCOc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(Cl)c1 472373306 IRRGSVVATPLIQU-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cc1OC 475564725 KKHBGEVHVSIODM-UHFFFAOYSA-N 407.854 4.594 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 480497815 WPTZLUTVPOUOLM-RYUDHWBXSA-N 409.255 4.552 5 20 HJBD O=[N+]([O-])c1ccc(NCCCOC2CCN(Cc3ccccc3)CC2)c2ccncc12 484807782 BTEPLBGTTQUQQQ-UHFFFAOYSA-N 420.513 4.626 5 20 HJBD CC(C)(C)OCc1cc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)no1 485718983 RZOICUHAWAOQMU-UHFFFAOYSA-N 401.488 4.916 5 20 HJBD Cc1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)csc1Br 486680929 BOSVJPBGEOFOMQ-UHFFFAOYSA-N 423.210 4.676 5 20 HJBD CCCc1nc(C)c(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 488628011 XNSCDMWQGPRSEC-UHFFFAOYSA-N 402.398 4.653 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3ccccc3OC(C)C)n2)cc1[N+](=O)[O-] 499278910 BIAUUIOTVKGCCX-UHFFFAOYSA-N 411.483 4.995 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cc(C)c(OCC(F)(F)F)c(C)c1 499380653 KBRJNQNOFPTMGY-UHFFFAOYSA-N 410.392 4.733 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1cc(C(F)(F)F)ccc1Cl 504538464 KHFUHPKTUPCZMZ-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD CNC(=O)c1ccc(Sc2nc3cc(C(F)(F)F)ccc3s2)c([N+](=O)[O-])c1 507106784 ZNJUKUDMDGHLRK-UHFFFAOYSA-N 413.402 4.734 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)Cc2ccc3ccccc3c2)cc1OC 511448626 YXCLPDUOIYVLOR-UHFFFAOYSA-N 408.454 4.818 5 20 HJBD Cc1nn(C)c(C(=O)N(CCC(C)C)Cc2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 512546114 ACBGUBRAHWFHSZ-UHFFFAOYSA-N 413.305 4.632 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)Nc1ccc2c(c1)OC1(CCCC1)O2 513816699 SBATVFJTJFMIIV-UHFFFAOYSA-N 400.456 4.508 5 20 HJBD COC1(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCC1 517018544 ZSYCUSIFYPBGMS-UHFFFAOYSA-N 409.280 4.666 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](COc1ccccc1)c1ccccc1 517425954 FCKZFCMCHLAXNP-NRFANRHFSA-N 405.454 4.557 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4cc(O)nc5ccccc45)no3)cs2)cc1 521113639 QNGBKMJBFCRLNS-UHFFFAOYSA-N 417.406 4.689 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCCC[C@H]1c1cc2ccccc2[nH]1 521734270 MKANSDUXTRRLOL-QFIPXVFZSA-N 402.454 4.785 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 531504791 GBNDISCWFDFILQ-CYBMUJFWSA-N 421.291 4.564 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 532279667 VHOMNIQFDAVUTI-UHFFFAOYSA-N 402.454 4.531 5 20 HJBD CC(C)CO[C@H](C)c1noc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 536303288 XRPONEWPAMBINM-CYBMUJFWSA-N 418.475 4.800 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2F)nn1-c1ccc(Cl)cc1Cl 537339830 BVWWNFSDXFMJBP-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1ccc(N[C@H](C)c2cccc([N+](=O)[O-])c2C)cc1NC(=O)CN1CCCCC1 537533117 FTWHHBDQUMTUFD-GOSISDBHSA-N 410.518 4.809 5 20 HJBD CCC[C@@H](Nc1cccc(CS(=O)(=O)N[C@H](C)CC)c1)c1cccc([N+](=O)[O-])c1 539727296 UGUPFVYDFHNMRZ-IIBYNOLFSA-N 419.547 4.766 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 541665150 YIVGWAUHBZLGNO-LBPRGKRZSA-N 400.435 4.985 5 20 HJBD CSc1c(F)cc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1F 542394873 JSFIGZGLFMMVQA-UHFFFAOYSA-N 423.441 4.670 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 542568621 IWRHTKXXYFGXIP-QFBILLFUSA-N 418.490 4.838 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1F 543457713 PMZBOUNWXVMJKD-ZIAGYGMSSA-N 417.506 4.579 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)s2)cc1 544485443 CUDBHJYQXUHUDB-OAHLLOKOSA-N 424.526 4.649 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)c2C)cc1 544932362 QTQYKVOHDFGIPV-UHFFFAOYSA-N 417.469 4.600 5 20 HJBD C[C@H](O)[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 545946945 YWXSESUEQFNYAP-DZGCQCFKSA-N 420.918 4.632 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CSc3ccccn3)c2)c1 549012339 IUUYMCHAMMLTEG-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD O=[N+]([O-])c1cc2c(N(Cc3cccc(-c4cccnc4)c3)C3CC3)ncnc2s1 553148899 JODHHXDNPHXMAH-UHFFFAOYSA-N 403.467 4.831 5 20 HJBD CSC[C@H]1CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 558135348 ORGKSEPEJPEGMB-HNNXBMFYSA-N 402.541 4.961 5 20 HJBD CSC[C@H]1CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 561899834 TXGOXYPAWOTKEE-HNNXBMFYSA-N 402.541 4.961 5 20 HJBD CCCCc1ccc(NC(=O)Cc2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 567649523 XFQQDTAHSXJVFW-HNNXBMFYSA-N 408.458 4.653 5 20 HJBD COCCCOc1ccc(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)cc1 582207588 JCTFUIYGXMGREF-UHFFFAOYSA-N 415.421 4.525 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)s2)cs1 603781506 OFZFHRVFIHGRFM-UHFFFAOYSA-N 413.480 4.807 5 20 HJBD O=C(Nc1ccc(Cl)cc1NC(=O)c1ccco1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604099090 RXVMKXQYLJVIHT-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccoc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 604200843 JGQLFQUIAFVLJT-UHFFFAOYSA-N 416.817 4.914 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n[nH]1 609920960 UYXORWNUAKKTOX-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD COc1cccc2c1OCC[C@@H]2Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 613180465 CEMWOOHDHHWFGE-KRWDZBQOSA-N 407.426 4.513 5 20 HJBD COc1cc(CN(C)CCCc2cc(-c3ccc(F)cc3)no2)c([N+](=O)[O-])cc1F 613377903 QMOPBZSEKTYUIG-UHFFFAOYSA-N 417.412 4.601 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c3c2CCC3)c(F)c1 730453017 TWHVDRFECUCUSN-UHFFFAOYSA-N 414.824 4.622 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 735424003 QCYCNOWLGHHIHZ-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)OC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)s2)cc1 739298962 HHVDOGDORZQUGD-AWEZNQCLSA-N 414.487 4.571 5 20 HJBD COc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 741897162 AURVOQNMIYIYEJ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1ccc(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)cc1[N+](=O)[O-] 744471794 HGEZGTJMBFFQCP-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CC1([C@@H]2CCCN(Cc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)C2)OCCO1 753596867 UKGHQKPORWJGOA-QGZVFWFLSA-N 416.449 4.501 5 20 HJBD C[C@H](c1nc2ccccc2s1)N(C)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964161 RFWZEEONXDDBSW-LLVKDONJSA-N 404.879 4.761 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(OCC(F)(F)F)cc1 757203198 KAFXAVQKGWUJIW-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CC(C)c1cc(=O)oc2cc(Oc3ncc(Br)cc3[N+](=O)[O-])ccc12 761874150 NPRSSFZZWLUDLS-UHFFFAOYSA-N 405.204 4.774 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 763419879 CPLQAGRNPMLRHU-MNOVXSKESA-N 411.241 4.576 5 20 HJBD O=C(OCC(=O)c1ccc([N+](=O)[O-])cc1)/C(=C\c1ccc(F)cc1)c1cccs1 772147104 ZDHYXGCVLDROJV-PDGQHHTCSA-N 411.410 4.762 5 20 HJBD CN(C(=O)c1c(Cl)cccc1[N+](=O)[O-])c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496052 PKWMSSMVHAISGS-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD CC(C)c1ccc(OCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776138800 TYJOSHPQIRFYBA-QHCPKHFHSA-N 406.438 4.825 5 20 HJBD COc1ccccc1[C@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 779067516 XBINAPVESSOELA-RBBKRZOGSA-N 412.873 4.669 5 20 HJBD CC(C)c1ccc2c(c1)[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)CCC2 783343797 RMRGVPFYBJVISO-GOSISDBHSA-N 415.877 4.504 5 20 HJBD CC(C)(NCc1ccc([N+](=O)[O-])c(Cl)c1)[C@H](O)c1ccc(Br)cc1 783674839 RDCUVFGBNTZDFI-MRXNPFEDSA-N 413.699 4.613 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784521618 QANVJLBPXJGMKG-CQSZACIVSA-N 410.417 4.722 5 20 HJBD COC(=O)COc1ccc(CNc2ccc(SC(C)(C)C)cc2)cc1[N+](=O)[O-] 788970092 RTOYVVKWWMSBFX-UHFFFAOYSA-N 404.488 4.649 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)[C@@H]3CCc4cc(Cl)ccc43)co2)cc1 795400179 KTTCPBIKPVQUPF-GOSISDBHSA-N 418.858 4.506 5 20 HJBD C[C@@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nccn1-c1ccccc1 803072240 QQZKJJVHQIZUGW-SNVBAGLBSA-N 422.260 4.523 5 20 HJBD Cc1cc(Cc2noc(Cc3sc(SC(F)F)nc3C)n2)ccc1[N+](=O)[O-] 809432129 BEAHOKNFMUNYJU-UHFFFAOYSA-N 412.443 4.547 5 20 HJBD Cc1nnc([C@@H]2Cc3ccccc3CN2Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])o1 809935314 RRLZYJIUULFXKR-KRWDZBQOSA-N 419.268 4.893 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813168830 BURZQHPTEFRKTC-UHFFFAOYSA-N 407.169 4.610 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 813275438 HBGPCHOHWCYADA-UHFFFAOYSA-N 408.380 4.560 5 20 HJBD CCCn1c(C)c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c2cc(OC)ccc21 897585834 XEZDBBALULBEBX-UHFFFAOYSA-N 403.385 4.807 5 20 HJBD Cc1sc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc1-c1cccc([N+](=O)[O-])c1 917791968 SUKMMHIYLZNPRW-UHFFFAOYSA-N 424.394 4.933 5 20 HJBD C[C@H](OC(=O)[C@H]1CCCN(c2nc3ccccc3s2)C1)c1cccc([N+](=O)[O-])c1 919156686 FHJIAZYWSGWSKG-HOCLYGCPSA-N 411.483 4.725 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc(Br)cc3)n2)cc([N+](=O)[O-])c1 920900587 MBPSERHCYBKRIF-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)s2)cc1 1252264758 WDWOEGUEKYCAKQ-UHFFFAOYSA-N 409.467 4.581 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(-c3cc(C)nc4ccccc34)o2)cc1SC 1322125784 BVFNZKNXMYAWGI-UHFFFAOYSA-N 408.439 4.899 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(-c3cc4ccccc4o3)no2)ccc1[N+](=O)[O-] 1323867006 OSHCRTMHZMZOFL-QGZVFWFLSA-N 418.409 4.677 5 20 HJBD O=C(CSc1ccc(Br)cc1)Nc1cccc([N+](=O)[O-])c1Cl 1327649018 WWGVIEDVJOXXDX-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD Cc1ccccc1-n1c(SCc2ccc([N+](=O)[O-])cc2)nnc1-c1ccncc1 3477600 NVRUIZMJKBIWNR-UHFFFAOYSA-N 403.467 4.838 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(Cl)cc2)cs1 7723689 SKIABRWKVDEUIP-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)cc2Cl)c([N+](=O)[O-])cc1OC(F)F 10808907 KNWCJBUFFBJJRJ-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2ccccc2)c2ccc(Cl)cc2)c([N+](=O)[O-])c1 20669793 WHUOHELASLITGO-FQEVSTJZSA-N 416.886 4.853 5 20 HJBD CSc1ccc(C(=O)Nc2ncc(Cc3cccc(F)c3)s2)cc1[N+](=O)[O-] 31993264 MWKWXXDVXKKWNT-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NCC(=O)Nc1ccc([N+](=O)[O-])cc1C 59801672 CTBLFXNGPBZVKB-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD CNC(=O)c1ccc(N[C@H](Cc2cccc(F)c2)c2cccc(F)c2)c([N+](=O)[O-])c1 60874882 VFAALRIJSGWGEH-HXUWFJFHSA-N 411.408 4.629 5 20 HJBD COc1ccccc1CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 61555110 YKUWDPAOBLYRQM-UHFFFAOYSA-N 414.465 4.746 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Oc3ccc(OCC)cc3)cc2)c1[N+](=O)[O-] 78773105 QFNJSFGIOORXLX-UHFFFAOYSA-N 410.430 4.714 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 109851960 KNLUKIZUELTUNO-GOSISDBHSA-N 410.861 4.931 5 20 HJBD CC(C)(NCc1nc(-c2ccc(Br)cc2)c[nH]1)c1ccc([N+](=O)[O-])cc1 236706730 QIPYSPINIHTDPZ-UHFFFAOYSA-N 415.291 4.772 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc4c(c3)OCCCO4)o2)c(Cl)c1 237819558 JEUPZQSWCFXIPA-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD O=C(c1cc(N[C@@H]2CCSc3c(F)cccc32)ccc1[N+](=O)[O-])N1CCCC1 301117682 QGWBQUMVWYKWLI-QGZVFWFLSA-N 401.463 4.619 5 20 HJBD Cn1c([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)nc2ccccc21 303013953 KDHWLLFVNTVCDZ-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD O=[N+]([O-])c1ccccc1S(=O)(=O)Nc1ccc(NCc2ccccc2)c(Cl)c1 303478769 OGBPGSSPRGFNTA-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD CC(C)(C)Oc1cc(N[C@H](Cn2ccccc2=O)c2ccccc2)ccc1[N+](=O)[O-] 409529954 NJQUUWVSLZSXCL-LJQANCHMSA-N 407.470 4.787 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCN(CC(F)(F)F)CC3)o2)c(Cl)c1 409711605 LSRHLRXKNGSAKK-UHFFFAOYSA-N 417.815 4.625 5 20 HJBD C[C@H](Nc1cccc(NS(=O)(=O)Cc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 426307591 SELOVCCVSJUJNP-INIZCTEOSA-N 411.483 4.710 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1Br 429929634 TWHCUPOQSIMZNZ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 430227880 QMFHTTUMRHIGDK-INIZCTEOSA-N 405.454 4.707 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(COC3CCOCC3)cc2)c2cc([N+](=O)[O-])ccc21 433306474 SEUUSBBTCYPHMW-HSZRJFAPSA-N 410.514 4.803 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(CC(F)(F)F)c2)c([N+](=O)[O-])c1 438561441 CJYCDBVNGIKQHB-UHFFFAOYSA-N 410.396 4.632 5 20 HJBD Cc1c(Cl)cc(NC(=O)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Cl 438664133 LFSDCMRTEMZCLN-UHFFFAOYSA-N 424.284 4.586 5 20 HJBD Cc1ncsc1CN(C)C[C@H]1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])C1 439174275 YHTHDDIGTSVDHV-GFCCVEGCSA-N 415.346 4.625 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1sc(Br)cc1[N+](=O)[O-] 444833188 HSBVTEATHPQGKX-UHFFFAOYSA-N 416.303 4.856 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])NC1(c2cccc(C(F)(F)F)c2)CCC1 460508030 COLYOINICUZGSX-UHFFFAOYSA-N 408.376 4.578 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ncc(-c2ccccc2)cn1 461192085 KUHXXHDQMJRUSD-UHFFFAOYSA-N 422.510 4.730 5 20 HJBD Cc1cc(N2CCC(NC(=O)C3CCCCC3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461777200 MWUIQLCIACOSTO-UHFFFAOYSA-N 413.440 4.587 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 466301162 WDDXLWVGGJZBSM-UHFFFAOYSA-N 424.284 4.816 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1OC 466456100 POKSRPZEIXHLHD-AWEZNQCLSA-N 404.438 4.803 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(CC(C)C)c1-c1ccccc1 466504380 ONLBOTMHWXUFAO-UHFFFAOYSA-N 408.458 4.765 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)ccc1[N+](=O)[O-] 466912249 HBWCIHVSEMMJAX-UHFFFAOYSA-N 403.394 4.588 5 20 HJBD CC[C@H](CCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467635118 XIMBIBNSRHCPIY-CYBMUJFWSA-N 408.907 4.538 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2ccc(C)cc2)s1 470831753 MRARVXSIROPCCC-UHFFFAOYSA-N 411.483 4.910 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc(CS(=O)(=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 473513218 LJWMIKOSBHSKKI-UHFFFAOYSA-N 418.515 4.684 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCO[C@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 477081767 CJNLYBFVIDCWJH-YJYMSZOUSA-N 421.856 4.540 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)ccc1N1CCCC1 478201170 BMINDYQDNHEIRZ-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD COc1ccc(CNCc2ccc(Br)cc2C(F)(F)F)cc1[N+](=O)[O-] 480127474 VTKZHZKQISSRGI-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD Cc1ccccc1COc1cccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)c1 482369702 PKYHMGFCDKCPEG-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD CC[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(F)cc1F 485509712 ZXTIVBUJKZQJGU-JTQLQIEISA-N 405.220 4.578 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 490182015 KNZFFNHVGOYGHM-UHFFFAOYSA-N 414.849 4.649 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(Br)s1 494513148 LLEGMYXMYNRHIC-SSDOTTSWSA-N 410.215 4.683 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1ccccc1 495380779 YCVUUXFHGNTLAU-HNNXBMFYSA-N 400.500 4.734 5 20 HJBD CCOc1cc(C(=O)NCC(C)(C)c2ccc(F)cc2Cl)c([N+](=O)[O-])cc1OC 497941155 SNJFCQBLPZXAHY-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)CC(=O)Nc1ccccc1 500680805 CERSLBBYIVQBLG-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C(=O)NC(C)C)c(C)c3)o2)c([N+](=O)[O-])c1 500729005 DZKIBJCXBXFUTO-UHFFFAOYSA-N 423.469 4.922 5 20 HJBD COc1cc(CN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 503372772 VVQPVYKWFXEQIH-WLRWDXFRSA-N 412.820 4.883 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 506784775 WZXMZPGYLAJSLS-UHFFFAOYSA-N 400.456 4.537 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(N(C)Cc2ccccc2[N+](=O)[O-])n1C 507922082 KBKICBWXXFISPI-INIZCTEOSA-N 417.469 4.653 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(COc2cccc([N+](=O)[O-])c2)n1 508648614 DQDKHYMXNBVKFO-SFHVURJKSA-N 417.849 4.769 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1 509309314 DXSPZGPKRNPJED-INIZCTEOSA-N 424.501 4.625 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)c1ccc(Br)cc1F 509719217 NFPILAISMSUECD-JTQLQIEISA-N 413.268 4.640 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(-n2nccc2C(F)(F)F)cc1 509768017 XOUQGPPALVNIMY-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](CCO)c3ccc(Cl)cc3)cs2)c1 514813417 YPESXRFLDCNSBP-SFHVURJKSA-N 403.891 4.585 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 515778748 XUXAEBJONDZPCC-LLVKDONJSA-N 412.273 4.813 5 20 HJBD Cc1cccn2cc(COc3ccc(CN[C@H](C)c4cccc([N+](=O)[O-])c4)cc3)nc12 518272721 HCHDKTJZJIRFCD-GOSISDBHSA-N 416.481 4.981 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1Cc2ccccc2[C@@H](c2ccccc2)C1 521576644 IDSVIJXAHJDUCP-HSZRJFAPSA-N 411.461 4.724 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2cc(F)c(Cl)cc2Cl)cc([N+](=O)[O-])c1OC 525004993 MHHBSZUSDZEJAC-QMMMGPOBSA-N 417.220 4.549 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1cccc([N+](=O)[O-])c1 530694027 KEWDWHQWARKZER-LBPRGKRZSA-N 401.875 4.593 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1 530783808 BPXDEJFHSGXTGE-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD CN1CCc2ccc(NCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cc2C1=O 533216619 CKHUSSWAQONQGF-UHFFFAOYSA-N 416.481 4.603 5 20 HJBD CSc1ccc(C(=O)Nc2ccc3nc(-c4ccccn4)[nH]c3c2)cc1[N+](=O)[O-] 538656979 OLNZOAPNQFEQDQ-UHFFFAOYSA-N 405.439 4.507 5 20 HJBD C[C@@H](N[C@@H](Cc1ccccc1)C(=O)N(C)c1ccccc1)c1cccc([N+](=O)[O-])c1 539086593 CNGWTHITVNKMOY-JPYJTQIMSA-N 403.482 4.520 5 20 HJBD COc1cc(C(=O)Nc2nc(CC(C)(C)C)cs2)c([N+](=O)[O-])cc1OC(F)F 539535371 HTHNVHZYMHEAJL-UHFFFAOYSA-N 415.418 4.502 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1)C(C)(C)CO 540423773 QZBMVPJFJSBSNV-LJQANCHMSA-N 416.543 4.627 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cn2)cc1 542555789 HULNSRDANSGJEL-CYBMUJFWSA-N 411.389 4.672 5 20 HJBD CCCCN(C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C1CC(C)(C)NC(C)(C)C1 542596632 YGMXTUWUCJJYRJ-HNNXBMFYSA-N 407.530 4.775 5 20 HJBD C[C@H]1C[C@@H](CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 544369707 OIBVALHWJMZOLP-KBPBESRZSA-N 423.307 4.912 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545781462 IGFWVJVNKOBXQH-FZKQIMNGSA-N 412.421 4.540 5 20 HJBD C[C@H](OCc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 564250711 RXEYYQDZBJEPQL-LBPRGKRZSA-N 418.247 4.609 5 20 HJBD O=C(N[C@@H]1CCc2sc(Cl)cc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 565807521 SDIJHZOJTMAKQA-LLVKDONJSA-N 420.796 4.668 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1C[C@H](CC(=O)N(C)C)c2cc(Cl)ccc21 569291574 IUCUNHSUUAFUMG-FVLHSZHDSA-N 413.905 4.734 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](c1cncc(Br)c1)C1CC1 570349303 OXYRTRFUJBLSQM-LJQANCHMSA-N 420.307 4.780 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 589273002 UIAXRJKRXFKBIT-IBGZPJMESA-N 408.285 4.889 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 604093927 YDDMWCIAHBZXSE-UHFFFAOYSA-N 402.248 4.501 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2NC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 604094441 HBGQXCRHEDKNPT-UHFFFAOYSA-N 406.442 4.725 5 20 HJBD CN(Cc1ccc(Cl)cc1Cl)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 604295466 FSIIKTYOFARNGG-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 604444014 LTLGKVBAWWGVJQ-UHFFFAOYSA-N 409.389 4.695 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1cccc([N+](=O)[O-])c1C 604493820 WFAHBQWHXIMRHV-ZDUSSCGKSA-N 416.421 4.694 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(C(F)(F)F)ccc2F)cc1[N+](=O)[O-] 609062837 ZVXSBWNABIUPHW-UHFFFAOYSA-N 418.368 4.633 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)n(-c2cccc(Cl)c2)n1 609783610 SSRCGFSAURBAKV-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610042529 GVIARZUPUOIOSL-MRXNPFEDSA-N 423.513 4.692 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H](C)[C@H]2C)cc1[N+](=O)[O-] 610178696 UQPUDMWNAOFKHJ-HUUCEWRRSA-N 412.511 4.808 5 20 HJBD O=[N+]([O-])c1ccc2oc(CNC3(c4cccc(Br)c4)CCC3)nc2c1 610581355 IMSNLRVHGANIEQ-UHFFFAOYSA-N 402.248 4.668 5 20 HJBD Cn1ccnc1[C@H](NC1CCC(C)(c2ccccc2)CC1)c1ccc([N+](=O)[O-])cc1 611561061 QGXQKEQHMGBFGV-DJSMFCCRSA-N 404.514 4.908 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] 730643458 CGGIBZOALJYQQQ-IBGZPJMESA-N 410.813 4.785 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])cs2)cc1 730646865 MVDKPBBTOANEPN-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CCCCOc1ccc(Br)cc1 731163341 SMHXXAJMZMUVIS-UHFFFAOYSA-N 424.247 4.521 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734882392 KUAGSUQWFBFHFY-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD O=C(Nc1ccc(OC(=O)C2(c3ccccc3F)CC2)cc1)c1cccc([N+](=O)[O-])c1 735990192 AIGTZSYQEHUHBA-UHFFFAOYSA-N 420.396 4.623 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 736157668 CRPMASQWAISGHF-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(C(=S)Nc3ccc(F)c(Cl)c3)CC2)cc1 743022340 OPIQGVYFZNNGDF-UHFFFAOYSA-N 409.870 4.628 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ncc(-c2ccccc2F)o1 744498799 XUSKNYGPMOFVJJ-GFCCVEGCSA-N 402.403 4.613 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(Cc2ccccc2)no1 744572872 SNNGCKUNWDVONB-LBPRGKRZSA-N 409.423 4.701 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3cc(Cl)ccc3[N+](=O)[O-])o2)c1 746390536 INMQRFFSZSWABQ-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccco1 750179106 LPNKVMRFBJJZGX-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCCC[C@H]3C[C@@H](O)c3ccccc3)n2)cc1 751559233 RDLGPKAPLXVIAV-LEWJYISDSA-N 422.485 4.513 5 20 HJBD O=C(OCc1coc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc([N+](=O)[O-])c(F)c1 756184322 TTWCIVNONJFINB-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 758359441 ANFJRYRFQJDATK-OXJNMPFZSA-N 401.438 4.634 5 20 HJBD Cc1ccc(C(C)C)c(OCC(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 760460511 BCDMDHXRRGWNRL-UHFFFAOYSA-N 410.426 4.804 5 20 HJBD COc1ccc2c(c1)[C@@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)CC2 763008353 RRTPFSXGUCONIK-KRWDZBQOSA-N 400.862 4.730 5 20 HJBD Cc1[nH]c2ccccc2c1CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134417 BDKZBEFZENJKOD-QHCPKHFHSA-N 401.422 4.655 5 20 HJBD C[C@@H]1CC[C@@H](C(F)(F)F)CN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 780607019 AKDAOUUPLDHZBZ-RKDXNWHRSA-N 410.190 4.552 5 20 HJBD CC[C@H](Sc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 785929385 PIRRZGMNZSPZSP-DYVFJYSZSA-N 413.455 4.820 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790547296 QFLSMLOUQSZSBP-UHFFFAOYSA-N 423.828 4.773 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 800148171 ZWHJMSCPLKXNFC-SKLAPFLYSA-N 411.271 4.715 5 20 HJBD C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 807737812 NHSPFNNZJVFVMX-AWEZNQCLSA-N 413.380 4.751 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)C1 809912552 GUGMJYXTCYFYCY-CYBMUJFWSA-N 405.813 4.514 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc([C@H]2CCOC2)c1 811220954 WLGAENBZPALSSS-KRWDZBQOSA-N 410.451 4.579 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@H](COCc2ccccc2)C1 813026707 IGZAGKKOBBKGNE-AWEZNQCLSA-N 409.269 4.581 5 20 HJBD C[C@@H]1CN(Cc2ccccc2)CC[C@@H]1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813038209 SFYQXIVBRNGACI-ACJLOTCBSA-N 422.312 4.542 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)CCC2 813818692 JBIAUKFPOPACTG-HNNXBMFYSA-N 407.239 4.612 5 20 HJBD C[C@H](Sc1nc2ccccc2s1)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038779 HOTFCBVCCDSEQZ-LBPRGKRZSA-N 401.513 4.737 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=S)Nc1ccc([N+](=O)[O-])cc1 818254889 CKCDULLDGXTNQV-UHFFFAOYSA-N 416.684 4.828 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1NCc1ccc([N+](=O)[O-])cc1 824084330 BTULQNIOGJOWIA-HSALFYBXSA-N 403.523 4.643 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1cc([N+](=O)[O-])ccc1Cl 875036728 JUTZHDQHJBFNKT-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD COc1cccc(NC(=O)c2ccccc2NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c1 902826503 KMTRKBXAMOCUTJ-KAMYIIQDSA-N 417.421 4.508 5 20 HJBD C[C@H](c1ccccc1)n1cnn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1=S 917423251 GQDDGRVSMNTLEI-CQSZACIVSA-N 417.922 4.674 5 20 HJBD C/C(=C/c1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccccc1OC(F)F 1117214187 CLOBSOPXXUNJDI-BENRWUELSA-N 403.341 4.719 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 1319813426 XQTDFGMQJJBTNG-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD COc1ccc(Cl)cc1NCCc1nc(-c2cc(C)c([N+](=O)[O-])cc2C)no1 1320496344 ALDHLFOFEQWOLO-UHFFFAOYSA-N 402.838 4.578 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(Nc2ccccc2Cl)CC1 1337050602 QKUTZYWVOQMZCH-UHFFFAOYSA-N 401.894 4.890 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(c2nc3ccccc3o2)CC1 1341587956 RAJCGIQYFORACK-UHFFFAOYSA-N 400.822 4.801 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc(Oc2ccccc2)cc1 7224711 SMJPVHCHGUOPHO-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 11212234 GUACTOTZJNFKNH-ZDUSSCGKSA-N 411.439 4.559 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(COc2ccc(Cl)cc2)o1 26128592 YQJXEKPITHBDNM-UHFFFAOYSA-N 407.835 4.511 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)c1 26561175 FHTMJDKVTIZQGP-ZIAGYGMSSA-N 413.455 4.738 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 28413846 BAUNVXRBZVUDTL-UHFFFAOYSA-N 422.428 4.775 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(OCc2ccccc2)cc1 43747781 GAWPNSJPLZXZCJ-QGZVFWFLSA-N 422.506 4.971 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 45537170 LDIGUJSYAKUBCM-YWZLYKJASA-N 400.500 4.838 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)c1[N+](=O)[O-] 56027277 QFHZXLFABRAFAP-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN(C)Cc1ccccc1-c1ccccc1 60356517 GALCIACFLAEONR-UHFFFAOYSA-N 419.481 4.731 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(NC(=O)C4CC4)c3)o2)c([N+](=O)[O-])c1 237428450 WJDIDGVVBWDFSJ-UHFFFAOYSA-N 407.426 4.824 5 20 HJBD COc1cccc(-c2c[nH]cc2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 427599243 NSUUMJSSTONFRI-UHFFFAOYSA-N 419.359 4.547 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 431170417 WDOKCAQFULCWLO-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435937203 QYUXAEHEPJFNNY-UHFFFAOYSA-N 411.505 4.554 5 20 HJBD CC(C)COc1ccccc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437818946 HIFSARFSUQSDBJ-UHFFFAOYSA-N 413.474 4.705 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(S(=O)(=O)C3CCCC3)cc2)cc1[N+](=O)[O-] 438972351 ZBCZWKHPFZVYQX-UHFFFAOYSA-N 416.499 4.687 5 20 HJBD CC(C)(NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c(Cl)c1 439805025 IDNCMJFHWJJPHW-UHFFFAOYSA-N 407.257 4.977 5 20 HJBD Cc1ccccc1[C@@H]([C@H](C)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N(C)C 443005607 WTDZBZZAVDHCQF-HRAATJIYSA-N 410.543 4.808 5 20 HJBD Cc1cn(C2CCCCC2)nc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444300935 BRFCSBUMPNOCMO-UHFFFAOYSA-N 418.472 5.083 5 20 HJBD CO[C@@](C)(CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CC1 462385009 SXRCHHCUODDPJD-FQEVSTJZSA-N 404.850 4.585 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(SC)c(OC)c1 462483684 NHFSEWVRILTEJU-QGZVFWFLSA-N 402.516 4.553 5 20 HJBD Cc1ccc(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 463274158 DCVQCCWVCSFVMM-UHFFFAOYSA-N 405.454 4.557 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)ccc1F 463957629 FHUNKVXCDWAZGG-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(Cc2ccccc2)CCC1 466652894 ATXDUIHYHTVFDX-UHFFFAOYSA-N 410.517 4.684 5 20 HJBD CC(C)Nc1ccc(Br)cc1NC(=O)CCNc1ccccc1[N+](=O)[O-] 470078204 FQOCASDXKCACCJ-UHFFFAOYSA-N 421.295 4.618 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@H]1CCCN(Cc2ccccc2)C1 470660800 UKHMHXIIFAURAU-IBGZPJMESA-N 409.511 4.546 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C 471033861 XBAHDAPTKWFTHF-HXUWFJFHSA-N 421.501 4.609 5 20 HJBD COc1cccc(N2CCC(C(=O)Nc3ccc(Cl)c(Cl)c3)CC2)c1[N+](=O)[O-] 475503848 OWAYKKCEQLBDOB-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CC=C(c2ccccc2C(F)(F)F)CC1 477981222 FXZULUHXQORNEC-UHFFFAOYSA-N 419.403 4.650 5 20 HJBD CCc1c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1C 482701176 UYPUHUBXKBJCOO-OAHLLOKOSA-N 410.499 4.771 5 20 HJBD Cn1cc([C@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccc(F)cc2)cn1 485407382 GVASEZZXSMZSRG-OAQYLSRUSA-N 421.407 4.870 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2cc(C(F)(F)F)nc(-c3cccnc3)n2)C2CC2)cc1 488828344 QXKGSLMTLWVSQH-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD C[C@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccccc1[N+](=O)[O-] 504416693 SNNVTLPVNPVMFI-MGPUTAFESA-N 424.888 4.544 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 504722165 TVJNWNMNNCEHST-HUUCEWRRSA-N 420.556 4.691 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC=C(c4c[nH]c5ncccc45)CC3)cs2)c1 506661852 JTNVRQABNGEDTF-UHFFFAOYSA-N 417.494 4.884 5 20 HJBD O=C(c1ccccc1)N1CC[C@@H](CSc2ccc(Br)cc2[N+](=O)[O-])C1 509062810 CEIHYHJWFZBQDD-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)CCC2 510478627 WTPIARGDNBEYOX-CQSZACIVSA-N 424.320 4.580 5 20 HJBD C[C@H]1CN(c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C[C@@H](C)O1 515614554 BRGIMXVMICOLQA-OKILXGFUSA-N 411.483 4.675 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 518903586 JPKVWRMTLSUXSW-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1ccc(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)cc1Oc1ccncc1 522305761 CPCROVOCTSWSJC-UHFFFAOYSA-N 421.409 4.504 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 523486698 FDGASXYSAJUEGG-UHFFFAOYSA-N 420.465 4.990 5 20 HJBD COCCN(C(=O)C1CCCCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 523584407 VLJKDPGTVICHNX-UHFFFAOYSA-N 403.504 4.668 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)cccc1[N+](=O)[O-] 523970163 SZQMPHGDIKXJIV-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCc3ccccc3N2C(=O)c2ccc([N+](=O)[O-])s2)cc1 524034698 SGMWUVZVHJTJBS-GOSISDBHSA-N 421.478 4.565 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cnc2ccsc2c1 525135532 HOISYTUBPOGGLX-UHFFFAOYSA-N 403.419 4.688 5 20 HJBD NC(=O)CCSc1ccccc1NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 533209572 GDPLQXXEHXFQNY-UHFFFAOYSA-N 413.524 4.903 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1 539360971 FFJUJFLQPIURLU-QGZVFWFLSA-N 419.481 4.868 5 20 HJBD C[C@H](C(=O)Nc1ccc(C(=O)OC(C)(C)C)cc1F)c1ccc([N+](=O)[O-])cc1F 541595650 DWBFFPPDANYWMM-NSHDSACASA-N 406.385 4.571 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 545044940 HBKSBOXNSUZERH-VMPITWQZSA-N 402.204 4.586 5 20 HJBD O=C(CCc1nc(Cc2ccccc2[N+](=O)[O-])no1)c1cc(Cl)sc1Cl 546242886 WROYRTHEVPZEGR-UHFFFAOYSA-N 412.254 4.752 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cc2Cl)c(F)c1)c1c[nH]nc1[N+](=O)[O-] 548927074 CMYOBRVACBEIPZ-UHFFFAOYSA-N 411.176 4.808 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1ccccn1 549376057 RHYAGEUOZNFHHN-UHFFFAOYSA-N 409.393 4.579 5 20 HJBD CNC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1F 557558276 SDMSGGNDBQVPNL-UHFFFAOYSA-N 424.388 4.530 5 20 HJBD COc1ccc(Cc2nc([C@H](F)c3ccc(C(F)(F)F)cc3)no2)cc1[N+](=O)[O-] 558704443 BQVLNFWNHINXMT-MRXNPFEDSA-N 411.311 4.655 5 20 HJBD CC[C@@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1cccc(Cl)c1 581934768 AEQJYAIVIXFFAZ-AZUAARDMSA-N 414.893 4.518 5 20 HJBD O=C(O)C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1cccs1 585731816 GONYWENKVZJGMH-MRXNPFEDSA-N 416.430 4.893 5 20 HJBD CCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cnn1C(C)(C)C 603997872 VGFBDPOOUSWSTM-UHFFFAOYSA-N 413.503 4.793 5 20 HJBD COc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 608898606 PRCIKGVUPVBSCC-OAHLLOKOSA-N 406.442 4.732 5 20 HJBD CCC[C@H](NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 609179357 KXBQRYHPCAZSBX-HLIPFELVSA-N 410.518 4.743 5 20 HJBD CCc1c(-c2ccc(Br)cc2)n[nH]c1NC(=O)c1ccc([N+](=O)[O-])cc1 609535025 ROJMRVWONUXQAP-UHFFFAOYSA-N 415.247 4.562 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609647211 ARTPVFQWAFOXHA-HIFRSBDPSA-N 408.376 4.554 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccnc1N1CCCC1 609755451 MMJGHBSPNDUWLB-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD COc1cc(CN[C@H]2CCC[C@@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 609771193 LDYAIWYGJVCBEK-AZUAARDMSA-N 416.543 4.946 5 20 HJBD C[C@H]1C[C@H](N(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 609977970 LVGRNXFRAWRLCZ-QFBILLFUSA-N 423.538 4.935 5 20 HJBD COCCN(Cc1ccc(C)cc1C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610290370 CCBCSLOBUYEJDW-UHFFFAOYSA-N 410.392 4.519 5 20 HJBD COc1ccc(Oc2ccc(Cl)cc2NC(=O)c2cc([N+](=O)[O-])cn2C)cc1 612557246 QUJKWNUNGRMMIB-UHFFFAOYSA-N 401.806 4.640 5 20 HJBD C[C@@H]1c2ccccc2OCCN1c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 618583226 BJNLAGXGIXKWPX-SNVBAGLBSA-N 403.307 4.604 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H](OC1CCCC1)c1ccccc1 726913783 QTVCNFPYAZBJBP-GOSISDBHSA-N 406.385 4.835 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3nc(-c4ccccc4)cs3)[n+]2[O-])c1 727974034 GBKWROWRZIALIN-KTKRTIGZSA-N 402.435 4.584 5 20 HJBD COCCn1c(C)c(C)c2cc(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)ccc21 728474814 DFUBGPDPJHRLRM-UHFFFAOYSA-N 401.850 4.718 5 20 HJBD C[C@@H](CN(C)C(=O)OC(C)(C)C)c1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 733745665 WANAWBWHBQPSFN-STQMWFEESA-N 422.507 4.802 5 20 HJBD CC(=O)c1cccc(OC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 735991586 ZPTJBVMCLSFUFI-UHFFFAOYSA-N 420.208 4.509 5 20 HJBD CC(C)=CC(=O)NCc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 744365904 OOHSKFYPZGPQHO-UHFFFAOYSA-N 421.497 4.767 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745569215 VRRONZVJUSTGNC-UHFFFAOYSA-N 421.249 4.672 5 20 HJBD COc1ccc2cc([C@H](C)OC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)ccc2c1 745960122 VJWPNWCAULJTSQ-ZDUSSCGKSA-N 418.405 4.928 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1 746154807 UAUZYLRFLZLACC-KGLIPLIRSA-N 413.455 4.738 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n1 750913287 FWWMNUAUDDWMTE-CQSZACIVSA-N 424.682 4.691 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2c(F)cccc2F)n1)c1ccc([N+](=O)[O-])cc1 751720206 MUPSKFJWZNVBQH-UHFFFAOYSA-N 402.353 4.549 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2cccc(Oc3ccccn3)c2)cc1[N+](=O)[O-] 752232588 BLRQXLHHZFWUKG-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1nnc(-c2ccc(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])cc2)o1 755636569 FGZMWONQAPZTAP-UHFFFAOYSA-N 401.378 4.839 5 20 HJBD CC(C)(C(=O)N1CCC(c2cc3ccccc3[nH]2)CC1)c1ccc([N+](=O)[O-])cc1F 762444184 NXCIZTAILBGTSC-UHFFFAOYSA-N 409.461 4.899 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cn2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 770432745 NHAHJCLINIJSIJ-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)C[C@H](NC(=O)OCc1ccccc1)c1nc(-c2ccc([N+](=O)[O-])s2)no1 771223976 IXWPGQYTILBKIV-AWEZNQCLSA-N 416.459 4.720 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ncccc2C)n1 771871684 HKINBMILNISJTH-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD C[C@H](CSCc1ccccc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 772974178 CWCLQVSEBNJJQA-OAHLLOKOSA-N 412.467 4.863 5 20 HJBD C[C@H](N[C@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775526133 GMFSIIPQOWEQGZ-CABCVRRESA-N 424.888 4.988 5 20 HJBD COc1ccccc1/C=C(\C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1)c1ccccc1 789852246 NGHFUJCSFKVHFT-QNGOZBTKSA-N 417.417 4.570 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 790555178 LQQDJBCORXSLLP-ZFWWWQNUSA-N 400.866 4.559 5 20 HJBD COc1ccc(OC(=O)c2ccccc2SCc2c(C)noc2C)cc1[N+](=O)[O-] 792119911 WKWXCWGRCNUGBP-UHFFFAOYSA-N 414.439 4.720 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)Nc1ccccc1[C@H](C)CC 795710982 KTHUKFMAOTUVHN-CABCVRRESA-N 414.458 4.691 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(F)c([C@H](C)O)c3)cc2[N+](=O)[O-])C1 804802164 FUTVXGNDQWRIKY-ZNMIVQPWSA-N 415.465 4.522 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813097103 AEQGVEXCWPPXQN-UHFFFAOYSA-N 401.156 4.968 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)sc2C)cc1 912659459 JFLPWXGPTZELKO-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD O=C(OCc1csc(-c2cccs2)n1)c1cc([N+](=O)[O-])ccc1N1CCCC1 916503781 CPFKXDQZFRNETE-UHFFFAOYSA-N 415.496 4.737 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)N2Cc3ccccc3-c3ccccc32)ccc1Cl 917749332 LFZNDERYQMUDFW-UHFFFAOYSA-N 400.843 4.624 5 20 HJBD O=C(OC[C@@H]1CCOC1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 918906734 FXCAGJBNVLGYMP-GFCCVEGCSA-N 416.480 4.549 5 20 HJBD C[C@H](CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)CC(C)(C)C 919495618 FGYREIXIRUZPMA-NEPJUHHUSA-N 418.412 4.946 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)CC2)c1 919898922 APPNTUNWLIKINZ-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 921282775 NJNQSUIVVXJGLC-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cnn(CC(F)(F)F)c2)o1 1116441431 FVSANWWTTMCUIX-UHFFFAOYSA-N 400.744 4.879 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(Cl)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 1116512981 AMJPQFYFMQPICZ-JTQLQIEISA-N 418.799 4.891 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc(COc3ccccc3-c3ccccc3)o2)c1 1117171618 BDQWULCTDSXQPH-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD Cc1cncc([N+](=O)[O-])c1N1CCc2c(cccc2NC(=O)Nc2ccc(F)cc2)C1 1117289396 JCLBMGCCYPPKBX-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)cc1 1328091623 OFCNHQXEZITZHE-OAHLLOKOSA-N 424.457 4.784 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc([C@H](C)Oc2cccc(Br)c2)o1 1328242557 NQAGQIAFUYDJOE-JTQLQIEISA-N 420.219 4.556 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2cnn(Cc3cccnc3)c2)o1 1338756498 QDDWIZRYFPHHNZ-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD C[C@H](c1nnc(SCc2ccc([N+](=O)[O-])cc2)n1-c1ccc(Cl)cc1)N(C)C 3376527 QCFRTGLHICYNLN-CYBMUJFWSA-N 417.922 4.744 5 20 HJBD Cc1occc1C(=O)O[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 5397584 DZZJOFSTKVASJU-SFHVURJKSA-N 414.801 4.686 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1cccs1 5716365 CGTGREKNLVGMAU-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD COc1cc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2C)ccc1OCc1ccccc1 18134683 BHBDOAKNZYZBDK-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD O=C(CSc1nnc(-c2ccncc2)n1C1CCCCC1)c1ccc([N+](=O)[O-])cc1 32686849 BPVNREWTAQIJJG-UHFFFAOYSA-N 423.498 4.729 5 20 HJBD C[C@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)Cc1ccccc1[N+](=O)[O-] 46599345 RHODSKGODXDBDH-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD CCOc1ccc(C(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cc1[N+](=O)[O-] 47345467 BSZCVHLUJXMSJP-UHFFFAOYSA-N 409.417 4.543 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)no1)C(=O)c1ccccc1[N+](=O)[O-] 63633543 ATMFCDFCRGSBNE-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2ccccc2F)cc1 64422334 RQPXAVDOIZBNGH-UHFFFAOYSA-N 410.401 4.721 5 20 HJBD COc1cc(F)c([C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 237250719 ZETXUCMGURQTRS-LBPRGKRZSA-N 417.462 4.725 5 20 HJBD CN(Cc1nc(O)c2sccc2n1)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 302199596 GQRCSSBIDSDLQA-UHFFFAOYSA-N 418.784 4.614 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCc3ccccc3N2C(=O)c2ccc([N+](=O)[O-])cc2)cc1 303126251 OETOJRKMSHQWJG-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD Cc1cc(C)c(NC(=O)COc2ccc(Br)cc2[N+](=O)[O-])c(Cl)c1 303929173 QFBNLWTZZYJJRQ-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD C[C@H](CC(=O)c1ccccc1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427172124 QDDUCZUQEZSQPJ-QGZVFWFLSA-N 418.449 4.565 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)N1CCC[C@H]1c1cccc(Cl)c1 427925321 SJESMBISWACPDG-KRWDZBQOSA-N 411.845 4.539 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 427966988 BQPRFONGCZIUOV-UHFFFAOYSA-N 422.403 4.604 5 20 HJBD CCN(CCc1cccs1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436088665 HJFCWYXFXCFYMT-UHFFFAOYSA-N 412.461 4.726 5 20 HJBD CC1(C)[C@H]2OCCC[C@@H]2[C@H]1NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438480399 FIGVFROMNLMYFF-AQNXPRMDSA-N 411.458 4.712 5 20 HJBD Cc1c(CC(=O)Nc2ccccc2-c2n[nH]c(-c3ccccc3)n2)cccc1[N+](=O)[O-] 439013142 VCXCZCFENYHDLU-UHFFFAOYSA-N 413.437 4.537 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cnccc1-c1cccc(F)c1 439683225 NXKZHHVUZZIJGZ-UHFFFAOYSA-N 415.808 4.857 5 20 HJBD Cc1ccc(Cl)cc1N1CC[C@H](CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)C1 443972366 XLFSHUSWMAZAKV-MRXNPFEDSA-N 416.909 4.821 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444021088 MKHXMGHFILTORZ-XMMPIXPASA-N 411.505 4.725 5 20 HJBD O=C(NC[C@H]1CCC[C@H](C(F)(F)F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236396 ZSJPDVBKBSGJDO-ZFWWWQNUSA-N 402.416 4.780 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 445821709 MKHRZCYOXPIBDB-UHFFFAOYSA-N 403.438 4.727 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2c3cc(Br)ccc3SC[C@H]2C)c1 460164201 CQCQWISVSMUBOB-DIFFPNOSSA-N 421.316 4.879 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c1[N+](=O)[O-] 461238256 PEFMXPOICSMKLI-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 463960270 IANNQAISLKDAKM-UHFFFAOYSA-N 416.909 4.651 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCc1ncc(-c2ccc(Br)cc2)o1 467263170 PTAHHUXKBJUAQS-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(C)c2Br)cc1SC 470048471 UASGJZRWCZCFIY-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CCC(=O)c1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)cc1 472795055 SLJRFYLQCMCVRB-UHFFFAOYSA-N 416.231 4.591 5 20 HJBD Cc1cc(NC(=O)N2CCCC[C@@H]2c2nc(-c3ccccc3)no2)ccc1[N+](=O)[O-] 473777503 QZCUGZWQDDUFFS-GOSISDBHSA-N 407.430 4.712 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cccc([N+](=O)[O-])c3OC)sc2C)cc1 474395482 KITTYOPFQNAXDG-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 475562766 HJXVZQLHJPSGAC-CQSZACIVSA-N 412.421 4.903 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)c1 477617430 RTXGINDGXKNHJY-UTKZUKDTSA-N 423.513 4.817 5 20 HJBD COc1cc(C(=O)Nc2cccc(CSC3CCOCC3)c2)cc([N+](=O)[O-])c1C 479090934 QICKPYFBSJFYDO-UHFFFAOYSA-N 416.499 4.576 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCCC2(CCOCC2)C1 487996073 LGDHVUOBJCJRKG-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nccn2C)c1 488249931 LOQPGEYGDSVNSG-UHFFFAOYSA-N 410.499 4.996 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489272591 VQBCARBTCVXDLO-ZDUSSCGKSA-N 421.881 4.524 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)c1ccccn1 492930936 RKKSPEZYHAXBBN-CQSZACIVSA-N 410.861 4.746 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 497347242 JKCXTUQOUAYOBM-OAHLLOKOSA-N 420.494 4.915 5 20 HJBD CCCc1csc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 504341756 XLZINLDRSCQKAT-ZDUSSCGKSA-N 411.487 4.824 5 20 HJBD C[C@@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1cccc([N+](=O)[O-])c1 504401936 SPHJPOISFAFCEX-QVKFZJNVSA-N 424.888 4.544 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c1 505162379 NKURPBNLGZDNSD-HXUWFJFHSA-N 404.422 4.524 5 20 HJBD CC(C)(CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(Br)c1 507040746 QNGSASGKEFGURP-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3)C2)nc2ccccc21 511469281 MNWALGCZIVKJPB-HNNXBMFYSA-N 410.449 4.684 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccccc1 520796927 NYTAOMCCXIDBAN-NRFANRHFSA-N 408.479 4.845 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC[C@H](c2nc3ccccc3s2)C1 521560122 XIDYUSGBYXFNEO-INIZCTEOSA-N 420.494 4.565 5 20 HJBD Cc1c(NC(=O)N[C@H](c2ccccc2)c2nc3ccccc3n2C)cccc1[N+](=O)[O-] 522248476 ABIAXOQLVPZSSI-OAQYLSRUSA-N 415.453 4.701 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523083945 AYLDHGUEANIGHJ-LBPRGKRZSA-N 424.375 4.592 5 20 HJBD Cc1ccc([C@H]2CCCCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)o1 523132828 UIQKUSZSWJSRFS-OAQYLSRUSA-N 411.502 4.857 5 20 HJBD COc1ccccc1Cc1nnc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 534796378 PSEXEGNWKYICCK-UHFFFAOYSA-N 424.438 4.525 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])C2CC2)cc1 537014321 JSTJSGCLONSWRN-FQEVSTJZSA-N 403.438 4.547 5 20 HJBD CCOc1cc(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)ccc1[N+](=O)[O-] 538895903 QEWQHNIJEMGWSP-UHFFFAOYSA-N 409.370 4.796 5 20 HJBD Cc1ncc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 539354021 MGXHKSGNRKCWMG-UHFFFAOYSA-N 402.501 4.786 5 20 HJBD COc1ccc(Cl)cc1NC(=O)C[C@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 540219663 HOMMYTZNHPKKMI-KBPBESRZSA-N 405.882 4.633 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(Br)c2)C2CC2)cc1[N+](=O)[O-] 541106316 PDLOSWXVOBVHNT-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD C[C@H](C(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1ccc([N+](=O)[O-])cc1F 541629004 LXJOCHWPZQJQKG-ZDUSSCGKSA-N 418.852 4.612 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F 541638156 KPFWALABQWHSPJ-KBPBESRZSA-N 409.408 4.642 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(NC(=O)c2ccccc2)c1 542709188 FRIHPVNKNSRHSM-AWEZNQCLSA-N 422.416 4.869 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(Cc2ccc(F)cc2Cl)no1 546276611 KZMMPWOYFPJXBP-UHFFFAOYSA-N 412.204 4.636 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCO[C@H](c2ccc(F)cc2F)C1 548314744 HOTSVACNPHIYOE-QFIPXVFZSA-N 404.416 4.880 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@@](F)(c2ccccc2F)C1 572544494 DSWPZYMIFROXST-FQEVSTJZSA-N 415.421 4.568 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc(C(C)(C)C)cs1 592648912 VOZPVIPWCROCIF-HNNXBMFYSA-N 419.550 4.786 5 20 HJBD Cc1sc(CNC(=O)c2cc(F)cc([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 609442737 HQTTZQYDHIMNAW-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc(-c3ccccc3)cs2)CC1 609491213 SMBXQRRTHKJUDS-UHFFFAOYSA-N 407.495 4.667 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1CCc1ccccc1 609730029 LIFKPMSRKSVIIF-GOSISDBHSA-N 402.878 4.641 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(C(=O)Nc2ccccc2)cc1 609785656 JRONCFOWHZSEPY-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Oc2nccs2)c1 609907148 NNXWXTQUXAWEKX-UHFFFAOYSA-N 407.354 4.697 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc2)n1 610094804 IQSYJOFAWYLZMI-UHFFFAOYSA-N 423.473 4.913 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610186992 UVPVGDPXSUHOMF-LVCYWYKZSA-N 407.514 4.604 5 20 HJBD CO[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1Cl 610787787 PTZAZUPGOQTGEV-SFHVURJKSA-N 403.891 4.849 5 20 HJBD C[C@H](N[C@H]1CCN(C(=O)C2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 610833245 OSWJBVXPADHZJJ-RDJZCZTQSA-N 413.543 4.765 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1csc(-c2ccccc2Cl)n1 727564315 WHFLZOYDGUMOSH-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(Nc1sccc1C(=O)NC1CC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 728166874 PCKNZJZFNWVYBA-UHFFFAOYSA-N 422.466 4.544 5 20 HJBD CSc1ccc(C(=O)Oc2cccc(CNC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 730199773 GOTMYRVNTAHYHW-UHFFFAOYSA-N 418.471 4.561 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 732963979 QKNWHPWQBZKPGK-QMZDIEEXSA-N 423.419 4.919 5 20 HJBD COc1ccc([C@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H](C)n2cccn2)cc1 737330009 JYLUCTZEDJAFOC-ORAYPTAESA-N 415.833 4.613 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 738715554 PERAXVJVCBEQPZ-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1C 747927678 BTYBEQHAWMYSIH-SFHVURJKSA-N 418.925 4.624 5 20 HJBD C[C@H](OC(=O)Cc1csc(-c2ccc(F)c(F)c2)n1)c1ccccc1[N+](=O)[O-] 750401636 VRXPVWKUINENRA-NSHDSACASA-N 404.394 4.843 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 754455503 UIFGJPCIMWNNFM-LJQANCHMSA-N 409.442 4.572 5 20 HJBD Cc1c(C(=O)N(c2ccc(F)cc2)C2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 757438961 XYSHHNNIBIGCCO-UHFFFAOYSA-N 401.394 4.848 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761065741 TUXHYRXZRMUBPN-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1cc2oc(=O)cc(COc3c(Br)cccc3[N+](=O)[O-])c2cc1C 769872994 ODJDHOLLYKOPGR-UHFFFAOYSA-N 404.216 4.660 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 770347910 SPSNPJSZLDPIAT-UHFFFAOYSA-N 413.503 4.992 5 20 HJBD O=[N+]([O-])c1ccc(SCc2cccc(CSc3ccc([N+](=O)[O-])cn3)c2)nc1 772858440 OJOZUBDOOFCXJL-UHFFFAOYSA-N 414.468 4.878 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 773189374 UCPIQFUFFIZVLH-OAHLLOKOSA-N 412.877 4.638 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(C[C@H](O)c4cccc(F)c4)n3)cc2)c1 777736423 QLWORJUXVAHXNE-FQEVSTJZSA-N 421.384 4.852 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)c1cccc(Cl)c1 783315713 JYZHFWRAPZRMDI-LLVKDONJSA-N 416.842 4.800 5 20 HJBD CCSCc1ccccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 789099417 BQGRSYRGTGKCRM-ZDUSSCGKSA-N 413.455 4.816 5 20 HJBD CC(C)C[C@H](C)n1nccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 792802936 MEJZEDHMYLFWDE-JTQLQIEISA-N 413.247 4.552 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800144016 KFTXKCNJZPWMHT-UHFFFAOYSA-N 403.391 4.510 5 20 HJBD Cc1cc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c2cc(Br)ccc2n1 800147985 WIRNKUJNQVORNF-UHFFFAOYSA-N 418.222 4.914 5 20 HJBD Cc1cc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nn1[C@H](C)C1CC1 811219656 SPHZTDPXQMPVQU-CYBMUJFWSA-N 412.471 4.551 5 20 HJBD Cc1ccc(Cn2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c2Cl)cc1 825487927 IXMMPPZVZRCALX-UHFFFAOYSA-N 420.803 4.640 5 20 HJBD Cc1cc(C)c(C(=O)[C@@H](C)OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1C 844239720 APGJCIKOFLHXSO-GOSISDBHSA-N 424.497 4.551 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(Cl)ccc1OC[C@H]1CCCCO1 864025640 SEYSQTSINUROTF-QGZVFWFLSA-N 406.866 4.817 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nnc(-c3ccccc3Cl)o2)ccc1[N+](=O)[O-] 1322106171 NXRNAWOBJIIKDI-QGZVFWFLSA-N 412.833 4.584 5 20 HJBD Cc1ccc(CC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 1342160141 ILQVTSMBQDMDGU-KRWDZBQOSA-N 406.486 4.969 5 20 HJBD COc1ccc(OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)c([N+](=O)[O-])c1 7035572 JOOBLRLUTRTTOC-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N(c1ccccc1)c1nc2ccccc2s1 7271090 WOWAGOLCBRQHPG-UHFFFAOYSA-N 405.435 4.948 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1ccc(Br)c([N+](=O)[O-])c1 12090625 QZXSHZPHLUHHNH-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C1C[C@H](C(=O)OCc2nc3ccccc3c3ccccc23)c2ccc(F)cc2N1 12656656 JLYXJVRZPFOYGD-IBGZPJMESA-N 400.409 4.696 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 18135895 FVMKMFYCUXALAG-NRFANRHFSA-N 406.486 4.584 5 20 HJBD CCn1c(SCC(=O)Nc2ccccc2Cl)nc2cc3ccccc3cc2c1=O 22177781 QPRKOXRQBITFRP-UHFFFAOYSA-N 423.925 4.954 5 20 HJBD Cc1c(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)cccc1[N+](=O)[O-] 31826337 MBAUFJDBFDVHPF-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD Cc1cc(NCC2(N[C@H](C)c3ccccc3)CCOCC2)c2cccc([N+](=O)[O-])c2n1 302002588 JNYWGVYEBHVPSQ-GOSISDBHSA-N 420.513 4.763 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(F)cc3Cl)C2)cc1OC(F)F 302456208 UNHGHHFBIJNLCE-INIZCTEOSA-N 402.756 4.567 5 20 HJBD CCn1ccnc1[C@@H]1CCCCN1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 432407795 PHRYJCXCJFJZJG-HNNXBMFYSA-N 411.384 4.589 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H](C1CCCCC1)C(F)(F)F 439828659 MMYAHXVRDNFGTG-JOCHJYFZSA-N 416.443 4.782 5 20 HJBD CCO[C@@H](C)c1ncc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)s1 440616323 RZLQEKUIMHCFLI-HNNXBMFYSA-N 411.483 4.996 5 20 HJBD CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442633055 BHQDBAAHHPTATQ-IBGZPJMESA-N 402.516 4.519 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@@H]3CCCOC3)no2)ccc1NCc1ccccc1Cl 445527146 DXFSBVDOBSGLBA-OAHLLOKOSA-N 414.849 4.804 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(OC2CCC2)c(F)c1 445947119 QYTAYJYGSRNTCV-UHFFFAOYSA-N 423.238 4.609 5 20 HJBD Cc1nc(-c2ccc(CCN[C@@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)s2)cs1 447526461 BRCKLIKNKLKJIL-NVXWUHKLSA-N 417.560 4.601 5 20 HJBD CCCc1c(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cnn1-c1cccc(F)c1 447806832 OHGUINFXARTBEQ-UHFFFAOYSA-N 422.420 4.534 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460357351 RZEXOWWZCUUIFG-AEFFLSMTSA-N 410.417 4.905 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(SC)c([N+](=O)[O-])c2)c2ccncc2)cc1 460378317 NWOKKRWFBXNFII-UHFFFAOYSA-N 409.467 4.567 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2C)c1C(F)(F)F 464722192 BWYHYVYLXIAZND-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD Cc1sc(S(=O)(=O)Nc2ccccc2CN(C)C2CCCCC2)cc1[N+](=O)[O-] 467403473 KGXXIKKYELXRQK-UHFFFAOYSA-N 423.560 4.530 5 20 HJBD CN(Cc1ccccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 469254961 WLYRFRKLWBQZLA-UHFFFAOYSA-N 414.465 4.894 5 20 HJBD Cc1ccc(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 470706502 GESFCYCFWWKBQJ-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD CN(C(=O)COc1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 478059950 GLWKDIDNQWZDLU-UHFFFAOYSA-N 422.281 4.674 5 20 HJBD COc1ccc(CSCC(=O)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cc1[N+](=O)[O-] 478558223 TVBJRYXBTLNVSQ-JCNLHEQBSA-N 420.918 4.552 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSc1nc2cc(F)cc(Cl)c2o1 479481925 UOVWDJWUBUVACY-UHFFFAOYSA-N 423.809 4.619 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cnn(Cc2ccccc2)c1 480678984 TWDQUSOBHWIIPU-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD C[C@@H](NC(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1cccc([N+](=O)[O-])c1 481926180 WQSFBIPJGLFSQV-SECBINFHSA-N 421.841 4.789 5 20 HJBD O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485760032 VFHWIJMRNOMZML-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD Cc1cc(-c2nc3ccc(F)cc3n2C(C)C)ccc1NC(=O)c1[nH]ncc1[N+](=O)[O-] 487979874 RDSPRNKAADXJMQ-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N[C@@H]1CCC[C@H](C)[C@H]1C 495370294 FMVNAVWKWCQKLW-KSVNGYGVSA-N 423.582 4.508 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@H](c1cccnc1)c1ccc(F)cc1F 496596254 YCMZCPMWFPVNDS-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD COc1ccc(C[C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 503826215 HBNQEUIGEHPDKR-JOCHJYFZSA-N 421.453 4.718 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 507927077 XQUMRYUZSHOZMH-UHFFFAOYSA-N 408.404 4.703 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCc2ccccc2[C@@H]1c1ccccc1 512564084 HUSJYFWANZPVGW-DEOSSOPVSA-N 402.450 4.538 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2nc3ccccc3s2)o1 514041675 TURQZTIVIMZLFK-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccn(Cc2ccccc2)c1 514140228 MVLOWVWMONDNBA-UHFFFAOYSA-N 404.451 4.820 5 20 HJBD C[C@@H](C(=O)Nc1cccc(Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)n1cccn1 514820690 XDHJMYHALQQUFN-LBPRGKRZSA-N 419.363 4.753 5 20 HJBD CSc1ccc(C(=O)N2CCCC[C@H]2c2nc3ccccc3n2C)cc1[N+](=O)[O-] 517659557 SVQHCNXPOUBTQQ-KRWDZBQOSA-N 410.499 4.571 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C(=O)N(C)C(C)C)ccc2Cl)cc1[N+](=O)[O-] 522260061 RIQBOLDDVWCKNN-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD COc1ccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC1CCCC1 523548733 PLQACPVEWJEQRF-UHFFFAOYSA-N 412.486 4.519 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3ccc(OC)c([N+](=O)[O-])c3)cc2)cc1 524673908 NXTAPSJKUZLLNB-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])s1 525120461 ZUHIVBYFXRRFJW-NSHDSACASA-N 415.462 4.725 5 20 HJBD COc1ccc(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530405687 JGXYCJSSFRWXJK-SFHVURJKSA-N 424.432 4.500 5 20 HJBD COc1cccc(NC(=O)[C@@H](N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)c1 531443625 FQIAMFUYOAITBL-SBUREZEXSA-N 419.481 4.942 5 20 HJBD CS(=O)(=O)Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1F 532745331 JNBROFFWLFDBEO-UHFFFAOYSA-N 420.487 4.619 5 20 HJBD Cc1ccsc1-c1nnc(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 534791328 VGVSJXZVWWIHBQ-UHFFFAOYSA-N 400.441 4.962 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cnc(-c4cccs4)s3)CC2)cc1 537632522 BBJZHBAMIMVBHC-UHFFFAOYSA-N 401.513 4.823 5 20 HJBD COc1ccc(CSCC(=O)N2[C@@H](C)c3ccc(F)cc3C[C@H]2C)cc1[N+](=O)[O-] 537697739 UQAUZBTVRRGSSY-KGLIPLIRSA-N 418.490 4.510 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 538371362 OXUXHQMBSYJDNE-GOSISDBHSA-N 415.877 4.836 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1 540759638 YGSLLSZINRWJRT-UHFFFAOYSA-N 402.410 4.976 5 20 HJBD CCOCc1ccccc1-c1noc(CSCc2ccc(OC)c([N+](=O)[O-])c2)n1 544133798 ALXNTQOEVDHXQB-UHFFFAOYSA-N 415.471 4.623 5 20 HJBD Cc1nnc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 545350757 CFZQXOXRDDGVLT-LLVKDONJSA-N 406.533 4.528 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1cccc(COCc2ccco2)c1 545918976 XFQAQAMNKFYNRS-UHFFFAOYSA-N 409.442 4.843 5 20 HJBD Cc1cc(C)c(-c2csc(NS(=O)(=O)Cc3ccccc3[N+](=O)[O-])n2)c(C)c1 546852897 ICMZUDOPWBCCRM-UHFFFAOYSA-N 417.512 4.585 5 20 HJBD Cc1cccc2c(Cc3noc(CCOc4ccc(Cl)cc4[N+](=O)[O-])n3)c[nH]c12 547130908 HRBOLXIJFLZSMH-UHFFFAOYSA-N 412.833 4.633 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](c2cncc(Br)c2)C2CC2)cc1[N+](=O)[O-] 551818713 RPLLPQUEKJEJNF-BLVKFPJESA-N 420.307 4.953 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 554054151 CHTDONSZDSCKPP-UHFFFAOYSA-N 409.511 4.929 5 20 HJBD CNc1ccc(C(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)cc1[N+](=O)[O-] 560340130 AKCOZNGYGJTELI-UHFFFAOYSA-N 401.426 4.512 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccccc2Cl)no1 561685923 HXGZGLMYBZIQCF-LLVKDONJSA-N 418.862 4.666 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1)c1cccc([N+](=O)[O-])c1 578933515 LHXASDLYSPZYEZ-WCQYABFASA-N 413.421 4.580 5 20 HJBD C[S@@](=O)Cc1cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Cl 600847638 KMONFAGNLRAWQM-AREMUKBSSA-N 406.813 4.758 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCc4[nH]c5ccc(Br)cc5c4C3)ccc2c1 603849831 DJKGMVMJLKVHIX-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccnn3[C@H](C)CC(C)C)cc2)c(C)c1[N+](=O)[O-] 603960617 LQACSNAXFHWZFF-OAHLLOKOSA-N 424.505 4.512 5 20 HJBD CCN(C(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 609010746 QUCBFFSKCQWUNW-ZDUSSCGKSA-N 410.392 4.774 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609881159 YCWDPVDMXXHHBL-HNNXBMFYSA-N 402.863 4.677 5 20 HJBD COc1ccc(Cl)cc1[C@H](CC(C)C)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 609977951 JCTZTTTZQQFUEP-HNNXBMFYSA-N 420.849 4.503 5 20 HJBD O=C(c1ccc(O)cc1)C1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 611253551 LXPGNGIODXNQOB-UHFFFAOYSA-N 406.438 4.655 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)OCc3ccccc3[N+](=O)[O-])c12 727919664 UDLXEVZUKZQFPG-UHFFFAOYSA-N 407.382 4.871 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1csc(-c2ccsc2)n1 730958007 MRUYLYCTFKTCSJ-SDQBBNPISA-N 417.855 4.778 5 20 HJBD CN(C)C1CCN(c2ccc(Nc3ccc4ncccc4c3[N+](=O)[O-])cc2F)CC1 732568547 AMAMBRGRBQRBPW-UHFFFAOYSA-N 409.465 4.556 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 733248468 CMNAFJLMLZJTGB-MRXNPFEDSA-N 403.276 4.513 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 734780621 YKYNICZZMJVWHT-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 736506945 JCFLLVSRIIJBJH-HYFFOGBASA-N 410.518 4.599 5 20 HJBD Cc1ccc(Sc2ccc(CNC[C@H](O)c3ccsc3)cc2[N+](=O)[O-])cc1 740011621 PAKPHNUGXNGVFC-IBGZPJMESA-N 400.525 4.939 5 20 HJBD O=C(Nc1cnn(-c2ccccc2Cl)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 742642856 MBTGFFVBEMJXAQ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1F 745073741 JXDQRCYBBYRBSW-ZDUSSCGKSA-N 413.405 4.674 5 20 HJBD Cn1cc(NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)ccc1=O 746153823 QCFYONYYXHYIDC-UHFFFAOYSA-N 408.457 4.884 5 20 HJBD Cc1nn(CN(Cc2cccc(C(F)(F)F)c2)C(C)C)c2ncc([N+](=O)[O-])cc12 749943932 JQUUWVHHSQNWJY-UHFFFAOYSA-N 407.396 4.535 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 751112212 YVSNBQSMBCKBJW-FQEVSTJZSA-N 412.392 4.595 5 20 HJBD Cc1sc(CNC(=O)c2cc(F)ccc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 751365411 XOBGKDGBRSTLQI-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(CCCO)[C@@H]1CCCc2ccccc21 754421777 JHNBWPVEDYIZLY-GOSISDBHSA-N 403.866 4.542 5 20 HJBD C[C@@H]1OCC[C@@H]1S[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754719330 JMZJGMGCTKZXGK-IGNZVWTISA-N 406.891 4.839 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)c2)n1 755139515 AYBIZRURECDUCQ-GFCCVEGCSA-N 400.435 4.985 5 20 HJBD O=Cc1cccc(OCc2ccccc2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756990096 GHDRXLZBKOLYJH-UHFFFAOYSA-N 424.840 4.570 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(C2SCCS2)cc1 759949580 QLFDZUKYLYCSKU-JKSUJKDBSA-N 419.528 4.611 5 20 HJBD O=C(COc1ccc2ccccc2c1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760454759 JVPPUIVBDOCPHE-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n1 764164675 VCHFKIKHHWCCEY-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnc(Cl)c(Br)c1 769989927 VTGPZAOCAJRBIQ-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2O)c(Cl)c1 770855283 BSUWUBKXDDJILX-UHFFFAOYSA-N 407.810 4.553 5 20 HJBD Cc1ccc(N2CCOC2=O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775490546 GVGBSICGRAJGNK-UHFFFAOYSA-N 415.471 4.613 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CN[C@H](C)c3ccc(N)c([N+](=O)[O-])c3)c2)cc1 788557771 QBNJOWJIKPZWIH-MRXNPFEDSA-N 404.470 4.589 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1ccc(Oc2ccccc2)nn1 790294884 BCEAFJIKLOMOTF-UHFFFAOYSA-N 420.194 4.658 5 20 HJBD COCCCC[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1 791908594 BEAHERXKBWMSRK-GOSISDBHSA-N 408.857 4.604 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 792525234 SDCDRPLJQYAIRN-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD O=C(NCCCOc1cccc2cccnc12)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813440372 NIGDPBQHSLLTHI-UHFFFAOYSA-N 420.252 4.649 5 20 HJBD CCc1nc(C(C)C)ccc1COC(=O)Cn1c2ccccc2c(=O)c2ccccc21 815185011 OFEIIXUATFVTJK-UHFFFAOYSA-N 414.505 4.979 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2NC2CCCCC2)cc([N+](=O)[O-])c1 916566042 RTKHUQWIVWWOIF-UHFFFAOYSA-N 411.458 4.768 5 20 HJBD O=C(CCN1c2ccccc2Sc2ccccc21)NCc1ccc([N+](=O)[O-])cc1 917791041 ZTMKOZNIJGGDMS-UHFFFAOYSA-N 405.479 4.904 5 20 HJBD CCOc1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c(C(F)(F)F)c1 920760314 BEANUFGZYNNABY-UHFFFAOYSA-N 408.336 4.674 5 20 HJBD CN(C)[C@H]1CCCC[C@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 1318670630 LEXPLLWGTOXMSU-MSOLQXFVSA-N 417.893 4.643 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CC(c2ccccc2)c2ccccc2)o1 1341397064 ILLQOHBASLRPBV-UHFFFAOYSA-N 401.422 4.931 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1cc2c(s1)-c1ccccc1CC2 9813822 UYUVFEYEAHPIMJ-UHFFFAOYSA-N 422.462 4.526 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)CC2)cc1 10960769 CXXQAGLPANFBIR-UHFFFAOYSA-N 411.458 4.605 5 20 HJBD COc1ccc(C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 18182093 CJFLZHPISPPJBF-QFIPXVFZSA-N 421.453 4.718 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1nc2ccccc2s1 24581369 LGLNDEUJECCGNW-SFHVURJKSA-N 410.499 4.760 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cc2ccccc2o1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 24876663 QBMKITSXDJGNAL-OAQYLSRUSA-N 417.377 4.769 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)cc1[N+](=O)[O-] 32676214 FPAYHNWPHNJNQS-HNNXBMFYSA-N 423.494 4.782 5 20 HJBD C[C@H]1Cc2ccccc2N1C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52916636 LRXPOKHNOBCQPD-JKSUJKDBSA-N 402.454 4.754 5 20 HJBD COc1ccc(C)cc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52934075 RUEJBMSRZHBMBH-OAHLLOKOSA-N 406.442 4.732 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2cccnc12 64935134 SJTJMJZHKNQLAM-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(COCCc2ccccc2)c1 65478068 CTCPWNMVOMVNJC-UHFFFAOYSA-N 419.481 4.718 5 20 HJBD Cn1c(-c2cccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)nc2ccccc21 117477708 DWAUVPZEAMOYTL-UHFFFAOYSA-N 418.478 4.879 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(F)cc1)C(F)(F)F 261420500 HPUOBVWYESKKDO-BONVTDFDSA-N 402.369 4.634 5 20 HJBD CC(=O)c1ccc(NC[C@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)c([N+](=O)[O-])c1 301887045 PHVVFQZACJOJLU-FOIQADDNSA-N 405.857 4.695 5 20 HJBD C[C@@H](C(=O)Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1F 426284835 BEZQVUFHFBIVAZ-SNVBAGLBSA-N 420.853 4.572 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)c3cccc(O)c3)cc2[N+](=O)[O-])n1 444458330 MRUPBJHCKSEXAY-LBPRGKRZSA-N 415.496 4.708 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445251507 IJXGZEWJZGPBSL-QMMMGPOBSA-N 403.685 4.905 5 20 HJBD COc1ccc2c(c1)[C@@H](N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1)CCO2 460243490 WODGYVPIFBWRLI-QFIPXVFZSA-N 418.449 4.770 5 20 HJBD Cc1csc(N(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C2CC2)n1 460385294 ZFCLFKDKKGJYHV-CQSZACIVSA-N 423.498 4.737 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466264405 QUOHURMEBCACJQ-GXTWGEPZSA-N 406.891 4.697 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(O)(Cc2cccc(F)c2)CC1 474828976 DWOIEOPLDMUILJ-UHFFFAOYSA-N 414.480 4.737 5 20 HJBD Cc1c([C@H](C)NCc2ccc(OCCOc3ccccc3)nc2)cccc1[N+](=O)[O-] 481308930 BZIROMBKDQRRSZ-SFHVURJKSA-N 407.470 4.607 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(Cc2ccccc2)no1 483634423 CSXCLMPPAGIIOH-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccccc1)C(C)C 484454913 HPRPHZAZWDXMIE-IBGZPJMESA-N 402.516 4.503 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1 485656559 NUNMGFKDJRNPFN-UHFFFAOYSA-N 424.384 4.572 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(Br)cc2)cc1[N+](=O)[O-] 488070906 QOLZGPSHPSKKLC-AFAVFJNCSA-N 403.276 4.647 5 20 HJBD CCCN(C(=O)c1cc(SC)ccc1[N+](=O)[O-])[C@H](C)C(=O)Nc1ccccc1C 489987613 QVYGLOBKEJXTQR-OAHLLOKOSA-N 415.515 4.505 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 490086982 DETHHHLPUOGIBA-IBGZPJMESA-N 415.877 4.765 5 20 HJBD COc1cc(CNc2cccc(-c3nccs3)c2)c([N+](=O)[O-])cc1OCC(F)F 491857346 UGONEPOQUPCKLT-UHFFFAOYSA-N 421.425 4.983 5 20 HJBD CC(C)c1nnc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)s1 492278583 WVRMMDQUZXVUGF-UHFFFAOYSA-N 406.895 4.815 5 20 HJBD Cc1cc(I)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 492875476 KGIIVOVWOTVSFG-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(Br)s1 494513149 LLEGMYXMYNRHIC-ZETCQYMHSA-N 410.215 4.683 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C\c2ccco2)s1 497455464 HGACYEJCXALACI-VURMDHGXSA-N 402.457 4.574 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1csc(Br)c1)C1CC1 502363067 BPXXUCBQJTXHAS-UHFFFAOYSA-N 420.288 4.705 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1OCCC2=O 504978897 AIVFCNFABAREAW-UHFFFAOYSA-N 400.456 4.560 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2cccnc2)cc1[N+](=O)[O-])c1ccccn1 506068562 ACQZKWUIBBNAMZ-HRAATJIYSA-N 417.469 4.535 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCc3cccc(F)c32)cc1[N+](=O)[O-])c1ccccn1 508804798 WDCFIKYTLJZTNP-OAHLLOKOSA-N 420.444 4.895 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1 510361106 IGDUMRRXLLEVAM-SFHVURJKSA-N 423.391 4.786 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccccc1)c1nccs1 510553327 NLICFISPQBYDGI-LJQANCHMSA-N 410.499 4.761 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1OC(F)F 510834273 QHEVMFXKKKHDCF-VIFPVBQESA-N 417.315 4.680 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 513324961 DJPNCDDNHIIYDE-NRFANRHFSA-N 402.519 4.888 5 20 HJBD C[C@@H](NC(=O)NCc1ccccc1CN1CCCC[C@H]1C)c1cccc([N+](=O)[O-])c1 515510796 SDGABMOFXDDJNC-QZTJIDSGSA-N 410.518 4.530 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 520589593 XTEGZALQROOFIN-INIZCTEOSA-N 419.437 4.511 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCOc1cc(C(F)(F)F)ccc1Cl 522686718 IZZDZJPYJBUMAU-UHFFFAOYSA-N 416.739 4.644 5 20 HJBD CNc1ccc(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)cc1[N+](=O)[O-] 531335608 ATXFTUIFKXWJJW-UHFFFAOYSA-N 401.344 4.973 5 20 HJBD Cc1nc(-c2ccc(C)c(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n[nH]1 535192862 XTWDLRWBFLDYPF-UHFFFAOYSA-N 406.471 4.732 5 20 HJBD CO[C@H](C)c1nc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cs1 537310170 BUTVGSDCRQALHX-LLVKDONJSA-N 407.879 4.962 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc([S@@](C)=O)c(F)c1 537453144 POZRGMWSXXFJED-HHHXNRCGSA-N 410.492 4.616 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@](C)=O)c1 537468801 BJXJCXMGYPHXIY-MHZLTWQESA-N 406.529 4.618 5 20 HJBD CN(CCc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1)C1CC1 538247617 COWMJEHBUCLZFZ-UHFFFAOYSA-N 407.392 4.503 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCC(=O)C[C@@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539541401 CZDNNNHEEJRNPJ-HOCLYGCPSA-N 415.515 4.713 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 540434265 VRDWXMTZNGRTHA-IBGZPJMESA-N 410.474 4.921 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)cnc1O 540735453 MIGUMCLBSXAOQR-UHFFFAOYSA-N 415.393 4.859 5 20 HJBD CCOc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(C(F)(F)F)c1 542540848 RUPPNEDQSCDWSD-SNVBAGLBSA-N 400.328 4.894 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1F 542635360 YVBTXKRDIHLDSX-ZIAGYGMSSA-N 413.474 4.853 5 20 HJBD CC(C)NC(=O)Nc1ccccc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543255933 LXCIKPHUVQEHLD-UHFFFAOYSA-N 422.894 4.509 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N3CCO[C@H](c4cc(F)c(Cl)cc4Cl)C3)c12 543437033 YMYZTLHQSRJOAM-INIZCTEOSA-N 423.231 4.562 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NCC(=O)Nc3c(C)cccc3[N+](=O)[O-])s2)cc1 543518994 MMKGEXFZPFYOTF-HNNXBMFYSA-N 424.526 4.933 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 545122317 HVDGPUZEMWUBML-UHFFFAOYSA-N 400.328 4.591 5 20 HJBD CSc1cc(C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1NC(C)=O 551681652 VRAKUKHPUCSKAI-UHFFFAOYSA-N 401.488 4.825 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1nnc(-c2ccc(Cl)cc2Cl)o1 554848586 OASNZJSAHRZCOK-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)c1ccc(Br)cc1[N+](=O)[O-] 560820442 SQBCIQFEWFEBRM-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD C[C@H](C(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 571717560 BETUKXKMVTTXNZ-KXBFYZLASA-N 406.442 4.502 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(Cl)c2OCC(F)F)cc1[N+](=O)[O-] 573518293 UOEGQCPEZKVQCJ-UHFFFAOYSA-N 400.765 4.543 5 20 HJBD COCCN(C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)C1CCCC1 603529194 NQOMWLJIYVIZGY-UHFFFAOYSA-N 421.544 4.542 5 20 HJBD CC(C)[C@H](Oc1ccccc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1F 608870904 MYSCPXYZENYDQB-INIZCTEOSA-N 411.227 4.539 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H]1c1cccc2c1OCCO2 608969567 DHLKFPFTOYEEFN-MRXNPFEDSA-N 410.451 4.558 5 20 HJBD O=C(Nc1ccc(Sc2nncs2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 609107104 IBXKCJDWQCZMGU-UHFFFAOYSA-N 410.839 4.642 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(C[S@@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 609695252 KQQKOQQWBSRBJV-HHHXNRCGSA-N 406.529 4.616 5 20 HJBD C[C@](CO)(NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1cccc(C(F)(F)F)c1 609710981 QHKZMTRBJVXIFQ-HXUWFJFHSA-N 420.387 4.871 5 20 HJBD O=C(CSC[C@@H]1CCCCO1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609761788 JVWMBXFMRFONLC-IBGZPJMESA-N 402.472 4.628 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccncc1 609791464 CGICOJPBHLSJSP-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C(CC1(CCc2ccccc2)CCCC1)N1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 609881203 FVFANWQHSBPMIP-FQEVSTJZSA-N 422.529 4.586 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 735317569 KIRPJUSWHAWSHC-CQSZACIVSA-N 422.397 4.734 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 741821583 WPSVKKJGFWRDOI-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD COc1cc(COC(=O)[C@@H](c2ccccc2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 745549016 AXCWMCARFKKCMU-GOSISDBHSA-N 409.385 4.688 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1csc(C2CCCC2)n1 746590979 OKSRNXIZLAJGRD-NSHDSACASA-N 410.879 4.873 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2n1C 747776758 XRXISHVBWJMTGA-LBPRGKRZSA-N 408.439 4.523 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cc1 749060606 YJOPJEHMFMXOFZ-HNNXBMFYSA-N 410.426 4.626 5 20 HJBD O=C(Nc1ccccc1SC(F)F)c1ccc(Br)cc1[N+](=O)[O-] 750821141 ZJJJRJXUYYLLMO-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754957973 FAJFGSMTHWBFKP-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD O=C(OCc1ccc(C(F)(F)F)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 761156220 CZORPYUOZYQPME-UHFFFAOYSA-N 408.376 4.573 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1Cl 763814813 PGVLDTLAWSQHMR-LLVKDONJSA-N 408.863 4.544 5 20 HJBD CCCCn1c(SCc2ccc(Cl)c([N+](=O)[O-])c2)nnc1[C@H](CC)N(C)C 767884437 RTQVFOXJHKSCGE-HNNXBMFYSA-N 411.959 4.945 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC(Sc2ccc(Cl)cc2)CC1 773189248 REIYXZCEYVZFGZ-UHFFFAOYSA-N 421.862 4.553 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)C(=O)O[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778758438 TUXIDZBEUOUFCG-HOTGVXAUSA-N 416.499 4.576 5 20 HJBD COc1cc(CNc2ccc(OC(F)(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)F 779031489 XDSJWXSLJYNGEC-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD Cc1noc(C2(C)CCN(c3cc(Cl)c(C(F)(F)F)cc3[N+](=O)[O-])CC2)n1 781393476 AVBHYGIBDTUWGU-UHFFFAOYSA-N 404.776 4.517 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(N2CCc3sccc3C2)cc1 791552790 BPSUMMXWZYGFEU-UHFFFAOYSA-N 423.494 4.870 5 20 HJBD CC(C)(C(=O)Oc1ccc(C(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 797048037 GOYOQLGXUJNCEU-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD CCCN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])[C@@H](C)CC(=O)Nc1ccccc1 800239569 RZOHKMRSPDCWSE-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nc2ccccc21 809916278 YKUNWEXAZFYKFD-KRWDZBQOSA-N 413.865 4.987 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC[C@H](c2nnc3n2CCCCC3)C1 809935203 JPAJITFOHCKNON-ZDUSSCGKSA-N 424.332 4.599 5 20 HJBD Cc1cc(N[C@@H](C)c2ccc(N3CCCNC3=O)cc2)c2cccc([N+](=O)[O-])c2n1 812487359 LBQNTZHPJJZLQD-HNNXBMFYSA-N 405.458 4.544 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812954584 SDUNGUCBIXBPAL-UHFFFAOYSA-N 424.284 4.848 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])cc(OC)c1Cl 864039514 WFAHKNWPVLZXQX-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCCC3)nc2)no1 904427873 HQDLYWOEPTVJKY-KRWDZBQOSA-N 407.474 4.766 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1OC 916446629 IXLZQMCIIZSUMB-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD CCOc1cc(NC(=O)NCc2cccc(Cl)c2F)c([N+](=O)[O-])cc1OCC 1252222343 QDWQCYXHHHITJH-UHFFFAOYSA-N 411.817 4.506 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C[C@H](O)c2cc(Cl)cc(Cl)c2)n1 1320751793 DVLSVVNBSOWCGM-INIZCTEOSA-N 408.241 4.845 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1ccc(-n2cnc3ccccc32)nc1 1338348136 SZYZKCPLVSZZIE-UHFFFAOYSA-N 424.258 4.703 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 11028911 NVSBWYDFQLBAOY-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Oc3c(C)cc(C)cc3[N+](=O)[O-])cs2)cc1 18231839 SDJCVOUBXTVCDV-UHFFFAOYSA-N 412.467 4.704 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1Br 33605528 INBXXMLTAMSJDA-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(Nc1cc(Br)ccc1F)c1cc([N+](=O)[O-])ccc1Br 45625559 DOMQRWYIPNOWQR-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cn1cc(C(=O)Nc2ccc3c(c2)Cc2ccccc2-3)c(-c2ccc([N+](=O)[O-])cc2)n1 64689964 XZBOILRPWMPSPV-UHFFFAOYSA-N 410.433 4.819 5 20 HJBD Cc1ccccc1-n1nc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c2c1CCC2 65558512 AKRMBOBSQBCVRF-UHFFFAOYSA-N 418.497 4.628 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3ncccc3c2)cc1[N+](=O)[O-])c1ccccn1 65781102 CTZFLRPUSCCYPK-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD C[C@@H](Nc1nc2sccn2c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 301104497 MWUSRMPOMXIGHN-CYBMUJFWSA-N 407.455 4.729 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(CCC(=O)Nc2ccccc2[N+](=O)[O-])CC1 409862366 ZQYCBHLRWLAPCA-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD CC(C)(C(=O)NCc1nc(-c2ccccc2F)cs1)c1ccc([N+](=O)[O-])cc1F 428548781 FODQTGKVXSJVTH-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)CCCn1cc([N+](=O)[O-])c(C2CC2)n1 436187073 RMWINIXYGHYEAI-UHFFFAOYSA-N 404.898 4.866 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1csnc1-c1ccccc1 436725953 SZPYIWHMBCUISH-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD Cc1cc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cn3)cc2)ccc1[N+](=O)[O-] 438778376 LQYDYMYQZQIYDP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CC(C)OCc1cccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 438805915 CJMSIKAASOBEPE-UHFFFAOYSA-N 419.286 4.627 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1cccc(CCC(F)(F)F)c1 441757451 CDRZOSJMLRTIRK-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD O=C(c1ccc(-c2ccccc2OC(F)(F)F)o1)N1CCc2c1cccc2[N+](=O)[O-] 444087062 QDNNQHDPZXPCNR-UHFFFAOYSA-N 418.327 4.956 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1c(F)cccc1F)C1CCCC1 466150229 WIYASJDPQJCPPQ-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Br 466290213 SVDVHJDXLGPXHL-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 466952246 RMCIVNSRHKJAAF-BEFAXECRSA-N 403.866 4.846 5 20 HJBD O=C(NC[C@H]1CCC[C@H](O)C1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467788796 KQCHKVVRSXRTHI-ZFWWWQNUSA-N 420.918 4.680 5 20 HJBD O=C(NC[C@@H]1CCSC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 468459152 KRULVNXJFGEDLF-LBPRGKRZSA-N 408.932 4.882 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@H](COc2ccccc2)C1 475191392 CTGSDAVLKLPHPX-ZDUSSCGKSA-N 409.364 4.546 5 20 HJBD CC(C)N(Cc1ccco1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 477777766 SPPWWFHXKZXVAP-MRXNPFEDSA-N 408.458 4.807 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc(F)cc2)C(C)(C)C)cc1SC 484342820 QWKSFGZYEAKDEP-UHFFFAOYSA-N 406.479 4.905 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)c1sc(Br)cc1[N+](=O)[O-] 486121287 AAQNZTXUSCOLRQ-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD COc1ccccc1C[C@H](C)CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486710390 NNNVUFAZNPHHEG-ZDUSSCGKSA-N 410.392 4.507 5 20 HJBD CC[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)C1CCOCC1 487938349 IOFRQRAOLKPFBQ-FQEVSTJZSA-N 400.500 4.681 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1c(F)cccc1F 488345511 OLSYGFMFHUPGGF-NSHDSACASA-N 402.319 4.568 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOC2(CCSCC2)C1 489173449 UZYVLRMCTMNPGD-HNNXBMFYSA-N 424.588 4.518 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C[C@H]1n1ccnc1 490588462 RFTOWQFKZLCOSJ-OXQOHEQNSA-N 422.510 4.666 5 20 HJBD CCOc1cc(CN[C@@H](C)c2ccc(OC)c(Br)c2)ccc1[N+](=O)[O-] 492584053 SOWBQVLVCXUVGI-LBPRGKRZSA-N 409.280 4.615 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494220852 STSRAODQQMPOFJ-UHFFFAOYSA-N 410.474 4.694 5 20 HJBD Cn1nc(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cc1OC(F)F 494446661 OQZYTFJFGKEJKL-UHFFFAOYSA-N 424.791 4.988 5 20 HJBD Cc1sc(NC(=O)c2cnc(-c3ccco3)s2)nc1-c1cccc([N+](=O)[O-])c1 504303384 HBOHXTYGJBWHKA-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(Cc3nnc(-c4ccc(Cl)cc4Cl)o3)c2)cc1 505602268 MNEVTCZFNCSCNF-UHFFFAOYSA-N 416.224 4.863 5 20 HJBD CSc1ccc(C(=O)Nc2nn(-c3ccc(Cl)cc3)cc2C)cc1[N+](=O)[O-] 508681790 UMQHQEPGWYFXNA-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(Cc1ccsc1)c1ccccc1 509541575 RFCKVPPZVBFLPU-UHFFFAOYSA-N 408.888 4.705 5 20 HJBD COc1ccc([C@H]2CCCCCN2S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 512964623 SKLOKLGYZUIGDD-QGZVFWFLSA-N 424.906 4.563 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3ccc([N+](=O)[O-])cc3)cc2)n1 515904740 KGKHLGFZKIGPKH-UHFFFAOYSA-N 401.469 4.528 5 20 HJBD COc1cc(-c2noc(/C=C\c3ccc(F)cc3[N+](=O)[O-])n2)ccc1OC(F)F 518325417 YVGDUCWNFFCRIR-DAXSKMNVSA-N 407.304 4.564 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1cccc(Br)c1)C1CC1 519166883 SBLJKARWRKSHNB-UHFFFAOYSA-N 415.243 4.908 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC 520623814 VVEANRRYPBRFMC-HXUWFJFHSA-N 418.877 4.927 5 20 HJBD CN(C[C@@H]1CCCOC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 521682312 HMEPPHKOBFARHQ-AWEZNQCLSA-N 404.850 4.539 5 20 HJBD CCN1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 521992723 MHBWAIFQJTXDDZ-UHFFFAOYSA-N 419.934 4.567 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N(c1ccccc1)c1ncccc1Br 534387238 HLMZZUXDDGHDFT-UHFFFAOYSA-N 412.243 4.660 5 20 HJBD CS[C@@H](C)CCC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 536926633 ZNTSCJVQPAHNSD-ZDUSSCGKSA-N 400.460 4.511 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 537137052 TUMHSOUSRBMPQH-KRWDZBQOSA-N 405.520 4.501 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 538424920 UACNVYVTWWHUGH-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 538772038 BZJHPRNGNROPSN-HNNXBMFYSA-N 411.433 4.820 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc(-c2ccco2)n1 540471617 OHRZCCMPDJVQFW-UHFFFAOYSA-N 403.485 4.742 5 20 HJBD COc1ccccc1[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccccc1 541286248 LDXNYVPPFNANGB-JOCHJYFZSA-N 408.479 4.601 5 20 HJBD CCc1ccc([C@@H](NCc2nc(-c3ccc(F)cc3)n[nH]2)c2ccc([N+](=O)[O-])cc2)o1 541565304 RWUMMEOCXWXRAY-NRFANRHFSA-N 421.432 4.554 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CSC3CCOCC3)c2)c1 549010709 XQSSCOCYEAESMK-UHFFFAOYSA-N 416.499 4.658 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(Cc2ccc(F)cc2)n1 549717620 HGXDLFRFXUHWMJ-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1c(F)cccc1Cl)c1ccc([N+](=O)[O-])cc1 552276493 ZEZVJHKJGSTCSN-IBGZPJMESA-N 416.884 4.778 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c2c1NCCC2 560689910 GLFBZWSJFDTNBA-UHFFFAOYSA-N 419.431 4.878 5 20 HJBD COc1ccccc1Nc1ccccc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 560993165 UJFFMVLRIOXPJT-UHFFFAOYSA-N 405.454 4.662 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 565495544 FVOHCOYYIYQXQL-IBGZPJMESA-N 401.875 4.546 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC[C@@H](CSC)C2)cc1 573229667 AGNQCCKWFSNJPN-OAHLLOKOSA-N 416.499 4.611 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F 573386364 JLUXMPHJHANTRQ-APPDUMDISA-N 402.800 4.960 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)C(F)(F)F 581631416 BGAPSWNFTXDDKE-LBPRGKRZSA-N 409.364 4.515 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Cc3ccc([N+](=O)[O-])cc3Cl)CC2)c(C)c1 582749038 KXRVRZGZQUPTHM-UHFFFAOYSA-N 414.889 4.529 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C(C)=O)C2CC2)cc1 582896753 SMJRGSZNTVICJV-UHFFFAOYSA-N 413.499 4.865 5 20 HJBD CSCc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 588047563 YMCAYJRMXARDCH-UHFFFAOYSA-N 424.472 4.753 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 589372853 LHTREMCQYJSNAP-GFCCVEGCSA-N 413.409 4.537 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(OCC2CCCCC2)CC1 590280873 KBVRWGMYWWSNPW-UHFFFAOYSA-N 414.424 4.815 5 20 HJBD COCCN(C(=O)CC1CCCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 603461413 PJKWPJZBCSGSNQ-UHFFFAOYSA-N 403.504 4.668 5 20 HJBD CSc1ccc(C(=O)N2CCC(C(=O)c3ccc(C)cc3C)CC2)cc1[N+](=O)[O-] 603576829 SRMSAXORHDCADA-UHFFFAOYSA-N 412.511 4.669 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 603642822 PCNCIGBHDMBAMQ-UWVGGRQHSA-N 413.318 4.934 5 20 HJBD CCCc1nc(C)c(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)s1 603741249 MZLDWVBUITXVFE-UHFFFAOYSA-N 401.410 4.517 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(OC(F)F)c(F)c3)cc2[N+](=O)[O-])CC1 603970952 PVZBBNRISOQKIK-UHFFFAOYSA-N 423.391 4.824 5 20 HJBD COCCN(C(=O)C[C@@H]1CCCC[C@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 604446195 ZJQGPAOWHIGDPR-WBVHZDCISA-N 417.531 4.914 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccc(F)cc1)CC1CC1 609652468 ARYJSCLJJUCQEW-ZDUSSCGKSA-N 406.841 4.593 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@@H](c2ccc(F)cc2)C[C@@H]1C 609909454 JPVLQPLOSQDZNG-YDHLFZDLSA-N 406.841 4.559 5 20 HJBD C[C@H](CC(C)(C)c1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610042482 CQHMJQWCFHXWSH-CYBMUJFWSA-N 405.292 4.844 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(C)(C)C)ccc1OC 611203376 QUCXROZHBPUWQL-UHFFFAOYSA-N 418.515 4.892 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)N1CC=CCC1 611523283 LTVPUHRIKYMKBS-UHFFFAOYSA-N 405.813 4.651 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccc4ccccc4n3)no2)cc(C(F)(F)F)c1 612894192 YLMLDMSGHMFIRX-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD CCCN(Cc1ccc(OC)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 642040412 KXJFZFAHBMPTHX-UHFFFAOYSA-N 414.505 4.602 5 20 HJBD COc1ccc(CN(C(=O)c2ccccc2[N+](=O)[O-])c2ccc3nc(C)[nH]c3c2)cc1 731992584 PPOSQSPYXFVVJY-UHFFFAOYSA-N 416.437 4.635 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 733249329 VZUKERDHLSOAMO-OAHLLOKOSA-N 403.276 4.513 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cc([N+](=O)[O-])ccc1F 735021130 KEBJIWDVLORMJJ-MUUNZHRXSA-N 404.463 4.568 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 735428513 JTVNWRCSTKKNAF-UHFFFAOYSA-N 400.784 4.858 5 20 HJBD C[C@@H](OC(=O)c1cccc(Nc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 735723678 SVAWADPEUDEFEF-OAHLLOKOSA-N 405.410 4.522 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H](C)c3ccncc3)cc2[N+](=O)[O-])n1 735966304 ICMLEQFQXOCXSI-LBPRGKRZSA-N 401.469 4.824 5 20 HJBD O=C(c1cc2c(F)cccc2s1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742873498 PPEUSKLXZVHBBL-UHFFFAOYSA-N 400.431 4.632 5 20 HJBD O=C(OCCOC(c1ccccc1)c1ccccc1)c1c(F)ccc([N+](=O)[O-])c1F 752567365 SZLVGPIUVVOBCW-UHFFFAOYSA-N 413.376 4.836 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N(CCc1ccccc1)Cc1cccnc1 757256831 SUVPPCKGVUIIIZ-UHFFFAOYSA-N 424.888 4.598 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc([C@H](C)NC(=O)OC(C)(C)C)cc2)c1[N+](=O)[O-] 758503640 GPSRBMZRPFUDPQ-ZDUSSCGKSA-N 417.437 4.880 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762989770 OVLSQAZPQCZCND-UHFFFAOYSA-N 416.449 4.590 5 20 HJBD C[C@@H](C(=O)OCC(=O)Nc1ccccc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1 763410168 WBBNHOJBZWEKTR-MRXNPFEDSA-N 420.421 4.673 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1ccn(-c2ccccc2)n1 768918452 IBABTHRMTNPELS-UHFFFAOYSA-N 405.483 4.536 5 20 HJBD C[C@@H]1C[C@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCN1Cc1ccccc1 770012587 COHGZBSSPVPKHX-CRAIPNDOSA-N 416.909 4.765 5 20 HJBD Cc1cc(C)c(NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)c1 775088302 BVKJOWMYKREYEA-UHFFFAOYSA-N 416.499 4.816 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CC2(CCC2)Oc2ccccc21 778701672 MWNODHAHZCVUGC-KRWDZBQOSA-N 415.877 4.536 5 20 HJBD Cc1nscc1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 783385412 HVLIVZZIUKFPRL-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 783856894 NGBDDSDRKGAUHI-UHFFFAOYSA-N 423.903 4.789 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1cccc(Cl)c1[N+](=O)[O-] 786013526 DOPSFFNGVTZSPG-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1OCc1ccccc1 792873568 CYNWGWDSPDCXHR-MRXNPFEDSA-N 407.426 4.933 5 20 HJBD O=C(NCCc1nc2cc(Cl)ccc2s1)Nc1cccc([N+](=O)[O-])c1Cl 809919100 UFRQKRKDCPPJIA-UHFFFAOYSA-N 411.270 4.876 5 20 HJBD O=c1c2c(F)cc(Br)cc2ccn1Cc1ccc(Cl)c([N+](=O)[O-])c1 810012438 PVZKYCJPEHIZFX-UHFFFAOYSA-N 411.614 4.513 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1-c1nc(CCC(C)(C)[N+](=O)[O-])no1 812813462 LDZGHLFGZFETNL-UHFFFAOYSA-N 411.245 4.995 5 20 HJBD CN(C)c1ccc(C2(C(=O)OCc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)cc1 816091009 HKENAGDTKZSMLO-UHFFFAOYSA-N 423.494 4.559 5 20 HJBD CCOc1cc(NC(=O)N[C@@H](CC)c2ccccc2OC)c([N+](=O)[O-])cc1OCC 915333048 PNYDCGGYZDHQMN-HNNXBMFYSA-N 417.462 4.674 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1)C(=O)OC(C)(C)C 918108750 NZMUMGXNETVARF-UHFFFAOYSA-N 424.457 4.695 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cccnc2N2CCCCC2)c([N+](=O)[O-])c1 921057772 ZQASQNUZOCKSAI-UHFFFAOYSA-N 416.481 4.823 5 20 HJBD O=C(Nc1ccc(Br)c(O)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1261218674 CEMKWSGYZPXMCK-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD C[C@H](C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 1321966132 OZVVXZVQHRKTIJ-LBPRGKRZSA-N 403.410 4.823 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(COc3ccc(F)cc3)o2)o1 1322151994 TZBZHVSAJVIBRI-UHFFFAOYSA-N 411.345 4.535 5 20 HJBD Cc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)ccc1NC(=O)CC(C)C 1322152068 VDHAFZNTWVLSFE-UHFFFAOYSA-N 410.430 4.517 5 20 HJBD CC(C)c1c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cnn1-c1ccc(F)cc1 1322780033 QOPODBDJVDCPLJ-UHFFFAOYSA-N 423.404 4.672 5 20 HJBD Cc1c(Br)cc(-c2nnc([C@H](C)Oc3ccccc3F)o2)cc1[N+](=O)[O-] 1326962236 NXDNKUDGMAQOSG-JTQLQIEISA-N 422.210 4.995 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccccc2Cc2ccccc2)c([N+](=O)[O-])c1 11623338 NZBOKALRLUYVNU-UHFFFAOYSA-N 405.454 4.635 5 20 HJBD COCCN(C(=O)c1cc(C)ccc1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 14790563 RJGYFWTZHJWRMW-UHFFFAOYSA-N 411.483 4.628 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cc2cccc(Cl)c2Cl)s1 26496727 KBZMKVSWKUOEHT-UHFFFAOYSA-N 411.270 4.540 5 20 HJBD Cc1c(NC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)cccc1[N+](=O)[O-] 32660074 FNLJDIOBURZHEW-UHFFFAOYSA-N 400.822 4.568 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)Cc3ccccc3[N+](=O)[O-])s2)cc1Cl 45872118 HYQCSGBYJNEFSQ-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD Cn1ccnc1COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 55421184 GNQNKEONUFADTO-UHFFFAOYSA-N 408.461 4.953 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCCN(Cc2ccc(F)cc2)CC1 58916365 GSJBYBUODSORQC-UHFFFAOYSA-N 413.496 4.875 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCSc2ccc(F)cc21 64419303 BQLRSKYZGBFJCG-IINYFYTJSA-N 410.854 4.508 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64908431 SESSFEFPWYIQDI-UHFFFAOYSA-N 406.423 4.989 5 20 HJBD CC(C)(C)OC(=O)NC1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 71968448 RRTYQEDTFVDCLZ-UHFFFAOYSA-N 423.819 4.761 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccncc3)n2C2CC2)nc1-c1ccccc1 301864576 GOBOQMHJFWMYKP-UHFFFAOYSA-N 416.466 4.796 5 20 HJBD Cc1cc(NC(=O)C[C@H](C)c2c[nH]c3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 425975021 NADUHJWIXGPWOC-AWEZNQCLSA-N 403.442 4.703 5 20 HJBD O=C(Nc1ccc(F)c(C(F)(F)F)c1)c1ccc(Br)cc1[N+](=O)[O-] 429867760 MRTRDNDODWWUJZ-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c(C(F)(F)F)c1 430056233 UMSHNIKGTLWELB-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cc(C)nc(Sc2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)cc2)n1 439041568 LNMMYFDCLAODDU-UHFFFAOYSA-N 408.483 4.642 5 20 HJBD O=C(Nc1ccc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1)c1ccccc1 440614884 BEKASFMZVRSHBG-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc2ccoc2c1)c1ccccc1[N+](=O)[O-] 443383549 UJBYBAFCCDVLIS-QGZVFWFLSA-N 411.414 4.546 5 20 HJBD CCCOc1cc(NC(=O)Cc2cc(F)ccc2F)c([N+](=O)[O-])cc1OCCC 443849949 LLHMYDOPUAKZAF-UHFFFAOYSA-N 408.401 4.632 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444244657 XAYBWILENKXSTJ-PBHICJAKSA-N 418.443 4.978 5 20 HJBD Cc1ccc2c(c1)[C@H](NC(=O)c1cccc3c(=O)c4cccc(F)c4[nH]c13)[C@@H](C)C2 444300554 HYHNXTFPYNPTBR-LHSJRXKWSA-N 400.453 4.792 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1Cl 461244162 XAXJXRAXIVIKCS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 465579071 UVCHTASLYDDSJS-MRXNPFEDSA-N 405.454 4.831 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(CCC(=O)OC(C)(C)C)c2)c1 470141508 KHKZCGNXFHHOMQ-UHFFFAOYSA-N 416.499 4.843 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1nnc(-c2cc3ccccc3o2)s1 470374927 LDSURLZUIDDZNH-UHFFFAOYSA-N 419.422 4.522 5 20 HJBD O=C(Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 473251405 XWDPSOFPJAZAIL-UHFFFAOYSA-N 423.269 4.562 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H](C)C3CCOCC3)cc2[N+](=O)[O-])cc1 474867131 HEABVLBCVVGIMA-OAHLLOKOSA-N 400.500 4.599 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(n2c(C)nc3ccccc32)CC1 477365532 OWGAABNSIOKDLQ-UHFFFAOYSA-N 424.526 4.842 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 478604928 BDAFXYUXSMOOFY-QZTJIDSGSA-N 419.591 4.579 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1Cl 478609962 LZTRKJMQGJYPIY-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nc3cc(F)cc(Cl)c3o2)cccc1[N+](=O)[O-] 479492504 WAPBZEBGOUFVRI-SECBINFHSA-N 409.826 4.956 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1c[nH]c2cc(Br)ccc12 479826846 BKRPHWLZDFLWGX-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD Cc1c(CNC(=O)N(Cc2cccc(Br)c2)C(C)C)cccc1[N+](=O)[O-] 480063765 WQBUMCNFSXITOU-UHFFFAOYSA-N 420.307 4.786 5 20 HJBD C[C@@H](NCCc1nnc2n1CCCCC2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480214773 CTWPQLFCZOKMFZ-OAHLLOKOSA-N 411.531 4.535 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@H]1CCCC[C@@H]1C(F)(F)F 482222597 GJAOQOCRJJUSTC-QAPCUYQASA-N 416.443 4.640 5 20 HJBD CCc1c(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cnn1C(CC)CC 482690772 GRPFWWGFVQXVGC-UHFFFAOYSA-N 406.508 4.698 5 20 HJBD CCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 482723309 ORBXIXHDDHVOMS-UHFFFAOYSA-N 414.849 4.566 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc(Cc2ccccc2)no1 483636020 JHYXKYNBFVWHLR-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD COc1ccc(-c2nc(S[C@H](C)c3cc([N+](=O)[O-])ccc3C)n[nH]2)c(OC)c1 484717706 YYRXVJFUQPIUHD-GFCCVEGCSA-N 400.460 4.559 5 20 HJBD CCn1ccnc1[C@@H]1CCCCN1c1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 485436278 JHRWPASJHAUSSL-SFHVURJKSA-N 407.474 4.517 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 485577063 DBMUHQUVVPYYHY-HBMCJLEFSA-N 416.481 4.579 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cccc(SC)c3[N+](=O)[O-])c2)cc1 486430499 ICKDNWPJKVDZSW-UHFFFAOYSA-N 414.508 4.984 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c1 486442588 PNJNWIVSBWSLMP-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])n[nH]1 486487623 OJWWQRPJBDHQDK-UHFFFAOYSA-N 418.862 4.621 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CSc1n[nH]c(COc2ccc(Cl)cc2)n1 487665809 IMQUBARGMSDWGH-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccnc(Oc2ccccc2F)c1 489629621 BXXPBBAVQICKCM-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])cc1 491856592 GZTYWIXVJVVPNS-KRWDZBQOSA-N 422.428 4.593 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@H]1c1cccc(C(F)(F)F)c1 491998246 JNILMSGWQGTANO-DYVFJYSZSA-N 400.784 4.575 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)cc([N+](=O)[O-])c1 498985280 IJWPINMXMDPEBH-LBPRGKRZSA-N 424.375 4.624 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2ccc3c(c2)CCCO3)cc1[N+](=O)[O-])c1ccccn1 505961967 GEQXUPIIQMUPGF-OAHLLOKOSA-N 418.453 4.740 5 20 HJBD Cc1ncc(CN(C)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)s1 510446110 GUWJQUTVUMLIDM-UHFFFAOYSA-N 419.510 4.844 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 512994559 XGOOEQSIWYFVRH-BANKROOTSA-N 410.436 4.745 5 20 HJBD CC(C)(C)n1nc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1C1CC1 515598840 FONDJCDLTTYMQH-UHFFFAOYSA-N 411.487 4.800 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)NCc4ccccc4[N+](=O)[O-])CC3)c[nH]c12 515783451 HKSKECSCNRDPRI-UHFFFAOYSA-N 406.486 4.728 5 20 HJBD Cc1nc(COc2ccc(/C=C(\c3ccncc3)c3ccc([N+](=O)[O-])cc3)cc2)no1 516833822 IBWYXRMTWUCGLF-HMAPJEAMSA-N 414.421 4.849 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCOC3CCCC3)o2)c(Br)c1 518781048 LJBZBMUUKLDDCV-UHFFFAOYSA-N 409.280 4.666 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@H](C)C(=O)Nc1ccccc1 523349796 WDNOWUPPMXSMRL-CQSZACIVSA-N 411.483 4.689 5 20 HJBD CC(C)c1ccc(Sc2ccc(NC(=O)Cn3cc([N+](=O)[O-])ccc3=O)cc2)cc1 523677803 JWYKUKBGCSSCBP-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCc1cccc([N+](=O)[O-])c1 530715748 XCRPKJGILKAGQG-UHFFFAOYSA-N 401.875 4.595 5 20 HJBD Cc1ccc([C@H](C)NCc2ncc(-c3cccc(Br)c3)[nH]2)cc1[N+](=O)[O-] 531206052 BREQEVRYZNQKLV-ZDUSSCGKSA-N 415.291 4.907 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(OCCc4ccccc4)CC3)o2)c1 532978267 PSCFUWPLKQWDPO-UHFFFAOYSA-N 421.497 4.516 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCCN(c4ccccc4F)C3)cs2)c1 534976552 KFRVHDKOCLPWHC-MRXNPFEDSA-N 412.490 4.616 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](N2[C@@H](C)CC[C@H]2C)C1 537170817 ZICDBNNTFXWIIR-JZXOWHBKSA-N 405.564 4.573 5 20 HJBD Cc1nc(CCC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])oc1-c1ccccc1 537592974 ZVKOLNFRDBUZAL-UHFFFAOYSA-N 413.861 4.503 5 20 HJBD Cc1cc(Sc2nnc(COc3ccccc3)n2Cc2ccco2)ccc1[N+](=O)[O-] 538937297 QKVVXZCXBJCRQM-UHFFFAOYSA-N 422.466 4.866 5 20 HJBD CCCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(F)c1 544588222 IYKPPDSQDUDOAX-ZDUSSCGKSA-N 414.412 4.753 5 20 HJBD Cc1nc2cc(F)ccc2cc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 547265838 MCCYYKINFWAGDJ-UHFFFAOYSA-N 403.373 4.713 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3c(ccn3CCN(CC)CC)c2)c1 549010827 ZBLYGZRTRMLTGE-UHFFFAOYSA-N 424.501 4.542 5 20 HJBD Cc1cccc(CCCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 553067270 LARVMDFGDDUPNG-UHFFFAOYSA-N 407.495 4.812 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N(C1CCC1)C1CCC1 553857753 DKISKYPQJFXQTQ-UHFFFAOYSA-N 409.273 4.635 5 20 HJBD C[C@H]1c2nnc(C3CCCC3)n2CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 580801720 VKDSEZJLWNVUIY-HNNXBMFYSA-N 407.474 4.681 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccnc2OCc2ccccc2F)n1 581276509 OZOFQBDMQLFDAI-UHFFFAOYSA-N 406.373 4.733 5 20 HJBD CN1CCC(N(Cc2csc([N+](=O)[O-])c2)Cc2ccccc2Br)CC1 584274274 WQAQHPTZHFAECN-UHFFFAOYSA-N 424.364 4.515 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 588794453 JHSVQVJZZXKXMA-SNVBAGLBSA-N 401.772 4.541 5 20 HJBD CCOc1cc(NC(=O)c2ccc(OC3CCCC3)cc2)c([N+](=O)[O-])cc1OCC 603566279 PAFDUVSSYMFUGR-UHFFFAOYSA-N 414.458 4.966 5 20 HJBD O=C(NCc1ccco1)Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 603787735 GPINAYDJBOVBGX-UHFFFAOYSA-N 420.381 4.508 5 20 HJBD COc1ccc(Cl)c2c1N(C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 609065332 USWKRJGVXDZBND-LBPRGKRZSA-N 406.891 4.717 5 20 HJBD CCCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H](c1ccc(F)cc1)c1cccnc1 609522813 ODKBGKOYIVZANT-OAQYLSRUSA-N 411.408 4.910 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609853245 FXWFCBIRCRIYGR-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2c2ccc(C)o2)c([N+](=O)[O-])cc1OC(F)F 609984470 HLLZRKIGJVIIOB-CQSZACIVSA-N 424.400 4.864 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2ccccc2CN2CCOCC2)s1 610910244 AJZSEEOMPSZVTA-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCS[C@H](c3ccccc3)C2)cc1[N+](=O)[O-] 611072811 YCMFVJXLNPLNKZ-KRWDZBQOSA-N 421.316 4.596 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@@H](C)c3cnccc3C)cc2[N+](=O)[O-])n1 611300764 XTOZEQYYWLBQFU-ZDUSSCGKSA-N 414.512 4.705 5 20 HJBD CCn1c(SCC(=O)N(C2CCCC2)C2CC2)nc2cc3ccccc3cc2c1=O 613972778 CCAHPLCVAMGIRS-UHFFFAOYSA-N 421.566 4.595 5 20 HJBD CCOc1cc(NC(=O)CSCc2cccc(C)c2)c([N+](=O)[O-])cc1OCC 619213079 LOMNZABFHMCTPQ-UHFFFAOYSA-N 404.488 4.573 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 727052067 IXIUZQZHRGOEDZ-UHFFFAOYSA-N 424.356 4.879 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 734790647 XJQOUJOYXKBYKK-CRJGUEGBSA-N 420.490 4.696 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)N3CCc4c3cccc4[N+](=O)[O-])cs2)cc1 737585015 YMABPCKBDOIGKY-UHFFFAOYSA-N 407.495 4.974 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)O[C@@H]1CCCc2nc(-c3ccccc3)sc21 741195976 URDPKNUBZVFTRC-GOSISDBHSA-N 410.451 4.718 5 20 HJBD O=C(COC(=O)c1ccccc1Sc1ccccc1)Nc1ccccc1[N+](=O)[O-] 745403704 GVGIXMDTTNMJRD-UHFFFAOYSA-N 408.435 4.542 5 20 HJBD C/C(=C\C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 748171915 FRCFKELMZYVOBH-FMIVXFBMSA-N 422.359 4.817 5 20 HJBD CCCN(C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1cccnc1 750120356 GVMHQZSVKQSYJQ-INIZCTEOSA-N 405.458 4.615 5 20 HJBD O=C(Nc1ccc2ccccc2n1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750846010 SUYLHWMFJMFQQH-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C[C@@H](C)C1CCCCC1 751056973 HHYBUDQTWCIOHK-MRXNPFEDSA-N 414.506 4.988 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 759895737 NIHPKQORLWUWSX-UHFFFAOYSA-N 421.453 4.689 5 20 HJBD CC(C)[C@@H](NC(=O)OC(C)(C)C)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 762020775 ALZPMEHLHBDAFN-OAHLLOKOSA-N 402.407 4.613 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cs1 762899129 PHOIMXCVVCJUAZ-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD O=C(Oc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764150464 TWTHFDIHTMCFII-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD C[C@@H](OC(=O)CCCSc1ccc(Cl)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 766824551 KOTJMEBDYSDXKD-CYBMUJFWSA-N 422.890 4.691 5 20 HJBD COCc1ccc([C@H]2CCCN2c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])o1 767224372 WWJGLIXIRFWVRX-GFCCVEGCSA-N 415.324 4.603 5 20 HJBD C[C@@H]1Oc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc2NC1=O 770484986 MIUQCVCWICNEPV-NSHDSACASA-N 413.817 4.840 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(OC(F)F)cc1 774939898 PRNAABJLWCKQOP-WDEREUQCSA-N 413.808 4.527 5 20 HJBD CC(C)(C)c1cnc(COC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 779466525 RGDCKIJQQKVBFQ-UHFFFAOYSA-N 418.393 4.735 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCSCC2)cc1 779965238 VMDBAIIEYJSUFK-UHFFFAOYSA-N 417.556 4.902 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)O[C@H](C)c3ccccc3[N+](=O)[O-])ccc12 783363022 PPINHOIBGZZUDZ-OAHLLOKOSA-N 402.410 4.555 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)c1F 790168151 CIQSAKMSLPHXSF-UHFFFAOYSA-N 423.448 4.670 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(N2CCCCC2=O)cc1 810126261 DVXAFWDNJSBTOS-KRWDZBQOSA-N 411.502 4.750 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(C(F)(F)F)cc2[N+](=O)[O-])N1CC(F)(F)F 877845583 UWWKNJXPMCTPCO-AWEZNQCLSA-N 419.281 4.742 5 20 HJBD COc1ccc(CSCC(=O)Oc2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 914714214 XLVUQMZOCXUOTR-UHFFFAOYSA-N 402.255 4.749 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cn(Cc2ccccc2)nc1-c1ccccc1 916667148 WRSCZJGAIWCYMZ-UHFFFAOYSA-N 416.412 4.898 5 20 HJBD CCCN(Cc1nc(O)c2sccc2n1)Cc1cc([N+](=O)[O-])ccc1OC(C)C 1116344675 XZIBESSZSWIMGR-UHFFFAOYSA-N 416.503 4.505 5 20 HJBD O=C(C[C@@H]1CCCN1c1ncnc2c(Cl)cc([N+](=O)[O-])cc12)c1ccc(F)cc1 1117546898 BJUKGGIVOFICEJ-AWEZNQCLSA-N 414.824 4.572 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319234264 HPPDWSLKCDJDAV-QHCPKHFHSA-N 401.466 4.994 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2nc3ccccc3o2)CC1 1321570287 MUKFUPHZHXJFFV-UHFFFAOYSA-N 423.472 4.842 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NC3C[C@@H]4CCC[C@H](C3)N4Cc3ccccc3)c2c1 1324072604 NINLQNQRYZFJHC-YQQQUEKLSA-N 403.486 4.536 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H]1c1nnc(-c2ccc3ccccc3c2)o1 1341599360 OYIUOLXSTZCGGL-MRXNPFEDSA-N 420.450 4.837 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c2sc(C(=O)Nc3cccc([N+](=O)[O-])c3)cc12 7942994 FGJDLUMCLUOBPL-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccc(N3CCCC3=O)cc2)c([N+](=O)[O-])c1 15118006 HNDTTZZDYRBRMG-UHFFFAOYSA-N 415.449 4.565 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)ccc2F)cc1)c1cccc([N+](=O)[O-])c1 15603410 HVOSJVVLKCHKMP-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1c(-c2ccccc2)nc2ccccn12 22588074 FJEHBSICDAFNLX-UHFFFAOYSA-N 404.451 4.640 5 20 HJBD O=C(/N=c1/[nH]c(CN2CCCCC2)cs1)c1cc2ccccc2c2cccnc12 42348804 BXTOOUXLSAGTLO-UHFFFAOYSA-N 402.523 4.505 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1)c1ccoc1 44583872 BAAIVFAWGIOASX-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD COc1cc(C(=O)Nc2ccccc2N2CCc3ccccc32)c([N+](=O)[O-])cc1OC 47448060 VOVCOBAKBZRETF-UHFFFAOYSA-N 419.437 4.559 5 20 HJBD CCOc1ccccc1-c1nnc(SCCCOc2ccc([N+](=O)[O-])cc2)o1 54914834 KTFJQPVKUTWIME-UHFFFAOYSA-N 401.444 4.605 5 20 HJBD COc1ccc(-c2csc3nc(-c4cc([N+](=O)[O-])ccc4C)[nH]c(=O)c23)cc1OC 60479385 WFPWOCROSLECKR-UHFFFAOYSA-N 423.450 4.552 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@H]1c1cccc(Br)c1 65576198 MCVZFLWKGVITBW-INIZCTEOSA-N 414.259 4.816 5 20 HJBD CCc1cc2c(Sc3nnc(-c4ccc([N+](=O)[O-])cc4)n3CC)ncnc2s1 301729770 WWNUQNKIKQQJKF-UHFFFAOYSA-N 412.500 4.592 5 20 HJBD COc1ccc(-c2nc(CSCCC(=O)Nc3ccccc3[N+](=O)[O-])co2)cc1 409844890 WBQRCLINQBWOLM-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)ccc1O 427180867 ZRYMCZQOKAUIPP-UHFFFAOYSA-N 418.515 4.719 5 20 HJBD COc1ccccc1[C@@H](Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-])c1ccccn1 436397740 QCTHMDVIDAWUCA-GOSISDBHSA-N 404.348 4.614 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2OCc2ccccc2)cc([N+](=O)[O-])c1OC 442418672 KANIRDLYQXCAJS-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC[C@@H]2CCCO2)c1 443867647 JVJRIVUMEASJAT-AWEZNQCLSA-N 424.375 4.732 5 20 HJBD Cc1ccc(F)c(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444443415 PBHIGLYZZYITJY-UHFFFAOYSA-N 410.395 4.679 5 20 HJBD CC[C@@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cc(F)cc(Br)c1 444690141 QXBIDFVKKWTARE-CQSZACIVSA-N 407.243 4.614 5 20 HJBD Cc1noc(-c2ccccc2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 460128624 GODNRKUZGAMMHU-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 462172938 ANGBLLAZBREATN-OXJNMPFZSA-N 416.861 4.728 5 20 HJBD Cc1nc(C(F)(F)F)sc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 463069235 IXBVRUVHBSAZQG-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3coc(-c4ccc(F)c(F)c4)n3)CC2)cc1 464784278 WLQFSSUSIINLCM-UHFFFAOYSA-N 415.396 4.571 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)co2)cc1 465192123 MVYZUWQGXYVTNX-UHFFFAOYSA-N 420.450 4.612 5 20 HJBD O=C(Nc1cnn(C(F)F)c1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 467438713 PVKDEQYWTOBLNX-UHFFFAOYSA-N 421.791 4.704 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)cc(F)c1Br)c1ccc([N+](=O)[O-])cc1F 468273619 APQBHMFJMUKRLX-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD Cc1nc2cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)ccc2n1Cc1ccsc1 470698758 IAIKWQNKECTHIP-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD CCCOc1cc(F)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 471258349 HQLBKTJARBFIIZ-UHFFFAOYSA-N 416.327 4.716 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 472492951 CSLFWQUQGBNDLA-BETUJISGSA-N 419.428 4.616 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 474880862 GOPWCRNBBJTHGY-CHWSQXEVSA-N 413.861 4.766 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1sc(Br)cc1[N+](=O)[O-] 478296474 XDDHZGBWSLGMKV-PWSUYJOCSA-N 413.268 4.624 5 20 HJBD O=C(C[C@H]1CCc2ccccc2C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 480137855 WKANMBCGMKUQIP-HNNXBMFYSA-N 406.404 4.681 5 20 HJBD CC1(C)CN(C(=O)c2sc(Br)cc2[N+](=O)[O-])C[C@@H]1c1ccccc1 486305198 QMBFSTRGTPPMJQ-GFCCVEGCSA-N 409.305 4.685 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 486450372 WSIFQWDPSVSZDE-UHFFFAOYSA-N 407.451 4.957 5 20 HJBD Cc1c(C(=O)N(c2ccsc2)C2CCCCC2)nnn1-c1cccc([N+](=O)[O-])c1 487246001 GQEIJHMGDMZCHG-UHFFFAOYSA-N 411.487 4.525 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 487482597 STILACPSJJRWOC-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)Cn1cc([N+](=O)[O-])c(=O)c2ccccc21 487882156 AYQGBONOVCWUKS-UHFFFAOYSA-N 407.470 4.795 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1 490194428 VRJKNDJLWIUXNX-UHFFFAOYSA-N 410.257 4.821 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1c(Cl)cccc1[N+](=O)[O-] 494825399 RYJSRLGUBOWYBF-JWTNVVGKSA-N 413.905 4.516 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)Cc2ccccc2OC)c([N+](=O)[O-])cc1OCC 495882228 CVUHTWJMICUADU-UHFFFAOYSA-N 416.474 4.608 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Oc2ccncc2)cc1 497323883 YQPFUMKRYNRXJN-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])c2cccnc12 498137370 ZALHVILNXPWMQI-UHFFFAOYSA-N 423.494 4.808 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c(C)c1 498991476 VAJRMMMFCAWSMG-HUUCEWRRSA-N 415.515 4.610 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 499484304 IKPMITJNXDAMDR-UHFFFAOYSA-N 416.496 4.775 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cnc(C)s2)cc1[N+](=O)[O-] 500910804 ICKNGHWSBJUJLX-UHFFFAOYSA-N 413.480 4.565 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@@H](Oc2ccccc2Cl)C1 502245116 AFXCTCJNXJRHSI-CQSZACIVSA-N 402.859 4.756 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC4(CCCCC4)C3)cc2[N+](=O)[O-])n1 503236813 VWNARQRIIAOPFI-UHFFFAOYSA-N 403.529 4.917 5 20 HJBD COc1ccc([C@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 506257189 BRZBUQKFPHFYDQ-OAQYLSRUSA-N 402.372 4.900 5 20 HJBD CCOc1ccc(-n2c(Sc3ccc([N+](=O)[O-])cc3)nnc2-c2cccnc2)cc1 514883222 JBKGYYHXJPTXDU-UHFFFAOYSA-N 419.466 4.787 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)N3CCCCCC3)cc2)cc1[N+](=O)[O-] 515692090 RPDNOPJXVSBVDJ-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1N1CCc2c(cccc2NC(=O)Nc2ccc(F)cc2)C1 518296291 LUFGIZSJRYEUTQ-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 521734269 MKANSDUXTRRLOL-JOCHJYFZSA-N 402.454 4.785 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Cl)c(C(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 523737708 JWUWZNJGPYXDPC-UHFFFAOYSA-N 424.844 4.795 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1SCC(F)F 525548704 CXONCNJZYHSPHA-UHFFFAOYSA-N 409.336 4.562 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1CO 535675536 KUUVLKWJFOTYSZ-UHFFFAOYSA-N 424.478 4.889 5 20 HJBD Cc1c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cccc1C(=O)N1CCCC1 536104239 XQEHBSNAJUBXOA-UHFFFAOYSA-N 422.510 4.875 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@H]1CCCC[C@H]1C 536302567 PDFUMILPZATPLY-GLJUWKHASA-N 403.504 4.566 5 20 HJBD C[C@H](NC[C@H]1CCN(c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1 536879162 WDPXGQGGIIXDPF-LSDHHAIUSA-N 404.308 4.534 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc1[N+](=O)[O-] 537176845 AMDSCKUJDZSULS-LLVKDONJSA-N 415.799 4.865 5 20 HJBD C[C@H](NCC(=O)Nc1cccc(CSc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 539281944 UZYOQBUDDDAQOC-INIZCTEOSA-N 422.510 4.571 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 539298731 LPQVWIKDQGVTRR-UHFFFAOYSA-N 418.515 4.823 5 20 HJBD C[C@@H](C(=O)Nc1nnc(SCc2ccccc2)s1)c1ccc([N+](=O)[O-])cc1F 543442651 LDEOSCSJCPXJFW-LLVKDONJSA-N 418.475 4.620 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc(C3CCCCC3)nc2C)c(C)c([N+](=O)[O-])c1 562334996 XMUXIQUDATXKMF-UHFFFAOYSA-N 417.487 4.755 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)C1C[C@@H]2CC[C@H](C1)N2C 563642271 MKBUVHZOHSGAEW-JJTKIYQPSA-N 417.575 4.574 5 20 HJBD Cc1cc(CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)c2[nH]c(C)c(C)c2c1 564478123 GBIILZOWNHDQGK-QFIPXVFZSA-N 403.486 4.614 5 20 HJBD COc1cc(CN(C)Cc2ccc(O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 566529369 JHFLWZXVFNNNBW-UHFFFAOYSA-N 408.454 4.520 5 20 HJBD COc1ccccc1C1(c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)CC1 575941362 WQMGACPJOALCLB-UHFFFAOYSA-N 420.450 4.857 5 20 HJBD CCOCC(C)(C)CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 589054537 NDTVKKZFNDHYJF-LJQANCHMSA-N 419.909 4.580 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)n2)cc1 601909218 SZLMVHLHEDXYQI-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(Cl)c3cccnc23)cc1[N+](=O)[O-] 603566839 NKBXNUFSGONKNG-UHFFFAOYSA-N 417.874 4.677 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603737259 GBROVFYJXGADKI-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD O=C(NCC1(c2ccccc2)CCCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603877041 XWZSGQMZNUUTBU-UHFFFAOYSA-N 421.541 4.830 5 20 HJBD CN(Cc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 603943347 PBBVFNKFONQVMG-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD Cc1ccc(CN(CCc2ccccc2)C(=O)NCc2cccc([N+](=O)[O-])c2)s1 604476247 GOHRPIJVRFIGTM-UHFFFAOYSA-N 409.511 4.919 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccccc2C(F)(F)F)cs1 609789415 SYUDYVCNSIBJRL-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccn(-c3ncccc3F)n2)ccc1Oc1ccc(F)cc1 611146367 CBRNSGSTOVKXOJ-UHFFFAOYSA-N 423.379 4.858 5 20 HJBD COc1ccc(CN[C@H](C)c2cnccc2C)cc1OCc1ccc([N+](=O)[O-])cc1 611293151 RWJUECOMGHKEOM-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOc2c(OC)cccc21 611469071 VZZMPULOTFJMIC-KRWDZBQOSA-N 416.499 4.749 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)c1)c1cccc(F)c1 611700105 CMZVXYGRIFUHDE-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cn1c(C(=O)NC[C@@H](c2ccccc2Cl)c2c[nH]c3ccccc23)ccc1[N+](=O)[O-] 612566752 IVKWHWNLQXIBSN-INIZCTEOSA-N 422.872 4.630 5 20 HJBD CCCCN([C@H](C)c1ccc(C)o1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828794 UEVHBYYSUASFPC-CYBMUJFWSA-N 400.884 4.702 5 20 HJBD Cc1ccc(F)c(C(=O)O[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 727214167 GYIJKEXJIBVESF-OAQYLSRUSA-N 422.412 4.888 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 727390211 YGVHMVKTCDHTFH-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD O=C(Cc1c[nH]c2cc(F)ccc12)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728165349 HMJHENJVEILMCZ-UHFFFAOYSA-N 401.825 4.854 5 20 HJBD CC[C@H](CC(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 729015699 CIJXWERYICXIMB-CYBMUJFWSA-N 424.375 4.679 5 20 HJBD CSc1cccc(N(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C2CCN(C)CC2)c1 732739774 JMBRUQOMHLWBNR-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccccc1C(F)(F)F 745309057 QMMORENWPBJJGU-LLVKDONJSA-N 421.331 4.511 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 747519205 ZMQRLJWAXSXDIW-VQISRLSMSA-N 423.252 4.576 5 20 HJBD O=C(NCCc1cc2ccccc2o1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749435119 GGCNLEYXDYBUDV-UHFFFAOYSA-N 423.650 4.729 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(C)c1 751645409 XXTMBDOHAAVVSI-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD O=C(CCOc1ccccc1-c1ccccc1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751647192 LBYUHFXPKYPJLD-UHFFFAOYSA-N 418.405 4.915 5 20 HJBD C[C@H](SCc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)c(F)c(F)c(F)c1F 753944343 XSTPYFGUXLBDIS-ZETCQYMHSA-N 406.332 4.551 5 20 HJBD Cc1c(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cccc1-c1ccccn1 755092887 NGOVCKCRMXDOLZ-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])c2C)c1 756225773 NDBAQJSCGDXYGV-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2c(C)nn(CC(C)C)c2Cl)cc([N+](=O)[O-])c1 760027080 IBTSNZADMGSYJM-KRWDZBQOSA-N 404.898 4.695 5 20 HJBD CCCCCCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)c1ccc(Br)cc1 763647121 KGFRFLGMPLAROU-UHFFFAOYSA-N 423.311 4.626 5 20 HJBD COc1cccc(CN(Cc2ccc(Cl)c([N+](=O)[O-])c2)C2CCC(O)CC2)c1 768541876 MHBAMPGYYPWJEZ-UHFFFAOYSA-N 404.894 4.563 5 20 HJBD CC(C)(C)OCc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)no1 769912408 TWCOFIJJZQYORK-UHFFFAOYSA-N 407.854 4.608 5 20 HJBD CN(c1ccccc1)c1ccc(CN2CCC(C(=O)C(F)(F)F)CC2)cc1[N+](=O)[O-] 776007225 ASGGGUHQDVZZQS-UHFFFAOYSA-N 421.419 4.706 5 20 HJBD COCc1c(Br)cccc1NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 777652115 IIZGXGDRZHCMEO-UHFFFAOYSA-N 420.307 4.585 5 20 HJBD Cc1cc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)ccc1N1CCSCC1 777663960 ILSOJBGMLCATEO-UHFFFAOYSA-N 412.559 4.537 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1 777992656 ISCMUSMPYQFZRE-UHFFFAOYSA-N 414.412 4.694 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccc([N+](=O)[O-])cc1Cl 782487158 XVQJQVCRPPRMPK-MQVJKMGUSA-N 409.914 4.658 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Oc3cccc(F)c3F)nc2)c1F 791034125 FWFOQXWAWSINCF-UHFFFAOYSA-N 403.316 4.760 5 20 HJBD Cc1nc2cc(C(=O)Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)ccc2o1 791458444 WYZGSSKCUJLMAS-UHFFFAOYSA-N 417.377 4.516 5 20 HJBD C[C@@H](Nc1ccccc1NS(=O)(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccccc1 799929496 YQMSDYITXZDRFF-CQSZACIVSA-N 415.446 4.708 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1cc([N+](=O)[O-])ccc1Cl 803227102 GAWJUZLLZFZNJT-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1ccccc1Cl)CC1CC1 804326251 NEJRAQKISCYLDK-UHFFFAOYSA-N 417.849 4.528 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])nc1 810669115 LWRCKVJCRLCYAP-QGZVFWFLSA-N 421.291 4.921 5 20 HJBD C[C@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@@H](O)c1ccccc1 811540060 SUVBHJZVLASFDG-YCRPNKLZSA-N 408.479 4.598 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 811887422 BRAIWHXOHFRZSI-DLBZAZTESA-N 424.501 4.542 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NCc2ccc([N+](=O)[O-])cc2Cl)CC12CCC2 813785300 MPTTVRXFVBIVCH-HNNXBMFYSA-N 409.914 4.660 5 20 HJBD Cc1cccc2sc(C(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3F)c(Cl)c12 875312719 XYWVJKYOQJHFTD-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD COc1ccccc1OCc1nnc(-c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)o1 1257686863 KNUXGZBQJALKHT-UHFFFAOYSA-N 418.409 4.976 5 20 HJBD COc1cc(-c2nnc(CSc3ccc(C)cc3)o2)c([N+](=O)[O-])cc1OC(F)F 1328364078 HCJBWYZOMIFTJO-UHFFFAOYSA-N 423.397 4.856 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2cccc3ccccc23)c1 16196604 TWYZLLOSUCBKKD-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD Cc1ccc(NC(=O)c2cnn(-c3cccc(C(F)(F)F)c3)c2C)cc1[N+](=O)[O-] 24502759 XHDDYEBGJDHDRU-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C[C@@H](OC(=O)COc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 27093093 DXWRISQJHJSDGO-SNVBAGLBSA-N 414.639 4.694 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-] 55595274 XNERQGDGCTWLCX-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1c[nH]c2cc([N+](=O)[O-])ccc12 56274491 QFWRXAFFZGPKBX-AWEZNQCLSA-N 415.833 4.540 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c(C(F)(F)F)c1 72081419 USLIECMLDURPIB-UHFFFAOYSA-N 416.783 4.984 5 20 HJBD COc1cc(CNCc2ccc(F)c(C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 96996358 IGSJTRNSWWASIT-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD COc1ccc(SCC(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 105353525 RAQCMDKHFNXBNA-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD CCNC(=O)c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 236984836 SXPGDWHHTOMBDB-UHFFFAOYSA-N 413.861 4.548 5 20 HJBD COc1ccc(-c2ccc(CNCc3cccc(OC(F)F)c3)o2)c([N+](=O)[O-])c1 237434274 LMAUMEMLMHTMHR-UHFFFAOYSA-N 404.369 4.755 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1nc(-c2cccc(F)c2)no1 237581529 IIPYOSHHFGEAFY-ZDUSSCGKSA-N 408.389 4.895 5 20 HJBD O=Cc1ccc([N+](=O)[O-])c(Oc2nn3cc(-c4ccc(Cl)cc4)nc3s2)c1 302511355 OAMDKWQYZOLULR-UHFFFAOYSA-N 400.803 4.624 5 20 HJBD CN(C)C[C@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 303196527 GPKGVXNKYLGVEI-QGZVFWFLSA-N 417.893 4.597 5 20 HJBD CCCCOc1ccccc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 409860857 UYMDOMZGFPQFMO-UHFFFAOYSA-N 422.485 4.888 5 20 HJBD CCOc1ccc([C@H](NC(=O)NCc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 410147979 OBGIVXGWFBIYNS-JOCHJYFZSA-N 405.454 4.582 5 20 HJBD COCc1cccc2sc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)nc12 426088299 YAOQOHSZQUZFEX-UHFFFAOYSA-N 412.471 4.547 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)N1CCc2cc([N+](=O)[O-])ccc21 427417676 WIJPYSVPTFXWJE-LBPRGKRZSA-N 413.886 4.866 5 20 HJBD CC(=O)N1CCCc2cc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc21 432638836 PULDHMPSXNETAD-UHFFFAOYSA-N 408.483 4.630 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCCCC[C@H]1c1ccncc1 432645647 WJKIIPDCTRRSPC-KRWDZBQOSA-N 404.264 4.510 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccccc2CC(F)(F)F)c([N+](=O)[O-])c1 438624016 FIKANOZRICMJFG-UHFFFAOYSA-N 410.396 4.632 5 20 HJBD Cc1ccc([C@H](C)CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 444096012 NPGHUCGSYYPKJS-CQSZACIVSA-N 406.432 4.801 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)c1C 462762472 BQLLAVPPGVNVMW-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD O=C(c1cc(-c2ccccc2C(F)(F)F)on1)N1CCCc2ccc([N+](=O)[O-])cc21 463771772 PXFWBYURLCVLDV-UHFFFAOYSA-N 417.343 4.862 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2cc(Cl)ccc2O1 464671174 SGUMMXIVUAKHCM-LLVKDONJSA-N 415.858 4.799 5 20 HJBD Cn1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2cccc(Cl)c21 466287988 PVKQJOVESNMVSL-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ncon1 468146388 NZLCNKAYQGLSCG-QGZVFWFLSA-N 416.821 4.551 5 20 HJBD CC[C@H](Cc1ccccc1)N(CC)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 469711280 ZEEFWOJDRVTCJZ-MRXNPFEDSA-N 402.516 4.809 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 470040135 ZTCWSWQTFQKGBV-SFHVURJKSA-N 415.490 4.589 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 470270562 KWNWHCHKWJLXBI-ICSRJNTNSA-N 423.494 4.677 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2ccc(F)cc2)s1 470833664 UMSHHNMSNZPZJB-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC[C@@H]1Cn1c(C)nc2ccccc21 475649133 JUFPYSWUGUIGIW-QGZVFWFLSA-N 407.474 4.566 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cc2cccc(F)c2o1 475800225 XOPRSNIOLHVCAP-HNNXBMFYSA-N 414.436 4.917 5 20 HJBD O=C(NCc1ccc(F)cn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 478605061 YRGRVMWZXSBURU-UHFFFAOYSA-N 417.849 4.864 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC(c2ccc(Cl)cc2)CC1 479848224 MPOIQBSAPYFBJE-UHFFFAOYSA-N 411.845 4.522 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1cc(OC)ccc1[N+](=O)[O-] 484883439 QVOMWIGZFRMDGE-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cnn1-c1ccccc1C 485324527 WNNHCWHPPIGWIV-UHFFFAOYSA-N 419.485 4.848 5 20 HJBD CSc1cccc(C(=O)Nc2ncc(Cc3ccccc3F)s2)c1[N+](=O)[O-] 485762274 HQVAQIAHWOCRKC-UHFFFAOYSA-N 403.460 4.755 5 20 HJBD O=C(Nc1cccc(-n2cccn2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486383718 VGFWECYGPFEVDO-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 490941415 UXFAQBWNQSQQIK-INIZCTEOSA-N 407.392 4.635 5 20 HJBD Cc1cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c(Br)s1 498153712 QZEHLDVVEJCHSP-OAHLLOKOSA-N 413.318 4.615 5 20 HJBD CC(C)c1ccc(-c2csc(NC(=O)c3c([N+](=O)[O-])cnn3C)n2)c(C(C)C)c1 501201754 GITWMGKUCQAXQM-UHFFFAOYSA-N 413.503 4.951 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCOc1ccccc1Br 502000697 VGKUZCCTSIVNPZ-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD CCN(Cc1ccncc1)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 502755660 JWAXIDNHBAHVNB-NRFANRHFSA-N 424.888 4.845 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)N[C@H](c1ccc(F)cc1)C1CCCC1 504509747 OTLWICLBTUZFIQ-QHCPKHFHSA-N 422.460 4.645 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 509948402 IPWAELRNSJHCSN-KRWDZBQOSA-N 422.510 4.719 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)NCc3nc4ccccc4s3)cc2[N+](=O)[O-])C1 512504265 ORQQBAUEJURAID-GJZGRUSLSA-N 424.526 4.617 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)c1C 521773352 SVSLWALLXYRFFI-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 523339676 QQGOPRGFTSBPBN-UHFFFAOYSA-N 421.222 4.905 5 20 HJBD O=C(Cc1csc(-c2cccs2)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 525105244 BGLOWRZKTYGIHX-GOSISDBHSA-N 417.537 4.597 5 20 HJBD COc1cc(C)ccc1CNCc1cc(OC)c(OCc2ccccc2)cc1[N+](=O)[O-] 531811223 TWGLKOHNHTZWOZ-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD CCS[C@H](C)c1noc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 536301468 BLLJXMKQPFWDBC-LLVKDONJSA-N 406.489 4.880 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1ccc(F)c(F)c1 536302707 PFMVJGLLFGGBPH-LLVKDONJSA-N 419.409 4.788 5 20 HJBD C[C@@H]1C[C@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536403220 TYLFVCYHBYKXLL-CHWSQXEVSA-N 409.280 4.522 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(NC(=O)c2cccs2)c1 537966251 AWDDSTKPDXAVIG-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 539036641 WVRVPDDOOVJFLS-UZLBHIALSA-N 400.500 4.679 5 20 HJBD COc1cc([C@@H](C)NCc2cccc([N+](=O)[O-])c2C)ccc1OCc1ccncc1 540354265 LUIRXNSBQLFMQM-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCc1ccc([C@H](NCc2cccnc2OCC(F)F)c2ccc([N+](=O)[O-])cc2)o1 541564626 AHKDAYGKBIOTQF-HXUWFJFHSA-N 417.412 4.668 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cnc4ccccc4c3)no2)c2ccccc12 544814880 KJLFYRXXVPZWJP-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD CCOc1cc(Cc2noc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1OCC(F)(F)F 547080327 IDZLBRHDTYJJED-UHFFFAOYSA-N 423.347 4.575 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cccc(C(F)(F)F)n2)no1 547168310 YUAFPQWZVLLJHN-MRVPVSSYSA-N 414.727 4.852 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 562843727 UYAHHWNUCGVEEC-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 567577893 LEURLWIMDNHXHX-HZPDHXFCSA-N 400.475 4.619 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1OC(F)F 571336008 GZEGKJQHNZBRAZ-VIFPVBQESA-N 404.291 4.706 5 20 HJBD Cc1ccc(Cl)c2sc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)nc12 572743652 HJRJDTGVVUJTNH-UHFFFAOYSA-N 414.830 4.672 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OCC 603650932 OEZRSFRPNDTDGX-SFHVURJKSA-N 413.474 4.934 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 604076363 XSWXRFLVLAHNAB-IRXDYDNUSA-N 420.469 4.690 5 20 HJBD COc1ccc(Sc2ccc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)cc2)cc1 609103659 QASBGUNNJKTNCT-UHFFFAOYSA-N 424.478 4.944 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C[C@@H](C)O1 609531496 QZQFLJUQDMGYDX-CJNGLKHVSA-N 404.850 4.680 5 20 HJBD COc1cccc2c1OCC[C@@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 609599119 ROSVUGRDGSBAGE-FQEVSTJZSA-N 419.481 4.985 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 609855360 YYHWZNDNAISAAT-CQSZACIVSA-N 423.856 4.992 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 609864470 MZTCVFUSUZSBDP-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD CCOc1cc(NC(=O)N[C@H](C)Cc2ccc(C)s2)c([N+](=O)[O-])cc1OCC 610014176 VXWZONDJMKMKEM-GFCCVEGCSA-N 407.492 4.515 5 20 HJBD CC(C)Sc1ccccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 610305592 NRJROWDVXVMGSU-UHFFFAOYSA-N 400.500 4.779 5 20 HJBD CN(CCOC(C)(C)C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610887812 CAVJBJVKGOMZDF-UHFFFAOYSA-N 406.866 4.928 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)n1 610964619 KCQBIBIKUYUXOS-MRXNPFEDSA-N 405.458 4.597 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)[C@@H](NCc1ccc(OCCOc3ccccc3)nc1)CCC2 618565269 MIDGPUGTKQXKPC-QHCPKHFHSA-N 419.481 4.615 5 20 HJBD O=C(c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)N1CCC(F)(F)CC1 619457495 RRDCOERNHCJTFZ-UHFFFAOYSA-N 409.820 4.732 5 20 HJBD CC[C@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 729016082 HLUXWYFKULFHDL-ZIAGYGMSSA-N 404.850 4.702 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)s1 733936382 IJXSHOZLILJSQA-SNVBAGLBSA-N 403.685 4.953 5 20 HJBD Cc1ccc(-c2cnc(CCC(=O)OCc3cc([N+](=O)[O-])ccc3Cl)o2)cc1 734780516 IECVWUOBNCJPBP-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 734803097 UKVJEMCXTKHFBO-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1ccc(Br)c([N+](=O)[O-])c1 735800899 QOBIWBMHKOOVFF-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1cccc([C@@H](C)C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 740347480 KGYRLKDUCXAFLU-CHWSQXEVSA-N 424.375 4.596 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCC1CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 740898795 YRDZPBJRYDOPRH-LLVKDONJSA-N 407.829 4.615 5 20 HJBD Cc1ccc(N(C)C(C)C)c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 745122199 SBLHXWZSBNKEAU-OAHLLOKOSA-N 424.457 4.716 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cc([N+](=O)[O-])ccc1Br 750868744 LDJLAKYDCBDKBD-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 752140181 ZMIWOBMAIJMDCM-QGZVFWFLSA-N 417.465 4.695 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 753214943 MLFYWTAARQRASV-ZDUSSCGKSA-N 403.360 4.725 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)c1cccc([N+](=O)[O-])c1Br 753821583 YQWUOAMPLUTHKI-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD COc1ccccc1-c1nc(COC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cs1 755059729 DDBONSQADFKEKZ-LBPRGKRZSA-N 416.430 4.713 5 20 HJBD C[C@H](C(=O)OCc1coc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cc1F 755069736 XIODGMFDSXZWEM-NSHDSACASA-N 404.781 4.889 5 20 HJBD Cc1csc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2nc(C)cs2)n1 756344034 UVDMEBAHAAJVOM-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)sc1Br 759129116 ZHDCIIRGGALGCW-UHFFFAOYSA-N 405.657 4.642 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1C1CC1 760854973 RWUZXDFCDMOXMG-SNVBAGLBSA-N 407.268 4.655 5 20 HJBD Cc1cc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)ccc1[N+](=O)[O-] 762297374 HDJLDOCUQAUAGC-LLVKDONJSA-N 418.793 4.579 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc2cc(C(F)(F)F)ccc2n1C)c1cccc([N+](=O)[O-])c1 763195068 KTCBUJHHMWYLDI-NWDGAFQWSA-N 421.375 4.908 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(-c2ccncc2)cc1 766384652 QIFNJMVWPDSPFP-SFHVURJKSA-N 402.498 4.976 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCc2[nH]c3ccc(Cl)cc3c2C1 770021970 ZCZOMPHWJQNBKU-UHFFFAOYSA-N 405.241 4.973 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(C3CC3)nc3onc(C(C)C)c13)CC2 773992915 JCMVZXOUCYGDAX-UHFFFAOYSA-N 406.442 4.643 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2ccc(F)cc2c1 776139119 NLUCCTFBFCEWJT-OAQYLSRUSA-N 403.369 4.624 5 20 HJBD CC(=O)c1ccc2nc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)sc2c1 788497323 CEJXVJXBANCBHF-NSHDSACASA-N 401.469 4.526 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc([C@@H](C)NC(=O)OC(C)(C)C)cc2)c1F 790797773 XNSKCLGKJIGAEA-CYBMUJFWSA-N 417.437 4.880 5 20 HJBD CCc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cnn1C(CC)CC 792543582 MKALWQLXCJRXPX-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)s2)cc1 800147772 SMGUSZNYSNUKGG-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809951219 BRCJFJPKMRNOLJ-SSINHNECSA-N 401.315 4.832 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n(-c2ccccc2C)n1 812844283 CUYBRNBUOSOWKW-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cnn1-c1ccccc1F 813077192 VFVXCMCBNBEFMS-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)c1 815510952 PUGNIIUHKRGJSE-NSHDSACASA-N 421.331 4.890 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(Cl)ccc1OC[C@@H]1CCCCO1 864025638 SEYSQTSINUROTF-KRWDZBQOSA-N 406.866 4.817 5 20 HJBD Cc1ccc(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1Cl 903984198 ZYAMTYSSDMVTLL-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc(C)c1OCc1cccnc1 917699655 AFPDXJGFNTWQEQ-QGZVFWFLSA-N 405.454 4.677 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2cc([N+](=O)[O-])ccc2N2CCCC2)o1 1324242699 ZFCCPQRXOJRZOA-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc2c(s1)CCCCCC2 5302112 BEUKZOACYYLMMP-UHFFFAOYSA-N 413.455 4.722 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3ccccc3s2)C1 15696658 QAIGXZPEGKRJRS-OAHLLOKOSA-N 422.510 4.799 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)C23CC4CC(CC(C4)C2)C3)c1 16196736 DWDLGHDVUHUTAJ-PXRHCINRSA-N 403.500 4.648 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c2)oc1C 54346734 PRSGNYZOTDHKBZ-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD COCCCCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 58752604 QNZVOQAHRURHHV-UHFFFAOYSA-N 408.907 4.946 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1 60297092 XZDFXAHLPGVUCN-UHFFFAOYSA-N 419.466 4.593 5 20 HJBD Cc1cc(C)c(NC(=O)CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c(C)c1 237917556 PLWBQSYHQAPSOV-UHFFFAOYSA-N 409.511 4.977 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])c3cnccc23)CC1)c1ccccc1 301094738 GMGUCJKYTUXBRD-IBGZPJMESA-N 418.497 4.740 5 20 HJBD Cc1cc([C@H](C)Nc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)c(C)s1 301557630 XDBAPDIHEUECDQ-NSHDSACASA-N 404.879 4.646 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])o2)n(Cc2ccccc2)n1 303116712 DKOZJMBNMZZMGD-UHFFFAOYSA-N 402.410 4.660 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2C)cn1 409749251 KKMAJGAVBAQLMJ-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD COc1cc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1OC 410098761 ZIYKPCMLVPYXRA-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1ccc([N+](=O)[O-])cc1Br 410415588 ADOUGLKFDBDTOJ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 429918183 DDYQRVNOXLWJGN-UHFFFAOYSA-N 420.263 4.594 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Nc1ccc(OCc2noc(C3CC3)n2)cc1 436389043 OJXQIVPWJTXVDJ-UHFFFAOYSA-N 421.335 4.592 5 20 HJBD O=C(Nc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)c1ccco1 437385936 DZAFQCSKBPJWKV-UHFFFAOYSA-N 420.425 4.637 5 20 HJBD Cc1c(CC(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cccc1[N+](=O)[O-] 438900203 LNFMHTDXBATGHT-UHFFFAOYSA-N 404.426 4.728 5 20 HJBD CCCc1c(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cnn1-c1cc(C)ccn1 439719870 DZOMLIJYUHJSSJ-UHFFFAOYSA-N 407.474 4.812 5 20 HJBD Cc1cn2c(n1)[C@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])CCC2 442629164 MYPFFOKXMLGRDJ-INIZCTEOSA-N 422.510 4.558 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443961869 KRWXULJUNGYSRM-UHFFFAOYSA-N 404.536 4.649 5 20 HJBD COc1cc(Oc2cccc(CNC(=O)Nc3ccccc3)c2)c([N+](=O)[O-])cc1OC 443972178 MIUUDZPYANTUPL-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@H]3C[C@H]3c3ccccc3C(F)(F)F)n2)c1 445579945 RLKRHODMDZRGPA-GJZGRUSLSA-N 419.359 4.867 5 20 HJBD CC(C)[C@@H](c1ccccc1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445778106 UBSNVDOELBEJKY-IBGZPJMESA-N 405.292 4.756 5 20 HJBD COc1cc(Cc2noc(-c3cc(SC)ccc3Cl)n2)c([N+](=O)[O-])c(OC)c1 445815428 KPDWGLYKJPCGPZ-UHFFFAOYSA-N 421.862 4.628 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@@H]2C)c1 446253148 OOZUGEZPNWWQMC-SGTLLEGYSA-N 406.891 4.572 5 20 HJBD COc1ccc([C@@H]2CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C2)cc1 447681472 ZTXOTGJBCPFQLR-GFCCVEGCSA-N 417.334 4.646 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cc1[N+](=O)[O-] 461300609 XUNDRJVBLFMNCG-QUCCMNQESA-N 418.559 4.907 5 20 HJBD CCCC[C@H](C)N(C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 461625023 TUMSCNMBHBVMIL-AWEZNQCLSA-N 415.456 4.867 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)[C@H]3CCCO3)c2)c(C(F)(F)F)cc1[N+](=O)[O-] 461773232 FTGZDZIGAPJFGP-GOSISDBHSA-N 423.391 4.652 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 462592662 KKSYVGABBTZYLU-SNVBAGLBSA-N 411.227 4.556 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 465318453 OJJOVHBXPCGETO-SECBINFHSA-N 419.450 4.602 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(Br)c3cccnc23)c(Cl)c1 466457264 RHIMTHCDUUBDNW-UHFFFAOYSA-N 406.667 4.849 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(NC(=O)N(C)C)c3C)o2)c([N+](=O)[O-])c1 475339072 XYBMTUWIOIFXTI-UHFFFAOYSA-N 424.457 4.877 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 475637780 LFYXYCJAQNGJRL-UHFFFAOYSA-N 416.909 4.651 5 20 HJBD Cc1coc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3I)cc12 480070637 PGQCUSKBHHBNLM-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cnc(O)c([N+](=O)[O-])c2)cc1Cl)c1ccccc1 484262984 QSIBOAAIBBICPU-GFCCVEGCSA-N 412.833 4.774 5 20 HJBD COCCCn1c(S[C@H](C)c2cc([N+](=O)[O-])ccc2C)nc2ccccc2c1=O 484541582 IMUOURZSMXEEGQ-OAHLLOKOSA-N 413.499 4.503 5 20 HJBD COc1cc(OC)cc(-c2cccc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)c1 485455337 KNLXGVQHTOLHJW-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])C(F)(F)F 486117495 FWMVIJAQCDETGD-SSDOTTSWSA-N 403.220 4.516 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccco1 487985094 CUTSNYYWHSKPIE-HNNXBMFYSA-N 407.426 4.548 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(CCC3CCCCC3)o2)cccc1[N+](=O)[O-] 488805375 DQWHAAIMMPFMII-AWEZNQCLSA-N 418.519 4.918 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(-c4ccc5c(c4)CCO5)cc3)cc2N1 489306073 QEBVVLLPWUFOFF-UHFFFAOYSA-N 415.449 4.694 5 20 HJBD COCC1(CNCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CCOCC1 491580840 GBVHDXWDBOKHHL-UHFFFAOYSA-N 416.543 4.587 5 20 HJBD O=C(NCC1CCC(F)(F)CC1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 501247443 RMDIKNKMDZDZSB-UHFFFAOYSA-N 404.413 4.729 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1 502131417 UHCUWWSRNRFYPE-AWEZNQCLSA-N 411.433 4.559 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccc(Br)cc2)o1 504513934 QBJWVWUWPQFNCZ-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@@H]1C[C@H]2CC[C@H]1O2 504526542 GCPVZWYQBUGICT-IIDMSEBBSA-N 421.375 4.863 5 20 HJBD Cc1ccccc1[C@@H]1CCN(C(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)C1 509691765 DQDQRZOEKLOBLZ-CQSZACIVSA-N 403.276 4.604 5 20 HJBD COc1ccc(C)cc1C1(CNc2ccc3ncccc3c2[N+](=O)[O-])CCOCC1 511713409 QEJZSYRXRFUEPB-UHFFFAOYSA-N 407.470 4.620 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1cc2cc([N+](=O)[O-])ccc2o1 514448857 ZJLYEWZPUWUUJO-UHFFFAOYSA-N 407.357 4.597 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCc1nc(Cc2cccc(Br)c2)no1 517554956 NJPIXGUQGCXUQZ-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 518969266 DDDYJCAYORZAOF-UHFFFAOYSA-N 415.405 4.680 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@H]2c2ccccc2)cc1[N+](=O)[O-] 519113124 BWGWXLLXVBHUFR-IBGZPJMESA-N 414.527 4.981 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1 532678461 JVKNPJXYPNVVSD-OAHLLOKOSA-N 424.478 4.999 5 20 HJBD Cn1cc(CNc2cccc(OCc3cccnc3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 535836579 GBRWWTCZKWGNOU-UHFFFAOYSA-N 415.453 4.581 5 20 HJBD CCc1ccc(C2=CCN(C(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])CC2)cc1 536780928 WDMQAOKHEQUUIS-UHFFFAOYSA-N 412.511 4.817 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCC(F)(F)F)cc1 542540571 XXFVSKLGUWQTTO-VXGBXAGGSA-N 414.355 4.656 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3F)CC2)cc1 544625198 BQJXVMKSKLSEBV-UHFFFAOYSA-N 401.438 4.933 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cc(OC3CCC3)ccn2)no1 545091064 BMXPDTJGNAQRFF-NSHDSACASA-N 416.821 4.765 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C\c3ccc(OCc4ccccn4)cc3)n2)cc1 545551576 ASQKGFQVKXNKNY-MLPAPPSSSA-N 400.394 4.789 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2cnn(C(C)C)c2c1 559499295 DEYMOVKRQLURHS-UHFFFAOYSA-N 417.263 4.849 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CC[C@@]2(CCN(Cc3ccccc3)C2)C1 560768624 MQFVTSGARXNOJD-HSZRJFAPSA-N 421.522 4.548 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1nnc(-c2ccc(Cl)cc2)o1 561106603 UVKTVZZFNZIQFI-UHFFFAOYSA-N 405.819 4.544 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccc(F)cc2Cl)CC2CC2)cc1[N+](=O)[O-] 561479396 BVCFJGUQRSZGPO-UHFFFAOYSA-N 421.856 4.518 5 20 HJBD O=C(NC1[C@H]2CC[C@H]1Cc1ccccc1C2)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 561693444 HZURZZWHMWGNOH-GJZGRUSLSA-N 404.388 4.537 5 20 HJBD COc1cc2c(cc1Cl)CN(C(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)CC2 566398038 LUJGCLMRMRFJIR-UHFFFAOYSA-N 418.880 4.542 5 20 HJBD CCOc1ccc(CNC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1Cl 568671614 GLPZGYDVYONTAR-UHFFFAOYSA-N 411.845 4.639 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 589083366 FQGNLTOXWDXKBU-OAHLLOKOSA-N 407.264 4.589 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1 603569801 XMLFVIPHUIYZOZ-UHFFFAOYSA-N 418.515 4.534 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603829826 SBKUWFLFBSFAQT-LBPRGKRZSA-N 409.389 4.866 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)cc2)n1 609488358 AWMDIHSJYZIYOA-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD CCC1(O)CCC(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 611333753 JBJYIBQWMOOQLY-UHFFFAOYSA-N 400.500 4.560 5 20 HJBD CC[C@H]1CN(C)CCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 619578215 CZSMBMFBMHQAFH-KRWDZBQOSA-N 417.893 4.597 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])c1 728769664 UEMSBCUDMXCITM-UHFFFAOYSA-N 404.850 4.730 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1)c1ccsc1 728886821 RRXACEAUJBGHNH-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)OCc2c(F)cccc2[N+](=O)[O-])cc1 728986672 ZBDGKTSICIHTRF-UHFFFAOYSA-N 400.431 4.760 5 20 HJBD Cc1nc(SCC(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 729365187 KKUQCFJYFYLAKC-LLVKDONJSA-N 417.512 4.921 5 20 HJBD C[C@@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@H]1CCCN(C(=O)OC(C)(C)C)C1 732568092 JKVFRBACRVRECZ-CABCVRRESA-N 400.479 4.591 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(-c4ccc(-n5cnc6ccccc65)nc4)no3)cc2c1 733736831 LRQUDUWMBUDRGN-UHFFFAOYSA-N 424.376 4.792 5 20 HJBD COc1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 741905539 BJZCHZQVSBKILS-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncc(C(C)(C)C)o1 747696190 WEQVEWRAZASVHN-NSHDSACASA-N 401.444 4.922 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 749436009 JXZWFDIPKGRYNS-QMMMGPOBSA-N 417.712 4.742 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4cccc(Cl)c4[N+](=O)[O-])CC3)c2c1 749928009 ZJHKJEICJGZNCA-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD C[C@H](NC(=O)c1ccoc1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761858261 OAYCODRTKRONKW-ZDUSSCGKSA-N 420.381 4.521 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3ccccn23)no1 771333087 PDDNJBZGCLKMDZ-JTQLQIEISA-N 401.835 4.799 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)N(C)C)cc1Cl 772141727 IHXYFZLDAFBQSO-UHFFFAOYSA-N 411.245 4.556 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1COc2ccc(Cl)cc2C1 776131175 CLMRGPILADSPKC-KKSFZXQISA-N 424.840 4.527 5 20 HJBD CC[C@H](Oc1ccccc1C)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776219236 FHAMKGRESVKTOU-RBBKRZOGSA-N 406.438 4.788 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778166293 NEUVLCOMIQIUDL-KBPBESRZSA-N 420.412 4.771 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790959314 GXQNZABBRGBRQJ-UHFFFAOYSA-N 407.612 4.884 5 20 HJBD O=C(Oc1cccc(Oc2cnccn2)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 797012589 NOUDFCFNSYRFBR-UHFFFAOYSA-N 420.406 4.520 5 20 HJBD CSc1cccc(C(=O)OCC(=O)c2cccc(Cl)c2Cl)c1[N+](=O)[O-] 801859027 SDBYCXNTDOFORT-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cccnc3C)cc2[N+](=O)[O-])n1 810888008 OCBMPPMICVDNBJ-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD CC(C)n1ncc2cc(C(=O)OCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cnc21 811071441 JMBZGLZGJNDVGX-UHFFFAOYSA-N 409.229 4.584 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNc1ccc(Cc2nnc3n2CCC3)cc1 811495465 LYKPIIOLXCJQBB-UHFFFAOYSA-N 418.284 4.642 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1ccnc(Br)c1 814752581 UJMGHIHEBTZUNI-UHFFFAOYSA-N 404.083 4.807 5 20 HJBD CCONC(=O)c1c2c(nc3ccccc13)/C(=C/c1cccc([N+](=O)[O-])c1)CCC2 831034703 LIMOGBGGWLHCGN-DTQAZKPQSA-N 403.438 4.701 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1ccc(OCc2c(C)noc2C)cc1 913416705 RFXJWTBBVBSYOC-UHFFFAOYSA-N 409.442 4.577 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4[nH]3)cs2)cc1 917326914 AJNVMIBZEILUFM-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)CC1 918369445 PWHARMURPAINCC-UHFFFAOYSA-N 422.441 4.621 5 20 HJBD CCCN(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)[C@@H](C)c1cc2ccccc2o1 919765476 CHIXHETXTWJOPS-HNNXBMFYSA-N 406.442 4.691 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318870193 WZYHQCGJKNVISI-UHFFFAOYSA-N 421.400 4.698 5 20 HJBD C[C@@H](COc1ccccc1F)NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 1324071928 QQBJAZBBTDUAFM-INIZCTEOSA-N 423.444 4.543 5 20 HJBD CCOc1ccc(-c2nnc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)cc1OC 1327795556 HNVQSGFYJIUBGF-UHFFFAOYSA-N 409.320 4.738 5 20 HJBD Cc1nc(-c2cccs2)sc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1328233893 ZPHBEVRZDLLICP-UHFFFAOYSA-N 400.441 4.717 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1-c1nc2ccccc2[nH]1 7725464 RBCCQSYIHFYPHO-UHFFFAOYSA-N 404.451 4.869 5 20 HJBD CC(=O)c1c(C)[nH]c(C(=O)COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)c1C 16458857 AZOSQAMCTBWXPP-UHFFFAOYSA-N 406.438 4.595 5 20 HJBD C[C@@H](Sc1nccn1Cc1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 23642584 WDRFFJUZUOQDQT-CYBMUJFWSA-N 416.890 4.612 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nc2ccc(C(F)(F)F)cc2[nH]1 42568527 XUULVJNVSANVMB-UHFFFAOYSA-N 410.377 4.529 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)cc1[N+](=O)[O-] 46534268 PBHSKILBEKVLRG-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD CCN(Cc1ccccc1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52934378 UQSOTJJZZVQNAN-QGZVFWFLSA-N 404.470 4.825 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1F 54778457 IMXXWUBZRMBTDD-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1cccs1)Cc1cccs1 57743572 ZAHQHRXYNUBYES-UHFFFAOYSA-N 424.507 4.746 5 20 HJBD COc1ccc(C(=O)Nc2cc(-c3ccccc3)nn2-c2ccccc2)cc1[N+](=O)[O-] 60525296 GFMKXUMHVAGMMR-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1csc2ccccc12 64724868 DXOUVPHHUIMNKG-GFCCVEGCSA-N 404.875 4.889 5 20 HJBD CCOc1ccc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])c(C(F)(F)F)c1 64849357 PFICTFGKSKHUDM-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD Cc1ccccc1-n1c(Sc2ccc(F)cc2[N+](=O)[O-])nnc1-c1cccnc1 301083733 KOYXAMJIDUALOE-UHFFFAOYSA-N 407.430 4.836 5 20 HJBD COc1cc(CN2CCS[C@H](C)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 426377438 CIATVJHUDFHGSH-MRXNPFEDSA-N 402.516 4.510 5 20 HJBD CCc1cnccc1[C@@H](C)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427086288 IZLZRWLCAKBUPC-MRXNPFEDSA-N 405.454 4.622 5 20 HJBD Cc1cc([C@@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)ccc1Br 431151098 NCLJMUMMOQFZSF-SFHVURJKSA-N 403.276 4.572 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 431333419 ONONYUYVUUWTHV-UHFFFAOYSA-N 423.513 4.692 5 20 HJBD C[C@@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 439630536 ATGJMIQKZSTJII-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1C 444237485 KUTQXOFLNRBHNU-UHFFFAOYSA-N 410.424 4.517 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1c(C)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 446025869 KNQCWEXTMGYOKZ-SFHVURJKSA-N 423.435 4.735 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1C[C@@H](O)Cc2ccccc21 447720878 TVVJEXVQHQJSQX-KRWDZBQOSA-N 424.840 4.604 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 462550710 IOLHKGSSOWVRRG-AWEZNQCLSA-N 414.458 4.801 5 20 HJBD CCN(CC)CCOc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 465063196 GAMTXIGHJMQWGM-UHFFFAOYSA-N 406.870 4.613 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)NC1(c2cccc(C(F)(F)F)c2)CCC1 465751527 LAPNUUJLPDFJKD-UHFFFAOYSA-N 407.392 4.535 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCCO1 466743139 LJKDBIMBUJPBNI-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1Br 466885623 VUXYFNXNCGQJCZ-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2cc(F)ccc2F)cs1 470732254 JVOXZGMUAGQNFU-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1cc2cccc(F)c2o1 475794146 FLFAQFNWGNOSGL-SECBINFHSA-N 407.195 4.734 5 20 HJBD CCN(C[C@@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)CC(F)(F)F 477280609 RNVAHFUXNAPSLL-INIZCTEOSA-N 415.459 4.734 5 20 HJBD C[C@@H]1CN(C(=O)Nc2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 493974613 CBIHRVWCDXWFAZ-PXAZEXFGSA-N 409.364 4.608 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC2(CCC2)[C@@H]1c1ccccc1 495464397 CQKOPQFMIBNQHE-SANMLTNESA-N 408.501 4.909 5 20 HJBD COc1ccc(CNC(=O)Nc2c(F)cccc2Oc2ccccc2)cc1[N+](=O)[O-] 504155879 NGEYOFHCZBLLKH-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD O=C(Nc1ccc(F)c(Cl)c1)C1CCN(c2ccc(Cl)cc2[N+](=O)[O-])CC1 505786574 CBNZMMWBLGUQQD-UHFFFAOYSA-N 412.248 4.896 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(CC1CCCCC1)c1ccccn1 507964981 IUBFVOQNFOJIID-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN2CCCC[C@H]2c2nc(-c3ccccc3)no2)c(F)c1 509685597 OPWOBPXKMGSPDK-SFHVURJKSA-N 400.385 4.650 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H]1CCc1ccccc1 510862360 UXKWUHSPCVFDAO-OAHLLOKOSA-N 405.401 4.825 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 512715125 BUBUGUUMMKZDQB-UHFFFAOYSA-N 404.401 4.767 5 20 HJBD CC[C@H](c1ccccc1)N(CC)C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 514487340 YYMRBKPPGKMISN-GOSISDBHSA-N 402.516 4.836 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3ccc([N+](=O)[O-])cc3)cc(-c3cccs3)nc21 515625735 CAESLSCKNBRFPV-UHFFFAOYSA-N 421.482 4.579 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2Cl)CCOCC1)Nc1cccc([N+](=O)[O-])c1 516231737 QQPBPPDPTHQNAZ-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)n(-c2ccccn2)n1 517838071 BPDFAGKSESURQE-UHFFFAOYSA-N 421.482 4.940 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3ccccc3Br)o2)cc1 519103599 MQPACHFZBONQLJ-UHFFFAOYSA-N 422.260 4.578 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C)cc1C 519883116 CZSFXKNJNVLEHE-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD CCCn1ccc2cc(NC(=O)c3cc(OC)c(OC(F)F)cc3[N+](=O)[O-])ccc21 523329371 QWJQSBGTRWAGLZ-UHFFFAOYSA-N 419.384 4.822 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)o2)cc1 525084321 PHGZFCOVFBGFBW-QFIPXVFZSA-N 422.462 4.945 5 20 HJBD CC(C)(C)Cc1nc(-c2cccc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c2)no1 525500284 WMXUQYFHIGUSFK-UHFFFAOYSA-N 420.469 4.826 5 20 HJBD O=C(c1ccnc(Oc2ccccc2)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525598965 QTDRHGBFHVKVOV-NRFANRHFSA-N 407.451 4.670 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCCCCc1nc(-c2cccnc2)cs1 535795249 LMPRXVWBNKGUQL-UHFFFAOYSA-N 424.526 4.791 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(C2CCCCC2)CC1 537156015 PGOADEBLKRXJJP-UHFFFAOYSA-N 405.564 4.622 5 20 HJBD C[C@H](N[C@H](CO)c1c(F)cccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 537983430 LNMGOHHXGDQJFD-BLLLJJGKSA-N 404.438 4.986 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cc(NC(=O)C(C)(C)C)ccc2C)c1 539530494 CAQBYIDMJNRCRB-UHFFFAOYSA-N 413.474 4.604 5 20 HJBD CC(=O)c1ccc(-c2ccc(-c3nc(CCNc4ccccc4[N+](=O)[O-])no3)o2)cc1 546377189 MBNWDZNRVFYNDR-UHFFFAOYSA-N 418.409 4.762 5 20 HJBD Cn1ccnc1[C@H](NCC(C)(C)c1ccc(F)cc1Cl)c1cccc([N+](=O)[O-])c1 552043672 XTBWFXDEMIABHP-LJQANCHMSA-N 416.884 4.778 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)CCC(=O)c1cc(Cl)sc1Cl 552609900 WQTUKNCIGHHWGS-UHFFFAOYSA-N 415.298 4.627 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1)[C@@H]1C[C@@H]1C 565562697 XZOMOXFPZMTCIB-APPDUMDISA-N 421.375 4.627 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Nc3cccc(C[S@](C)=O)c3)c([N+](=O)[O-])c2)cc1 580564146 XOTNOHITIFSYBC-PMERELPUSA-N 423.494 4.778 5 20 HJBD COc1c(C(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)cccc1[N+](=O)[O-] 581344867 JVEHOQOYZPPSLF-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3cccc(OC(F)(F)F)c3)s2)c1 582054341 PYBNQIWXMHBPTB-UHFFFAOYSA-N 424.360 4.573 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2cnccc12 602373207 MFYWGVTXYANMDY-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)CCOc1ccccc1[N+](=O)[O-] 603956799 DHXKYBPNYGLKJN-UHFFFAOYSA-N 421.291 4.563 5 20 HJBD CC(C)(C)c1ccc([C@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)C(F)(F)F)cc1 604257402 HLVICRUCQULXCF-KRWDZBQOSA-N 424.375 4.654 5 20 HJBD C[C@H](NC(=O)NC(C)(C)Cc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 608999711 VSIZYHZDZCVWQC-ZDUSSCGKSA-N 420.307 4.739 5 20 HJBD Cc1cc(C)n(-c2ccc(-c3noc([C@H](C)Sc4ccc([N+](=O)[O-])cc4)n3)cn2)n1 609475799 YGNWRLMKNINMCV-AWEZNQCLSA-N 422.470 4.696 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609549776 UXPDZDVZJGDCJB-ZDUSSCGKSA-N 411.483 4.840 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(Br)c[nH]1 609762678 NNCOXDWFPHNAHW-UHFFFAOYSA-N 402.204 4.730 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 609864439 JWSRAKJMJJJUMY-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD CCOc1cc(C(=O)N2CCCCC[C@H]2c2ccc(C)o2)c([N+](=O)[O-])cc1OC 609984638 TWBWCEAXQUVGMF-INIZCTEOSA-N 402.447 4.661 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 610176625 CIKOXGMICYAHLZ-GFCCVEGCSA-N 416.528 4.879 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC[C@@H](c3nc4ccccc4o3)C2)cc1[N+](=O)[O-] 610597022 MNULVAFUROFQDV-NVXWUHKLSA-N 408.458 4.695 5 20 HJBD COc1ccc(-c2nccnc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 619573370 JWKKZJOWUSBZMX-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1ccc([N+](=O)[O-])c(F)c1 728154361 GYCMLFFWWIBOOH-UHFFFAOYSA-N 424.409 4.720 5 20 HJBD C[C@@H](OC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 730472982 FCFWITPLZWYBEW-GFCCVEGCSA-N 404.394 4.999 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)OCC(=O)c1cccc([N+](=O)[O-])c1 731718387 VPSNRXYUQYHNJP-UHFFFAOYSA-N 422.871 4.781 5 20 HJBD Cn1c(C(=O)O[C@@H](CC(F)(F)F)c2ccc(Br)cc2)ccc1[N+](=O)[O-] 731994366 NKGVSDGTDLOIIY-LBPRGKRZSA-N 421.169 4.546 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cccc3cccnc23)c(-c2cccs2)c1 734742222 UQWXYDYNMDRUFM-UHFFFAOYSA-N 412.448 4.639 5 20 HJBD O=C(C[C@H]1OC(=O)c2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735248590 BZCSQUPRWHONCV-HXUWFJFHSA-N 404.378 4.627 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 737609967 RXPDWBBASPGJDL-UKRRQHHQSA-N 403.276 4.684 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3ccc(N)c([N+](=O)[O-])c3)s2)cc1 739766969 URNMLSCCHONJRP-AWEZNQCLSA-N 410.499 4.751 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C(=O)Nc1ccccc1C(F)(F)F)C(C)C 742995344 OUXPJYXTKCOBTK-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD CCCOc1cc(NC(=O)N[C@H]2CC[C@H](SC)C2)c([N+](=O)[O-])cc1OCCC 743082639 UJHFPIXRDPIZRY-KBPBESRZSA-N 411.524 4.578 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)C(C)(C)c2ccc3ccccc3c2)cccc1[N+](=O)[O-] 745417353 HFCXYQWPLJBRJP-INIZCTEOSA-N 420.465 4.904 5 20 HJBD CCn1cnnc1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746234962 OCPHRAZVWVNGCF-UHFFFAOYSA-N 424.504 4.517 5 20 HJBD Cc1c(C(=O)Nc2ccc(N3CCCCCC3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748699613 ROURAJRDGIBBJD-UHFFFAOYSA-N 412.446 4.753 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nnc(SCc3ccc(F)cc3)s2)c1 749667062 TUYGCFIXRYXRQW-OAHLLOKOSA-N 423.516 4.703 5 20 HJBD O=C(NCCc1ccc(Cl)s1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750845259 HQPVIHXIJCJLIQ-UHFFFAOYSA-N 424.103 4.698 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CC1CCC(C)(C)CC1 752746736 UJNFTRPNSJODML-UHFFFAOYSA-N 414.506 4.988 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 752978516 FIDFGSTVLHMHGF-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(/C=C/SCc1ccco1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 754229495 JCOPSEXIUKZDAK-VQHVLOKHSA-N 400.378 4.526 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(COc3ccc([N+](=O)[O-])c(F)c3)n2)cn1 762352027 UDLTUJKLAMBOAC-UHFFFAOYSA-N 404.423 4.649 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)ccc1NC(=O)OC(C)(C)C 772167411 OOYJIMFJBKMWID-UHFFFAOYSA-N 419.865 4.834 5 20 HJBD CCC(CC)n1nc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C 776349976 FQTVMZSTMXZSSA-OAQYLSRUSA-N 408.458 4.802 5 20 HJBD O=C(NCCSCc1ccnc(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2s1 777448563 UYBZCFHMXXZGPX-UHFFFAOYSA-N 407.904 4.521 5 20 HJBD CCOC(OCC)c1nnc(SCc2nc3ccccc3c3ccccc23)n1C 778561722 DLAQWVVVZLBVEJ-UHFFFAOYSA-N 408.527 4.880 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@H](C)c3nc4ccccc4o3)cc2)c(C)c1[N+](=O)[O-] 781810267 NUGRTXJEHRFJDZ-OAHLLOKOSA-N 420.425 4.516 5 20 HJBD Cc1ccc2c(c1)[C@H]1CN(C)CC[C@H]1N2C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 797081615 VNZXJGJIAXUFAH-IEBWSBKVSA-N 407.495 4.566 5 20 HJBD CS[C@H](C)[C@H](C)OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 797194769 PXTZVRMDDVZNEO-NWDGAFQWSA-N 420.453 4.513 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OC[C@H]2CCCCS2)cc1[N+](=O)[O-])c1ccccn1 802920593 OFMPLGUXAGSDFJ-GDBMZVCRSA-N 401.488 4.605 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1 809919837 HWLICDLRMPXALG-CQSZACIVSA-N 402.882 4.595 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(OC2CCCCC2)CC1 812954124 AVQZXSGVTGAFAD-UHFFFAOYSA-N 401.290 4.856 5 20 HJBD CC(C)(C)OC(=O)N1CCN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc21 813280113 YHIHEPPATLENDJ-UHFFFAOYSA-N 417.849 4.650 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])co2)cc1 813433371 KYVORMMSWAZFOW-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD O=C(COC(=O)C1(c2cccc(Cl)c2)CCC1)Nc1cc(Cl)ccc1[N+](=O)[O-] 875261507 RHCNWKQDLTZLDB-UHFFFAOYSA-N 423.252 4.505 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cs2)c1 917264130 HAQXEPNCMXSLQL-UHFFFAOYSA-N 410.407 4.830 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1116468169 DYPUCCXYKVRFOJ-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD O=C(OCc1csc(C(F)(F)F)n1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 1116830624 QYCSSSYLRFEDLX-UHFFFAOYSA-N 415.374 4.551 5 20 HJBD O=[N+]([O-])c1ccnc(-c2nc(O)c3c4c(sc3n2)C[C@H](c2ccccc2)CC4)c1 1255261977 KZEWYLQNZNGKBQ-CYBMUJFWSA-N 404.451 4.640 5 20 HJBD O=C(Nc1nc(-c2c[nH]c3ccccc23)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 1318111638 LTYOJOHKLRTSDX-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD COc1cccc(CCNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1OC 1318414831 ZZZPCVOIKXSHCA-UHFFFAOYSA-N 416.861 4.858 5 20 HJBD Cc1cc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c2ccc(F)cc2n1 1321120734 CSAIOWQBJMCUOL-UHFFFAOYSA-N 403.373 4.713 5 20 HJBD CCOCc1nnc(C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)o1 1325780361 SJEGWQGNFPOURV-PTNGSMBKSA-N 422.466 4.907 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc(C)c1Br 1343663459 MQPHAGGOWQESJA-UHFFFAOYSA-N 419.062 4.928 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 5768597 VSGLBUWLEJUFLV-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CNc1ccc(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)cc1[N+](=O)[O-] 10555421 XWTSIQXRICQZBO-UHFFFAOYSA-N 400.485 4.734 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(Cl)cc1Cl 10665273 CCSMDRILUULBJZ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCCc1cc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)sc1C 17728327 NBTYLARTZDYJKE-NSHDSACASA-N 401.444 4.885 5 20 HJBD O=C(CNc1ccccc1Oc1cccc(F)c1)N1CCc2ccc([N+](=O)[O-])cc21 60458427 MCBBEFREDAUVPP-UHFFFAOYSA-N 407.401 4.527 5 20 HJBD Cc1nc(CN(C(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cs1 60829954 BCZXNKFLDCHZMV-UHFFFAOYSA-N 417.487 4.824 5 20 HJBD C[C@@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 78655305 JYWWMDAEQRQSRL-OAHLLOKOSA-N 422.510 4.810 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3cccc(C)c3)CCCC2)cc1SC 97548201 FCKSGHKBDDWKPB-UHFFFAOYSA-N 414.527 4.876 5 20 HJBD Cc1noc(C)c1CCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237603646 JGQVCTZJAZWZSJ-UHFFFAOYSA-N 401.850 4.978 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 303558959 XDFBNHWOICPOCK-STQMWFEESA-N 412.433 4.842 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@@H]1c1c(F)cccc1Cl 303905257 TTZGZBAQFJQDCQ-QWHCGFSZSA-N 400.797 4.520 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N(Cc1cccs1)C[C@@H]1CCCO1 426986416 KVRBBDHACZABOQ-KRWDZBQOSA-N 423.494 4.540 5 20 HJBD CC(C)(NC(=O)Nc1ccccc1OC[C@H]1CCCCO1)c1ccccc1[N+](=O)[O-] 432760497 NSPKNNJYBGTHGU-MRXNPFEDSA-N 413.474 4.600 5 20 HJBD O=C(NCCOc1cccc2ccccc12)c1ccc2[nH]c3ccccc3c(=O)c2c1 436065792 XUXCPNPWJRBDSP-UHFFFAOYSA-N 408.457 4.643 5 20 HJBD COc1ccc(NC(=O)N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 436380170 WSIVCPDEMSFBQE-NNUKFRKNSA-N 409.364 4.690 5 20 HJBD Cc1cccc(-c2nnc(SCc3cc(O)ccc3[N+](=O)[O-])n2Cc2ccco2)c1 436473556 HFAWTFBIJLTYRF-UHFFFAOYSA-N 422.466 4.801 5 20 HJBD O=C(Nc1nc2ccsc2s1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444035255 RDNNTFHWHYYSDU-UHFFFAOYSA-N 413.430 4.883 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(C[S@](=O)C2CCCCC2)c1 446179266 JCRWWLOJUBVTFO-LJAQVGFWSA-N 414.527 4.748 5 20 HJBD COc1ccc([C@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2Cl)cc1 460381436 XAPZAKAMCRYTBB-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(Br)c(F)cc2F)cc1[N+](=O)[O-] 466686677 OYSXRSWAPIZAOJ-SECBINFHSA-N 414.206 4.827 5 20 HJBD CC(C)[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1F 468381748 JAGKBCWQXUBLOJ-DEOSSOPVSA-N 420.459 4.946 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@@H](C(C)C)CC2)c([N+](=O)[O-])cc1OC(F)F 469505260 QPQSZMFUXBGLTP-CHWSQXEVSA-N 400.422 4.540 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC[C@H](Cc2ccccc2)C(C)C)cc1SC 469513054 ATVDDYTUFJWVNG-INIZCTEOSA-N 402.516 4.570 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2o1 472277927 OMKQFXPNJPNKCC-HXUWFJFHSA-N 407.813 4.909 5 20 HJBD O=C(NCc1csc(-c2ccco2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475220815 UNVGKIBPTDTWEJ-UHFFFAOYSA-N 412.349 4.652 5 20 HJBD CC[C@H](C)c1ncc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 478280806 NBBPVZNMSRGEAE-GJZGRUSLSA-N 420.473 4.719 5 20 HJBD CC(C)[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc2N1 478296054 BWNSUVARTGJGDS-NRFANRHFSA-N 411.505 4.638 5 20 HJBD COCCNc1ccccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 480809218 BTEJTFXFGPMXIQ-UHFFFAOYSA-N 420.469 4.518 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 480947831 DLPAYKGJKTXIGQ-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CNC(=O)c1ccc(N2C[C@H](C)C[C@@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 482093317 IRRYNALIKNZODA-SJKOYZFVSA-N 407.392 4.561 5 20 HJBD CCC[C@H](NCC1CCN(Cc2csc(CC)n2)CC1)c1cccc([N+](=O)[O-])c1 482869793 NKQYXGIFNUJRAJ-NRFANRHFSA-N 416.591 4.957 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@@H](c2ccccc2F)C1 482885568 HBAAPBCYLVDHCZ-QZTJIDSGSA-N 414.480 4.746 5 20 HJBD CC(C)(NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cccc(Cl)c1 485511327 AWISUXHABIMCLB-UHFFFAOYSA-N 403.685 4.737 5 20 HJBD CSc1cccc(C(=O)NCc2cccc(CN3CCCCCC3)c2)c1[N+](=O)[O-] 486481168 SJTWMJBAMSDBTB-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD COc1c(Br)cccc1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487173827 REQNMMPNZOTNRY-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(Cl)s3)nn2C)c1 489257129 AGMHYTIZVLOUGN-UHFFFAOYSA-N 408.892 4.684 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC2(CCCC2)c2ccccc21 489979294 GHXMCIAOABCSAM-UHFFFAOYSA-N 412.511 4.806 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC[C@@H](c1ccccc1)C(F)(F)F 490882455 WENPWAMBVTXXKK-DYVFJYSZSA-N 412.433 4.928 5 20 HJBD COc1ccc(CN(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)[C@H](C)C2CC2)cc1 493003633 MMKYQPFNTPSHAN-IAGOWNOFSA-N 411.502 4.983 5 20 HJBD CC(C)(C)[C@@H](CC1CCCCC1)NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 495211739 OUSOPPXIWQHVAZ-GOSISDBHSA-N 405.543 4.523 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)COc2cccc([N+](=O)[O-])c2)cc1 496566112 BCOBLNHYZROKIS-XMMPIXPASA-N 419.481 4.569 5 20 HJBD CC[C@H]1C[C@]1(NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1cccc(C)c1 501606238 JKTLXDGTGSSFSR-LHSJRXKWSA-N 400.500 4.689 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2c(C)cccc2[N+](=O)[O-])cc1 501630128 STFMMHOLWXZGMV-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD Cc1ccc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cc1-c1ncco1 510055965 NTBWEZWNQCYFEL-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@@H]1CCSc2c(F)cccc21 510817147 PNISUSYBCSVNMN-GFCCVEGCSA-N 413.377 4.694 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2)cs1 510838941 RMGNTFHIJCWBQI-HNNXBMFYSA-N 424.526 4.972 5 20 HJBD Cc1ccc([C@H]2CCCN2C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 513332315 ZLDWWNCYLORGRR-OAHLLOKOSA-N 414.405 4.883 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CN(Cc3ccccc3)CCC2(F)F)n1 520888955 QMGNJXQXLKQESU-GOSISDBHSA-N 414.412 4.578 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 521803585 HZODFSYXLZEODI-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 531135881 ZIBAGZCYDAUNOD-IBGZPJMESA-N 401.825 4.587 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](C)c1ccccc1Br 533102544 AOFCZMBDSKRINY-LLVKDONJSA-N 408.252 4.591 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 535344669 KFWQCUFQQIUVHU-UHFFFAOYSA-N 414.465 4.551 5 20 HJBD COc1cc(Br)c(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)cc1OC 540181652 XNGGMEZYYDSNLF-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 540794396 NEKQHXFDVZVXKI-ZIAGYGMSSA-N 410.396 4.526 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccncc2)cs1 540810693 YDCSFSKFNQILKK-NSHDSACASA-N 406.414 4.566 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C/c3ccc(OCc4ccccn4)cc3)n2)cc1 545551575 ASQKGFQVKXNKNY-AWNIVKPZSA-N 400.394 4.789 5 20 HJBD Cc1cc(Cc2noc(CSCc3coc(-c4ccccc4)n3)n2)ccc1[N+](=O)[O-] 545855980 YQQTTWDNLRKRHC-UHFFFAOYSA-N 422.466 4.965 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(CSCc4ccco4)no3)cs2)cc1 546949395 ALSHRNUHTSBWSR-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(Cc2cn3cc(Cl)cc(Cl)c3n2)no1 547070997 GPBOUCIHEXEZJY-WAYWQWQTSA-N 416.224 4.694 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC2(Cc3cccc(F)c3)CCOCC2)o1 559335036 STNDMIGBIQIMST-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD Cc1ccc(Sc2ccc(CN3CC[C@@H](c4nccn4C)C3)cc2[N+](=O)[O-])cc1 564319239 RAYOHZMWXVGEMW-GOSISDBHSA-N 408.527 4.777 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(Cl)c1OCC(F)F 578937024 SBCGWIJEVHOPFR-UHFFFAOYSA-N 405.184 4.727 5 20 HJBD COCCOCc1noc(-c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)n1 583525576 TYRDAJNQVAACKM-UHFFFAOYSA-N 421.862 4.612 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC[C@@H](c2nc3ccccc3o2)C1 590153478 BTEVCWUYEFLYBY-GFCCVEGCSA-N 419.359 4.775 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2cccc(NC(=O)c3cccs3)c2)cccc1[N+](=O)[O-] 602386406 MGUDHITZCWCLFI-CQSZACIVSA-N 424.482 4.656 5 20 HJBD COc1ccc(OC2CCN(C(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)CC2)cc1 603816373 DJMNFWUAECDLSB-UHFFFAOYSA-N 412.486 4.585 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 604013191 OBUGOCFMLYUMMI-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD COc1ccc(CNC(=O)c2cc(C(F)(F)F)cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 604018392 BUQRWMPRPAQBDC-UHFFFAOYSA-N 422.281 4.571 5 20 HJBD COc1ccc(CC(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 609011493 FEQKJGNIYYGDGQ-KRWDZBQOSA-N 422.403 4.919 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccccc2)Cc2ccccc2F)cc1[N+](=O)[O-] 609016696 VIXMICGXFCRTAO-UHFFFAOYSA-N 408.429 4.514 5 20 HJBD O=C(Cc1ccc(Nc2ncc([N+](=O)[O-])cc2Cl)cc1)N1CCc2ccccc21 609891888 FTVZKXQYSJYXNC-UHFFFAOYSA-N 408.845 4.519 5 20 HJBD CC(C)(CNC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)cc1 610041284 ZPTDMEYBUJRNOT-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD Cc1cc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc(C(F)(F)F)c1 617127054 LAGGQBPSUDHBIW-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD CC[C@H](C)Sc1ccc(NS(=O)(=O)c2cccc([N+](=O)[O-])c2OC)c(C)c1 619467268 XABKSWYAJBKRIY-ZDUSSCGKSA-N 410.517 4.603 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 619788633 YKQLCLRDYZMJGW-UHFFFAOYSA-N 417.334 4.955 5 20 HJBD COc1ccc(CCN(Cc2ccccc2)C(=O)c2cc([N+](=O)[O-])ccc2F)cc1 727091456 VMAWNUZYRIFNBR-UHFFFAOYSA-N 408.429 4.628 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(/C=C/c3ccccc3)cs2)cc1[N+](=O)[O-] 735261772 AXDKNMAPUZHVTO-BQYQJAHWSA-N 410.411 4.691 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@@H](O)c2cccc(C(F)(F)F)c2)c(Br)c1 742405410 HXFGMCNEDZKRHK-CQSZACIVSA-N 405.170 4.522 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3cc(Cl)ccc3F)CC2)ccc1[N+](=O)[O-] 744203432 JIPMQQWRLIRKHL-UHFFFAOYSA-N 422.840 4.612 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nnc(-c2cccs2)o1 745564406 BLNROAFVNPSDTE-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD COc1ccccc1[C@H](CN[C@@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)OC(C)(C)C 746049910 WKVNJUHNLBNBIF-KXBFYZLASA-N 415.490 4.520 5 20 HJBD O=C(NCc1cccc(COCc2ccccc2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749909825 SOHMCMGNBSKVHW-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)C[C@@H](O)c3ccco3)c([N+](=O)[O-])c2)cc1 759246704 NFERZCAPMVAWPH-MGPUTAFESA-N 409.442 4.673 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccc[nH]2)c2ccc(N(C)C)cc2)c1 760189285 NFVGKJAYELWGTH-UHFFFAOYSA-N 410.499 4.558 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 762474318 JDRNCXAFLRFZFJ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cs2)cc1 762719758 WVMHNHBGVARWHD-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD O=[N+]([O-])c1cc(CSc2n[nH]c(COc3ccc(Cl)cc3)n2)ccc1Cl 768227452 FNTQKGDJGUSHLW-UHFFFAOYSA-N 411.270 4.891 5 20 HJBD C[C@H](C[C@H](O)c1ccccc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774666781 LAELAGXCQWIUPO-YGRLFVJLSA-N 405.323 4.568 5 20 HJBD O=C(CN[C@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 775190828 FSKYEEUJZVEDOQ-CQSZACIVSA-N 419.762 4.609 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2noc(C3CC3)n2)cc1 777689146 ROBCKTROJGREBI-HNNXBMFYSA-N 408.458 4.867 5 20 HJBD CC(C)[C@@H](NC(=O)OC(C)(C)C)c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 789762694 CWBDONSEVJLYPA-OAHLLOKOSA-N 422.457 4.665 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])n1 790743874 ZSMKWQUCIJJZDR-NSHDSACASA-N 419.796 4.593 5 20 HJBD CC[C@@H](C(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccc(F)cc1 791243774 DWHYXNAEHMFXBC-CQSZACIVSA-N 421.266 4.575 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1SCc1cc(C)no1 798541351 IIILFIJJQUVERJ-UHFFFAOYSA-N 413.455 4.835 5 20 HJBD CC(C)SCCOC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 803256947 SYCVUIMMJMYOEH-UHFFFAOYSA-N 422.890 4.799 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)OCc2cccc(C(=O)Nc3ccccc3)c2)c1F 803461879 BEKBVCBELPEILJ-UHFFFAOYSA-N 408.385 4.652 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(N2CCCCC2=O)cc1 811967484 YVCXVZUPEXLKFW-PKOBYXMFSA-N 423.513 4.558 5 20 HJBD Cc1nn(C)c2nc(-c3cccs3)cc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)c12 863865496 FDMBEWXOXVSOES-CYBMUJFWSA-N 422.466 4.831 5 20 HJBD CC(C)[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1ccccc1F 877878346 WDDJIXVKMDGMSA-SFHVURJKSA-N 416.430 4.769 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 915307601 MRALZYJKUZNVOL-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD Cc1ccc(C2=CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)cc1 916699584 NUGAQOMMXQUCKT-UHFFFAOYSA-N 403.385 4.826 5 20 HJBD C[C@H](Nc1ccc(C(=O)OC[C@@H]2CC2(Cl)Cl)cc1[N+](=O)[O-])c1ccccn1 920547441 OEHAQDMDCYKRHS-AAEUAGOBSA-N 410.257 4.514 5 20 HJBD Cc1nc(CSc2ccc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)cs1 1116144631 YTNHUPVPHOWFFQ-UHFFFAOYSA-N 411.508 4.808 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 1116638261 PSAICJMXICXMLZ-QGZVFWFLSA-N 406.269 4.854 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nnc(-c3cc4ccccc4o3)o2)c1Br 1117205016 MISYBNOVVGJENU-UHFFFAOYSA-N 404.151 4.960 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc([C@H](Oc2ccccc2)c2ccccc2)o1 1322205462 NAKGTSYPUOPXJV-OAQYLSRUSA-N 403.394 4.725 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)o2)c1 1322283030 HLPAMSJHWHCQAO-UHFFFAOYSA-N 417.381 4.832 5 20 HJBD C[C@H](OC(=O)Cc1cccc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11079260 AWGFTKPNJABLQK-ZDUSSCGKSA-N 412.829 4.514 5 20 HJBD CC(C)OCCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 15913748 GMDYOJJFINVPTG-UHFFFAOYSA-N 408.907 4.944 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc([N+](=O)[O-])c1 25931834 FRDUKSCVXNIJOZ-UHFFFAOYSA-N 421.409 4.556 5 20 HJBD Cc1cc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)ccc1[N+](=O)[O-] 46533814 COEMDGOQSRLMLU-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)CNc3ccccc3[N+](=O)[O-])cc2)n1 65872735 CTKOSJACJGXWGP-UHFFFAOYSA-N 400.485 4.562 5 20 HJBD CCCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)[C@H](C)c1cc2ccccc2o1 146476558 LTNFWRFEXFLPEB-OAHLLOKOSA-N 409.442 4.588 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 221893430 WNIPSFMZZYYUNC-RVHKNYROSA-N 421.497 4.673 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(CNCc3ccc(CO)o3)cc2F)c(Cl)c1 237984958 VNEIZIYYOSHSEG-UHFFFAOYSA-N 406.797 4.555 5 20 HJBD O=[N+]([O-])c1cc(F)c(NCc2cn(-c3ccccc3)nc2-c2ccncc2)c(F)c1 301813367 ZBJTWWPIECRDSQ-UHFFFAOYSA-N 407.380 4.733 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 303583851 FNHMUZGFOXMOPO-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3ncc([N+](=O)[O-])s3)CC2)n1 409677024 VNIXXZNQYJETLY-UHFFFAOYSA-N 402.501 4.567 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 427572143 YPKJYESXQPNSQY-HNNXBMFYSA-N 417.893 4.558 5 20 HJBD COc1ccc(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 436310245 WLINXHTZTZVYPE-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD C[C@H](CCc1cccn1C)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438487864 FVMKMOGNVBMGMR-MRXNPFEDSA-N 408.458 4.868 5 20 HJBD COC(C)(C)C[C@@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439624052 IQKGEAWQNICVKO-KUHUBIRLSA-N 419.909 4.721 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 439931874 KXTORVWMPCJWBN-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Oc1ccccc1 444034856 QFXNNIVXGOKTEN-UHFFFAOYSA-N 422.431 4.547 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccnc1 444223225 IHBFNENDRRHDGR-FQEVSTJZSA-N 421.447 4.524 5 20 HJBD CC(=O)c1ccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c(F)c1 446425351 RGJFWNUDHUPOQU-UHFFFAOYSA-N 408.385 4.768 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC3CCCC3)c(F)c2)cc1OC 460429134 SWESMHOXNCSHIR-UHFFFAOYSA-N 418.421 4.715 5 20 HJBD CCOc1cc(NC(=O)CCc2cncc3ccccc23)c([N+](=O)[O-])cc1OCC 462433117 QJKOIUUNSIYNMV-UHFFFAOYSA-N 409.442 4.512 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)c(C)c1 462514848 VSOUBULJOJWGCL-SJLPKXTDSA-N 411.502 4.639 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(F)cc1Br 462620899 QJKKPBYOWRPVPX-LLVKDONJSA-N 413.218 4.843 5 20 HJBD Cc1cnc(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)c([N+](=O)[O-])c1 463052757 GNKUIMUVXNHFNP-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD O=[N+]([O-])c1cc(CNc2cccnc2-n2cncn2)ccc1Oc1ccccc1Cl 463250536 MXYVWLDTLQOXAV-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD CC(C)n1c(CCNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc2ccccc21 463673260 CQQGOSCHAXECNO-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD COc1cc(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc(OC)c1Br 463950287 LHDREPBDWDCYGY-UHFFFAOYSA-N 424.251 4.635 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 466952248 RMCIVNSRHKJAAF-HNAYVOBHSA-N 403.866 4.846 5 20 HJBD CCc1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1ccccc1 468182437 HXZDDSUEMVTPHD-UHFFFAOYSA-N 419.466 4.719 5 20 HJBD C[C@@H](O)C[C@H]1CCCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 468565913 NCFUHJCWSOJWAH-CRAIPNDOSA-N 400.500 4.512 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1ccc(Oc2ccccc2OC)cc1 470961000 VDBPFLAJHJEJFN-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 471903181 QGIQBEUSYCJCNQ-PMERELPUSA-N 422.506 4.858 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(Cc3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 476824276 QJOCODCSTRMNBV-KRWDZBQOSA-N 416.481 4.668 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C(C)C 482719184 ZOIMLMDUNZIONU-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483077300 YWSUTCHROQNDES-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD COc1c(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)cccc1[N+](=O)[O-] 483991122 YNGFEOLIBBODLW-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)cc2s1 484664680 PQEWLHWPICXSGR-UHFFFAOYSA-N 402.863 4.993 5 20 HJBD CCc1cc(C)cc(CC)c1NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 484876671 RLHJWHOAFJYBIG-UHFFFAOYSA-N 408.401 4.890 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2cc3ccccc3o2)n1 485123762 NFEKCWCKVKJSGK-UHFFFAOYSA-N 423.454 4.881 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)Cc1nc2ccccc2s1 486427681 RFFNGEKBAQIJHF-GFCCVEGCSA-N 401.513 4.678 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 486949033 OKFAHMJNAVOBRV-INIZCTEOSA-N 424.284 4.806 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(C(=O)C2CCC2)cc1 487012062 JAPZUDTXQODNJH-UHFFFAOYSA-N 401.850 4.595 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccccc2Cl)CC1)c1ccccc1[N+](=O)[O-] 488791609 LNYWPDFNANYCKM-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC2(CCOCC2)CC1 489983180 QUXBQZHKGHRCCI-UHFFFAOYSA-N 406.548 4.812 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC3(CC2)OCCc2ccsc23)o1 497077262 IUNYFYMWQICIEE-UHFFFAOYSA-N 410.495 4.980 5 20 HJBD COCCN(c1nc2sccn2c1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 498983440 QZRJHNLOSQYXSL-LLVKDONJSA-N 414.409 4.537 5 20 HJBD COc1cc(C(=O)N[C@@H](C)Cc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 500208774 VBWLFCYYRUBLGT-ZDUSSCGKSA-N 422.428 4.689 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 502053928 AXJJZMCQKVFXEM-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 506538281 CGWARWRDIHLRAW-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 506608587 OZYDPSZTGIDNFP-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 512648970 SAXWZQISGLLLLA-AWEZNQCLSA-N 419.428 4.823 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H](OCC(F)(F)F)C1 515926375 PKSDABSRUSMNSR-MRXNPFEDSA-N 423.391 4.522 5 20 HJBD Cc1nn(CCC(=O)N(Cc2cccc3ccccc23)C2CCCC2)c(C)c1[N+](=O)[O-] 524388335 YDFAICCJJGUUQG-UHFFFAOYSA-N 420.513 4.923 5 20 HJBD Cc1ccc2c(C3=CCN(CCC(=O)Nc4cccc([N+](=O)[O-])c4C)CC3)c[nH]c2c1 533028903 JPPHTBCLJZCHOO-UHFFFAOYSA-N 418.497 4.811 5 20 HJBD CCNC(=O)c1cccc(CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 536874326 DFWFVLNKEVREBF-OAHLLOKOSA-N 409.511 4.924 5 20 HJBD Cc1c(C[S@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537150919 ZANHNVCGRPBUFM-MHZLTWQESA-N 406.529 4.535 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC)cc1Cl 537463469 ZVGOKTAIVDMBGK-UHFFFAOYSA-N 421.906 4.752 5 20 HJBD CC(C)[C@H]1C[C@@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 537864322 VSAZPGRYAKOZHU-NZQKXSOJSA-N 420.513 4.741 5 20 HJBD COc1cc([C@H](C)NC/C=C\c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 538328390 VDMBOMWJZXINJT-YEODSOHDSA-N 419.481 4.941 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@@H]3c3ccc(F)c(F)c3)cs2)c1 538444550 CTIWWDOSIIFYCS-RTBURBONSA-N 417.437 4.616 5 20 HJBD C[C@@H](NCC(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 539303991 RIZKTNRPHIIRDV-MRXNPFEDSA-N 423.444 4.602 5 20 HJBD CCC[C@H](N[C@@H](c1ccc(Cl)cc1)[C@@H]1CN(C)CCO1)c1cccc([N+](=O)[O-])c1 540143555 SBBURCCGQOZEBP-FKBYEOEOSA-N 417.937 4.751 5 20 HJBD C[C@@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1cccc(N2CCCC2)c1 540588248 YYSJARLSPKRDIK-QGZVFWFLSA-N 416.481 4.748 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543462350 NWAXZINKLHWAGH-SNVBAGLBSA-N 411.227 4.637 5 20 HJBD COc1ccc(Cc2noc(-c3cc(Cl)cc([N+](=O)[O-])c3)n2)c(Cl)c1OC 544406400 QYVTYQCLMXXUPT-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD Cc1c([C@@H](C)NCCc2cn3cc(Br)cc(C)c3n2)cccc1[N+](=O)[O-] 546223822 KJQXLFAKFSFOKJ-CQSZACIVSA-N 417.307 4.515 5 20 HJBD COc1cc(-c2nc(-c3cc(Cl)c(OC(C)C)c(OC)c3)no2)ccc1[N+](=O)[O-] 546231019 YGMJOVREIHWMIM-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1c1nc(-c3ccc([N+](=O)[O-])cc3)no1)O[C@H](C)C2 546258218 OKJRLUHVRKIVLL-PUECVXPYSA-N 407.426 4.638 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1OCCc2sccc21 550861160 BYGAQOPQBILOML-APPDUMDISA-N 415.496 4.668 5 20 HJBD CCCCCN(C(=O)c1cc([N+](=O)[O-])ccc1C)c1nc(C)c(C(=O)OCC)s1 553043803 GTGWGBOSZJDTCE-UHFFFAOYSA-N 419.503 4.682 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H]3CCC[C@@]3(c3nc(CC4CC4)no3)C2)cc1C(F)(F)F 553607540 BLXPVRJZSMDUNF-BFUOFWGJSA-N 422.407 4.507 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(C2CCCCC2)no1 556420118 UYOYQPMOBRRRMT-SNVBAGLBSA-N 412.368 4.535 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(C(C)(C)c3ccccc3)no2)ccc1[N+](=O)[O-] 576383953 DBKQJWAYTHKCBV-IBGZPJMESA-N 420.469 4.589 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(-c3ccc(Cl)s3)nc2)cc1[N+](=O)[O-] 577889697 MGEDLUGSCDLIRB-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 581244283 SZIHUEQVXRUSMO-OAHLLOKOSA-N 410.499 4.663 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C(C)=O)[C@@H]2C[C@@H]2C)cc1 584490126 GZLPKKKCVUZTAL-ORAYPTAESA-N 413.499 4.720 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCn2c(cc3ccccc32)C1 588216926 COVXZEUFNLVGKM-OAHLLOKOSA-N 403.442 4.566 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 588771743 RBZUESNJFYBSOA-GOSISDBHSA-N 421.291 4.518 5 20 HJBD O=C(Nc1cncc(Cl)c1Br)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 589015280 GVXYAUAORGMZDN-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1ncc(-c2ccc(Cl)cc2)o1 589975294 APMGSDSGPSDEHO-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD O=C(CSc1ccc(F)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603614287 BOWOGOCMVWNNDU-UHFFFAOYSA-N 420.359 4.556 5 20 HJBD Cc1cc(OCC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 603905651 VCBPELIGYUNJFE-GOSISDBHSA-N 409.269 4.953 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(-c2ccoc2)nn1-c1ccccc1 609785885 MQVXDVFRWRADPN-UHFFFAOYSA-N 422.828 4.875 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@H](Cc2ccc(F)cc2)C1 609908869 CXJIXZHOLWBIGL-OAHLLOKOSA-N 411.458 4.562 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccccc3OCC3CC3)cc2[N+](=O)[O-])CC1 610122778 MFBLPJRHLQOTND-UHFFFAOYSA-N 409.486 4.872 5 20 HJBD Cc1noc(-c2ccccc2C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)n1 610224905 GTTIIRLDYRHMEJ-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc2n1C 610310574 ADMDJNUSSWKJLU-UHFFFAOYSA-N 402.410 4.835 5 20 HJBD C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO[C@@H]1C1CC1 613439187 PKPKSSRBWVCRGQ-CTNGQTDRSA-N 404.532 4.565 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)cc2)nc1 733237470 QJOLAWUBNRMBST-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN1CCC[C@@H](c2nc(-c3ccc(F)cc3)no2)C1 746667667 ZUGRRTNQHSXHJP-CQSZACIVSA-N 416.840 4.817 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 747321142 XGOYISNBHVMKQN-DGCLKSJQSA-N 405.838 4.729 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1cc([N+](=O)[O-])ccc1F 752754546 SPTSKDRJKXHMBU-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CCN(Cc1ccncc1)[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754047543 LWINFNCYBCCCPP-OAQYLSRUSA-N 424.888 4.845 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCc1cc(F)ccc1[N+](=O)[O-] 756256586 NIRSZJIZLXHYJD-UHFFFAOYSA-N 406.218 4.809 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNC(=S)Nc2ccc(OC(F)F)c(Cl)c2)c1 756791129 TTXKJEOGMSWHJP-UHFFFAOYSA-N 422.240 4.990 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)CSc3ccc([N+](=O)[O-])cc3)o2)cc1C 758927582 NLWGBMSPJYVGKG-CQSZACIVSA-N 413.455 4.658 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)C1(c2c(Cl)cccc2Cl)CC1 759440589 WIRJNQBMJYKFLZ-UHFFFAOYSA-N 424.236 4.543 5 20 HJBD C[C@H](C(=O)Nc1cccc2c1CCN2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 762002558 VAANDSAEMOJHGZ-AWEZNQCLSA-N 411.458 4.635 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCC(c2ccccc2C(F)(F)F)CC1 763511998 MPNZDDOMEXRHKP-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD CC(C)(C)c1nnc(COC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)o1 768093057 IDQTYBHTDOXXDN-UHFFFAOYSA-N 413.455 4.784 5 20 HJBD COc1ccc([C@@H](C)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 768827438 QDMVXAPPAHTBDV-KRWDZBQOSA-N 401.462 4.510 5 20 HJBD Cc1cccc([C@@H](O)CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 768996105 OVDYQHCUJALIKR-NRFANRHFSA-N 407.426 4.551 5 20 HJBD COc1cc(CNc2ccc(N(C)C)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 770504180 QPDQCNFVUOICGW-UHFFFAOYSA-N 407.470 4.861 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC(C)(C)Sc1ccc(Br)cc1 772319304 XYFSTCDXCNPCGR-UHFFFAOYSA-N 423.332 4.966 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc3cc(C(F)(F)F)ccc3n1C)CC2 773992303 NAOYURWMHGCLBR-UHFFFAOYSA-N 403.360 4.617 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCc1ccc([N+](=O)[O-])c(F)c1 784095569 LVHZJDQOGAOQNA-UHFFFAOYSA-N 406.218 4.809 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787673676 NWDADBXIRHVFQD-UHFFFAOYSA-N 420.440 4.525 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)cc2C(=O)c2ccc[nH]2)c1F 790875404 DKHUXEQJCHMDHE-UHFFFAOYSA-N 401.781 4.507 5 20 HJBD C[C@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1OCCc2sccc21 797032331 RYJOIGWDQNRJNQ-DLPLYFIVSA-N 424.478 4.634 5 20 HJBD C[C@H](Nc1ccccc1NS(=O)(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccccc1 799929495 YQMSDYITXZDRFF-AWEZNQCLSA-N 415.446 4.708 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1ccc([N+](=O)[O-])c(F)c1)C2 801517113 YRJZJIFWGKQRCE-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD C[C@@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 803063077 GDDMYUKSDAXDEO-GFCCVEGCSA-N 409.364 4.527 5 20 HJBD CC(C)(O)c1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 811620764 XLISQXJSRGDUAK-UHFFFAOYSA-N 421.544 4.813 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCC3(CC2)OCCCO3)cc1 816645442 WKXDJAAIPFSPSH-UHFFFAOYSA-N 418.877 4.770 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 914511950 SQHQMVUCYZKIRV-UHFFFAOYSA-N 411.336 4.573 5 20 HJBD C[C@@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 917940724 LQFFYINBGCGIQW-QGZVFWFLSA-N 404.470 4.670 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@H]1CCOC1 919012384 DKYLPSYZAZFQHF-FDIDWWNZSA-N 424.478 4.715 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC(Nc2ccccc2Cl)CC1 1116087017 GFRYZTOOKKDVGE-UHFFFAOYSA-N 408.285 4.547 5 20 HJBD O=C(OCc1sccc1Br)c1ccc2[nH]c3ccccc3c(=O)c2c1 1123085872 XPCAWSVWFDQFCR-UHFFFAOYSA-N 414.280 4.862 5 20 HJBD Cc1cc(Cl)cc(C)c1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 1320158296 UZJDGEFOAIMVNT-UHFFFAOYSA-N 412.877 4.979 5 20 HJBD Cc1ccc2c(c1)S[C@@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C2 1342663686 KQDBNKUMSQOGDF-NHCUHLMSSA-N 406.463 4.648 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 8176354 UPYSJQQGBNHOLE-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD Cn1c(SCc2csc(-c3ccccn3)n2)nc2cc3ccccc3cc2c1=O 11283218 ZADQVRHRZUZPNL-UHFFFAOYSA-N 416.531 4.898 5 20 HJBD COCCN(C(=O)c1ccc(Cl)cc1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 14790531 UIBCQXSWUPFOFY-UHFFFAOYSA-N 417.874 4.665 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3ccc(C)cc3)cs2)c([N+](=O)[O-])cc1OC 26767546 PGMBMRNEVCNZDR-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCN(CC)Cc1cccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 64908119 CJOKINZNSYVWEE-UHFFFAOYSA-N 410.499 4.812 5 20 HJBD CC(=O)Nc1cccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 107793193 NRMPVYZNZZFUDI-HNNXBMFYSA-N 419.437 4.837 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(C1CC1)[C@@H]1CCc2ccccc21 109159258 YMFZXFFQUIJGBX-QGZVFWFLSA-N 401.260 4.649 5 20 HJBD COc1ccc2cc([C@H](C)C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)n[nH]3)ccc2c1 116303783 BPFYVFNAFZJBOH-AWEZNQCLSA-N 416.437 4.889 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1Cc2ccccc2[C@H](c2ccccc2)C1 117213683 JVUJWVXYIKBUNV-DEOSSOPVSA-N 424.460 4.568 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cc(Br)cs3)cs2)c1 236592631 XJAYOTSGAFLGFN-UHFFFAOYSA-N 410.318 4.832 5 20 HJBD CCCC(=O)Nc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 236761672 LHESGNYXCNVLAU-UHFFFAOYSA-N 410.499 4.747 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2ccc(Cl)cc2)c2ccsc2)c([N+](=O)[O-])c1 301272202 NYXWWWXWUGDBFX-SFHVURJKSA-N 422.915 4.915 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cc(C(F)(F)F)nc(-c3cccnc3)n2)c(Cl)c1 302218777 QSZYMPHFXIKWRA-UHFFFAOYSA-N 409.755 4.731 5 20 HJBD Cc1ccc(Br)cc1CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 302518000 AYWPQGYZTHIZOZ-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(CSCc1cccc(OC(F)F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 426611644 SWTMGYLORHSBCZ-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1 436236311 CDBWKQVVGNWLQK-LLVKDONJSA-N 409.255 4.651 5 20 HJBD CN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)c1cc2ccccc2c2cccnc12 437053747 IWOBAZKSSZJCIR-NRFANRHFSA-N 409.533 4.982 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)cc1C 437194641 AWJUAEUZMRBHLN-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](CSc2ccccc2)C1 439904135 SJDDUQMDARGQHM-OAHLLOKOSA-N 401.488 4.640 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3ccc(Cl)cc3Cl)no2)cc1[N+](=O)[O-] 445367454 HPARFADQHVKBNV-UHFFFAOYSA-N 421.240 4.695 5 20 HJBD COCCc1ccccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 468200642 IGQVNQPBKQCBGV-UHFFFAOYSA-N 414.405 4.533 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 472832122 MIVHULZNNKYYTE-OAHLLOKOSA-N 401.426 4.510 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccccc3N3CCCCC3)cs2)c1 478389003 UUKMZIVFXSEGLF-UHFFFAOYSA-N 408.527 4.998 5 20 HJBD Cc1c(CNC(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 480066818 YFBUKFNYZYBTDQ-INIZCTEOSA-N 407.392 4.621 5 20 HJBD CC[C@@H](N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 482094336 CXEXZTMJSTYAED-HTAPYJJXSA-N 410.518 4.653 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccccc2F)C1)c1sc(Br)cc1[N+](=O)[O-] 482867451 OBJNLWRXWDFGLU-ZJUUUORDSA-N 413.268 4.624 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cnn1-c1cccc(C)c1 483455220 BIGOCOLEZUPTHS-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CC2)oc1C 485004153 GZUHSLHMPUDUMU-UHFFFAOYSA-N 416.522 4.701 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487787642 DXXUKMQELLVWJO-LJQANCHMSA-N 408.483 4.515 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCO[C@@H]1c1ccc(C)cc1 489935700 UNASZVOWHONEON-LAUBAEHRSA-N 414.527 4.913 5 20 HJBD CN(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)C1c2ccccc2-c2ccccc21 489955852 JZTJJEQCZBUNGL-UHFFFAOYSA-N 410.433 4.623 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 492829766 LANLUFCPBNDSEK-MSOLQXFVSA-N 414.525 4.596 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCO[C@H](c3ccc(F)c(Cl)c3)C2)cc1[N+](=O)[O-] 493208331 FHTVEBHATYFYNT-YJYMSZOUSA-N 421.856 4.540 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(F)c1F 500157180 ZEVSYKKREGUJEF-SECBINFHSA-N 420.359 4.861 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@H](Cc3ccc(Cl)cc3)c3ccccn3)cc2N1 502806174 PKUKBFSHLXTORC-LJQANCHMSA-N 422.872 4.924 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](Oc3ccccc3Cl)C2)cc1[N+](=O)[O-] 504667689 DKCYKBIRZZGMFB-CQSZACIVSA-N 406.891 4.654 5 20 HJBD COc1cc(CSc2ccc(Br)cn2)c([N+](=O)[O-])cc1OC(F)F 505641686 VFZHMRKZTXYFEE-UHFFFAOYSA-N 421.219 4.655 5 20 HJBD C[C@H]1CCCCN1C[C@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 505905860 JCUQFTMYKDYJOJ-JKSUJKDBSA-N 401.532 4.536 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(C(F)(F)F)cs3)cc2[N+](=O)[O-])CC1 508308276 YNKYDTQTMYOKBS-UHFFFAOYSA-N 414.409 4.559 5 20 HJBD O=C(CC(F)(F)F)Nc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 510247522 YINKXRIZSBBZKF-UHFFFAOYSA-N 416.743 4.783 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 514955755 FLNKWUJIBCOJQI-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3c[nH]c4ccccc34)n2C2CC2)cc1 520014313 GHKDLOQVOKRRSL-UHFFFAOYSA-N 421.482 4.841 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](C)SCC(=O)Nc2ccc(F)cc2)n1 520467233 HXPFHRZBWIGBDN-GFCCVEGCSA-N 416.434 4.525 5 20 HJBD Cc1ccnc(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)c1[N+](=O)[O-] 522409364 XQAHPDJSTYRNQA-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD CC1(C)[C@H]2OCC[C@H]2[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 524948657 QYDXXOHSZQITMG-DBVUQKKJSA-N 402.878 4.944 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 525560054 ZQUNKKRDTXEMIA-UHFFFAOYSA-N 416.817 4.705 5 20 HJBD Cc1cc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)ccc1Oc1cccnc1 534642427 ILLPGRRHMVSHRB-UHFFFAOYSA-N 402.410 4.753 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535395656 FTEOMPYMCRFXDI-UHFFFAOYSA-N 403.442 4.702 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 536944356 RBBWPGTUFPTUKC-WILYLXEWSA-N 421.856 4.586 5 20 HJBD CN(C(=O)c1cc(-c2cccc(F)c2)no1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538246683 YINJXROWLCVVHC-UHFFFAOYSA-N 424.413 4.789 5 20 HJBD COCCNc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 538410094 VOGNHISAEVWZTG-UHFFFAOYSA-N 415.877 4.856 5 20 HJBD COc1ccc([C@@H](C)CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 540453085 OBJIUUIMSVDWHV-AWEZNQCLSA-N 411.483 4.884 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](C)c1sc(-c2ccccc2)nc1C 541659806 SECMEUGNZFFPCG-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1)c1ccc([N+](=O)[O-])cc1F 543457710 PMZBOUNWXVMJKD-KBPBESRZSA-N 417.506 4.579 5 20 HJBD C[C@H](CN(C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nccs1 544328256 HAWDAARSOSSUAH-OAHLLOKOSA-N 410.499 4.539 5 20 HJBD CC(C)c1nc(Cc2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cs1 547100142 IROHXSAWFFYSEX-UHFFFAOYSA-N 413.484 4.939 5 20 HJBD Cc1cc(C)cc(C(=O)N2CCC[C@H](c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)C2)c1 547101393 LHJHKLWWXFCIQI-KRWDZBQOSA-N 420.469 4.590 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 547170589 PZHMOUPIVVCUHO-UHFFFAOYSA-N 410.213 4.644 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Br)c2Cl)c1 549330419 VYTQMDMVAYJZJY-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549446159 MUNFKHRTCCPLMM-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc21 549623362 NGAPDYMLWCHFFD-HBIYDYFMSA-N 409.467 4.648 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)cc1[N+](=O)[O-] 557437884 BTUVTJCMUHKLJT-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 562391075 MPISAQXJQZSODP-NYHFZMIOSA-N 408.429 4.752 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](OCC2CC2)c2ccc(Cl)cc2)c1 571649850 RHQJZZQRZSMPCE-LJQANCHMSA-N 420.918 4.868 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCO1 573241086 PBACLWOQOOLFMI-FQEVSTJZSA-N 418.877 4.928 5 20 HJBD CCc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1Cl 574592272 MRIZHLILYTUEKK-UHFFFAOYSA-N 400.765 4.673 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1nc2ccccc2cc1Br 576865150 YYOOABXEFZDZAU-UHFFFAOYSA-N 422.622 4.517 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CCC(F)(F)[C@H](C)C2)c([N+](=O)[O-])c1 583387614 ZFCVBNCUMVWXFQ-DNVCBOLYSA-N 403.429 4.560 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(F)c(Br)c1 590145911 ZZQIFCVDLYSKFM-SECBINFHSA-N 408.227 4.554 5 20 HJBD CC(=O)c1ccc(Nc2cccc(COCC(F)(F)C(F)F)c2)c([N+](=O)[O-])c1 603867846 GETRTHFTNZLUIV-UHFFFAOYSA-N 400.328 4.958 5 20 HJBD O=C1c2ccccc2C(=O)N1Cc1cc(-c2ccccc2)c2cc([N+](=O)[O-])ccc2n1 603923784 OZENPXSNPUKIEF-UHFFFAOYSA-N 409.401 4.606 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 604035612 DMZPQGQAKQNWGY-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1cccc2c1CCN2C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 604498031 RAMHEKOZNFDCOI-MRXNPFEDSA-N 402.454 4.674 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609724268 NEMDMODEMWDVBY-UHFFFAOYSA-N 421.862 4.583 5 20 HJBD CC(C)(C(=O)NCCc1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 610039859 DVHHXCKPVCRCLV-UHFFFAOYSA-N 418.493 4.810 5 20 HJBD CC(C)(C(=O)NCc1ccc(Oc2cccc(F)c2)nc1)c1ccc([N+](=O)[O-])cc1 610043745 YOFAOHZABPGJIH-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291581 WZKOLLAGKJQEJF-BEFAXECRSA-N 422.403 4.854 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1 610640486 YDTRHROYIPSYMO-UHFFFAOYSA-N 406.442 4.517 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(OCc2ccccc2F)CC1 613295533 SPVJGONJJSQORH-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1ccc(Cl)c([N+](=O)[O-])c1 726516449 MKRVHUKRATZPLA-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1c(C(=O)Nc2cc(F)ccc2Oc2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 727714817 MZLVJWSTJUSGRA-UHFFFAOYSA-N 411.345 4.995 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1ccccc1C(F)(F)F 728115967 XIQDHEQXDUVRPY-MRXNPFEDSA-N 409.364 4.991 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 731529480 UANQLIYOYKPNTG-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD O=C(CCCc1nc(-c2ccc(F)cc2)no1)OCc1cc([N+](=O)[O-])ccc1Cl 734780034 PLAOEXHDFPRQAK-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD COc1ccc(-c2nc(C(=O)OCc3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1 735801389 ZRJBCCUJMHIQJA-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)OCc1ccc([N+](=O)[O-])cc1Br 739580414 UOTIQGDKUBJMQN-FPLPWBNLSA-N 419.256 4.724 5 20 HJBD CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)c1c2ccccc2cc2ccccc12 746524769 WPZAKDFBRJIOTE-UHFFFAOYSA-N 403.503 4.669 5 20 HJBD O=C(NC1(c2cccc(F)c2)CC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750887089 LENTVXGCQSDZHY-UHFFFAOYSA-N 413.630 4.569 5 20 HJBD O=C(OCc1coc(-c2c(F)cccc2F)n1)c1cc([N+](=O)[O-])cc(Cl)c1F 754986579 VDCCGUSJDXHPFQ-UHFFFAOYSA-N 412.707 4.678 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(Oc2nccs2)c1 760522855 SDEATLFKIQACKP-UHFFFAOYSA-N 423.406 4.856 5 20 HJBD COc1ccc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 761803974 VQIYRXYHBPVQRJ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc[nH]1)c1ccc(N(C)C)cc1 765093072 MKGQYYMTMYLLCJ-IBGZPJMESA-N 420.513 4.791 5 20 HJBD COc1cccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)c1[N+](=O)[O-] 766842283 PNYJWSQHPAJIEI-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])OCc1nc(C2CC2)cs1 774192949 JGGXNVJDMZJCTP-UHFFFAOYSA-N 418.418 4.783 5 20 HJBD Cc1cccc(Nc2nnc(SC[C@H](O)c3ccc([N+](=O)[O-])cc3)s2)c1C 776270288 CBYPHACWGWQFRA-INIZCTEOSA-N 402.501 4.632 5 20 HJBD COc1ccc(-c2ccc(CN[C@H]3CCCc4cn(C(C)C)nc43)o2)c([N+](=O)[O-])c1 780765003 UPYUMPKAKMULLY-IBGZPJMESA-N 410.474 4.808 5 20 HJBD O=C(OCCC(Cl)(Cl)Cl)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781256932 HGQFHBJAZAKOPF-UHFFFAOYSA-N 420.626 4.877 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc3c(Cl)cc(C(F)(F)F)cn23)cc1F 787488577 AOFQPYPJLDKAKB-UHFFFAOYSA-N 406.748 4.741 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 789919249 AEHAUDPEWZYZRA-OAHLLOKOSA-N 400.416 4.720 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1SCC(F)F 791368656 ZSCZQFKZKLTWKE-UHFFFAOYSA-N 405.785 4.579 5 20 HJBD COc1c(C(=O)Nc2nc3ccc(SC(F)F)cc3s2)cccc1[N+](=O)[O-] 796517603 WQUHYHMZRFRVRM-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 798123172 SOECDLKRJQXKHM-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799357002 OYTVFAACAHTYFY-UHFFFAOYSA-N 423.450 4.627 5 20 HJBD COc1ccc(COC(=O)C(C)(C)c2csc(-c3cccs3)n2)cc1[N+](=O)[O-] 800292215 MBXJLWLCIHJWDI-UHFFFAOYSA-N 418.496 4.809 5 20 HJBD O=C(Nc1cc(Cl)c(O)cc1F)c1cc(Br)cc([N+](=O)[O-])c1Cl 805255556 RWFQGOAZLMTGFS-UHFFFAOYSA-N 424.009 4.761 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)S(=O)(=O)c1cc(Cl)c([N+](=O)[O-])cc1F 809807167 KVMJYUCMLBAMDX-SNVBAGLBSA-N 412.826 4.515 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 812873500 CVQJRJAGLMDDKR-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD CC(C)[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccccc1Cl 915370706 UQBRTUZIMAMQHZ-INIZCTEOSA-N 411.241 4.722 5 20 HJBD CCOc1cc(NC(=O)NCc2cccc(OC(C)C)c2)c([N+](=O)[O-])cc1OCC 916674144 PJQZINWUROFYAZ-UHFFFAOYSA-N 417.462 4.501 5 20 HJBD O=[N+]([O-])c1ccc2cnn(CN3CCC[C@H]3c3ccc(Br)cc3)c2c1 917487937 WSYLFJLPGGRSAL-KRWDZBQOSA-N 401.264 4.502 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1-c1nnc(-c2ccc(CN(CC)CC)o2)o1 1118126993 JYCSBNXTRDLSFW-UHFFFAOYSA-N 402.476 4.859 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)oc1C1CC1 1319058236 IXUZZPRUTHHJQP-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322124167 TZYDGWOOCNNZLU-UHFFFAOYSA-N 424.844 4.770 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cccc(Br)c3)o2)ccc1N1CCCC1 1328404553 BCENBFQDJSOYLW-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-n2cccc2)ccc1F 1341371451 ZEKULBCHEXBESP-UHFFFAOYSA-N 415.446 4.515 5 20 HJBD CCCC(=O)NC1(c2ccc(NC(=O)c3c(F)ccc([N+](=O)[O-])c3C)cc2)CCC1 1790771223 VLURQKNVNRRYEU-UHFFFAOYSA-N 413.449 4.590 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(-c2ccccc2)nc1-c1ccccc1 19159464 AEGLBYKDKWTMGT-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD CC(=O)N(c1nc(COc2ccc(C)cc2[N+](=O)[O-])cs1)c1ccccc1F 22052056 OOMJLSYGYOEIMM-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3ncccc23)cc1[N+](=O)[O-])c1ccccn1 52955454 ZLVBOGRUIHCDNW-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD COc1cc(NC(=O)c2cc3ccccc3c3cccnc23)ccc1N1CCCC1=O 58911679 KASBUUUZTRRAQQ-UHFFFAOYSA-N 411.461 4.776 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 61114593 PNTCMLGWWUQMMU-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@H]1c1nc2ccccc2n1C 117493562 HMUNHMVLKXSFAW-YWZLYKJASA-N 424.526 4.716 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 248249437 LFXRMLCPBPTJEJ-GOSISDBHSA-N 410.301 4.715 5 20 HJBD Cc1ccc([C@@H](Nc2ccccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)c(C)c1 301443303 JCQZRCWGNSYOKP-QFIPXVFZSA-N 410.495 4.817 5 20 HJBD O=[N+]([O-])c1cnc(N2CCCC[C@@H]2c2cc3ccccc3[nH]2)c(Br)c1 301685847 WVZWDXNHERITLM-QGZVFWFLSA-N 401.264 4.965 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2)n1 437764457 YZSVTUKRUAHYJC-UHFFFAOYSA-N 421.457 4.965 5 20 HJBD COc1ccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c(OCc2ccccc2)c1 439689010 TXIVCQFAIZTDCH-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(-c2nc([C@H]3CCCOC3)no2)cc1 445527641 HVKVEUYJQIALTC-ZDUSSCGKSA-N 401.806 4.985 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@H](C)Sc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 446492471 JPMPMNAUWRKLDX-AWEZNQCLSA-N 422.510 4.597 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@H]1c1cccc(N(C)C)c1 448025848 FZTPXGIJLHIPSD-YWZLYKJASA-N 407.474 4.609 5 20 HJBD CCOCCCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N(C)[C@H](C)c1cccs1 460775081 DNWCZQYUYBAXPK-OAHLLOKOSA-N 415.519 4.539 5 20 HJBD CCN(CC)CCN(C(=O)c1ccc([N+](=O)[O-])s1)c1cccc(C(F)(F)F)c1 461092784 YOISYKFOJZAZJM-UHFFFAOYSA-N 415.437 4.664 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1cc(Br)cs1 462419231 JRMGXCQIFDVSRL-ZDUSSCGKSA-N 411.321 4.646 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)Nc1ccc([N+](=O)[O-])c2ncccc12 463128161 FLBYAWDTJJQFGT-UHFFFAOYSA-N 424.363 4.648 5 20 HJBD CC(C)(CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)Cc1cccc(Cl)c1 465046045 JBOUXWBAGAQPRH-UHFFFAOYSA-N 413.861 4.501 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2N)nc1-c1ccccc1 468744445 MTEBHDVOCOZSLJ-GOSISDBHSA-N 420.469 4.933 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 469886757 FPUPJBVPPIGBQE-UHFFFAOYSA-N 410.395 4.939 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1 473245893 SNTONAFLOLHSGZ-JTQLQIEISA-N 417.384 4.827 5 20 HJBD Cc1ccc(-n2cccn2)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 476844197 DRUDRZHSJLXFPK-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 477433656 PRLGYIOXOMBQRH-RHSMWYFYSA-N 413.232 4.609 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccnc(Oc2ccccc2Cl)c1 479031797 OJDVDLUKGVIQMO-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(F)cc1C(F)(F)F 480649681 QITAWQIIKDAYSS-UHFFFAOYSA-N 402.369 4.795 5 20 HJBD Cc1ccc([C@H](C)NCc2ccc(OCCOc3ccccc3)nc2)cc1[N+](=O)[O-] 481316167 CQJWQTOLBYTKGF-SFHVURJKSA-N 407.470 4.607 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(c4nnc5n4CCCC5)CC3)s2)cc1 482489150 SGTKZJHEOWKFNE-UHFFFAOYSA-N 423.542 4.631 5 20 HJBD Cc1ccc([C@@H](C)NCCCOC2CCN(Cc3ccccc3)CC2)cc1[N+](=O)[O-] 484506018 TYNYVCNKTZIING-HXUWFJFHSA-N 411.546 4.625 5 20 HJBD COc1ccccc1N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(C)C 484904925 DIYOUPZAHRHXJD-UHFFFAOYSA-N 412.364 4.600 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccco3)n2CC(C)C)ccc1[N+](=O)[O-] 491865978 HVUXSSZCFNQJAF-UHFFFAOYSA-N 402.476 4.793 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccccn1)c1ccc(F)cc1 497803586 GRQUKJMJBBOOLE-UHFFFAOYSA-N 417.343 4.577 5 20 HJBD CCC(C)(C)NC(=O)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(C)C 498081447 CXFOPENXFIRECG-LJQANCHMSA-N 411.571 4.508 5 20 HJBD CC(=O)Nc1ccc(-c2noc(-c3cc([N+](=O)[O-])ccc3C)n2)cc1C(F)(F)F 499638211 UYSPZJVRFJITPG-UHFFFAOYSA-N 406.320 4.597 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2ccccc2)CC1 502637649 VTMGOSVQURIUDP-UHFFFAOYSA-N 412.511 4.832 5 20 HJBD Cc1c(CNC(=O)C(C)(C)c2csc(-c3ccccc3F)n2)cccc1[N+](=O)[O-] 506180650 VJZFOLARLISPCE-UHFFFAOYSA-N 413.474 4.760 5 20 HJBD CC(C)c1ccc(CN2CCC(NC(=O)Nc3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 509735885 PHNBNRFTPJQZMZ-UHFFFAOYSA-N 414.481 4.643 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 512274924 CHEKGQXKLGTFOH-LJQANCHMSA-N 402.859 4.594 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c12 514913316 WBFQHEDQQLSVLF-UHFFFAOYSA-N 423.454 4.939 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(Cl)cc(F)c1Br 516199351 ARFJVRHWFSNKDK-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD C[C@H](Nc1ccc2ncsc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 520029387 VHSMMBKHFGIYHY-VIFPVBQESA-N 410.377 4.662 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1)C1CC1 521300613 BBIWHOFUXHTNAE-UHFFFAOYSA-N 413.499 4.863 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCCCOc1ccc(Cl)cc1 522672443 MHPWDZZPMVPXGB-UHFFFAOYSA-N 422.890 4.749 5 20 HJBD COc1ccc(-c2csc(NC(=O)Cc3cccc([N+](=O)[O-])c3)n2)cc1Cl 525625448 NRKYTDXDMRLNQK-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)[nH]c2c1 530890195 CIEHUUWWVKXTFK-NRFANRHFSA-N 421.501 4.649 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cnn1-c1ccccc1 531482947 MTEQUPHCDBUXTJ-UHFFFAOYSA-N 401.426 4.704 5 20 HJBD COc1cc(CNC[C@@]2(C)CCCS2)ccc1OCc1ccc([N+](=O)[O-])cc1 531818225 DOMOFZGLCITDDY-OAQYLSRUSA-N 402.516 4.558 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)CC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 532490551 FVHRKQMODCGNJL-LLVKDONJSA-N 415.799 4.899 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)Cc1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 536677142 WCHGFJQVFKZXEB-QGZVFWFLSA-N 400.529 4.993 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 537135506 FORKPOJPLIVUME-IRXDYDNUSA-N 404.470 4.643 5 20 HJBD CSc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1C 539310008 ZKMJPLRTIAXRKP-UHFFFAOYSA-N 401.488 4.700 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccsc2)O[C@H](C)C1 540471600 NQRUQPSHFYSDBL-CXAGYDPISA-N 406.529 4.759 5 20 HJBD C[C@H](c1nc(-c2cccc(OCc3cccnc3)c2)no1)c1ccc([N+](=O)[O-])cc1F 542708371 QWBOGNKUTFRVEC-AWEZNQCLSA-N 420.400 4.910 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 543491180 WDBJXVPYDTYCEH-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1ccn(C)n1 545162053 XHRHBZQMWWJYOX-IBGZPJMESA-N 413.865 4.510 5 20 HJBD COc1cc([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 545164870 HJQYVGCVMMEZGV-CQSZACIVSA-N 418.465 4.685 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1F 552684604 NEJLHBSSLCEDAE-LLVKDONJSA-N 409.255 4.651 5 20 HJBD COc1ccccc1Nc1ccccc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 554829163 YJGDOGSJSSJRQW-UHFFFAOYSA-N 405.454 4.662 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2c3ccccc3CC23CCOCC3)c(Br)c1 558598794 PMWLRBGPFMSAQJ-SFHVURJKSA-N 403.276 4.863 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 561740652 WXZUJWZMZMZTSM-QWHCGFSZSA-N 412.383 4.946 5 20 HJBD CCc1nc2cc(CNC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)ccc2n1C1CC1 564785208 PLIKPSJXBMPSPG-UHFFFAOYSA-N 413.865 4.817 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccccc4-n4cccn4)no3)cc12 567516247 JHRUTRUKAFMRKU-UHFFFAOYSA-N 405.417 4.584 5 20 HJBD CN(C)CCCCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 572559244 AYWQFNRRNWYHKF-UHFFFAOYSA-N 423.872 4.528 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 573662177 MWENJZVQZJIQEJ-SJORKVTESA-N 409.486 4.660 5 20 HJBD COc1ccc(CNCc2cc(F)cc(F)c2)cc1OCc1ccc([N+](=O)[O-])cc1 603586139 QRTITJXBXRMDSJ-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD Cc1sc(NC(=O)c2ccc3c(c2)CCCC(=O)N3)nc1-c1cccc([N+](=O)[O-])c1 603997896 XRFGYFXCCRNHQG-UHFFFAOYSA-N 422.466 4.554 5 20 HJBD COc1cc(CNCC2(c3ccccc3)CCC2)c([N+](=O)[O-])cc1OCC(F)(F)F 604532411 RBKZIYIVEJJRBF-UHFFFAOYSA-N 424.419 4.756 5 20 HJBD CCc1nc(CCNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cs1 608973556 LTKOBCBWYQQZHR-UHFFFAOYSA-N 410.499 4.829 5 20 HJBD C[C@@H](Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)cc1)c1ccccc1 608985249 NQRBSGILGNETDB-OAHLLOKOSA-N 419.437 4.791 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@@H]3CCCN(c4nc5ccccc5s4)C3)n2)cc1 609604058 KUUYHFVXMNMBCU-CQSZACIVSA-N 407.455 4.639 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(Br)c(F)cc1Cl 610585337 KQVWZMIKRXMAQB-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD C[C@@H]1COCCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610948880 XEXIZDFXOMFEHP-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD COc1ccc(Nc2ncnc3ccc([N+](=O)[O-])cc23)cc1NC(=O)C1CCCCC1 611705530 FXCASXBPGDPOSA-UHFFFAOYSA-N 421.457 4.809 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 726335752 MKJWYWWWTHKNEY-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cc(Cl)ccc1[N+](=O)[O-] 726924696 HLDVFRJRVXDZPK-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(SC(F)F)cc1 735445370 FOSHSJPQDXUOPO-UHFFFAOYSA-N 406.366 4.922 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 736510131 YYBZCUBLUSKHOP-KSFYIVLOSA-N 419.485 4.685 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745070806 DYGLGEQGNOJZAW-HNNXBMFYSA-N 412.486 4.568 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964195 RWZUKMZHYGJYPR-OXJNMPFZSA-N 417.893 4.558 5 20 HJBD O=C(Cc1csc(-c2cccnc2)n1)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 783361837 IRKZTUGDBQJSQN-UHFFFAOYSA-N 417.446 4.928 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=S)Nc1ccc(Br)cc1 783733005 WESWJCVYKQQXOS-UHFFFAOYSA-N 408.321 4.965 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nc3cccc(Br)c3s2)cc1 795553176 NIMVNKBNXYCSCB-ZDUSSCGKSA-N 411.302 4.793 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1ccc(Cl)c([N+](=O)[O-])c1 800355173 AWUVAAOQKNFCGJ-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 804344647 WQNIMKYKNWJVLO-UHFFFAOYSA-N 412.446 4.753 5 20 HJBD C[C@H]1CN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C[C@H](c2ccsc2)O1 813480000 GJYSJAQQJNALQE-LKFCYVNXSA-N 401.271 4.565 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(Br)ccc1N1CCCC1 864019823 SVMHIUQSSWLQEP-UHFFFAOYSA-N 406.280 4.578 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)c1C 904470172 XJZYGCHHPUFOFL-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD Cc1cc(C)c(OC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)c([N+](=O)[O-])c1 911613899 RGDVKMPBXSXIRJ-UHFFFAOYSA-N 400.431 4.707 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1ncc(-c2cccc(Cl)c2)o1 916486546 AUHIJHVDLPEGLS-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)OCc1cc(F)ccc1[N+](=O)[O-] 920677087 BBYSVJSPKGQUSI-UHFFFAOYSA-N 412.851 4.858 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1ccc(F)cc1OC(F)F 1116461766 WQPDYJPYGDHDSG-ZDUSSCGKSA-N 409.408 4.655 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCc2cnn(C(C)C)c21 1116627876 ZKUSZRNHCCVMDT-KRWDZBQOSA-N 402.520 4.682 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nnc(-c3cccc(OC(F)F)c3)o2)c1 1117232016 RUHOYNPYFDBFRT-UHFFFAOYSA-N 416.384 4.904 5 20 HJBD Cc1cc(Cl)c(NS(=O)(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2cccnc12 1252031220 CLADRFYPXOWDCD-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])c3nsnc3c2)o1 1257769104 JSAHVFGZNGPVSC-LLVKDONJSA-N 419.422 4.943 5 20 HJBD COc1ccc(Cc2nnc([C@H](C)Oc3ccc4ccccc4c3)o2)cc1[N+](=O)[O-] 1322398958 GRIJYAFABRVVRB-AWEZNQCLSA-N 405.410 4.870 5 20 HJBD C[C@@H](Oc1cccc(Br)c1)c1nnc(-c2cc(F)ccc2[N+](=O)[O-])o1 1323965032 ULOMTHYJEFJBBI-SECBINFHSA-N 408.183 4.686 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(COc3ccc(Cl)cc3)o2)cc1SC 1341313406 YJDGWEYHTYSCCQ-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD C/C=C(\C)C(=O)NC1(c2ccc(NC(=O)/C=C/c3ccccc3[N+](=O)[O-])cc2)CCC1 1792317291 LOXSYWLFJCIMRJ-MEQWBTHTSA-N 419.481 4.708 5 20 HJBD C[C@H](Sc1nc(-c2ccccc2)cs1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 5971906 DIXTVKCZRJAYFA-NSHDSACASA-N 403.460 4.977 5 20 HJBD COc1cc(CNc2ccc(Br)cc2[N+](=O)[O-])ccc1OC(F)F 7365600 PBBAKZNKMNUDLK-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD Cc1noc(C)c1COc1ccc(CC(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 7835229 HMHFAJUZEDIRID-OAHLLOKOSA-N 410.426 4.626 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(C(C)(C)C)cc1 10666502 WRJBRDJXELYHLB-GFCCVEGCSA-N 404.850 4.730 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1OC 15270954 HPABMAFUZSOITG-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)c2ccc(Br)cc2)c1 16196585 MGKAYMBRIMHYQL-SNVBAGLBSA-N 424.272 4.507 5 20 HJBD COc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1Cl 28875576 ZDKVCJUXLXOJLN-VIFPVBQESA-N 417.771 4.715 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(CN(C)C)c2)cc1 31237722 MAGUKTSSONPKKX-UHFFFAOYSA-N 421.453 4.710 5 20 HJBD CCCCCCNC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 36703414 GPGSMTWVESOVDM-UHFFFAOYSA-N 401.429 4.527 5 20 HJBD C[C@@H](C1CC1)N(Cc1ccccc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 58649571 BMEXHQMNRPWGAS-IBGZPJMESA-N 410.517 4.982 5 20 HJBD Cc1ccc(NC(=O)CNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c(Cl)c1 63737338 IRDHACXXVCBARP-UHFFFAOYSA-N 423.856 4.838 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(NC(=O)c2cccs2)cc1 109907452 NRMQEXRTTGWRTB-UHFFFAOYSA-N 420.450 4.502 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1 303726917 SZQWLXWBBDYXSO-SUMNFNSASA-N 420.556 4.761 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)cc1SC 410361689 VSTMQBLZFLIJMJ-WYRIXSBYSA-N 406.891 4.644 5 20 HJBD C/C(=C\c1ccccc1)CN(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426276956 IGQVXBXVEIOVOF-RIYZIHGNSA-N 407.392 4.859 5 20 HJBD CCOC1(C)CCN(Cc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)CC1 430088678 LIRQEBPZIGAAED-UHFFFAOYSA-N 414.502 4.573 5 20 HJBD CC(C)(C(=O)NCc1cccnc1Oc1cccc(F)c1)c1ccccc1[N+](=O)[O-] 432004867 CTSCIADKPOFQOT-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2ccncc2)cs1 437672576 ZRLMGOVXZWEEIW-JTQLQIEISA-N 422.388 4.623 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 437902976 IMMRURAGODSCPV-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD CC(C)[C@@H]1C[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441301153 JAVCZYOHEOZKRY-QAPCUYQASA-N 400.500 4.679 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442125494 IGFIPRBSKXZRRB-APPDUMDISA-N 424.284 4.744 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 462489479 NVQJJPAIFSMGKM-QRWLVFNGSA-N 408.458 4.530 5 20 HJBD O=C([C@@H]1C[C@@H]1c1c(F)cccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464634752 SRBZRTAKRKOZHV-DLBZAZTESA-N 418.852 4.561 5 20 HJBD CC[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 465067935 QXOOFPGVPZRXRF-VIFPVBQESA-N 408.789 4.996 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCN(CC2CC2)c2ccccc21 465377430 QDLMJIXNOQQVQF-UHFFFAOYSA-N 420.494 4.595 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](c1ccccc1)c1nccs1 475186847 ULAMRRJBSSKPGS-HNNXBMFYSA-N 422.388 4.981 5 20 HJBD CCOc1cc(OCC(=O)c2ccc(NC(=O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 478729829 GBDONDQUORWYED-UHFFFAOYSA-N 420.421 4.508 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)C(F)(F)F)cc1 482356271 ZZLANVAFPLZUAX-SJCJKPOMSA-N 411.380 4.576 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 482869691 CSOVDAYPLDNTPQ-NVXWUHKLSA-N 400.453 4.887 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2nc3cc(Cl)ccc3s2)cc1SC 483409086 PMALGDCEDJSQSS-UHFFFAOYSA-N 423.903 4.518 5 20 HJBD Cc1cc(OCc2nc(-c3cccnc3Oc3ccccc3)no2)ccc1[N+](=O)[O-] 485355546 IWZVJXVHLQEPOM-UHFFFAOYSA-N 404.382 4.720 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OCC 485750464 IMTQXUDQAAWZOD-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(F)cc1F 488455207 BLSKTGJYKNRPKF-UHFFFAOYSA-N 424.425 4.660 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cnn(CCC(C)C)c2C(C)C)cc1OC 490554318 GFRXEYGADDJUDY-UHFFFAOYSA-N 418.494 4.620 5 20 HJBD CN(Cc1cc(Br)ccc1Cl)C(=O)CCc1cccc([N+](=O)[O-])c1 494861208 NMXATOJALDLROU-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1Cc2cc(Br)ccc2C[C@H]1C 499265461 PLIKLSABAJNAGX-GFCCVEGCSA-N 404.264 4.644 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 499427424 JLBKPFZGPYUPEG-CHWSQXEVSA-N 419.403 4.946 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2/C=C\c2ccccc2)cc1[N+](=O)[O-] 505351716 JRXFUOMRJPVWEY-JQYPEGPJSA-N 412.511 4.541 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)C(F)(F)F)cc1 505403793 QQPXNXFUXUDGQV-MEDUHNTESA-N 414.405 4.504 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 506491223 QFTLQUHGKYZXRC-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2C(=O)COc2c(Cl)cncc2[N+](=O)[O-])cc1 506537477 CMCUKZJTSHAKKD-KRWDZBQOSA-N 417.893 4.683 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)c1[N+](=O)[O-] 507569868 SJXXYYXFZMJILS-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(C)c2)C(F)(F)F)cc1SC 511821669 HLICDBPCUKOTHW-MRXNPFEDSA-N 414.405 4.667 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1csc(-c2ccc(F)cc2)n1 514318826 PJGCWRGVUOXXRK-LCYFTJDESA-N 420.425 4.512 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(Oc3ccccc3)cn2)no1 514888468 QBBKPCSWTQOJRD-MRXNPFEDSA-N 417.425 4.963 5 20 HJBD O=[N+]([O-])c1cccc(F)c1S(=O)(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 520155015 LMORWRALGAVUCX-AWEZNQCLSA-N 419.261 4.567 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)CC2)c(C)c1 531014623 CXPXHURIUOKEQE-UHFFFAOYSA-N 409.486 4.955 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC(c2ccccc2F)CC1 532888316 SPPIPZXUYYTYSU-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1cc(OC(C)C)ccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 533464767 OFMNCQHPHVPDPL-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1c[nH]c2cccc([N+](=O)[O-])c12 535681334 QVBZKBNLLHJYNB-ZDUSSCGKSA-N 415.833 4.540 5 20 HJBD CN(C(=O)Cc1coc(-c2ccccc2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353840 FZYUHWVHIKIXDD-UHFFFAOYSA-N 420.450 4.579 5 20 HJBD CCc1nnc(-c2cccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)o1 539931265 LHPLMEAPWCZBKX-UHFFFAOYSA-N 407.455 4.943 5 20 HJBD COc1ccc(Cl)cc1NC(=O)C[C@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 540219694 HOMMYTZNHPKKMI-UONOGXRCSA-N 405.882 4.633 5 20 HJBD CCOc1cccc2cc([C@H](C)NCCC(=O)Nc3ccc([N+](=O)[O-])cc3C)oc12 542587397 SNAJJNHLMWPVBS-HNNXBMFYSA-N 411.458 4.728 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NCCC(=O)Nc1ccccc1[N+](=O)[O-] 542588806 HYCBCCBVLSTFRE-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 542594888 GVIIPDUWSOWJCJ-VBKZILBWSA-N 410.449 4.571 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC2CCC(c3ccc(F)cc3)CC2)cc1OC 542861872 OYGJAFAVSXYTCH-UHFFFAOYSA-N 416.449 4.597 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 545037241 JJSOZXUBSZYCHD-UHFFFAOYSA-N 408.414 4.517 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(Br)cc3OC(F)F)no2)cc1 546562026 RYZIDMOYPJGZAE-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD Cc1occc1CN(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 549959590 UGXQNIRCAQFDCO-UHFFFAOYSA-N 409.471 4.501 5 20 HJBD CCC(CC)n1nc(C)cc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 561511956 GFGCYOLZFPENNA-UHFFFAOYSA-N 413.503 4.861 5 20 HJBD CC(C)c1nc(Cc2noc(-c3ccc([N+](=O)[O-])cc3Br)n2)cs1 565395123 OMXQQUFSMSIQFW-UHFFFAOYSA-N 409.265 4.578 5 20 HJBD COc1cc(CNC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 569181840 OSPHJERVTOAIGN-KRWDZBQOSA-N 420.465 4.602 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1cnc2n1CCCC2 573035896 SQTXVHDPCVAXMY-UHFFFAOYSA-N 400.485 4.593 5 20 HJBD COc1cc(CNC2CC(C(F)(F)F)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 578693633 NUXKZCXODMJKIM-UHFFFAOYSA-N 410.392 4.613 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)C(C)C)cc1OC 590666649 YYDPFPAMNNZZGL-LJQANCHMSA-N 406.866 4.783 5 20 HJBD COc1cc(CNc2ccc(Br)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1O 600851430 YRZHLPXTIXBSIN-UHFFFAOYSA-N 421.169 4.702 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2COc2ccccc2)cc1[N+](=O)[O-] 604090017 BTQFPUOOKABJBL-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1ccccc1OC(F)(F)F 608940638 RWJWOOJEODLECM-UHFFFAOYSA-N 410.392 4.863 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(Oc2ccccc2)cc1C 609450537 IZVMZOSCAQATLF-UHFFFAOYSA-N 407.426 4.755 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 609500733 QYXBLCFWKQNCQE-QWHCGFSZSA-N 416.302 4.957 5 20 HJBD CCc1cc(=N[C@@H](C)c2ncc(-c3ccccc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 610077129 GMLDMPTZJHKUCL-HNNXBMFYSA-N 415.453 4.864 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)CSc1ccc([N+](=O)[O-])cc1 610191064 CUOUSPMJAWXUIM-UHFFFAOYSA-N 407.495 4.798 5 20 HJBD COc1ccc2c(c1)c(C(=O)NCc1cccc([N+](=O)[O-])c1)c(C)n2C1CCCC1 610196201 MXRHTBAQQXRIMH-UHFFFAOYSA-N 407.470 4.912 5 20 HJBD CCc1ccc([C@H](N[C@@H]2CCOc3cc(OC)ccc32)c2ccc([N+](=O)[O-])cc2)o1 612409744 AZDJQJHLKULMIQ-NFBKMPQASA-N 408.454 4.962 5 20 HJBD CN(C)CCCCN(C)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613509464 BCPZBMJIYSSJPJ-UHFFFAOYSA-N 421.950 4.813 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1cccc(Sc2ccccc2)c1 615298238 SRNQWAVGSZRNHT-UHFFFAOYSA-N 421.478 4.940 5 20 HJBD C[C@H](Nc1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-])c1ccc2c(c1)CC(=O)N2C 728287024 RINOAMLWUBOSQT-VIFPVBQESA-N 413.783 4.959 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(NC(=O)c3ccsc3)cc2)c1 728887096 SFBZSIGCBBUHGO-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD CC(C)[C@@H](CC1CCCCC1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 734613519 HPUCDMYMRXOMQH-GOSISDBHSA-N 401.463 4.931 5 20 HJBD O=C(OCc1ccccc1Oc1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735353160 BADAUZJRXBVJNR-UHFFFAOYSA-N 416.389 4.998 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CSc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 735631004 VCTRGDPFWGWTNH-IBGZPJMESA-N 420.446 4.642 5 20 HJBD CCc1ccc2c(COc3ccc([N+](=O)[O-])cc3Br)cc(=O)oc2c1 737399342 MPQGIZODTOQGCP-UHFFFAOYSA-N 404.216 4.605 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@@H]1CCC[C@H](C(F)(F)F)C1 739580026 KVAYCNPWWGQRAY-KOLCDFICSA-N 410.186 4.769 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3coc4ccccc34)CC2)ccc1[N+](=O)[O-] 744204910 TXBHHKZWIVWXJW-UHFFFAOYSA-N 410.426 4.566 5 20 HJBD O=C(OCc1ccon1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 744519662 BWORGZZCCGUIBU-UHFFFAOYSA-N 413.436 4.701 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746063971 QMPWDUNKYWLQDC-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)[C@H](C)c1ccccc1OC 748619500 MNXNSMSNKCRIMS-OAHLLOKOSA-N 415.446 4.742 5 20 HJBD Cc1c(C(=O)OCc2csc(C3CCCC3)n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748943375 HGXZAAHHFAGAQD-UHFFFAOYSA-N 405.432 4.591 5 20 HJBD CC(C)c1ccc(CCC(=O)OCC2CCN(c3ncccc3[N+](=O)[O-])CC2)cc1 749359534 VYNZLZMTGKNMDA-UHFFFAOYSA-N 411.502 4.506 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1(c2cccc(C(F)(F)F)c2)CC1 751660989 VGGURTNDMSZPII-UHFFFAOYSA-N 406.316 4.530 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1C 757210418 OHNITFGZKOQWKZ-UHFFFAOYSA-N 404.854 4.617 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758986598 LIUFDKLJBKHYFV-UHFFFAOYSA-N 410.430 4.604 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cn1 762335377 KSGKCVUKCPVMTH-UHFFFAOYSA-N 423.454 4.851 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)nc1 762384854 BOVNBRJTMJHQCC-UHFFFAOYSA-N 423.454 4.851 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1ccc([N+](=O)[O-])c(Cl)c1 764193107 ORYBJXSIXSFQOX-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(N[C@H](C)c2ccccn2)c1[N+](=O)[O-])c1ccccn1 771146733 LQTYTYHNFFRDSQ-UONOGXRCSA-N 408.418 4.639 5 20 HJBD C[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OC(F)(F)F)c1 775553263 MLMDYMLNKYMIQK-GFCCVEGCSA-N 407.348 4.999 5 20 HJBD CCC(CC)[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccs1 781610167 PQUMAGVILKTSKG-LJQANCHMSA-N 405.476 4.791 5 20 HJBD CCn1ccnc1[C@H](CCc1ccccc1)OC(=O)Cc1cccc([N+](=O)[O-])c1C 788086106 NLGDNEWYGMNUGU-NRFANRHFSA-N 407.470 4.579 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cc(Cl)ccc2OC[C@H]2CCCCO2)c([N+](=O)[O-])c1 789671497 IBCVHLUQWHZPDC-MRXNPFEDSA-N 421.837 4.716 5 20 HJBD COc1ccc(-c2nc(S/C=C\c3ccc([N+](=O)[O-])o3)n[nH]2)cc1Br 789970436 NUWMAVFOOMKVKY-SREVYHEPSA-N 423.248 4.507 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccc(Br)cc2)C2CCC2)c([N+](=O)[O-])c1 792877658 VIFHGOLDZGANAP-SFHVURJKSA-N 420.263 4.897 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1/C=C\c1nc(O)c2cc(Br)sc2n1 794946519 WARUPKYSRMZZDT-RJRFIUFISA-N 412.652 4.891 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 797736402 RGBHRHVTOBKSND-HSZRJFAPSA-N 420.465 4.587 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3cc(N)ccc3[N+](=O)[O-])CC2)n1 800869450 PZRQWYWQDUOBJG-UHFFFAOYSA-N 410.499 4.693 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br 809302903 DOGHVHNQIJKBQQ-KRWDZBQOSA-N 407.264 4.589 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccccn1 809917950 RFXCOYVFSDCBBX-LJQANCHMSA-N 412.833 4.563 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)C1CC1 813010041 HVGUWTOUCDGULB-UHFFFAOYSA-N 422.268 4.665 5 20 HJBD O=C(NCCc1cccc(OCc2ccccc2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 839717190 GFAUVEQBDZKHFG-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD CC(C)[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)N(C)c1ccccc1 877514730 PCSFXPDMFBCAAZ-KRWDZBQOSA-N 401.513 4.984 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccsc4C(F)(F)F)no3)c2c1 904922645 IQINSOMLUOADCH-UHFFFAOYSA-N 408.317 4.646 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@H]1CC1(Cl)Cl 916827680 QSNVTXAUGLATNZ-LLVKDONJSA-N 415.660 4.960 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 918134099 GUEJSZUBRXHGQP-MRXNPFEDSA-N 410.213 4.787 5 20 HJBD O=C(CCN1c2ccccc2Sc2ccccc21)NCc1cccc([N+](=O)[O-])c1 921287858 GELUNASHRHGQSB-UHFFFAOYSA-N 405.479 4.904 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116331741 WBUDFZKZPHSFRA-HSZRJFAPSA-N 417.465 4.689 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)c([N+](=O)[O-])c1 1123372804 VOLKFIJJTRLHSH-UHFFFAOYSA-N 418.453 4.862 5 20 HJBD COc1ccc(CNCc2ccc3c(c2)CCC3)cc1OCc1ccc([N+](=O)[O-])cc1 1321649256 AAILSKVWSNZHGS-UHFFFAOYSA-N 418.493 4.961 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)cccc1[N+](=O)[O-] 3444628 RJYNINKOZFGCBG-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD Cc1cc(C)c(C(=O)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 11276960 OAELXKNXMWMAJD-UHFFFAOYSA-N 413.473 4.506 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1cc([N+](=O)[O-])ccc1Cl 12063526 FJKAWHVMZFKVSH-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nccn2-c2ccc(Cl)cc2)cccc1[N+](=O)[O-] 24319304 CZSZGEVXQFDADX-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)C1CC1 25878661 HMTGRGFYAMUKGQ-SFHVURJKSA-N 410.417 4.973 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1 56356914 SGBFJVBLPBCRPN-UHFFFAOYSA-N 400.778 4.738 5 20 HJBD O=C(NCC1(c2cccc(Br)c2)CCC1)c1ccc(Cl)cc1[N+](=O)[O-] 59891566 UJPBELNDGGJZGS-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD O=C(NC1(c2cccc(Br)c2)CCC1)c1ccc([N+](=O)[O-])cc1Cl 59919645 KRQGIQRCMITLCV-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD Cc1ccc(C(=O)N(C)CCCCCc2cc(-c3ccc(F)cc3)n[nH]2)cc1[N+](=O)[O-] 108228415 HIVYQQCNYSELJC-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD Cc1ccc(CN(Cc2ccco2)Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)s1 109218866 YHSZZVRKQLDOEX-UHFFFAOYSA-N 410.455 4.810 5 20 HJBD Cc1sc(NC(=O)c2ccc(Cl)nc2Cl)nc1-c1cccc([N+](=O)[O-])c1 215726067 CVSWHWZRNKSLIL-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)Nc1cc(C(F)(F)F)ccc1Cl 215845377 GQQJHUZPILMRIH-UHFFFAOYSA-N 401.772 4.934 5 20 HJBD O=C(Nc1ccc(Oc2ncc([N+](=O)[O-])cc2Cl)cc1)c1cccc([N+](=O)[O-])c1 301248398 AFAPMTUKWQMOHV-UHFFFAOYSA-N 414.761 4.596 5 20 HJBD Cc1cc(Sc2nnc(-c3ccncc3)n2-c2ccc(Cl)cc2)ncc1[N+](=O)[O-] 301429370 IZCWENBIVSPGFG-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@@H](O)c3ccccc3)cc2[N+](=O)[O-])cc1 301994531 GHXXVWCZRGPADP-HXUWFJFHSA-N 408.479 4.518 5 20 HJBD COc1cc(C(=O)NCc2ccccc2Sc2ccccc2)c([N+](=O)[O-])cc1OC 410371189 FMWMHEVLIAZVME-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD Cc1c(NC(=O)NCc2ccc(OCc3cccc(F)c3)cc2)cccc1[N+](=O)[O-] 410378182 AWJPNYDMGFNDEC-UHFFFAOYSA-N 409.417 4.943 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccc(Br)cc2[N+](=O)[O-])s1 430648036 YRRRLJDGSAJOES-JTQLQIEISA-N 412.309 4.518 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1c(F)cccc1F)C1CCCC1 437693945 GECMWCLHSGJDEI-UHFFFAOYSA-N 405.401 4.858 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2ccc(Oc3ccccc3)cc2)c([N+](=O)[O-])c1 440834074 PYWWHHOCTWRHRL-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD CCOCc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 444110566 GPEKBDONSDXAMJ-UHFFFAOYSA-N 408.404 4.748 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 445265593 VIBDVNVNTBCGPY-VIFPVBQESA-N 401.307 4.630 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](CO)Cc2c(F)cccc2Cl)o1 462738275 KLESLXNRAOUCBF-AWEZNQCLSA-N 418.852 4.588 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)[C@H]2CC[C@H](C)C2)cc1[N+](=O)[O-] 464468446 BFISTUQVSHAUPA-HOCLYGCPSA-N 412.511 4.808 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 465684441 JSBUYYBTHDCQNW-INIZCTEOSA-N 405.454 4.831 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 466858153 OBXYPIMODNCWMV-QGZVFWFLSA-N 406.548 4.766 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 468141418 DLABLVYZIITUFF-QGZVFWFLSA-N 406.486 4.875 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2oc3ccccc3c2C)cc1SC 482173407 VOCZSBIVESQSQW-LBPRGKRZSA-N 400.456 4.871 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 485932454 LAMHXBIXPNQWLG-UHFFFAOYSA-N 401.221 4.966 5 20 HJBD C[C@H](C(=O)Nc1cccc(Cl)c1Br)c1ccc([N+](=O)[O-])cc1F 486821058 HDXYPGFEOJXLOC-QMMMGPOBSA-N 401.619 4.892 5 20 HJBD Cc1cc(=N[C@@H](C)c2nc3ccccc3n2C(F)F)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 489204450 IIYDGMSMIHDJPZ-ZDUSSCGKSA-N 424.411 4.700 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 492682053 JWTQEQFFWFYYMN-XPGWFJOJSA-N 416.468 4.843 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2csc(Br)c2)cc1[N+](=O)[O-] 493002370 JCFIXNCUFSRLPC-LLVKDONJSA-N 412.309 4.630 5 20 HJBD CCN1CCC[C@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 498077787 ISOIMUJGHZTMES-OAHLLOKOSA-N 417.893 4.502 5 20 HJBD O=C(c1cc(C(F)(F)F)ccc1F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498149158 DUVRDQCSKBBHOD-INIZCTEOSA-N 400.353 4.641 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)ccc1OCCC(C)C 501417007 GFVBALMZHRILET-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(Cc1nnc(-c2ccccc2Cl)o1)C(C)C 503775470 GVNDIWOQJFPBAY-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@H]1CCCOC1 503926162 ITEONZFXXUWDAT-STQMWFEESA-N 409.280 4.522 5 20 HJBD O=C(Cn1cnc(-c2ccc([N+](=O)[O-])cc2)n1)c1c(-c2ccccc2)[nH]c2ccccc12 508514220 VFSLBAWJSIESPE-UHFFFAOYSA-N 423.432 4.885 5 20 HJBD O=C(Nc1ccc(Br)c2cccnc12)c1cc2cc([N+](=O)[O-])ccc2o1 511905861 RILDFAOKXDWXLN-UHFFFAOYSA-N 412.199 4.904 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)C1CC1 512084186 UZSNENPNZISVKR-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD CCOc1ccc(-c2nc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])cs2)cc1 513840863 ITDQVVUKQOSEFI-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=C(NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(C(F)F)c1 513921085 WWPUFEXQYZSJNM-UHFFFAOYSA-N 418.322 4.785 5 20 HJBD Cc1ccc(NCCCNC(=O)c2cc(F)c(Cl)cc2Cl)c([N+](=O)[O-])c1 523512489 BBRQPOHRKDAMFG-UHFFFAOYSA-N 400.237 4.581 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 524067099 XJUQIRKZMGPEBQ-UHFFFAOYSA-N 416.275 4.590 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)cc2)cc1 534056801 MCHUDGSHMDGKLY-UHFFFAOYSA-N 402.406 4.793 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1N1CCC[C@H](c2nc3ccccc3s2)C1 535749521 VZRMELWWZDYTDJ-NSHDSACASA-N 419.304 4.746 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 537132708 MYWYFJSMZMWPRJ-IBGZPJMESA-N 410.474 4.921 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 537135349 SSXUFXCLNDMOTC-SJLPKXTDSA-N 413.543 4.661 5 20 HJBD Cc1ccc(C)c(OCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 538251359 HZBIEMZUDOVKPK-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2O)cc1NC(=O)Nc1ccccc1 539972868 SFZKTQWXJZZFEW-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD O=C(NCCOc1cccc2ccccc12)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540514285 JZFIHRYJCTVEKZ-UHFFFAOYSA-N 413.433 4.619 5 20 HJBD COc1cc(Cl)c(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1OC 544074609 IQJMXQNCZDFJOY-UHFFFAOYSA-N 419.739 4.546 5 20 HJBD O=C(NCc1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544562525 QRHVNPYWSFBBEY-UHFFFAOYSA-N 410.499 4.683 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4csc(Cc5ccccc5)n4)n3)c2c1 546557050 AAIYTDDSAYSAKI-UHFFFAOYSA-N 417.450 4.764 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1 546560097 SSASOLUKVYHGNX-CYBMUJFWSA-N 412.471 4.689 5 20 HJBD COc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1-c1ccccn1 547263862 RLEGBHMWGVHEQB-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD COc1ccc(CSCC(=O)N(C2CC2)[C@H](C)c2ccccc2)cc1[N+](=O)[O-] 553122846 OTBKOHUTNBUQGO-OAHLLOKOSA-N 400.500 4.589 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3c3ncc([N+](=O)[O-])c(C)c3Br)[nH]c2c1 558397545 IQDQQUNGUKYXHM-CQSZACIVSA-N 416.279 4.587 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1ccccc1)c1ccccc1 561158180 AGYNUENCJBUMJZ-UHFFFAOYSA-N 412.449 4.670 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(C)(C)C(=O)OCC)CC1 567497886 SCGLBFQRKCOSDF-UHFFFAOYSA-N 422.547 4.538 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 588794452 JHSVQVJZZXKXMA-JTQLQIEISA-N 401.772 4.541 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)n(C)n1 603949781 AARIYVSISMDIEI-UHFFFAOYSA-N 419.363 4.651 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2csc([N+](=O)[O-])c2)ccc1OCc1ccccc1 603986670 LPASNHMLMXJRON-CQSZACIVSA-N 412.467 4.735 5 20 HJBD COc1cccc2c1OCC[C@@H]2Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 608779397 JMKKCSBMLNOWLE-SFHVURJKSA-N 404.422 4.770 5 20 HJBD CC(C)C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608851360 PJGFKGYMACNLKU-OAHLLOKOSA-N 424.375 4.860 5 20 HJBD C[C@H]1SCCN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@@H]1c1ccccc1 609819248 CVIOTGBRWWCEAP-IERDGZPVSA-N 411.527 4.514 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609856648 VTJNJYSXOJUZTL-UHFFFAOYSA-N 415.877 4.697 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)C2CC2)o1 610040516 YQEYKEPOKPIYMJ-BDJLRTHQSA-N 419.275 4.879 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(-c3nc(C)c(C)o3)c2)c([N+](=O)[O-])c1 610093467 GXYUNZTXPKDXOB-UHFFFAOYSA-N 423.473 4.799 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc4c(c3)CCC4)c(C)s2)cc1[N+](=O)[O-] 610301164 UFDUYQYXFWTSNB-UHFFFAOYSA-N 423.494 4.705 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1cc(Br)cc(C(F)(F)F)c1 610331310 NSMJKGALPPQNQD-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD O=C(NCc1nc2ccc(F)cc2[nH]1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611210603 PHQQQKPCXWKUDN-UHFFFAOYSA-N 414.462 4.575 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cc([N+](=O)[O-])ccc1Cl 726931351 HXQLPMLWWFSHRN-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1cc(-c2ccco2)nc2onc(C(C)C)c12 727706444 SFIAPPZVZBCOEN-UHFFFAOYSA-N 423.381 4.742 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1)c1ccc(F)cc1 728248123 SIENWDXOFDGJRU-UHFFFAOYSA-N 420.352 4.952 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1)c1ccsc1 728888574 ZXCJTUXCVMSPNR-UHFFFAOYSA-N 423.450 4.702 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)OCc1c(F)cccc1[N+](=O)[O-] 728988697 WRYMCCMPEPHCED-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD COC(=O)c1sc2cccc(F)c2c1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730644169 YDLILRPQIJFYHR-UHFFFAOYSA-N 423.805 4.746 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc([N+](=O)[O-])ccc1Br 736528185 QMTCRHCHNUTMBE-YPMHNXCESA-N 407.239 4.515 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1cccc(CSC2CCCC2)c1 740803936 YAPZMBNMSULABZ-UHFFFAOYSA-N 410.499 4.759 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](CCO)c2cccs2)ccc1Oc1ccc(F)cc1 740900389 OQVSEAVKKAXXCD-QGZVFWFLSA-N 402.447 4.801 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 744369408 HMBXHYXHASOOIE-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 744622175 PHVDURHKPIEGET-JTQLQIEISA-N 422.224 4.793 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c(OC2CCCC2)c1 747891187 XUHDRFGNCVXNPV-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 751199149 RLKFWUKLKAWXEP-UHFFFAOYSA-N 423.900 4.907 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1cc(F)cc([N+](=O)[O-])c1Br 755018260 UPNSHYOOIDBWSV-UHFFFAOYSA-N 420.194 4.767 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccnc(OCc4ccccc4)c3)no2)cc1 761694554 NCWMGPRCSDMNRE-UHFFFAOYSA-N 424.363 4.759 5 20 HJBD Cc1c(NC(=O)C[C@@H](C)C2CCN(C(=O)OC(C)(C)C)CC2)cccc1[N+](=O)[O-] 764515508 RILHDKLGHFUCPJ-CQSZACIVSA-N 405.495 4.515 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767196718 WLBBZMOQQDBADI-NSHDSACASA-N 400.303 4.522 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccco1 770005332 AHQBSODWCUHNFN-GOSISDBHSA-N 401.806 4.761 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2nc(-c3cc4ccccc4o3)cs2)c1[N+](=O)[O-] 774885675 NPOGJAZPHWNZJV-UHFFFAOYSA-N 411.414 4.842 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Br)cs1 776140476 YTJVEDOGBSUYFH-INIZCTEOSA-N 419.256 4.760 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cccc2C(C)C)c1[N+](=O)[O-] 777073873 QKJBOBNSFKKYOT-CQSZACIVSA-N 416.499 4.933 5 20 HJBD CC1(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)CCCC1 786203745 OKOBLRROUQOQBB-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CC1)CC(C)C 809915338 WHMKIDGLJNWWMS-UHFFFAOYSA-N 410.946 4.860 5 20 HJBD C[C@H](OC(=O)c1ccc(N(C)C(=O)OC(C)(C)C)s1)c1ccccc1[N+](=O)[O-] 810548713 AREUDPKSXRXBIJ-LBPRGKRZSA-N 406.460 4.946 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1 811376112 LCEHWTKQRWOESK-NSHDSACASA-N 411.241 4.702 5 20 HJBD CC(C)(CCc1noc(Cc2csc3ccc(Br)cc23)n1)[N+](=O)[O-] 812810675 FKULOXACKVYLTJ-UHFFFAOYSA-N 410.293 4.626 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccncc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812835318 OMGZZNBMSCNMEF-KRWDZBQOSA-N 402.237 4.816 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccs1 814775513 NDZDBVVHWFZRDO-SFHVURJKSA-N 402.859 4.594 5 20 HJBD COc1cc(C(=O)NC[C@H]2CCCC[C@@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 920038207 JDLALQJZRHGLFC-OCCSQVGLSA-N 414.449 4.787 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cn2)cc1C 1329852209 FFPFBIUJRRXKGE-UHFFFAOYSA-N 404.426 4.947 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 1341657477 JIVKGDFMYDPNJM-ICSRJNTNSA-N 420.469 4.805 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1-c1nnc([C@H]2CCCN(C(=O)OC(C)(C)C)C2)o1 1346043656 IFFLDTRORVFRQU-HNNXBMFYSA-N 416.478 4.685 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)c([N+](=O)[O-])c1 1526705336 RVPMILMMVHONOK-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCOCC1 7668605 MZYZBGAJEOCPCL-UHFFFAOYSA-N 411.502 4.931 5 20 HJBD O=C(CSc1nc2ccccc2c2nc3ccccc3n12)Nc1cccnc1Cl 8760673 VSJGOYJLAZXDDN-UHFFFAOYSA-N 419.897 4.815 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 10964687 BIDFGHNHSDVCRH-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD COc1ccc(C(C)(C)C)cc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 25924826 BSOAXBWPZKRHGK-GFCCVEGCSA-N 405.882 4.993 5 20 HJBD Cc1cc(C)cc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 26580907 LNEJEZXKQUWRPL-INIZCTEOSA-N 417.534 4.823 5 20 HJBD Cc1nc(CNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)cs1 53930191 OEGOQIOPVHUATF-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC(c4cccc5ccccc45)CC3)c1)OCOC2 58525109 ZDZVCGBRYVWKKI-UHFFFAOYSA-N 404.466 4.994 5 20 HJBD CC(C)(CNC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 59827310 YLHWAEYDLBUNJH-UHFFFAOYSA-N 415.921 4.559 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc(C(C)C)n[nH]3)cc2[N+](=O)[O-])n1 63111596 SVTMYAZRQQJLIQ-UHFFFAOYSA-N 403.489 4.610 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccc(F)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC 117264543 MRVFSJWLGYZKKJ-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD COc1ccc(CNc2cccc(NC(C)=O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 237199368 SFOPHRZGUQOVOD-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(COc3ccc(Cl)cc3)o2)c2ccncc12 301106262 UEYRDZQYTNEXDO-UHFFFAOYSA-N 414.830 4.910 5 20 HJBD Cc1cc(NCc2ccc(C(=O)N3CCCCC3)cc2)c2cccc([N+](=O)[O-])c2n1 302026843 QPZLAYCBVPWFRW-UHFFFAOYSA-N 404.470 4.690 5 20 HJBD O=C(O)[C@@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])o1)Cc1ccccc1Cl 426705416 PFMIHVPUDRDQHH-OAHLLOKOSA-N 414.845 4.541 5 20 HJBD O=C(c1cn(-c2ccc(Cl)c(Cl)c2)cn1)N1CCCc2c1cccc2[N+](=O)[O-] 430783302 MMCIIVOVYAHGDB-UHFFFAOYSA-N 417.252 4.680 5 20 HJBD Cc1cc([C@H]2CCCN2C(=O)CCc2cccc([N+](=O)[O-])c2)ccc1Br 431150491 LJXDXJVCWGRPTE-LJQANCHMSA-N 417.303 4.962 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)co2)cc1 436361314 HIUYITFYVOFXSS-UHFFFAOYSA-N 423.472 4.617 5 20 HJBD COc1cc(C(=O)NCCC(F)(F)F)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 437067237 CEUQZNAWVNIRHA-UHFFFAOYSA-N 418.755 4.731 5 20 HJBD O=C(NCc1cccc(C(F)(F)F)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 439246162 KVOBHFIAWQDUMA-UHFFFAOYSA-N 401.344 4.606 5 20 HJBD COc1ccc(C(C)=O)cc1CC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440596544 KCYJLIWGXTUYSR-UHFFFAOYSA-N 418.449 4.582 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@H]3c3cccc(Cl)c3)n2)c([N+](=O)[O-])c(OC)c1 445815243 IHSOKRIEFUPMLA-JKSUJKDBSA-N 415.833 4.510 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 445983948 UURBRCFOUKAIEJ-RBUKOAKNSA-N 421.419 4.502 5 20 HJBD COc1cc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-] 448174299 QTHOUPZOHQBRCZ-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD COc1cc(C(=O)N(C)CCCSC)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 466780273 UEMXDDTYVGYLMB-UHFFFAOYSA-N 424.906 4.874 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 467760373 SLACAZLKONXCEP-MWRLGJARSA-N 424.497 4.789 5 20 HJBD COc1cc(F)c(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1F 468382613 LIZHDOBDMJBRMO-CHWSQXEVSA-N 419.428 4.616 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 470286278 CERWQHYMJPWAMZ-SFHVURJKSA-N 405.376 4.737 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 470808063 LCFCZBMOVMKAPW-LBPRGKRZSA-N 402.353 4.755 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)Cc1c(F)cccc1[N+](=O)[O-] 471157553 QVPPRTGRGGEZMZ-UHFFFAOYSA-N 404.829 4.850 5 20 HJBD Cc1cccc(CN(CCC(=O)Nc2cc([N+](=O)[O-])ccc2C)Cc2ccccn2)c1 471171331 ZHCDRXUOWIALIA-UHFFFAOYSA-N 418.497 4.638 5 20 HJBD COCCCC[C@@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccccc1 471337252 PXIQZFAZWYDIHR-QGZVFWFLSA-N 421.291 4.645 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 473766009 QCUHDAXYAOEXDH-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD COc1cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cc(Cl)c1OCCC(C)C 478108542 GWKVLGWVXHSTLF-UHFFFAOYSA-N 418.877 4.885 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)cn1 481308269 PCLXRRHUMHLFAV-MRXNPFEDSA-N 423.469 4.959 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl 481776124 MJMVJRYCFLOMSU-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD COc1ccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)cc1[N+](=O)[O-] 482721644 JYFHLEMNNGNXOK-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD CC(=O)c1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c(C)nc1C 486202533 IDMIQODYVNUIEZ-UHFFFAOYSA-N 405.410 4.854 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C(=O)N3CCCCCC3)cc2)cc1[N+](=O)[O-] 493082984 VSSCYLHJGPUYKK-QGZVFWFLSA-N 424.501 4.802 5 20 HJBD CCn1c([C@H](C)NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 501394440 LQIMWBRASIBNNL-ZDUSSCGKSA-N 421.482 4.579 5 20 HJBD Cc1[nH]nc(C(=O)Nc2nc(Cc3ccccc3)c(-c3ccccc3)s2)c1[N+](=O)[O-] 504077669 PKCCSWGRVYIKCR-UHFFFAOYSA-N 419.466 4.593 5 20 HJBD COCCN(C(=O)CCCC(C)(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 504437689 BUWIONQOKWEQEH-UHFFFAOYSA-N 405.520 4.914 5 20 HJBD CC(C)c1ccc(-n2cnnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 505018144 GIWLDIAKJCLSMX-UHFFFAOYSA-N 422.470 4.641 5 20 HJBD COc1ccc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1OCc1cccnc1 507169887 YRBOSCIXSYWIHW-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD C[C@H](COc1ccccc1C(F)(F)F)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 507988419 ZGNDAXUMRMWXDY-LLVKDONJSA-N 408.332 4.557 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c2cccnc2O1 508263880 DQCPHOLOOYVWLE-AWEZNQCLSA-N 407.451 4.569 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2[nH]c(CC)cc(=O)c2c1 509508295 FGWMUNLJYVLCKZ-UHFFFAOYSA-N 411.483 4.753 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 509967169 IVUDSWSZEMHJAV-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(Cc1ccccn1)c1ccc(F)cc1 511094336 LQFOUDHLIXVQLN-UHFFFAOYSA-N 420.444 4.576 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)oc12 512978932 KQRRDRLIXDJQAT-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD CCN(CC)c1ccc(CNCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cn1 513639153 YJNLOPGLTBNBKZ-UHFFFAOYSA-N 419.529 4.894 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)c1 515442619 HJZXPLIGLAICAW-OAHLLOKOSA-N 423.494 4.782 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@H](c1cccnc1)c1ccc(F)c(F)c1 519095602 DCGJHCRUZQJDMA-NRFANRHFSA-N 411.408 4.828 5 20 HJBD C[C@@H](NCc1cccnc1-n1cccn1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 536180533 YTMOQEHJNYRYCX-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD CC[C@H](NCc1nnc2c(Cl)cc(C(F)(F)F)cn12)c1cccc([N+](=O)[O-])c1 536850963 HEAATIZJTAMRJQ-AWEZNQCLSA-N 413.787 4.551 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(C)=O)ccc1OC 538494443 VPFZSGIBLLDIOW-UHFFFAOYSA-N 417.487 4.706 5 20 HJBD CC(C)(C)[C@@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 539303298 LQNIUCSONGPSHF-KRWDZBQOSA-N 409.408 4.590 5 20 HJBD CC[C@H](C)n1nc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])cc1C 539588175 GWGLWZCRBBIWJH-NSHDSACASA-N 416.400 4.633 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)Nc1cc([N+](=O)[O-])ccc1O 542090724 NFQFULPBCIGPBA-UHFFFAOYSA-N 407.304 4.535 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccn2)cc1 542554435 HGKNQRAEEAWLMH-KSFYIVLOSA-N 407.445 4.641 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccccc1)c1ccccn1 544045950 QFYPSBSPABKWAL-HXUWFJFHSA-N 413.886 4.641 5 20 HJBD CC(C)(C)n1nc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1C1CC1 545852006 JCPVHZZNRDSJBJ-UHFFFAOYSA-N 406.446 4.546 5 20 HJBD COc1ccc(CSCc2nc(-c3cnc4ccsc4c3)no2)cc1[N+](=O)[O-] 546432601 KTLRDKGVVPQMMY-UHFFFAOYSA-N 414.468 4.697 5 20 HJBD COc1cc(Cc2noc(-c3cc(-c4cccc(Cl)c4)on3)n2)ccc1[N+](=O)[O-] 546487384 QHCCGLLDLACSEF-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)c1 549294176 CDJOXZJRNXNVNP-HNNXBMFYSA-N 409.442 4.541 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCn2c(cc3ccccc32)C1 553742891 KRQLRAKPDDBVTO-UHFFFAOYSA-N 418.478 4.719 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3nc4ccccc4s3)CC2)c1 554882448 VTZXKRGVUHXMDH-UHFFFAOYSA-N 411.483 4.623 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(Br)c1 556212293 AHQFLYBJZURIQQ-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(COC2CCCCC2)c1 557599781 VVBWDUPJKUNHPY-UHFFFAOYSA-N 413.474 4.503 5 20 HJBD CN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2c(Cl)cccc2s1 569665130 RZZSMKXIWITKGR-UHFFFAOYSA-N 416.890 4.735 5 20 HJBD Cc1ccnc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)c1 571576231 YBTWAGCHOLKUAW-CQSZACIVSA-N 402.414 4.583 5 20 HJBD CSc1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 575210049 SJVPBJPXGPNOJA-CYBMUJFWSA-N 409.471 4.532 5 20 HJBD CC(=O)c1cc(NCc2ccc(C(=O)Nc3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 581122890 JUDRITFBIGTKKX-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD CCCC[C@@H](C)N(C)C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 581757272 ZRELABWLTUXRHM-CYBMUJFWSA-N 421.544 4.783 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2ccccc2Cl)c([N+](=O)[O-])cc1OCC 603651478 RPXIGOUVOIWKPC-UHFFFAOYSA-N 407.854 4.710 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCN(c2cc(Cl)ccc2C)C1 608840206 DIIZWKPWOVJCHS-OAHLLOKOSA-N 402.882 4.513 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609857476 JUJIZLMJRFBWJF-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD O=C([C@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] 609973584 XQIWPBJYYATQOJ-OAQYLSRUSA-N 410.376 4.582 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)s1 610047153 LNEQKANBAMGSOR-UHFFFAOYSA-N 415.540 4.770 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 611285830 AYWUQGXHEKWSLN-HXUWFJFHSA-N 410.449 4.905 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-c3n[nH]c4c3CCCC4)c2)c1[N+](=O)[O-] 614456192 JRWQTOJNCCLSPY-UHFFFAOYSA-N 408.483 4.838 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 619507972 UFMWPUOXMFUSKC-UHFFFAOYSA-N 412.789 4.937 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1Cc2cccc(Br)c2C1 672968549 JAGVIQPODJRULP-UHFFFAOYSA-N 419.278 4.600 5 20 HJBD CC(C)(C)[C@H](Cn1ccnc1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 727645339 GVYPCIIUJWKLAO-NRFANRHFSA-N 412.465 4.927 5 20 HJBD O=C(Nc1nc(-c2ccc(Cl)s2)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 727705636 WQOQOZFBDBBMPL-UHFFFAOYSA-N 401.803 4.964 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@@H](c1ccccc1)c1ccc(F)cc1 730193936 WKDZDQQJUIPETN-NRFANRHFSA-N 423.400 4.894 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)[C@@H](O)c3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 732053308 LEDJXHRXEMEULR-OYHNWAKOSA-N 423.444 4.829 5 20 HJBD O=C(OCc1cc(Cl)nc(Cl)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 733474487 NWHRAGFDMRAHCC-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD CCOC(=O)CN(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746175445 YEINTVLWWYUIDD-UHFFFAOYSA-N 401.462 4.568 5 20 HJBD C[C@@H](C[C@@H](O)c1cccs1)Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-] 751943841 YQXBORJDZUZHRF-QPUJVOFHSA-N 405.354 4.507 5 20 HJBD O=C(OCCOc1cccc([N+](=O)[O-])c1)c1ncoc1-c1cccc(C(F)(F)F)c1 752217019 AKQWTIBSVYNLPW-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD C[C@@H](C[C@H](O)c1cccs1)NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 752286138 KMEHBVIZYTWJDH-LIRRHRJNSA-N 411.483 4.642 5 20 HJBD C[C@H](C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755065362 QEEJRUMYFNSYAG-HNNXBMFYSA-N 422.412 4.686 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)Nc1ccc(Br)c([N+](=O)[O-])c1 755369811 HZXCKXGMYOPFJA-UHFFFAOYSA-N 416.231 4.584 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1Br 758459009 NUZMINYKCYDRBL-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761862702 FSLVQLHTTDCKNY-AUUYWEPGSA-N 412.467 4.581 5 20 HJBD COc1ccccc1CN(Cc1cccs1)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 774821974 JUYUYVXNWBZZCA-UHFFFAOYSA-N 413.455 4.776 5 20 HJBD COc1cccc([C@H](C)CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776135270 SJYANUYSWDAJJM-MWTRTKDXSA-N 406.438 4.825 5 20 HJBD C[C@@H](CCOc1ccccc1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139839 RNCQDJHOUDGTGS-JTSKRJEESA-N 406.438 4.728 5 20 HJBD CSc1cccc(C(=O)OCc2nc3cc(C(F)(F)F)ccc3o2)c1[N+](=O)[O-] 777073305 GBEMMSYINIFXEY-UHFFFAOYSA-N 412.345 4.834 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Cl)c(SC(=O)N(C)C)c1 805433743 MLMRJEZJKUPMEP-UHFFFAOYSA-N 423.878 4.673 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4occ5c4CCOC5)n3)cc2)c1 809435429 WICLIPBOEDLXAA-UHFFFAOYSA-N 405.366 4.770 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918665 ZCOQPXPNTASVCU-GFCCVEGCSA-N 409.895 4.807 5 20 HJBD Cc1nc(CSc2ccc(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)cc2)cs1 812813700 ATBRPDBXMCBKJB-UHFFFAOYSA-N 404.517 4.782 5 20 HJBD O=C(NCCCc1nc2c(s1)CCCC2)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812965889 ISWJHFWFMLAPEY-UHFFFAOYSA-N 414.314 4.600 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813196478 XCNUXMSMKJIXAO-GFCCVEGCSA-N 407.175 4.978 5 20 HJBD CC(=O)N[C@H](CC(=O)OCc1nc2ccccc2c2ccccc12)c1cccs1 829391208 XYLWAWXNVFFYFQ-HXUWFJFHSA-N 404.491 4.760 5 20 HJBD O=C(Nc1cccc(Br)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 868833180 GSZQEZKCONYZPR-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)c1cc(C)n([C@@H](C)c2ccccc2)c1C 918664773 GIEWWHGPUNKNSP-INIZCTEOSA-N 407.470 4.561 5 20 HJBD Cc1ccc2c(c1)S[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C2 1115850596 VJAHGABZNVUJDB-KRWDZBQOSA-N 410.417 4.511 5 20 HJBD Cc1ccc(Cc2nc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)cs2)cc1 1328230435 AUFGBBLVOQXUHE-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc3ccccc3c2)cc1[N+](=O)[O-] 5425492 KZPRQFGRATZHCJ-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD CCOc1ccc(NC(=O)CN[C@@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 10137346 VNFKTEDNGJOGAP-HXUWFJFHSA-N 405.882 4.572 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc(-c2ccccc2)cs1 11246748 LPEDFDMZVXPQQO-UHFFFAOYSA-N 411.486 4.917 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Br)cc2Br)cc1[N+](=O)[O-] 13956301 QGQFAIDCXAOILK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)cc1 15991898 NWGWYVSUNXPURR-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)NCCC(c2ccccc2)c2ccccc2)c1 21614573 BDMTYKRJRICRJJ-UHFFFAOYSA-N 404.466 4.620 5 20 HJBD CC[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccccc1OC(F)F 31756740 ZSVBSFPGHDIPHA-OAHLLOKOSA-N 405.401 4.652 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(OCC(F)(F)F)cc1 63083506 DHLSXMRCOZGYLQ-LLVKDONJSA-N 407.348 4.508 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(Oc3cccc(F)c3)cc2)ccc1[N+](=O)[O-] 66025768 DMCNEYOABBFSKT-UHFFFAOYSA-N 412.373 4.552 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(OC(F)F)c1 71942918 JQJJRGPRJOHAPV-SECBINFHSA-N 417.315 4.680 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@@H](NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 236943435 BBFCVYCGLISEAF-UZRRDOIRSA-N 420.506 4.696 5 20 HJBD COc1cc(C(=O)N(C)c2ccccn2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 302929394 DPNCOWKLYAUIIU-UHFFFAOYSA-N 413.817 4.721 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 303121252 IMSRSRHTDFNOMR-HUUCEWRRSA-N 416.359 4.746 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(C)c1 429044794 FGLUWAVOQAAPAC-CVEARBPZSA-N 412.490 4.623 5 20 HJBD COc1cc(CN[C@H]2C[C@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OCC(F)(F)F 435787645 PJLJDDUPQKZQJD-KOMQPUFPSA-N 410.392 4.580 5 20 HJBD O=C(NCCc1ccc2ccccc2c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436109278 KLGBCGCZGCZMAC-UHFFFAOYSA-N 410.448 4.946 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@H](c1ccc(C)cc1)c1cccnc1 438830302 GJYQDSJUMBEZKJ-XMMPIXPASA-N 403.482 4.787 5 20 HJBD CCc1[nH]nc(C(=O)N[C@@H](c2ccc(C)cc2)c2ccc(F)cc2Cl)c1[N+](=O)[O-] 444179075 CJXQJSYARCIRJD-KRWDZBQOSA-N 416.840 4.501 5 20 HJBD CCO[C@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(CC)CC 444228508 UCJXTCZWKOYQEC-YADHBBJMSA-N 406.526 4.737 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](c3cccc(Cl)c3)OC[C@H]2C)cc1[N+](=O)[O-] 444407693 FFCJXEDUFZZMQA-PXAZEXFGSA-N 406.891 4.572 5 20 HJBD C[C@H](Oc1ccc(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1)c1ccccc1 444632639 GWKUORWVVNYYII-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 463963936 LEQOMXJULNWFST-SFHVURJKSA-N 401.438 4.564 5 20 HJBD CCn1c(SC[C@H]2CCC3(CCCCC3)O2)nnc1-c1ccc([N+](=O)[O-])cc1 466427619 BXNSQVVVBOAMIF-QGZVFWFLSA-N 402.520 4.847 5 20 HJBD COc1cc(C(=O)Nc2ccccc2NC(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 466522680 OQEUCKBKQRARAK-UHFFFAOYSA-N 409.389 4.668 5 20 HJBD CCCOc1cc(NC(=O)c2cccc3cnccc23)c([N+](=O)[O-])cc1OCCC 467106028 ICLZGKGMNJYRTM-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1ccc(Oc2ccncc2)c(Cl)c1 468965144 KZAFXLOWHXVEEG-UHFFFAOYSA-N 420.812 5.236 5 20 HJBD COc1cc(C(=O)Nc2cccc(COc3ccccc3)c2C)cc([N+](=O)[O-])c1OC 471472621 TZHTYKXCTKRUMV-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C1)OCc1ccccc12 471591747 ZMUDWDQVDFNFFO-JOCHJYFZSA-N 412.511 4.615 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C)c(OC)c1 472477814 RHQJYWIMHSGAQS-AWEZNQCLSA-N 418.465 4.682 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1c(Cl)cccc1[N+](=O)[O-] 478652120 YDGZBTTZPPBUBI-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC(C)(C)C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(F)(F)F 479241626 JBWFOOXYOYBXJC-LBPRGKRZSA-N 416.318 4.633 5 20 HJBD Cc1ccc2c(c1)CCN(C(=O)c1ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c1)C2 480994440 SNUJGOQQIJSLLH-KRWDZBQOSA-N 416.481 4.670 5 20 HJBD CC(=O)N(c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1)c1cccc(C)c1C 481738145 QOGRYZBGXAJPJV-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD COC1CCN(C(C)(C)CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 482627739 HVKOJEIYEHUFGZ-INIZCTEOSA-N 417.575 4.863 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2cc(COC(C)(C)C)on2)cc1[N+](=O)[O-] 485701971 QKPGRVKYYUKJNY-UHFFFAOYSA-N 424.501 4.956 5 20 HJBD C[C@@H]1CSc2ccccc2N(C(=O)c2sc(Br)cc2[N+](=O)[O-])C1 486417718 JHBJRFMVLQMUMP-VIFPVBQESA-N 413.318 4.807 5 20 HJBD O=C(NCc1nc(-c2ccccc2F)cs1)Nc1ccc([N+](=O)[O-])cc1Cl 486564105 JNLYMAQQOQJWSB-UHFFFAOYSA-N 406.826 4.833 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)N3CCC(n4ccc([N+](=O)[O-])n4)CC3)cc2)c1 486760604 JDNNWDMZPCVXQJ-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)o1 488864846 ZLNWGTKXYMPMOL-GUYCJALGSA-N 412.471 4.639 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCC 489265217 NWDRGUBYEWSKIV-HOTGVXAUSA-N 415.490 4.822 5 20 HJBD Cc1sc(CNC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)nc1C(C)C 495014237 CNBNIVBMBOAJHB-UHFFFAOYSA-N 416.425 4.501 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCC[C@@H]1c1nc2ccccc2o1 497355395 VULKJSAKEHKRLN-OAHLLOKOSA-N 417.368 4.705 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 499984105 ZQLVTKXTKRJDIW-UHFFFAOYSA-N 404.398 4.679 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2c(N)cccc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 500130450 KCWYUFIYCKJEEZ-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD CC(C)CN1C(=O)c2ccccc2N[C@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500278385 PBKXGPSRXCFBHR-LJQANCHMSA-N 408.483 4.941 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)c2cccs2)cc1 509651365 MEIYFVJUJNTIQZ-UHFFFAOYSA-N 413.480 4.639 5 20 HJBD CNC(=O)c1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1Cl 510953746 VPKPBFTWYNQFAG-UHFFFAOYSA-N 424.844 4.604 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517643642 DNOZTMUGMINZBY-UHFFFAOYSA-N 421.331 4.518 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 519066420 ARFLKPQGRWAYLU-OAQYLSRUSA-N 423.444 4.526 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 519979024 FZCAYUJXPZJEOO-AWEZNQCLSA-N 421.453 4.774 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 520389678 CNOUYCZQZLXSPS-KBPBESRZSA-N 406.866 4.680 5 20 HJBD COc1ccc(CC[C@H]2CCCCN2Cc2ccc(OC)c([N+](=O)[O-])c2)cc1OC 523196335 YJLDFBYUESGTJK-LJQANCHMSA-N 414.502 4.608 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCSc2ccc(F)cc21 524837293 HEUJPTICHGJBQR-GOSISDBHSA-N 403.479 4.593 5 20 HJBD Cc1cccc(Cl)c1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 533807480 XZVMSHZIWCNCER-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD C[C@H](CCNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 537640212 JIEMIWJEFLBMRU-SNVBAGLBSA-N 422.450 4.684 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2ccnc3cc(Cl)ccc23)cc1[N+](=O)[O-] 542927781 FRQWGTHJILALHQ-NSHDSACASA-N 417.874 4.924 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(c2ncnc4cccc([N+](=O)[O-])c24)C3)cs1 543434396 RCBKNNXVBLGUIO-UHFFFAOYSA-N 409.496 4.594 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nn1-c1ccccc1Cl 543456425 HJUYRMVJQJCPHM-GFCCVEGCSA-N 402.813 4.624 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H]3CCC[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 552759930 DKHGPEXDXRVSPR-FCHUYYIVSA-N 418.497 4.523 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC(n2cc(Br)cn2)CC1 553055458 KCZZRJSUXWXLHG-UHFFFAOYSA-N 420.094 4.702 5 20 HJBD COc1ccccc1C1(CN[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)CCCC1 560527991 HLXJDARQUWQQAM-QFIPXVFZSA-N 420.513 4.528 5 20 HJBD Cc1ccc(C)c(-n2ncc(N[C@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)c2C)c1 581385865 URXJKBSMFPUMJT-JOCHJYFZSA-N 416.485 4.641 5 20 HJBD COC(=O)c1ccc(Sc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 583545056 IHAMGTGPPJUKQK-UHFFFAOYSA-N 408.304 4.582 5 20 HJBD COc1ccc([C@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604124379 CVLOPRYPDQSAJN-OPAMFIHVSA-N 405.454 4.753 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCc1cccc(Cl)c1Cl 609723062 JZFJVMFRVNIFDV-UHFFFAOYSA-N 403.649 4.640 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)Cc4ccc([N+](=O)[O-])cc4Cl)CC3)c[nH]c2c1 609792547 XZIBSXSGOMXXKQ-UHFFFAOYSA-N 409.873 4.896 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H](Cc2ccccc2)C1 610204142 FJMVXHXDKTZYFS-OAQYLSRUSA-N 415.493 4.912 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1Cl 727574072 GCJDPNGFVCURKW-XMXAVACDSA-N 402.834 4.530 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710274 MNCIOPJJUSSKAS-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(CNC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 727868337 LMEUVRPBBYXQCE-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](CCC(=O)Nc1ccc(F)c([N+](=O)[O-])c1)c1ccccc1 729651989 RZZJDTVWJUHHHW-KRWDZBQOSA-N 417.437 4.719 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN(CCCO)C(c1ccccc1)c1ccccc1 731483736 RYUXXYPFENBJLB-UHFFFAOYSA-N 406.482 4.577 5 20 HJBD CC(C)C[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 741050507 RFJOXRVRWGUKBS-INIZCTEOSA-N 404.850 4.560 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ncc(C(C)(C)C)o1 747696187 WEQVEWRAZASVHN-LLVKDONJSA-N 401.444 4.922 5 20 HJBD Cc1nc(C)c(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)o1 748667167 IPPQASDOLLHYPH-UHFFFAOYSA-N 401.806 4.684 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1N1CCCC1 750818805 HSUCEKGRHUGZQV-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)Cc1cc2c(ccc3ccccc32)o1 753441852 DDIGKTRIEROCHK-UHFFFAOYSA-N 418.405 4.527 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755068000 UITBMWWMEVGGND-ZNMIVQPWSA-N 416.449 4.921 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2cccs2)cc1[N+](=O)[O-] 755747223 JSWZIJQBNRYVJV-IBGZPJMESA-N 411.483 4.732 5 20 HJBD Cc1nc2cc(F)ccc2cc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760485230 ZBSCSNUZZVJSKY-UHFFFAOYSA-N 407.357 4.603 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])n2)cc1 760574686 MDHBTYDGTATJAW-UHFFFAOYSA-N 404.448 4.535 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763720176 AYDQVBATGGUVEV-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1SC 763812689 WXIWHQLSIJNFRC-GFCCVEGCSA-N 420.512 4.613 5 20 HJBD O=C(Nc1ccccc1SC[C@H]1CC1(Cl)Cl)c1cc(O)cc([N+](=O)[O-])c1 772277265 QTTCPWWSMJZWDU-LLVKDONJSA-N 413.282 4.839 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772999384 JRUIHLHKLVNXRZ-QVKFZJNVSA-N 403.910 4.583 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1C 775949480 WPNUIIUEIWDGGH-QMMMGPOBSA-N 415.675 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1nc(Cl)c(Cl)c(N)c1Cl 778738420 WHCCEPLQAUNGQM-SSDOTTSWSA-N 404.637 4.759 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCOC2=O)c1C 779969552 JVGCQNQKZXJGJN-UHFFFAOYSA-N 415.471 4.614 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781561906 NZHNHDBATCJQFS-UHFFFAOYSA-N 405.838 4.853 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)OCc1ccc([N+](=O)[O-])c(F)c1 782651590 IMEUUERMBQSKNN-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD Cc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OCC(F)F 790552277 XEPJIKPCOVLIFW-UHFFFAOYSA-N 402.756 4.521 5 20 HJBD O=C(Cc1cccc(C(F)F)c1)OCc1ccc([N+](=O)[O-])cc1Br 790708840 SDDZOCBIWUJMIZ-UHFFFAOYSA-N 400.175 4.581 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)c1nc2ccccc2n1C(F)F 791471665 SYQUMUWLDNKPEM-LLVKDONJSA-N 405.357 4.656 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 792877687 MWUZHZCZHOQYQH-LLVKDONJSA-N 416.434 4.925 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 794100103 YXWCQOFSWACROH-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccc(Cl)cc2n1C 796954796 YXHJHBVQOGSXNP-GFCCVEGCSA-N 401.850 4.717 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(OCC(F)(F)F)c(Cl)c1 799970604 HMNFXHLXZDXPRU-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD CC(C)([C@H](OC(=O)[C@H]1CCCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 800404678 QFHBKSZPMOVCSZ-GXTWGEPZSA-N 420.250 4.914 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 801527495 HKHWVEAXVUTGOY-CQSZACIVSA-N 411.408 4.976 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 808838303 RLLLHILJKYEMJU-FCHUYYIVSA-N 405.454 4.808 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 820262333 XIQMLPGBWATJRX-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD Cc1cc(C)c2c3c(sc2n1)C(=O)N[C@H](c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N3 877845266 RVARKMIGWNVOKO-OAHLLOKOSA-N 422.388 4.694 5 20 HJBD Cc1c(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)oc2ccc(Br)cc12 1116136116 SSXQGEFZJYFNNX-UHFFFAOYSA-N 401.216 4.568 5 20 HJBD Cc1c(Cc2nnc([C@@H](C)Oc3cccc(Br)c3)o2)cccc1[N+](=O)[O-] 1261428994 KKXVEVLPLXXCNH-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nnc(-c3cccc(OC(F)F)c3)o2)c1 1322411415 GCUVWWRDGKSZOC-UHFFFAOYSA-N 402.357 4.513 5 20 HJBD Nc1c(-c2nnc(-c3cc(-c4ccncc4)nc4ccccc34)o2)cccc1[N+](=O)[O-] 1323916838 CNEDEVQYCBIFPG-UHFFFAOYSA-N 410.393 4.504 5 20 HJBD O=[N+]([O-])c1ccc(OCC(F)(F)F)cc1-c1nnc(-c2cc3ccccc3o2)o1 1326251954 ZVQMEIWCAZVHJT-UHFFFAOYSA-N 405.288 4.999 5 20 HJBD COc1cc(CNC(=O)c2cc3ccccc3c3cccnc23)cc(Cl)c1OC 1337784901 GWZSQNMCWVFSQF-UHFFFAOYSA-N 406.869 4.989 5 20 HJBD C[C@H]1[C@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1338253867 XCPSIDPRRRSIGQ-FHSNZYRGSA-N 408.376 4.604 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5656453 BKRGPBADLOTWDV-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD CCOc1cc(NC(=O)COc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OCC 10962241 LWDGGBLTEFFPSX-UHFFFAOYSA-N 410.426 4.563 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(C)=O)cc2C(F)(F)F)c1 21901237 CEBXHHKUKQPRJP-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD O=C(c1ccc(F)cc1)c1ccc(OCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 31840743 INCDAUOKAXYCCE-UHFFFAOYSA-N 419.368 4.594 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](c3ccccc3)c3ccc(F)cc3)n2)c1 55855295 WCNRCWLFMYKPHG-OAQYLSRUSA-N 404.401 4.663 5 20 HJBD Cc1cc(NCc2ccc(-n3ccnc3C)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60861609 GIRGOZBFLHIJAS-UHFFFAOYSA-N 418.432 4.606 5 20 HJBD O=C(Nc1cc(F)cc(N2CCOCC2)c1)c1cc2ccccc2c2cccnc12 216377387 NXLFUROFJPMGKV-UHFFFAOYSA-N 401.441 4.616 5 20 HJBD Cc1ccccc1-n1c(Sc2ccc(F)cc2[N+](=O)[O-])nnc1-c1ccncc1 301067437 DFCBVQJSYKYYLQ-UHFFFAOYSA-N 407.430 4.836 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] 303529801 WJJZFUXDNDLPCW-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD O=C(Nc1cc(F)c(F)cc1N1CCCCC1)c1c[nH]c2cccc([N+](=O)[O-])c12 427616321 VMCIVQFCXCCYIR-UHFFFAOYSA-N 400.385 4.597 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)c1ccc(C(C)(F)F)cc1)c1ccccc1[N+](=O)[O-] 431665101 CNFCATZQLUPFRO-QGZVFWFLSA-N 420.412 4.519 5 20 HJBD COc1cccc(C(=O)Nc2nc(C)c(Cc3cccc(Cl)c3)s2)c1[N+](=O)[O-] 435543195 JGSYZIJVIYALGS-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD COc1ccc2cc(CNC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)ccc2c1 437916838 FLMZDFNVQKFJQI-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(COCc2ccccc2)cc1 438373542 JXSZBJVKLDAQRA-UHFFFAOYSA-N 420.469 4.545 5 20 HJBD O=C(N[C@@H]1C[C@H]2OCCC[C@H]12)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439027169 IKOAEPMYRXTRHB-KBAYOESNSA-N 418.902 4.697 5 20 HJBD COc1cccc(-c2nc(COc3ccc(C(C)=O)cc3[N+](=O)[O-])cs2)c1OC 440873099 SAZRRGLWQISAKK-UHFFFAOYSA-N 414.439 4.517 5 20 HJBD CO[C@@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)[C@H](C)C1 441464594 NCCVRKZUGHGSKS-NVXWUHKLSA-N 400.500 4.694 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cn1 444027098 CBSISRCGYIJNDG-UHFFFAOYSA-N 423.419 4.642 5 20 HJBD O=C(Nc1cc(F)c(F)c(F)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444083775 CORPPYFSUPJBGC-UHFFFAOYSA-N 404.294 4.629 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)[C@H](C)CO1 444091564 XJRDLJMRXIRAMU-MZNJEOGPSA-N 412.489 4.592 5 20 HJBD COc1cccc(Oc2ncccc2CN[C@H]2CCCc3ccc([N+](=O)[O-])cc32)c1 447541268 FZNZJZIUVXDXGC-QFIPXVFZSA-N 405.454 4.958 5 20 HJBD Cc1cnc([C@@H](NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C2CC2)s1 460322746 ABHDXRHMOPANHA-IBGZPJMESA-N 408.483 4.984 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 461336493 XCHNWSILJGAAOJ-DYVFJYSZSA-N 406.841 4.766 5 20 HJBD C[C@H]1CCN(S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c2ccccc21 462436420 LNYVVVVUVQFHOE-JTQLQIEISA-N 401.271 4.604 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NCc1ccc(Cl)cc1 462832336 FUAOFQXDLPOTNV-UHFFFAOYSA-N 411.845 4.878 5 20 HJBD O=C(NCCOc1cccc2ccccc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463275539 VWTVXTKVZDCJFB-UHFFFAOYSA-N 404.344 4.576 5 20 HJBD CCc1c(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccn1C 464283699 GLBICGBTYZYVKG-UHFFFAOYSA-N 412.515 4.922 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(CC(F)(F)F)c1 464418611 GXHMSJNHHUTGGS-SNVBAGLBSA-N 402.756 4.759 5 20 HJBD CC[C@H]1CCC[C@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 465041534 IDVKCSYLJZIKBB-XJKSGUPXSA-N 413.440 4.525 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)CC[C@H]1c1ccccc1 470200175 KLOPEVICHHVMJJ-CRAIPNDOSA-N 405.454 4.505 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccs1 471294672 BZDPSLXXJMVCPR-SFHVURJKSA-N 402.859 4.594 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 475522741 ZAAVZYGWPVTWKP-RBUKOAKNSA-N 419.428 4.672 5 20 HJBD Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)csc1Br 475992883 AORBHKTUOSKPIE-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 493369612 ODJJEGCVCSKMQS-UHFFFAOYSA-N 404.441 4.720 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(N2CCCC2=O)c1 494490537 CXPJJOZBJLGFAM-CYBMUJFWSA-N 407.392 4.591 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494550889 WGTHQQGKLLYJTL-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1F 495412633 QRPOMAVXRSPTTK-UHFFFAOYSA-N 409.417 4.631 5 20 HJBD O=C(Nc1ccc(Cl)cc1C(=O)c1ccc[nH]1)c1cc2cc([N+](=O)[O-])ccc2o1 499347910 SXZUWTDEGXBCHC-UHFFFAOYSA-N 409.785 4.806 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 505048087 LLVFYSSZHSCUSN-KRWDZBQOSA-N 422.491 4.694 5 20 HJBD COc1ccc(Cl)cc1N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510762547 KXBOHEYOQHIUIA-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])c1)c1ccc(F)cc1 513841372 QCWDFRUPWXTFJT-UHFFFAOYSA-N 419.412 4.579 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)cc1[N+](=O)[O-] 515318715 JJBIFKGYTFKJPK-UHFFFAOYSA-N 415.808 4.639 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc3c(c2)OCCCO3)cc1[N+](=O)[O-] 520963379 FTSZMQDGYVYLQR-UHFFFAOYSA-N 419.481 4.814 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C)c1 521356184 RJHUDUSFPCIQEU-LSDHHAIUSA-N 415.515 4.551 5 20 HJBD COc1cc(CNC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1OCCC(C)C 522740888 RCLCDUJBFJUKRX-UHFFFAOYSA-N 420.893 4.541 5 20 HJBD CCOC(=O)c1ccnc(N2CCC(c3c[nH]c4c(CC)cccc34)CC2)c1[N+](=O)[O-] 523114610 XMVRVRMODBGZNP-UHFFFAOYSA-N 422.485 4.594 5 20 HJBD CCOc1ccc(OC)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 524554273 BJLIPGNFXLRUQA-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CCn1ncc2c(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cc(-c3ccccc3)nc21 530779924 HMCUNKOJBDRLBD-UHFFFAOYSA-N 415.453 4.896 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(F)(F)F 531093396 MRIQETRVAPNYGK-SGTLLEGYSA-N 410.396 4.624 5 20 HJBD O=C(O)Cc1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 533195187 MQKPMPVDSUTJIU-UHFFFAOYSA-N 408.435 4.625 5 20 HJBD COC(=O)c1cc(OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 533715275 HBEUUBKFWCQVRE-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD Cc1ccc(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(C(=O)NCC(C)C)c1 535476049 SAHFFBWIXJXJDL-UHFFFAOYSA-N 409.442 4.523 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@@H](C)c1ccc(-c2ccc(Cl)cc2)s1 537763680 SLXMLPHDOYUQKO-LBPRGKRZSA-N 418.906 4.749 5 20 HJBD Cc1ccc(S(=O)(=O)c2ccc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)cc1 538276147 HOPYLHCPVCHYQP-SFHVURJKSA-N 424.522 4.895 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3nnc([C@@H]4Cc5ccccc5O4)o3)s2)cc1 538593539 URQBJNABJUBXML-SFHVURJKSA-N 420.450 4.995 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)N2CCCCCC2)cc1 539840759 KCRQYRALGRZZJP-UHFFFAOYSA-N 409.486 4.789 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(SCCCO)cc3)cs2)c1 539920055 CAVXFANAPZOGQF-UHFFFAOYSA-N 401.513 4.805 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 542558116 BMRZRWYSKXVHFL-ZDUSSCGKSA-N 413.380 4.589 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1F 542619046 GOTBZWRSVTZUOI-XIKOKIGWSA-N 421.266 4.964 5 20 HJBD COc1ccc2oc([C@@H](C)NCCC(=O)Nc3cccc([N+](=O)[O-])c3C)c(C)c2c1 544477782 PGEYMFBTQJSLLR-OAHLLOKOSA-N 411.458 4.646 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(Br)cccc3s2)c1 553747352 RRQGCHPFMTYTAF-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD COc1ccc(C(=O)N2CCC(c3c(Cl)cccc3Cl)CC2)cc1[N+](=O)[O-] 570195513 QYDQHLSVXVUIBZ-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2c(Cl)cccc2Cl)no1 570885340 AAIHSMAYUAMTFD-JTQLQIEISA-N 421.240 4.618 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](CCO)c3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 574235276 JGKZJZIRMQFAPT-LJQANCHMSA-N 416.861 4.730 5 20 HJBD CCCCN(C(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])[C@@H](C)c1ccc(C)o1 603531425 MHHYVQMLEDNYLH-HNNXBMFYSA-N 404.463 4.907 5 20 HJBD COc1ccc(CC(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 609011494 FEQKJGNIYYGDGQ-QGZVFWFLSA-N 422.403 4.919 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NC(=O)CCn1cc([N+](=O)[O-])nc1C 609066488 HCQWPSAHWRYVLT-MRXNPFEDSA-N 408.458 4.577 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 677189627 RTWUGBKHMNSVHF-QGZVFWFLSA-N 414.530 4.930 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CC2(CCC2)c2c(F)cccc21 726153943 YDCVSLAQNNMWKH-UHFFFAOYSA-N 409.461 4.806 5 20 HJBD COc1ccc(C(=O)Nc2cc(F)ccc2C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1 726520372 DZLMJZDLXZVXQJ-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(N2CCOC2=O)cc1 726607495 XUPIZJFSWXPVJY-HNNXBMFYSA-N 407.426 4.662 5 20 HJBD CC(C)C[C@@H](C)N(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc2[nH]ccc2c1 726914362 AAQFTJGQRYKERZ-MRXNPFEDSA-N 419.485 4.894 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(OCc2ccccc2)cc1C 735307939 PAXBVHCGLSUTEC-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD CC(C)[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccn1 736574506 MWKNIIQZONERMK-QFIPXVFZSA-N 404.470 4.729 5 20 HJBD CCc1ccc2c(COc3ccc(Br)cc3[N+](=O)[O-])cc(=O)oc2c1 741854687 BPLFIUYZWLJFHO-UHFFFAOYSA-N 404.216 4.605 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])o1 744870860 ZYSLCZLLSGNVDD-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 745567877 HICLLNLTLGGRDE-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD C[C@@H](CCc1cccc(F)c1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745586583 XBKRVIATVVFXGH-QWHCGFSZSA-N 422.840 4.526 5 20 HJBD Cc1c(C(=O)Nc2cccc(C3SCCS3)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748608229 GKMDKIGMDVBVMV-UHFFFAOYSA-N 419.484 4.851 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1cccc(Cl)c1[N+](=O)[O-] 750165509 AGCSWPYIRGXROA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 753631274 VETVQYHBIWBQCS-UHFFFAOYSA-N 421.844 4.602 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756965206 JTSSURXDDDKLTF-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@H](O)c1ccsc1 757088751 AUWQZIRGYDEJGJ-IBGZPJMESA-N 416.886 4.686 5 20 HJBD Cc1cc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)ccc1F 760070660 VQCQEXYOVWQUFQ-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD CC(C)C[C@@H](NC(=O)OCc1ccccc1)c1nc(Cc2ccccc2[N+](=O)[O-])no1 761343519 HFLMVNBDWDRXSS-GOSISDBHSA-N 424.457 4.582 5 20 HJBD Cc1ccc(-c2noc([C@H](CC(C)C)NC(=O)OCc3ccccc3)n2)cc1[N+](=O)[O-] 761639163 OWAUUUYULLWRFT-SFHVURJKSA-N 424.457 4.967 5 20 HJBD Cc1c(Cc2noc(Cc3ccccc3NC(=O)OC(C)(C)C)n2)cccc1[N+](=O)[O-] 762318972 JRSIMTMHLPBHRZ-UHFFFAOYSA-N 424.457 4.815 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 764193733 PHIOFKMMIYQWIT-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2cccs2)o1 765529157 VURDWYARLUIQOA-OCCSQVGLSA-N 401.444 4.579 5 20 HJBD COc1cc(COc2ccc(C3SCCS3)cc2OC)c([N+](=O)[O-])cc1F 766058880 INZYOROWBHYBSK-UHFFFAOYSA-N 411.476 4.809 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cc2cccc([N+](=O)[O-])c2[nH]1 767286086 DUDMFOYVXSIMKJ-UHFFFAOYSA-N 405.288 4.593 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC([C@H](O)c2ccccc2)CC1 771764847 LCSIKPLBEGRKNF-GOSISDBHSA-N 423.391 4.591 5 20 HJBD COc1ccc([C@@H](C)CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776132036 HKNRTOBIIJFDKM-HJPURHCSSA-N 406.438 4.825 5 20 HJBD CCSc1ccc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776134421 BIZMAUMUUKYSDQ-JOCHJYFZSA-N 408.479 4.977 5 20 HJBD COc1ccc(S[C@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776140266 UZFLYVICCQPNQP-QVKFZJNVSA-N 424.478 4.812 5 20 HJBD C[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cc(Cl)ccc1Cl 777909808 AAUFGHWUSBUYBU-JTQLQIEISA-N 412.229 4.620 5 20 HJBD Cn1nc(-c2ccccc2)c(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1Cl 785521388 JZECSXUFPKDWFC-UHFFFAOYSA-N 424.810 4.957 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)NCCc2ccc(F)cc2)c2ccccc2)cc1 789253262 CVYFCZMEUSZFQV-UHFFFAOYSA-N 409.486 4.858 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(F)cc2OCCC(F)(F)F)c([N+](=O)[O-])c1 789671162 WVJJAYBRRHTKOG-UHFFFAOYSA-N 403.288 4.585 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790550413 BEUBTXOMCOXVMG-UHFFFAOYSA-N 408.857 4.561 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(F)cc2OCCC(F)(F)F)c1F 791073112 PXROHNCLJFITNZ-UHFFFAOYSA-N 404.291 4.765 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 791332538 DBFSZTUJDBOZPH-KRWDZBQOSA-N 405.838 4.550 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cscc1Br 797226019 GYUWWEQPEIUCBF-INIZCTEOSA-N 419.256 4.760 5 20 HJBD C[C@](O)(CCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 799725776 KKGVCFTTZVAUOE-QHCPKHFHSA-N 422.506 4.774 5 20 HJBD CC(C)(C)OC(=O)N1CC=C(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 811492379 QALZPPFVNOJVFT-UHFFFAOYSA-N 416.305 4.558 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(C/C(C)=C\c3cccc([N+](=O)[O-])c3)CC2)cc1 812777141 XWUTVZRMUHOUEM-BAJVAIGLSA-N 410.514 4.842 5 20 HJBD O=C(Cc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCc2ccccc21 864009025 FUBGNJKXWAHNSK-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCCc3ccccc3[N+](=O)[O-])ccc2OC)cc1 1322366292 MJOVLOQAIGPEPT-UHFFFAOYSA-N 421.453 4.643 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccc(F)cc2)c2ccccc2)c([N+](=O)[O-])c1 5753900 TXXAZYUAEMVLOU-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD C[C@@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1ccccc1[N+](=O)[O-] 6112090 QQHQPQKTVHWXSH-LLVKDONJSA-N 414.512 4.598 5 20 HJBD Cc1csc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 29887344 RAIUWQMAKGFEAS-UHFFFAOYSA-N 403.847 4.735 5 20 HJBD Cc1c(C(=O)Nc2ccc(SC3CCCC3)cc2)nnn1-c1cccc([N+](=O)[O-])c1 30182368 QXODWIDWKDLSMI-UHFFFAOYSA-N 423.498 4.771 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)CCCNc1ccc([N+](=O)[O-])cc1 65880394 JCMXTBONSQUGPD-UHFFFAOYSA-N 418.497 4.719 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@@H]1c1cccc2ccccc12 65884739 GHRQVTQRVHKYBO-HSZRJFAPSA-N 412.449 4.911 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2Br)cc(OC)c1OC 301109534 SMWJXBVQNZUFBK-SNVBAGLBSA-N 411.252 4.556 5 20 HJBD Cc1cc(N2CCC(Nc3ccc(F)cc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301117088 OXOJESKCTSULNQ-UHFFFAOYSA-N 407.449 4.580 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(COC3CCOCC3)cc2)c(Br)c1 301781869 JHAUUPKBKJJGLN-UHFFFAOYSA-N 421.291 4.665 5 20 HJBD O=C(COc1c(Br)cccc1[N+](=O)[O-])Nc1cc(Cl)ccc1Cl 431226728 UGXWEVKHUKQYKS-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1 436308882 QRNRNCXIQZWUNJ-GFCCVEGCSA-N 410.395 4.632 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@H]1CCCS1 439459688 HCQNPDAYRBONFS-QGZVFWFLSA-N 410.854 4.691 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)[C@@H](C)C(F)(F)F 443808836 LYMSJJDGMICLAE-SECBINFHSA-N 416.377 4.637 5 20 HJBD CO[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(Cl)cc1 444090701 YXMYVDOWYONERI-LJQANCHMSA-N 424.859 4.591 5 20 HJBD CN(C(=O)c1ccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1)c1ccccc1 445472745 PPTPWJVGPRMKLH-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD COc1c(F)ccc(F)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 446178739 GDAKWFPRQVTBIN-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)[C@@H](C)C2)cc1SC 460647058 FYFOORPHHJQKLJ-HOCLYGCPSA-N 400.500 4.591 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 461363381 UCLDXWIRVNCRCL-UHFFFAOYSA-N 411.389 4.561 5 20 HJBD Cc1ccc([C@H]2CCC[C@H]2N(C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 464047960 YYQURIMRSAYLBV-FGZHOGPDSA-N 421.541 4.914 5 20 HJBD O=C(N[C@H](c1cccc(F)c1)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 465267345 SYALEYGCVKOXJM-NRFANRHFSA-N 409.417 4.649 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(C)(C)c1ccccc1 465940932 VHXJMXJNYDQJRZ-QGZVFWFLSA-N 411.502 4.514 5 20 HJBD CCO[C@H](CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1)C1=CCCC1 466990242 CNHCZPROAZRFRQ-QGZVFWFLSA-N 401.488 4.871 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCOc2ccc(C(F)(F)F)cc21 472877555 QHOWUDDGZVJDQE-UHFFFAOYSA-N 408.357 4.868 5 20 HJBD CC[C@@H](C)n1c(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)nnc1-c1ccccc1 473789696 SJAKGVDBZDTZSM-CYBMUJFWSA-N 408.487 4.993 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@@H]1c1nc2ccccc2o1 474217048 XPBYMESFAQRBGJ-LJQANCHMSA-N 408.458 4.600 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](CO)c3c(Cl)cccc3Cl)cs2)c1 475623823 IHLGHAWTFQQPFY-INIZCTEOSA-N 424.309 4.848 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1C1CC1 477536733 MAITZWBHZBKQDM-JTQLQIEISA-N 407.268 4.655 5 20 HJBD CCCOc1c(Br)cc(CNCc2cccc([N+](=O)[O-])c2C)cc1OC 480453017 JOXZQHWFPKNHBS-UHFFFAOYSA-N 423.307 4.753 5 20 HJBD CC1(c2nc3ccccc3s2)CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)CC1 483774466 OSJJVVOCZKCHON-UHFFFAOYSA-N 414.462 4.929 5 20 HJBD CC(C)(C(=O)Nc1ccc(OC(F)F)c2cccnc12)c1ccc([N+](=O)[O-])cc1 484404479 JOLOGBGEDIWPMS-UHFFFAOYSA-N 401.369 4.661 5 20 HJBD O=c1cc(/C=C\c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)[nH]c(-c2ccncc2)n1 486004024 LMEXHKORTZDZFI-DAXSKMNVSA-N 412.405 4.703 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1ccc(Br)cc1[N+](=O)[O-] 487248217 NWGNQTSRQBCNIC-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1cc(C(=O)N2C[C@@H](C)C[C@H](C)C2)ccc1C 488025387 UERPNYBWTQYZDI-HOTGVXAUSA-N 411.502 4.642 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1F)CCC(=O)N2 488583045 AVUJPVNKTMQCJM-UHFFFAOYSA-N 417.462 4.619 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOC2(CCCCC2)C1 489222615 CZMDCWPADYLUCX-MRXNPFEDSA-N 406.548 4.955 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3cc4ccccc4o3)o2)cccc1[N+](=O)[O-] 489641581 WCNATBFPJFNWBW-GFCCVEGCSA-N 424.438 4.819 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1 494243957 FJFSDNCQCBEJPR-INIZCTEOSA-N 422.840 4.516 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 504750604 NSIPNYVWHQYSPQ-ZDUSSCGKSA-N 400.456 4.571 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1=O 504980248 JCYWXMVVCCYSIN-HOCLYGCPSA-N 406.442 4.679 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 511406245 VMRUFGMPLAHPOD-OAHLLOKOSA-N 410.499 4.663 5 20 HJBD Cn1ccnc1[C@H](NCCCc1cccc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 513713964 WAGCGBBIAVLXCU-LJQANCHMSA-N 418.419 4.659 5 20 HJBD COc1cc([C@H](C)N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])cc(OC)c1OC 513790972 HIGCYTDAASDNHQ-FRQCXROJSA-N 414.502 4.683 5 20 HJBD CCOc1cc(Cc2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)ccc1OC(F)F 515015819 ACVAWTOHFJAXRQ-LBPRGKRZSA-N 419.384 4.721 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@@H]2c2cccc3ccccc23)cc1[N+](=O)[O-] 516636091 FBANOEYUULFPHT-HXUWFJFHSA-N 405.454 4.803 5 20 HJBD O=C(CN(Cc1ccco1)c1cccc(Cl)c1)Nc1ccc([N+](=O)[O-])cc1F 520328761 FWSHOYVGUTYBIT-UHFFFAOYSA-N 403.797 4.626 5 20 HJBD CC(C)n1cc2cc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2n1 522925433 WLCWODURISUPPO-GFCCVEGCSA-N 402.838 4.585 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2nc(C3CCC3)[nH]c2c1 523345026 SQQGIFJPOJUJRV-NSHDSACASA-N 414.849 4.798 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H]2CCO[C@@]3(CCSC3)C2)cc1[N+](=O)[O-] 532866726 PFGALPNKZUWRQU-AVRDEDQJSA-N 413.543 4.507 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 533012964 OWFZYYVFDKIBNT-MRXNPFEDSA-N 424.526 4.721 5 20 HJBD COCC1(C)CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 536925504 NLJUZGVHFSWQSM-UHFFFAOYSA-N 414.527 4.943 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537729797 BORPBLQBBGUFII-UHFFFAOYSA-N 413.380 4.530 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 537764816 XFMJRCXQZQEENQ-KBPBESRZSA-N 404.850 4.585 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)c4c(C)cc(C)c([N+](=O)[O-])c4C)C3)[nH]c2c1 538771771 NPKPAKHUXKBEIF-KRWDZBQOSA-N 406.486 4.725 5 20 HJBD COc1ccc(NC(=O)c2cc(C)n(-c3ccc(Cl)cc3Cl)n2)c([N+](=O)[O-])c1 539547641 HTCNHHGSJHSXBR-UHFFFAOYSA-N 421.240 4.657 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)N[C@H](C)c3ccccc3[N+](=O)[O-])ccn2)c1C 540392803 LXEAZNGFCLMYOS-QGZVFWFLSA-N 420.469 4.959 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCC(=O)[C@@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452040 VTSROGCEPOHQLI-LSDHHAIUSA-N 415.515 4.713 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(CCCO)CC3)o2)c(Br)c1 541247520 MBYNJISLZUPUSO-UHFFFAOYSA-N 423.307 4.602 5 20 HJBD C[C@@H](C(=O)NC(C)(C)c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 541595792 WQXLEAVOXQZLSC-LLVKDONJSA-N 409.255 4.651 5 20 HJBD Cc1cccc([C@@H](Nc2ncc([N+](=O)[O-])c(C)c2Br)c2ccccn2)c1 542506452 DZBYBMHEXWSPFO-GOSISDBHSA-N 413.275 4.966 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F 542562527 PYTMOIHKBNYDDX-KBPBESRZSA-N 409.408 4.642 5 20 HJBD Cc1c(Cc2noc(-c3cccc(OCc4cccnc4)c3)n2)cccc1[N+](=O)[O-] 545074652 ZNQUYGSHAQZGLH-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=C(c1ccc(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nc1)N1CCCC1 549342734 WVDMTIKZZYSROL-UHFFFAOYSA-N 411.405 4.537 5 20 HJBD CC(=O)c1cc(NC[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 553144957 MFEHEDCWGDJTDW-VBKZILBWSA-N 405.857 4.695 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 554224410 ZAHSUMNZUKXRLH-UHFFFAOYSA-N 419.865 4.520 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC)c(F)c3)cs2)c1 560977164 YTHAAFLNBWEDIO-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD O=C(NCc1noc2c1CCCC2)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 561811808 UGARVWQWHVOFKV-UHFFFAOYSA-N 408.414 4.576 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1ccc(OC)c(Oc2ccc(C)nn2)c1 563786077 FYBSGZKJQGFBGN-UHFFFAOYSA-N 423.429 4.701 5 20 HJBD Cn1nccc1[C@@H]1CCCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 569437621 PBDVXLXIAIHEPZ-MRXNPFEDSA-N 421.457 4.532 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](c1cncc(Br)c1)C1CC1 570349302 OXYRTRFUJBLSQM-IBGZPJMESA-N 420.307 4.780 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 573258487 DQKLMTPJFUCCHR-HIFRSBDPSA-N 412.433 4.613 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 588905810 PHOGMNYIZYRCHO-OAQYLSRUSA-N 424.403 4.723 5 20 HJBD O=C(CCc1cccc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742166 LORUBNBBEHOQQB-UHFFFAOYSA-N 400.784 4.772 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nc(O)c3c4c(sc3n2)CCCC4)cc1 603945589 JIRWIBRSGAUHDD-UHFFFAOYSA-N 417.512 4.745 5 20 HJBD COc1cc(Br)c(CNc2ccc3ncccc3c2[N+](=O)[O-])cc1OC 608909100 SBQKBEVMILMNRU-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD CN(CCCC(=O)OC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 608970226 OMDRTOCWDDBWMA-UHFFFAOYSA-N 414.458 4.581 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](O)C1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610198425 DGFKZACINMMTLV-XJKSGUPXSA-N 420.918 4.680 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 610570710 UMBCTQJOLBJULC-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@H](C(=O)Nc1cccc(COCCOc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 617701639 FTDBJMLDHJNVRM-SFHVURJKSA-N 420.465 4.933 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1Br 725985514 LLGXCWMOLRDGSO-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 726796562 FBWKMMWNIGKAJT-SECBINFHSA-N 420.878 4.614 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)OCC(=O)c1ccc([N+](=O)[O-])cc1 728333353 QXZBTCNTXGHTBT-UHFFFAOYSA-N 422.871 4.781 5 20 HJBD CC(C)Cn1c(Sc2nc3ccc([N+](=O)[O-])cc3s2)nnc1-c1ccco1 731208750 JXMIVQKMKMHNEF-UHFFFAOYSA-N 401.473 4.863 5 20 HJBD CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cc2C1 732026559 BCIDZQFTGAONHB-IZZDOVSWSA-N 423.469 4.540 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(C(c3ccccc3)c3ccccc3)no2)cc1F 733734180 MUCKILDYQIJWHG-UHFFFAOYSA-N 405.385 4.876 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)C1(c2cccc(Cl)c2)CCOCC1 734779459 FPTAPJXZFWTEDE-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCCc1nc(-c2cccs2)no1 735643379 MEYRJGRCTIXEAJ-UHFFFAOYSA-N 407.835 4.596 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)ccc2C)c1 742004313 AOAIDDAVNACMMK-UHFFFAOYSA-N 411.389 4.556 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 742097465 PVUJPGLWRUOGAS-UHFFFAOYSA-N 400.431 4.825 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)[C@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 742465033 ZXPVWPSKAWBHEQ-BEFAXECRSA-N 413.474 4.744 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](c1ccccc1)c1cc2ccccc2o1 745760892 XWFDWZATJPMDSO-HSZRJFAPSA-N 420.396 4.765 5 20 HJBD CC(C)(C)OC(=O)N1CCC(N(Cc2cc(Cl)ccc2[N+](=O)[O-])C2CC2)CC1 746666426 NGEGMDRDNFEQNL-UHFFFAOYSA-N 409.914 4.612 5 20 HJBD O=C(N[C@H]1CCc2cc(F)ccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 749421345 HAZNORJSNVEDHW-ZDUSSCGKSA-N 413.630 4.567 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCc2c(Br)cccc2C1 750296244 ZPFXISUKGNDLIN-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1cccc(Cl)c1Cl 756767258 TUAFZFFYLQWYLI-SECBINFHSA-N 422.224 4.567 5 20 HJBD C[C@@]1(Cc2ccccc2)CCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 759198070 GGUFESHJPVOBPS-IBGZPJMESA-N 403.276 4.595 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3ccc(Cl)nc3)cc2o1 760418807 BQCZRHCLXJDHJF-UHFFFAOYSA-N 408.797 4.996 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)C[C@H](O)c3cccs3)o2)c([N+](=O)[O-])c1 763530896 LNJNRQLAPYHCNP-ACJLOTCBSA-N 402.472 4.527 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(SC(=O)N(C)C)c1 765529102 VSEBGAXFFUMJBW-KRWDZBQOSA-N 416.499 4.681 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)C(C)C)c1C 766231822 MQDDDJOZTIVQLR-OAQYLSRUSA-N 421.453 4.580 5 20 HJBD C[C@H]1CN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC[C@@H]1NC(=O)OC(C)(C)C 769428323 YFYJBYBOJMJAPB-KXBFYZLASA-N 415.490 4.590 5 20 HJBD C[C@H](NC[C@@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 775336601 MWJSSXZTQBAXHP-QMHKHESXSA-N 410.445 4.697 5 20 HJBD O=C(CCN[C@H](c1ccccc1)c1ccc(F)cc1)Nc1cc([N+](=O)[O-])ccc1F 782513042 NKHAZZKHPVWSGB-JOCHJYFZSA-N 411.408 4.581 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 784381596 UNZRUKXKJDOIKE-ORAYPTAESA-N 403.866 4.550 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1SC[C@@H]1CC1(Cl)Cl 786797587 ZFSVOVWBXFNSFT-JTQLQIEISA-N 412.298 4.715 5 20 HJBD CCO[C@H](C)c1nc(COc2cc(F)c(Br)cc2[N+](=O)[O-])cs1 790295011 ADWKXAVONNEIFK-MRVPVSSYSA-N 405.245 4.629 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccc(COCc2ccccc2)cc1 915600482 RARIVLYKOKWPPY-QINSGFPZSA-N 402.450 4.641 5 20 HJBD C[C@H](NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)c1cccc([N+](=O)[O-])c1 917701998 SLABTWBMWYOVBZ-LUIVIUGWSA-N 407.308 4.506 5 20 HJBD COc1cc(C(=O)O[C@H](c2cc(C)cc(C)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC 918560289 STMWLESRIDINLC-QGZVFWFLSA-N 413.348 4.689 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 919553531 QAMOWOSWHGIFRJ-UKVQZPPCSA-N 422.359 4.587 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@H](OC(=O)c2ccc([N+](=O)[O-])cc2F)c2ccccc2)c1 919569361 VLEZBGWXLRCVQT-OAQYLSRUSA-N 422.412 4.888 5 20 HJBD Cc1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c([N+](=O)[O-])c1 919685222 LSSFUFOTHCBQDJ-AWEZNQCLSA-N 422.397 4.599 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1F 919894649 NBHDSCAOSKFTRK-UHFFFAOYSA-N 411.389 4.678 5 20 HJBD O=[N+]([O-])c1nn(CN2CCC[C@H]2c2cccc3ccccc23)cc1Br 919949798 AMQNCWIUDXOORN-KRWDZBQOSA-N 401.264 4.502 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)C[C@H]1CCCO[C@@H]1c1ccccc1 1338311644 KODPCIIYPJGNHK-YLJYHZDGSA-N 419.319 4.957 5 20 HJBD Cc1sc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)nc1-c1ccc(F)cc1 7967295 BQNXULXRGKSKAN-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD C[C@@H](Sc1ccc2c(c1)OCCCO2)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 10603285 SMCDGPZKSOKGBC-LLVKDONJSA-N 408.863 4.529 5 20 HJBD C[C@H](Sc1nccn1Cc1ccccc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 23642586 WDRFFJUZUOQDQT-ZDUSSCGKSA-N 416.890 4.612 5 20 HJBD Cc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)s1 44568399 RCPNTWHVHVNJSN-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nncs2)cc1 47407919 ASXUNLVVSWRTBF-NSHDSACASA-N 418.525 4.717 5 20 HJBD COC(=O)c1ccc(OC(C)C)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 63621774 FUUXHNJAXDJKJX-UHFFFAOYSA-N 414.439 4.636 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 65497702 SRLLDMUQOVYRLH-UHFFFAOYSA-N 420.469 4.509 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C[C@H](O)CO[C@@H](C)c1ccc(Cl)cc1 65512362 NAIAVDVFNVWPNB-KKSFZXQISA-N 406.910 4.597 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC[C@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 105436227 NLLMFJNBRMSSMZ-KRWDZBQOSA-N 410.499 4.684 5 20 HJBD Cc1cccc(-c2nnc(Sc3cc(C)nc(-c4ccc([N+](=O)[O-])cc4)n3)n2C)c1 301075657 CZZUFEOKJOOHQV-UHFFFAOYSA-N 418.482 4.615 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1)c1ccncc1 301104158 PMVQRJXBEAHJGO-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=[N+]([O-])c1cnc(Sc2nnc(-c3cccs3)n2Cc2ccco2)c(Cl)c1 301701021 FTFLJWOWPCGOAF-UHFFFAOYSA-N 419.875 4.756 5 20 HJBD O=C(O)c1ccccc1CCNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426648513 RSHSFXAPJCVXDH-UHFFFAOYSA-N 410.401 4.557 5 20 HJBD CC1(C)CCC[C@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 427145834 BUZBDUGNSFXPRF-QGZVFWFLSA-N 413.440 4.525 5 20 HJBD COc1ccc(C2(CN[C@@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)CCOCC2)cc1 433162169 TVZGEUZOWVTFIM-JOCHJYFZSA-N 410.514 4.664 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3ccc(Cl)cc3)CC2)cc1SC 434259553 HRYVBDHQFDZGKN-UHFFFAOYSA-N 418.902 4.908 5 20 HJBD CCCOc1ccc(CC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OCCC 437364897 MMBXWWYUXWRWIX-UHFFFAOYSA-N 400.475 4.970 5 20 HJBD COc1cc(CNC(=O)c2c(C)cc(Cl)cc2[N+](=O)[O-])ccc1OC1CCCC1 439106573 IGWMYWFMEYESJU-UHFFFAOYSA-N 418.877 4.817 5 20 HJBD CN(C(=O)CCc1c(-c2ccc(F)cc2)[nH]c2ccccc12)c1ccc([N+](=O)[O-])nc1 439294463 FMBFUOIYFLBXSX-UHFFFAOYSA-N 418.428 4.873 5 20 HJBD COc1cccc(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440762800 QTGKTIRCCXXOPT-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@@H]([C@@H]2CCOC2)C1 441541947 FGQQDBOOSITREI-HZPDHXFCSA-N 416.861 4.539 5 20 HJBD O=C(N[C@@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl)c1ccccc1[N+](=O)[O-] 443929826 TVHKCPFQUMRYEM-NRFANRHFSA-N 424.840 4.539 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@@H](Sc1ccccc1)c1ccccc1 444631932 MYMDRCOKONMOPR-NRFANRHFSA-N 418.478 4.621 5 20 HJBD CC(C)[C@@](C)(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Br)cc1 444710155 RRIDHEPNYAVHAE-LJQANCHMSA-N 417.307 4.895 5 20 HJBD Cc1cc2cc[nH]c2cc1NC(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 444967577 ARQBWYOYKPDWDC-UHFFFAOYSA-N 421.379 4.637 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2Nc2ccc(-c3ncc4n3CCCC4)cc2)n1 447595789 OQWQEYNWZOUTBQ-VQTJNVASSA-N 406.490 4.587 5 20 HJBD CCc1nc2ccccc2n1Cc1noc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 460729473 IVOOWNIUQQYREI-UHFFFAOYSA-N 417.347 4.624 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@]1(C)OCCc2sccc21 460974984 BDXAUADENYLMNC-HXUWFJFHSA-N 420.556 4.766 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])cc2Br)c1 462382273 YLLMZDYVTKORIH-GFCCVEGCSA-N 406.280 4.954 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](CSc2ccccc2)C1 464329104 NJLOWQCUDVCUQS-ZDUSSCGKSA-N 410.417 4.868 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1CC(=O)c1ccco1 469131779 XTTACHOKWSSAEK-NVXWUHKLSA-N 416.499 4.713 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2sc(-c3ccccc3)nc2C)ccc1[N+](=O)[O-] 469196124 AVMSRQDXKHOYMY-CQSZACIVSA-N 411.483 4.869 5 20 HJBD C[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 470016165 CPEFXRZIQFXMFW-OAHLLOKOSA-N 402.454 4.722 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C3)cs1 470128501 MSLPOWBRYYDKNJ-UHFFFAOYSA-N 418.475 4.818 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1/C=C\c1nc(O)c2cc(Br)sc2n1 480033157 ALAFOYZLJXWXOM-UTCJRWHESA-N 422.260 4.637 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)N(c1cccc(Cl)c1)c1nc2ccccc2s1 483934489 PJSOBVCZOXOOIQ-UHFFFAOYSA-N 413.846 4.570 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nc(C(F)(F)F)nc4ccccc34)[nH]c2c1 484494456 DRTBARYPVITFLH-UHFFFAOYSA-N 405.361 4.725 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC2CCOCC2)c(C)c1 489538214 OOXRNQARTIVHQG-UHFFFAOYSA-N 416.499 4.825 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1C(C)C 490534372 KHHHMCPQEJIADN-UHFFFAOYSA-N 423.311 4.976 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N[C@H](c1cccc(F)c1)c1ccccn1 498249532 FEXGTKXEQFDKEG-JOCHJYFZSA-N 422.460 4.540 5 20 HJBD Cn1c(C(=O)Nc2ccc(Oc3ccc(C(F)(F)F)cn3)c(F)c2)ccc1[N+](=O)[O-] 499220723 WYSBFEYEJFQOCX-UHFFFAOYSA-N 424.310 4.531 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCN(C)C)CC1 501649686 UKUVHIJJHSEOAD-UHFFFAOYSA-N 407.580 4.537 5 20 HJBD CCCN(C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)[C@H](C)CC(=O)Nc1ccccc1 504763798 YAYMPSIENAGCJC-QGZVFWFLSA-N 412.490 4.873 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1c(C)nc2sc(C)cn12 505782993 PLISGYQZBVOJHE-UHFFFAOYSA-N 418.544 4.695 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 509772550 LBLDFANBVWRIIJ-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Oc3cccnc3)c(C)c2)c([N+](=O)[O-])cc1OC 512168536 LDBPSCQNWQBKCT-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD CC(C)(C)c1ccc(CNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 515554658 YUCGJMIHQWFDEZ-UHFFFAOYSA-N 410.392 4.763 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 515738698 WBEMVCZMYAILKP-UHFFFAOYSA-N 419.268 4.750 5 20 HJBD CCC[C@H](C)N(C(=O)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 515915166 UIGUOTOMWKYUFU-ZDUSSCGKSA-N 419.503 4.680 5 20 HJBD COc1ccc([C@H](NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cc1 516707277 YMBRYLIJTGDSFR-JOCHJYFZSA-N 423.444 4.674 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 521086983 GYUSMCIJDDHYJP-UONOGXRCSA-N 422.359 4.517 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCSC[C@H]1c1ccc(Cl)cc1 523913938 JJJSRUAFFJTSPD-INIZCTEOSA-N 407.879 4.579 5 20 HJBD CCOC(=O)c1cc(C(=O)N(c2ccc(F)cc2Cl)C(C)C)cc([N+](=O)[O-])c1 523981810 XYIXWURDYCPZAJ-UHFFFAOYSA-N 408.813 4.619 5 20 HJBD CCCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](C)c1ccccc1Br 525653401 ONDKSFBFULPNDR-AWEZNQCLSA-N 405.292 4.900 5 20 HJBD CC[C@@H](C[C@@H](C)CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 525759669 JISSPNUYUCIJGA-OLZOCXBDSA-N 411.296 4.504 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(-n2ccc(C(F)(F)F)n2)c1 531600296 MXPPKAKDKTVZGT-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC(Oc2ccccc2Cl)CC1 532805203 RMYVLEKJERKDQR-UHFFFAOYSA-N 417.893 4.510 5 20 HJBD COc1ccc(NC(=O)[C@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 535509204 ZPMSOEZKTSQVLA-ZDUSSCGKSA-N 413.455 4.519 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(-c4n[nH]c(C)n4)c3)o2)c([N+](=O)[O-])c1 535942663 HPVRRVHQTICVCK-UHFFFAOYSA-N 405.414 4.569 5 20 HJBD COc1ccc(CC(=O)N[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 536640172 PLCLEPUMVBLSQC-RDJZCZTQSA-N 422.403 4.617 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1F 541278387 OIDNMNRQWHFBMH-UHFFFAOYSA-N 402.300 4.514 5 20 HJBD CS[C@@H](CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(C)(C)C 541279698 ZGNZGDGNVDVGJK-LIRRHRJNSA-N 416.547 4.670 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)cc1[N+](=O)[O-] 542959172 PVFLFWJWRBRLIG-ZDUSSCGKSA-N 412.471 4.625 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](c2ccccc2)c2nccs2)c1Br 543435586 KPPQJNXNEDTJOO-AWEZNQCLSA-N 405.277 4.719 5 20 HJBD Cc1cc(C)c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1Br 548980590 MWTZDTKDOHZRFE-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)[C@@H]1CCC(=O)c2ccccc21 551194536 JJNXZRHGPOMTAY-LJQANCHMSA-N 414.417 4.525 5 20 HJBD CC[C@H]1CCCC[C@@H]1c1noc(-c2ccc(Sc3nncn3C)c([N+](=O)[O-])c2)n1 551536622 VMQVUISKKIPRHM-JSGCOSHPSA-N 414.491 4.608 5 20 HJBD C[C@H]1COCC[C@@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 561292268 LEFWOICFBCEOII-UONOGXRCSA-N 420.918 4.802 5 20 HJBD Cc1nc2c(cc(OC[C@@H](O)COc3cccc([N+](=O)[O-])c3)c3ccsc32)s1 570646257 HSUAUWLANSRVRJ-ZDUSSCGKSA-N 416.480 4.546 5 20 HJBD CCOc1ccccc1[C@@H](CC)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 571308574 NQUWQWHCIYNFLA-SUMWQHHRSA-N 405.882 4.715 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 571963708 QVQGRIFADIOSGI-NSHDSACASA-N 415.837 4.743 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN(Cc2cccs2)[C@@H]2C=C[C@H](CO)C2)o1 574081152 IHZBYNNTNNAYBN-DLBZAZTESA-N 410.495 4.856 5 20 HJBD O=C(N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1cccc([N+](=O)[O-])c1 577426058 WUYMBKVJLNUIHG-SFHVURJKSA-N 408.376 4.511 5 20 HJBD CC[C@@H](C)n1nc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cc1C 580638229 HOSTUCNPOBEZLW-OAHLLOKOSA-N 408.458 4.902 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 581220911 MLUJKJVXEPOMQI-PXNSSMCTSA-N 401.438 4.680 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 590613615 WGQLTCVGTCYXSC-UHFFFAOYSA-N 420.450 4.945 5 20 HJBD O=C(Nc1cccc(-n2cccn2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 603891013 BOXPZGPDLZFMIR-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD O=C(Nc1ccc2nccnc2c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 603964499 TWFNZELWHKIFFT-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD COc1cc(CSCc2cc(-c3ccco3)on2)c([N+](=O)[O-])cc1OC(F)F 609237273 LYZFMQSECRSZBE-UHFFFAOYSA-N 412.370 4.886 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCN(C4CCCC4)CC3)c([N+](=O)[O-])c2)cc1 609964853 CQTSYPWSRVTWMG-UHFFFAOYSA-N 422.529 4.610 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc2)n1 610099246 NETQZRUYBVGFLM-UHFFFAOYSA-N 405.458 4.505 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 610201092 WIAIDHZXOAXRRL-UHFFFAOYSA-N 423.296 4.762 5 20 HJBD COCCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 610226881 OELCJIGKZSOMLY-AWEZNQCLSA-N 410.392 4.772 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 611195409 QHYARWAEDNAJCH-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1ccc([N+](=O)[O-])c2cccnc12 611222051 SDYOSPLVFBDIDM-UHFFFAOYSA-N 413.437 4.664 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(Cc3nc4cc(C(F)(F)F)ccc4s3)no2)c1 612881819 KYUNDUDUMRAMNU-UHFFFAOYSA-N 406.345 4.864 5 20 HJBD CSc1ccc(-c2noc([C@H](C)NC(=O)c3cccc([N+](=O)[O-])c3)n2)c(Cl)c1 612956989 LWKJRIYPHIDEHG-JTQLQIEISA-N 418.862 4.511 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(-c4cccc(-c5cscn5)c4)no3)cn2)cc1 612957683 VVNCJQJADCCSTF-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD CCCn1c(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 619722202 XEGPZJVFMCUYSD-UHFFFAOYSA-N 417.874 4.722 5 20 HJBD C[C@H](Sc1nc2ccccc2c2nc3ccccc3n12)c1nnnn1-c1ccccc1 643090102 XGADQBUXCMJRAY-HNNXBMFYSA-N 423.505 4.865 5 20 HJBD COc1cccc(SCC(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728165669 ONYSLCOLQHABSR-UHFFFAOYSA-N 406.891 4.792 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C/C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 729871908 MOAOUILSGJMCKM-VOMSXAGXSA-N 423.425 4.579 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 729948982 ZBXJIHMROSOOJV-UHFFFAOYSA-N 417.874 4.950 5 20 HJBD COc1ccc2oc([C@H](C)Nc3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)c(C)c2c1 730107518 IWDKFKTZDIPYCW-ZDUSSCGKSA-N 409.442 4.723 5 20 HJBD COc1ccc(-c2ccc(C(=O)NCCc3ccc([N+](=O)[O-])cc3)c(F)c2)c(F)c1 730483616 LSVPDJZGESGGTO-UHFFFAOYSA-N 412.392 4.521 5 20 HJBD O=[N+]([O-])c1ccc2cnn(Cc3nc(C(c4ccccc4)c4ccccc4)no3)c2c1 731788313 SDTZMEHZXMTTMZ-UHFFFAOYSA-N 411.421 4.556 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)OCc1ccc([N+](=O)[O-])cc1Br 739580413 UOTIQGDKUBJMQN-BQYQJAHWSA-N 419.256 4.724 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)OCc1ccc([N+](=O)[O-])cc1Br 739580496 YOEDMKQVGGCRSZ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(OC(F)F)cc1 740421603 FYVBZLIMXQKEDN-SECBINFHSA-N 416.174 4.877 5 20 HJBD CCN(Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1)[C@@H](Cc1ccc(F)cc1)C(C)C 743401035 XLLBNKJKKYZPFM-FQEVSTJZSA-N 411.481 4.539 5 20 HJBD COC(=O)CCCc1csc(NC(=O)c2cc3ccccc3c3cccnc23)n1 755651386 MNRCYWWTSUXPQM-UHFFFAOYSA-N 405.479 4.593 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1ccccc1C(C)C 763812917 YFPLKDGCBZDHHK-UHFFFAOYSA-N 402.472 4.626 5 20 HJBD CN(C1CCN(Cc2c3ccccc3c(Cl)c3ccccc23)CC1)S(C)(=O)=O 769125471 OWNJDHXZCLIZSX-UHFFFAOYSA-N 416.974 4.502 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)cc1[N+](=O)[O-] 770080625 SHRPXQRIFHDDES-UHFFFAOYSA-N 420.450 4.871 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cccc(Oc2nccs2)c1 770328694 YDOSOEIBCBTWPO-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD Cc1c(C(=O)Nc2ccc(Sc3ccncc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 772220694 JFVVSVWKIYWTRQ-UHFFFAOYSA-N 410.411 4.610 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Sc2ccc(Cl)cc2)CC1 773196039 QAWPRZDAVUWTOP-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777905239 CGKCCLNALLUWMU-QGZVFWFLSA-N 406.385 4.793 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nnc(-c2cccc(Cl)c2)o1 784695704 JTPJUJWTUGPYKP-GHMZBOCLSA-N 419.796 4.845 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 789669393 WBCNRPSMZHLEGU-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD CCC(=O)c1ccc(N2CCC(C(=O)c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])c1 795072938 OAHFJJJMCUCKII-UHFFFAOYSA-N 400.862 4.940 5 20 HJBD Cc1cc(C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)c(C)n1Cc1ccco1 795245606 BSCOKISDNSNVSS-UHFFFAOYSA-N 406.797 4.709 5 20 HJBD CCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)cc1F 799409594 HZWMSYDVSLFNQR-UHFFFAOYSA-N 401.619 4.817 5 20 HJBD O=C(NCC[C@@H](O)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799646233 DGJLDLAUBSMOFP-LJQANCHMSA-N 408.479 4.600 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)c1ccc(C(F)(F)F)cc1 801075948 REOPKSZBUOQLGY-UHFFFAOYSA-N 411.336 4.805 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C(=O)Nc2ccccc2)c2ccccc2)c1F 803459430 WABLTCDBPMXVQN-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2s1 918162402 WHDMNEIPLKSGQT-UHFFFAOYSA-N 418.862 4.849 5 20 HJBD C[C@H](Cc1cccc(F)c1)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 920999047 MASYCIDEQWFGNX-OAHLLOKOSA-N 422.412 4.770 5 20 HJBD C[C@H](OC(=O)C(F)(F)c1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1251206614 WGXHGGKJCCKJGE-JTQLQIEISA-N 423.759 4.694 5 20 HJBD Cc1cc([C@H](C)N[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c(C)o1 1320393925 ORLGVQNKIGSBHO-STQMWFEESA-N 414.487 4.606 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCc2cnn(C(C)C)c21 1320537963 HWEREDSIWRIPGA-KRWDZBQOSA-N 402.520 4.680 5 20 HJBD CC(C)Oc1cc(Br)ccc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1322086120 LJTKYWZJVFJFKV-UHFFFAOYSA-N 404.220 4.862 5 20 HJBD COCC[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(Cl)c(Cl)c1 1323071201 AJXMKVBKWAOXKO-GOSISDBHSA-N 424.284 4.705 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1ccccc1F)N1Cc2ccc([N+](=O)[O-])cc2C1 1323368518 GNTFXOSFNDZJSB-QFIPXVFZSA-N 405.429 4.743 5 20 HJBD Cc1ccc2c(c1)S[C@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C2 1342663693 KQDBNKUMSQOGDF-SFTDATJTSA-N 406.463 4.648 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cs2)c1 6011981 SBCXPVVSKVGOPV-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C\c1ccc([N+](=O)[O-])cc1 7701000 QLNAPJCQUMEXCY-FOWTUZBSSA-N 401.447 4.978 5 20 HJBD CCOc1cc(C(=O)N2CCCc3cc(C(F)(F)F)ccc32)c([N+](=O)[O-])cc1OC 24471501 SBNDISDKVBLDIU-UHFFFAOYSA-N 424.375 4.614 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 47409083 ACBABGDLIMZTTB-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 58475955 LJWVHNVQBCIFFH-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 63397350 MCKCABLPMBLIAZ-ZDUSSCGKSA-N 407.854 4.546 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 109850774 GJUNXYKXRQMZRR-IBGZPJMESA-N 410.861 4.931 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c1 115857815 HHCJOZPERIOVHL-FQEVSTJZSA-N 415.449 4.647 5 20 HJBD C[C@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cn1ccnc1 237577673 VBHGBEFVADAJBQ-OAHLLOKOSA-N 400.866 4.663 5 20 HJBD CSc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)n2)cc1 247858144 VCKQNZJZOPRDSC-UHFFFAOYSA-N 414.512 4.759 5 20 HJBD CC(C)NC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1 301859659 DAHXFWLBDOTENE-UHFFFAOYSA-N 405.458 4.799 5 20 HJBD COc1cc(NCc2ccc(NC(=O)c3ccc(F)cc3)cc2)c(F)cc1[N+](=O)[O-] 301968637 PRVCTAZGDVTPGL-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3C)C[C@H]2C)cc1SC 303815839 ZXUUZAVBPNOYTN-HUUCEWRRSA-N 400.500 4.652 5 20 HJBD COc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])ccc1C(F)(F)F 426940129 LJOAEBASKVDMIJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC1(C)C[C@H](NCc2ccc(COC3CCOCC3)cc2)c2cc([N+](=O)[O-])ccc21 433306479 SEUUSBBTCYPHMW-QHCPKHFHSA-N 410.514 4.803 5 20 HJBD O=C(NCc1csc(CCc2ccccc2)n1)Nc1ccc([N+](=O)[O-])cc1Cl 433994663 PSCPTHASFZJYAW-UHFFFAOYSA-N 416.890 4.812 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1csc2cncn12 435446245 ATINFKLWCCYYPA-UHFFFAOYSA-N 402.482 4.769 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC[C@@H]1O 438520231 ZBTWPFZDCJOCCV-SFHVURJKSA-N 420.918 4.632 5 20 HJBD O=C(N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 438688674 SVUPSCGRLFKMSA-ZWKOTPCHSA-N 414.480 4.962 5 20 HJBD C[C@@H](NCC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc2ccccc2o1 442882267 KYLZLBZNJBPOQK-CYBMUJFWSA-N 422.466 4.754 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)c1ccc2[nH]c4ccccc4c(=O)c2c1)CC3 444302946 SLVUAYCGBDSXSQ-QGZVFWFLSA-N 421.500 4.758 5 20 HJBD CC(C)n1c([C@H]2CCCN(Cc3nc4ccc([N+](=O)[O-])cc4[nH]3)C2)nc2ccccc21 444672197 YWKYLBFYJGDBQU-INIZCTEOSA-N 418.501 4.781 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)c1cccc(OCc2ccccn2)c1 446491278 VXBGLBPYEGCLSP-INIZCTEOSA-N 406.442 4.760 5 20 HJBD Cc1ccc([C@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1 462464783 LVFFIGSBANRWQB-OAHLLOKOSA-N 415.298 4.736 5 20 HJBD COc1cc(CN2CCCC[C@@H]2c2nc(-c3ccccc3)no2)c([N+](=O)[O-])cc1F 464121799 KWZILAMLNQMQSC-QGZVFWFLSA-N 412.421 4.520 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@H](C)C[C@@H]2c2ccccc2F)cc1[N+](=O)[O-] 464213634 VCJXEIXCYGLRTE-RDTXWAMCSA-N 418.490 4.585 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 466331655 VFHOEHWZADGGEK-YJYMSZOUSA-N 415.808 4.652 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])c1cnn(C)c1 466427213 WGUFUCRTWHAVAX-GFCCVEGCSA-N 416.890 4.624 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccccc2[N+](=O)[O-])C(C)(C)C)c(OC)c1 472492848 CNJVWUCRLOWHAE-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD CCCOc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c(OC(F)F)c1 472652676 UQMVRXJNSZAYPE-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD CCOC[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 477611979 QSGJDMKHFOUICR-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(CCn3cc(Br)cn3)cc2)c(F)c1 478254055 JUDNFKMAPGOFHK-UHFFFAOYSA-N 419.254 4.548 5 20 HJBD C[C@@H](NCCCOCC1CCOCC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 484144930 LFSIGHCJYYKMDB-MRXNPFEDSA-N 404.532 4.807 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2F)cc1SC 484318866 NAWAFVOLTSDGBM-UHFFFAOYSA-N 404.341 4.736 5 20 HJBD COc1ccc(NC(=O)Nc2cnn(-c3ccc(C)cc3)c2C(C)C)cc1[N+](=O)[O-] 484344510 XTTATDUJLGQPNG-UHFFFAOYSA-N 409.446 4.865 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485752255 GZUCGXMOPLGALJ-QGZVFWFLSA-N 409.305 4.656 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@@H](c3ccccc3)C2)cc1SC 489638196 KAJUPKQNIKKDST-HZPDHXFCSA-N 400.500 4.782 5 20 HJBD Cc1ccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(OCC2CC2)c1 491347269 UOAIQKNDZODNHV-UHFFFAOYSA-N 409.486 4.566 5 20 HJBD CCOc1cc(CSc2n[nH]c(-c3cc(Cl)ccc3OC)n2)ccc1[N+](=O)[O-] 491876519 ZNSRUOTYFWVPQA-UHFFFAOYSA-N 420.878 4.733 5 20 HJBD CCOc1c(Br)cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])cc1OC 491998291 JYSABEXUSUGGIR-UHFFFAOYSA-N 423.307 4.790 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@@H]1c1ccc(C(F)(F)F)cc1 491999846 MYJSBPWIDWQIRG-CXAGYDPISA-N 400.784 4.575 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(F)c(Cl)c2)cs1 511622444 KTUPVFPRJWBNQQ-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC(c2nc3ccccc3s2)CC1 515284861 DFWWARWGCFXBCQ-UHFFFAOYSA-N 407.451 4.971 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCc3ccc(OCCOc4ccccc4)nc3)ccc2c1 515501473 IOURQUFRBWATCZ-UHFFFAOYSA-N 416.437 4.608 5 20 HJBD O=C(Nc1ccc(-c2ncon2)cc1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 524019640 XYCBCHNQBODEKV-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C(C)(C)C)[nH]c3c2)cc1SC 526007581 OGWQCGLSWHLDHV-UHFFFAOYSA-N 414.487 4.751 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1F 534773014 YUAXMNBXVGSJEN-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD CCOc1ccccc1NC(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535508343 PBVAONCKMAXTFC-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538045471 MQIUENGUKQHJSM-YJBOKZPZSA-N 407.829 4.656 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 539858338 FURPMFYHHNWGHD-WIYYLYMNSA-N 409.530 4.645 5 20 HJBD CSc1ccc(F)cc1CNC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543118056 CRASNXLFABMYQW-UHFFFAOYSA-N 400.884 4.518 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C[C@H]2CCOC2)c2ccccc2)c1Br 543438170 JEGUBQZNHXFHOJ-HIFRSBDPSA-N 406.280 4.641 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Cl 543471650 GSLZCUOIRGEYIT-GFCCVEGCSA-N 421.856 4.515 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 545072723 TUWSPWMBTWMAMI-JTQLQIEISA-N 421.276 4.579 5 20 HJBD C[C@@H](c1nc(Cc2nc3ccccc3n2C(F)F)no1)c1ccc([N+](=O)[O-])cc1F 545617480 KISMTMOXPFWCOD-SNVBAGLBSA-N 417.347 4.604 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(C(F)(F)c2ccc(F)cc2)no1 546374120 QZLQUEAYNAHNNT-UHFFFAOYSA-N 413.739 4.532 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549402983 YXERPHGSYPAJJQ-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD COc1c(C(=O)N2CCC(c3c(Cl)cccc3Cl)CC2)cccc1[N+](=O)[O-] 561746892 DJKOBTYNEDXGSG-UHFFFAOYSA-N 409.269 4.930 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)no1 567088382 GVWJEDQJGRPBNX-UHFFFAOYSA-N 408.458 4.909 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1cccc(NC(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 572223168 BMGPFYZWSMNPAY-DLBZAZTESA-N 417.465 4.828 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1cccc(F)c1)C1CCCC1 603739371 VOLRAWAKFBLGMC-UHFFFAOYSA-N 408.433 4.505 5 20 HJBD CCOc1cc(NC(=O)C(C)(C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC 603952624 FYPSGPGSFUSWOC-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNCC3CCN(Cc4ccccc4)CC3)o2)c1 608836686 FOUYKQUPRSZXCI-UHFFFAOYSA-N 405.498 4.857 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1)c1cc(F)cc([N+](=O)[O-])c1 609645856 SZXPPVYTZDWNNB-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1cc(C(=O)N2C[C@@H](c3ccccc3C)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 609976422 ODYUWPZQDCEMKT-KGLIPLIRSA-N 420.412 4.531 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2ccc(-c3ccccc3)cc2)cc1SC 610809385 PAXSLSXBEVDGOF-UHFFFAOYSA-N 422.506 4.965 5 20 HJBD O=C(NCCc1ccc(C(F)(F)F)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610863771 OLWWPTDBHZHQDT-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD O=C(Nc1cccc(Sc2ccccc2)c1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 618754049 YBKQEQIESSVVSQ-UHFFFAOYSA-N 423.498 4.812 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CNc1ccc(NC(=O)C2CC2)cc1C(F)(F)F 619525889 SJZVGSOWRSTFAN-UHFFFAOYSA-N 409.364 4.583 5 20 HJBD O=C(Nc1ccc(OC(=O)c2nccc3ccccc23)cc1)c1cccc([N+](=O)[O-])c1 726523948 HSCMQEYKCVVCGL-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD COc1cc(COC(=O)C[C@H](C)c2ccccc2F)c([N+](=O)[O-])cc1OC(F)F 726840436 BZBHQCMITRQAKI-NSHDSACASA-N 413.348 4.581 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)OC(=O)c1cc([N+](=O)[O-])cn1C 734730453 TXEKKXCXMKWVBH-NSHDSACASA-N 405.863 4.937 5 20 HJBD CCCCn1c(SCc2cc([N+](=O)[O-])ccc2Cl)nnc1[C@@H](CC)N(C)C 735488078 ACMHGGSNFNZGAP-MRXNPFEDSA-N 411.959 4.945 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1CCN(c2nc3ccccc3o2)CC1 735642665 DURBIZKUCSWGPV-UHFFFAOYSA-N 415.833 4.520 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](O)c3cc(Cl)cc(Cl)c3)o2)c1 735677684 SXNXNVMJLYDDBU-GOSISDBHSA-N 407.253 4.985 5 20 HJBD C[C@@H](OC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1ccncc1 735962305 BVVKIKDYGMUEDS-CYBMUJFWSA-N 410.813 4.792 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1 739620912 PYOHOJZXUIKJFB-IAGOWNOFSA-N 411.502 4.752 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)Cc1cccc([N+](=O)[O-])c1C 747927675 BTYBEQHAWMYSIH-GOSISDBHSA-N 418.925 4.624 5 20 HJBD O=C(NCc1csc2ccccc12)c1cc(F)cc([N+](=O)[O-])c1Br 754988603 QQPSHUHWHURXEL-UHFFFAOYSA-N 409.236 4.641 5 20 HJBD C[C@H](C(=O)OCc1coc(-c2cccc(Cl)c2)n1)c1ccc([N+](=O)[O-])cc1F 755068946 OZPMOCVWFOIFQX-NSHDSACASA-N 404.781 4.889 5 20 HJBD O=C(COc1cc(Cl)ccc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760487521 UCEDXRMQXJZQNG-UHFFFAOYSA-N 423.208 4.679 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(C)C 763091765 RALJMRIAXYUKST-UHFFFAOYSA-N 408.458 4.589 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc(F)c([N+](=O)[O-])cc1F 769487288 WFTJCTWTOINNNA-UHFFFAOYSA-N 412.392 4.520 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(F)c(F)c1 770304490 NGKKYBQMKLZHSG-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 772230297 ARTHUUPGDCRNCY-UHFFFAOYSA-N 415.452 4.897 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(S(=O)(=O)C2CCCC2)cc1 773041582 QVAQUCXVSKBVNJ-CQSZACIVSA-N 422.934 4.815 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)cc2c1 775479990 GKVPZZQQIZRUQE-UHFFFAOYSA-N 420.252 4.731 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c(C)c1 778164607 BXRTUZFOYADBOF-UHFFFAOYSA-N 420.412 4.574 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 785399662 ZXSKXGSDRWFZOL-UHFFFAOYSA-N 415.515 4.814 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1cc([N+](=O)[O-])ccc1Cl 786024076 ISWJEAGXPPUMKS-CYBMUJFWSA-N 413.680 4.588 5 20 HJBD C[C@H](OC(=O)Cn1c(SC(F)F)nc2ccccc21)c1cccc([N+](=O)[O-])c1 791582389 FWSQEXNBRYOMDC-NSHDSACASA-N 407.398 4.564 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H]1CCCN(c2nc3ccccc3s2)C1 800149422 MXENMHGTXSHEIG-CYBMUJFWSA-N 414.462 4.507 5 20 HJBD CCn1c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nnc1-c1ccncc1 809946979 VAFKIQPQXFAFQN-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H]1CCN(Cc2ccccc2)C1 813153408 UPNWLKHZVZRTAG-ZFWWWQNUSA-N 422.312 4.542 5 20 HJBD COc1ccc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OC1CCCC1 913506485 SVLMIEXMHHVXSJ-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD O=C(Nc1ccccc1C(=O)NC[C@@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1Cl 921035885 FEKQDBHRIDMCSS-CQSZACIVSA-N 413.861 4.587 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(Cl)c(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 1116468168 DYPUCCXYKVRFOJ-NXEZZACHSA-N 400.237 4.719 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC(C)(C)c3c(Cl)cccc32)cc1OC 1335888422 LEOKQCXOGUVTEY-UHFFFAOYSA-N 404.850 4.594 5 20 HJBD C[C@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 9483887 JHKYOEHBZVXANJ-QMMMGPOBSA-N 411.270 4.897 5 20 HJBD C[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(C(F)(F)F)c1 15694742 PPFUXQGWNYFRTC-CQSZACIVSA-N 421.419 4.707 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1cnn(-c2ccc(Cl)cc2)c1C(F)(F)F 22279155 ALOTVHJCLAHAAP-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COC(=O)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 26850183 SXPATSRRFAQREB-UHFFFAOYSA-N 422.462 4.714 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)CC1 52464568 BUPQXIIUXFVVNL-UHFFFAOYSA-N 407.470 4.631 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(COCc3ccco3)c2)cccc1[N+](=O)[O-] 56188570 JIEIGTIKUSLUMW-INIZCTEOSA-N 409.442 4.652 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1SC 64344297 LNTXLUKLVRDPRV-ZDUSSCGKSA-N 414.487 4.568 5 20 HJBD COc1ccc(NC(=O)c2ccc(SCc3csc(C)n3)cc2)c([N+](=O)[O-])c1 218138454 DFQZVCSZVJOSEI-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD CC(C)(CO)CCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 236756812 ICRIHZKNAXEZNP-UHFFFAOYSA-N 411.296 4.506 5 20 HJBD COc1ccc(CN[C@@H]2c3cc(Br)ccc3SC[C@@H]2C)cc1[N+](=O)[O-] 237366791 DDJWEGFESQYFOY-VOJFVSQTSA-N 423.332 4.939 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(-c3ccco3)n2C2CCCCC2)nc2sccn12 301096250 PDKRNPPIUQJGGI-UHFFFAOYSA-N 416.488 4.812 5 20 HJBD CCc1nn(C)c(N[C@@H]2CCCc3sc(-c4ccc(F)cc4)nc32)c1[N+](=O)[O-] 302170620 AFGMIWJQPDOVQP-CQSZACIVSA-N 401.467 4.643 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 303521652 PRGLLHIAWPSHRA-UHFFFAOYSA-N 416.481 4.715 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC23CC4CC(CC(C4)C2)C3)cc1[N+](=O)[O-])c1ccccn1 409482130 CXJKAQTVCLRVTP-LJQCHSNASA-N 420.513 4.862 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCO[C@H](c4ccc(C(F)(F)F)cc4)C3)ccnc12 432177086 IIFWWDYHSPAKCN-SFHVURJKSA-N 403.360 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCSc1ccnc2cc(Cl)ccc12 433505350 GZTDTJBUTSYTAH-UHFFFAOYSA-N 417.874 4.926 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nc(O)c4c5c(sc4n3)CCCC5)nc2c1 434805648 XVISDDUNHUQTER-UHFFFAOYSA-N 414.468 4.617 5 20 HJBD COc1cc(/C=C\c2ccc([N+](=O)[O-])cn2)cc(Br)c1OC(F)F 439508508 CLSLMMLPVOPKGZ-IHWYPQMZSA-N 401.163 4.533 5 20 HJBD COc1cc(C(C)=O)ccc1S[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 441917270 DEMLDMPGRMCLBA-LLVKDONJSA-N 408.863 4.579 5 20 HJBD O=C(NCCc1csc2ccccc12)c1sc(Br)cc1[N+](=O)[O-] 445199290 XPNXQBGDYAWXLX-UHFFFAOYSA-N 411.302 4.606 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 446044528 HMPSGXMSKKLMIP-INIZCTEOSA-N 413.232 4.563 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCc2ccccc2COCc2ccccc2)n1 447551752 RIMKPULBMCCWEM-GOTSBHOMSA-N 420.513 4.782 5 20 HJBD C[C@H](Nc1cc(Br)cc(C(=O)N2CCCC2)c1)c1ccc([N+](=O)[O-])cc1 448025473 DIFRRZVZLTUGRC-ZDUSSCGKSA-N 418.291 4.766 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](c2ccccc2)c2cccnc2)nc1OCc1ccccc1 462499942 JHUDHTZKVDYDHR-QHCPKHFHSA-N 412.449 5.165 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2ccc(Cl)cc12 463935093 CLRLIUYXDKGKQI-LBPRGKRZSA-N 403.891 4.569 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccc(Cl)cc1)c1ccn(C)n1 463958382 ONRJLUAUIBGIHS-LJQANCHMSA-N 413.865 4.510 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638800 MQRHXOXYBKSBEK-DNQXCXABSA-N 416.477 4.922 5 20 HJBD COC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)cc(Cl)c1 466999020 ULDGZPGMYHPBHF-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)Nc3ccc(Br)cc3[N+](=O)[O-])ccc2NC1=O 467011781 CSQNUAOHHCTKOL-HWPZZCPQSA-N 420.263 4.640 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1OCC[C@H]2OC 468683080 OCENSGFPWNKIHB-QGZVFWFLSA-N 402.472 4.819 5 20 HJBD Cn1cc([C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccc(F)cc2)cn1 479412107 OFFNERIPVZYQGZ-QFIPXVFZSA-N 406.417 4.607 5 20 HJBD CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 480993458 QZJIKOUSRJOJQQ-HEFCMCLBSA-N 414.531 4.567 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)Cc3ccc(C4CCC4)cc3)co2)cc1 486552549 WFKJPLIIYNBPBI-UHFFFAOYSA-N 412.467 4.632 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498355491 QRLYZNOCANHZJC-UHFFFAOYSA-N 415.921 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccc(Br)cc1)c1ccco1 498452428 KYPGLIVMWAMZMX-LJQANCHMSA-N 417.259 4.838 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cnc1N1CCCC[C@@H]1C 498645004 VQDYDSFENILYMF-ZDUSSCGKSA-N 422.407 4.948 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(CCC(F)(F)F)cc1 503234785 UUAJUXMMSPFFFX-UHFFFAOYSA-N 404.348 4.732 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1csc(COc2ccc(Cl)cc2)n1 509033237 QVVMIUMCMSPTIX-UHFFFAOYSA-N 406.847 4.871 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 509904660 MXRHEOJAQNGJQZ-SFHVURJKSA-N 406.486 4.854 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 512767789 UUZJJIUZZCVUIG-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD O=C(N[C@H](c1ccc(Cl)cc1)C1CCCC1)c1cc2c(cc1[N+](=O)[O-])OCO2 517154673 YTYIXMWVGMPIOW-IBGZPJMESA-N 402.834 4.638 5 20 HJBD Cc1csc(C(C)(C)NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)n1 522515676 IRDRPFLWZDEAGP-ZIAGYGMSSA-N 416.547 4.507 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cc21 523955765 KAQXTVVIMZAHML-UHFFFAOYSA-N 402.838 4.586 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)NCCOc2ccc([N+](=O)[O-])cc2)c1C 531184514 MBIRMMUDKWUMGL-UHFFFAOYSA-N 415.490 4.809 5 20 HJBD Cn1cc(CNc2ccc(CCN3CCCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 535837352 RZDUDNSJEWRIQX-UHFFFAOYSA-N 419.529 4.636 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)cc1 537102203 SFCXWRFLDKIRRI-OAHLLOKOSA-N 415.515 4.744 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(C)n([C@@H](C)C4CC4)n3)n2)cc1[N+](=O)[O-] 538499335 JLCSLKUEGXLNER-ZDUSSCGKSA-N 411.487 4.755 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1 542223788 QTXXUKOTPNTTFY-UHFFFAOYSA-N 416.384 4.587 5 20 HJBD C[C@@H](C(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1F 542619044 GOTBZWRSVTZUOI-KZULUSFZSA-N 421.266 4.964 5 20 HJBD C[C@H](C(=O)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 543467161 BLOSWZIRMFSRFW-IFXJQAMLSA-N 424.432 4.641 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@@H]3c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 545760033 LUIWOUYOQJLUMF-HUUCEWRRSA-N 419.359 4.867 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)c1)c1ccco1 547024762 MTFHIVIZXNPNOM-UHFFFAOYSA-N 415.365 4.638 5 20 HJBD Cn1ccnc1[C@@H](NCC(C)(C)c1ccc(F)cc1Cl)c1cccc([N+](=O)[O-])c1 552043671 XTBWFXDEMIABHP-IBGZPJMESA-N 416.884 4.778 5 20 HJBD CC[C@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1cccc(Cl)c1 556884980 FWUDUNAWAIAMHQ-QUCCMNQESA-N 414.893 4.518 5 20 HJBD COc1ccc(CN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)[C@@H](C)C2CC2)c(OC)c1 558781350 PVVLKEMMWWVNCW-HNNXBMFYSA-N 413.474 4.753 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1C(F)(F)F)CC1CC1 561341674 ZECADVOLMHUYQC-UHFFFAOYSA-N 407.392 4.735 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cccnc2)c([N+](=O)[O-])cc1OCc1ccccc1 564315832 XKBCVELKQVITBY-OAQYLSRUSA-N 419.481 4.915 5 20 HJBD O=C(Nc1cccc(-c2ccccc2)c1)[C@@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])s1 574304369 ZPQAHGMRARMLRQ-SFHVURJKSA-N 421.478 4.567 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 575075242 NGOFDYUDTLZXBJ-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD CC(=O)N[C@H](c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)C1CCCC1 579038501 MHQBZOXHPVZVQS-FQEVSTJZSA-N 422.441 4.805 5 20 HJBD CC(C)(C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1ccccc1[N+](=O)[O-] 590296800 FBAUMUBGPZBSAM-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 603519528 ZPULRNSTECJNIA-NSHDSACASA-N 422.359 4.708 5 20 HJBD CC(C)c1sc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)nc1-c1ccccc1 603639499 RIMXUTROGTWDAK-UHFFFAOYSA-N 411.439 4.823 5 20 HJBD Cc1ccc(OCCCC(=O)Nc2ccc(CNc3ncccc3[N+](=O)[O-])cc2)cc1 603860985 DQZWMYOWOWSBRY-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncc(-c2ccccc2)o1 610068600 PKAYHQUKZADLCN-CYBMUJFWSA-N 420.450 4.864 5 20 HJBD COc1cc(CNc2cccc(CN(C)C)c2)c([N+](=O)[O-])cc1OCc1ccccc1 610909688 KRIMDFGJAZHLAB-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD C[C@@H](NC(=S)/C(=C(/O)c1ccc([N+](=O)[O-])cc1)[n+]1ccccc1)c1cccs1 726581892 YMWDWOHRGODUKC-CQSZACIVSA-O 412.516 4.506 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cc(-c3ccc(C)cc3)no2)cc1SC 728650201 VJAUPDZBBDHYDH-UHFFFAOYSA-N 414.439 4.646 5 20 HJBD Cc1nc(CCC(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)oc1-c1ccccc1 730168243 IBNMOPSVJSLDMI-UHFFFAOYSA-N 413.861 4.803 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 731337745 XRCCENBIVUYPOP-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD C[C@@H]1CC[C@@H](CCC(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)O1 744031624 ONGBWOLHLCPJGA-PBHICJAKSA-N 423.421 4.621 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752556847 HOFJYMJOIQUZAF-TZMCWYRMSA-N 402.422 4.740 5 20 HJBD CSCCCCCCNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 752866790 WSPBZDCHIUAXOU-UHFFFAOYSA-N 409.733 4.664 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 763466175 HHGSSGKYAKZXIJ-UHFFFAOYSA-N 404.369 4.611 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1nnc(-c2ccc(Cl)cc2)o1 769882232 MSGZSZYYRRAJCR-UHFFFAOYSA-N 401.806 4.580 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1ccc([N+](=O)[O-])cc1Cl)CC2 775296182 ULSSQWFRORHYGW-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 776795153 UBUWHUGOWMFIHS-UHFFFAOYSA-N 420.425 4.984 5 20 HJBD Cc1cc(COC(=O)c2cccnc2SCc2c(C)noc2C)ccc1[N+](=O)[O-] 781170152 HEZLJDCRAOWETR-UHFFFAOYSA-N 413.455 4.552 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)CC2)cc1 783716320 IUYMLKRNCBFHDY-UHFFFAOYSA-N 405.498 4.823 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])O[C@@H](c1ccccn1)C(F)(F)F 784082127 FMWXXMDPRFKNFH-AWEZNQCLSA-N 406.769 4.582 5 20 HJBD Cc1ccc([C@H]2C[C@@H](C)N(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 785542514 DMNNQYWRJMPFEW-CJNGLKHVSA-N 403.276 4.684 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)nc1C(F)(F)F 790005268 JWLLSCGFZLWSFS-UHFFFAOYSA-N 411.670 4.516 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@@H](C)C(=O)Nc1ccccc1[C@@H](C)CC 795710986 KTHUKFMAOTUVHN-GJZGRUSLSA-N 414.458 4.691 5 20 HJBD Cc1cnc(N[C@@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 811869641 UFQPHCJNQGJMAD-KRWDZBQOSA-N 400.479 4.756 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 813292448 RSHXBQUPEGWFKN-LJQANCHMSA-N 413.474 4.752 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4cc([N+](=O)[O-])c(C)cc4C)n3)co2)cc1 904329218 NAGPDAYWBBHKIW-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD Cc1c(C(=O)N(Cc2ccccc2C(F)(F)F)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914486689 HGTVJQVIXZFCQH-UHFFFAOYSA-N 423.347 4.635 5 20 HJBD COc1ccc(C(=O)OCc2csc(Cc3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 915231414 ANFREJYCNUVXGJ-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD C[C@H](OC(=O)c1[nH]c2ccc(Cl)cc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 915417353 WVTMPBLQKLDPSG-VIFPVBQESA-N 422.224 4.567 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)cn2c1 915828358 KZFJXKFRQYRGJS-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CCc1ccc(C(=O)[C@H](C)OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 11277421 FJQRFOHORNFUNF-KRWDZBQOSA-N 413.473 4.532 5 20 HJBD Cc1ccc(-c2nnco2)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 47330088 WEMCXLRBHPZJRP-UHFFFAOYSA-N 415.409 4.949 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 56364332 NVIIQZSGXBQOBK-HUUCEWRRSA-N 401.463 4.514 5 20 HJBD C[C@@H](OCCCNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 65087148 BMVSQPBYHMBUIP-OAHLLOKOSA-N 411.483 4.616 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccc(Br)cc2)o1 68994949 KYQYQYKKQUMTBU-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2ccccc2Cn2cccn2)c([N+](=O)[O-])c1 105361155 SGNBHCQNXSPYHI-UHFFFAOYSA-N 412.449 4.683 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 247310796 INRAUTORDRFCLN-UHFFFAOYSA-N 419.437 4.604 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(OCC(F)(F)F)c(F)c2)c1[N+](=O)[O-] 410401975 RCHOUYYLAIJIAK-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 434011063 HHXSSVZPEKYDDU-SJORKVTESA-N 423.307 4.501 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](C)Oc3ccc(Br)cc32)c1[N+](=O)[O-] 436360115 MZKRBWRVJVHPRV-JTQLQIEISA-N 423.288 4.507 5 20 HJBD COc1ccccc1-c1noc([C@@H](C)NC(=O)c2cc3ccccc3c3cccnc23)n1 437127515 BGFCPZIXJAJALB-OAHLLOKOSA-N 424.460 4.938 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccc(-c2nccs2)cc1 445672161 JIANLAZKILGFPR-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)on1 460336844 UMMOIVNRFBCYCG-UHFFFAOYSA-N 400.460 4.540 5 20 HJBD Cc1cnc(NCc2ccc(-n3nc(C)cc3C)cc2C(F)(F)F)c([N+](=O)[O-])c1 463050175 BOOUCTPMHHIKMH-UHFFFAOYSA-N 405.380 4.732 5 20 HJBD O=C(NCCc1nccs1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466422767 OQEUHHDHYWOISD-UHFFFAOYSA-N 419.915 4.828 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467370976 SLGBROIMQUPCFS-UHFFFAOYSA-N 418.881 4.863 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 468192992 SRIWRQXDJJNCQF-UHFFFAOYSA-N 424.422 4.798 5 20 HJBD CCC[C@@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469249751 WWRJSLOWAIDINQ-OAHLLOKOSA-N 410.442 4.875 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 470549117 LVPRKXXUVYXPNJ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2c(F)cccc2F)cs1 470818631 AUDHCXNOTZGHQT-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 471104152 NKIKMHRTVPBCLN-CQSZACIVSA-N 408.376 4.642 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 472837433 KASFOLZJWXUAKQ-ZDUSSCGKSA-N 416.384 4.563 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)ccc1OC(F)F 475560119 SFVQIDMQNARHPU-UHFFFAOYSA-N 423.416 4.876 5 20 HJBD COc1c(CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)oc2ccccc12 475866901 RKTNYRPMJHHSFE-UHFFFAOYSA-N 400.456 4.780 5 20 HJBD O=C(c1cnc(Cc2ccccc2F)s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 476270999 IMWSTYZRFDJDLZ-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])n2C)nc1-c1ccc(Cl)cc1 479203312 CTXKFNBVEUHKAA-SNVBAGLBSA-N 404.879 4.510 5 20 HJBD Cc1c(CNC(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)cccc1[N+](=O)[O-] 480060049 VJXIXFGRYWRFHL-UHFFFAOYSA-N 416.481 4.839 5 20 HJBD CC(=O)c1ccc(N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)c([N+](=O)[O-])c1 481063338 WSRCTPXTZMCOKZ-HNNXBMFYSA-N 402.756 4.615 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1cc(Br)cc([N+](=O)[O-])c1 482509509 PTNQJBQKAJTKKJ-UHFFFAOYSA-N 409.211 4.538 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 486437916 UUBZIHPJYXHPMX-UHFFFAOYSA-N 407.451 4.957 5 20 HJBD Cc1c(NC(=O)C(=O)N(Cc2ccccc2)[C@@H](C)c2cccs2)cccc1[N+](=O)[O-] 487036740 WOYHOWXYSWYPNE-INIZCTEOSA-N 423.494 4.693 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2O[C@H](C)C(F)(F)F)c1 494801743 STPNGNRFZYQGQE-CYBMUJFWSA-N 412.364 4.640 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCOc2c(F)cccc21 498830203 PKYIKRFMXDXKTE-IBGZPJMESA-N 401.438 4.568 5 20 HJBD COc1ccc(NC(=O)C(C)(C)c2csc(-c3ccccc3F)n2)cc1[N+](=O)[O-] 498938746 DXMYUQBNYFWLMD-UHFFFAOYSA-N 415.446 4.782 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])s1 512342265 USKSWRCCSRIYNX-VXGBXAGGSA-N 421.906 4.965 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cccc(-c4ncco4)c3)cc2[N+](=O)[O-])CC1 513965595 BJWJIOGXJUYCED-UHFFFAOYSA-N 406.442 4.738 5 20 HJBD C[C@@H](Sc1ncccc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1 516272797 XYAVWDRXSSZBRQ-SECBINFHSA-N 422.438 4.511 5 20 HJBD Cc1ccc(C(=O)Nc2ccc3nc(Cc4ccccc4F)[nH]c3c2)cc1[N+](=O)[O-] 516330257 KBWFNYGBERASBO-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD CCC[C@@H](NCc1ccc(C(C)C)c([N+](=O)[O-])c1)C(=O)N1CCc2sccc2C1 518279057 ALOGBCUBZIPZPB-LJQANCHMSA-N 415.559 4.623 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2cccc(F)c2)c2ccncc12 524461178 MGLVURPLDAVGBL-UHFFFAOYSA-N 406.373 4.665 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(Sc2ccc(Cl)cc2)CC1 532983616 RVSIAXGNMMTREY-UHFFFAOYSA-N 404.919 4.964 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 533688399 NCFULLZQXQEWEV-VIFPVBQESA-N 415.646 4.511 5 20 HJBD CCCNC(=O)c1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 534058691 LMUSZSZVAOPBHX-UHFFFAOYSA-N 414.849 4.655 5 20 HJBD O=C(NCc1cc(Cl)sc1Cl)c1ccc([N+](=O)[O-])cc1Br 536561920 MVRXMHLUIONHRA-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD CN(C(=O)COc1cccc2ccccc12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451626 UCIJPMHPRKZUJG-UHFFFAOYSA-N 419.462 4.913 5 20 HJBD CCCN(Cc1nc(O)c2ccc(Cl)cc2n1)Cc1ccc([N+](=O)[O-])cc1Cl 542465318 GRHKFXZDZGMRIO-UHFFFAOYSA-N 421.284 4.963 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(-n3cccn3)cc2)c2ccc([N+](=O)[O-])cc2)o1 544359799 NAFWCKGDGLQMGQ-QHCPKHFHSA-N 402.454 4.815 5 20 HJBD O=[N+]([O-])c1ccc2c(-c3nc(Cc4csc(-c5ccccc5)n4)no3)c[nH]c2c1 546945319 WQYMEZQWWUQMNC-UHFFFAOYSA-N 403.423 4.840 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@@H]1[C@@H]1CCCO1 552412444 YOMXUEIEWHFLSB-MJGOQNOKSA-N 416.861 4.824 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c2)cn1 558847012 MAAKTUOTRBREBA-UHFFFAOYSA-N 420.469 4.680 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F 563923239 VXHGBNQGBOMURE-MSOLQXFVSA-N 408.420 4.701 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccccc3)c(C)n2)cc1OC 565721900 XUZGYZWCWRGFRJ-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1F 582446174 CXCNILMKAQGRPP-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CCOc1cc(NC(=O)[C@@H]2CCC[C@H](C(F)(F)F)C2)c([N+](=O)[O-])cc1OCC 603753559 JWBLXHOXRUXQFK-NEPJUHHUSA-N 404.385 4.699 5 20 HJBD C[C@H]1CCCN(Cc2ccccc2CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 603766040 KHENBNZUYZPLEP-INIZCTEOSA-N 407.470 4.503 5 20 HJBD Cc1ccccc1NC(=O)[C@H]1CCc2ccccc2N1C(=O)c1ccccc1[N+](=O)[O-] 608872914 UVRVLVQFCWMHCU-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608963354 PZHWOBRFTWAHRH-KRWDZBQOSA-N 418.375 4.857 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 609445668 YSPILWTYYBPTLH-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD COc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccc(Oc2ccccc2)cc1C 609447323 KTPYILRTXHLOCS-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD COc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1NC(=O)C1CCCCC1 609697675 JVUFILYOJIAWEO-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD COc1ccccc1-c1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)n1 609783872 JUSWIJVYIBSSEZ-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1ncc(C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)s1 609791647 JAIAKXXZEASSAF-UHFFFAOYSA-N 421.837 4.875 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H]1c1cccc(Br)c1 609792581 BULMPEQOQWJNHZ-KRWDZBQOSA-N 423.694 4.917 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1Br 610036274 HOXOTIFMGCPMOK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(NCC1(c2ccccc2)CCCC1)c1ccc([N+](=O)[O-])cc1Br 610038860 XMLMLNPXJUQSAY-UHFFFAOYSA-N 403.276 4.599 5 20 HJBD CSc1ccc(O[C@@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 610106571 MPLNIIYXNLYSED-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 611248160 NPCCIFPJNVOFOF-QGZVFWFLSA-N 407.470 4.818 5 20 HJBD CCOC1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 611257160 DCOCDXFPVHLVMR-UHFFFAOYSA-N 409.280 4.618 5 20 HJBD O=C(Cc1ccc(Br)c(Cl)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 615778623 DBUUUYYYDQNVJR-UHFFFAOYSA-N 423.694 4.744 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@H](C)c2ccsc2)c([N+](=O)[O-])c1 619745833 DCJBBVNLCLUVTE-CYBMUJFWSA-N 410.451 4.847 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c(C)[nH]c4ccccc34)CC2)c1 619804084 ZINKRPDIXWHQSR-UHFFFAOYSA-N 407.470 4.803 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3cnc(-c4ccccc4)s3)[n+]2[O-])c1 727264372 PTRSWBORRJTTIJ-KTKRTIGZSA-N 402.435 4.584 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)s1 730202177 LXXUJMIHSXIIQB-ZDUSSCGKSA-N 402.863 4.894 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cc1ccccc1 731529382 RFOXWCMXTRFKOO-OAHLLOKOSA-N 422.412 4.509 5 20 HJBD Cc1cccc(C(=O)NCC(C)(C)Sc2ccc(Br)cc2)c1[N+](=O)[O-] 742728374 CKXWGGUTJGKRJB-UHFFFAOYSA-N 423.332 4.966 5 20 HJBD CSc1cc(O)ccc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 754482233 FIXDPBHCQJBYKS-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3c(F)cccc3C2)cc1[N+](=O)[O-])c1ccccn1 757622505 AKWALPKOFHUBEI-HNNXBMFYSA-N 420.444 4.501 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1C 758925976 FEIRTFNYBZTUIG-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 759199149 ZUUNMRJADHLCPG-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD Cn1ncc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)c1C(F)F 761859245 UBEBKJZSZRQERJ-UHFFFAOYSA-N 413.340 4.775 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccccc2Br)no1)c1cccc([N+](=O)[O-])c1 762864411 GANFKTCYBDWQSA-NSHDSACASA-N 416.231 4.755 5 20 HJBD C[C@H](c1ccccn1)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(N(C)C)cc1 766588146 NJWJJFHZPKJDQT-OAHLLOKOSA-N 408.433 4.603 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccccc2)cc1 769480478 FWGZCPIVVBRRME-NRFANRHFSA-N 412.392 4.791 5 20 HJBD Cc1cc(C)c(OCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)c1 776134983 FNUROWQHDRBQKS-HSZRJFAPSA-N 406.438 4.627 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1 776139810 QSSUXDRKHSROPE-SFHVURJKSA-N 413.227 4.699 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1CCSc2ccccc21 776219194 XWNPDNMPUORBIK-DYESRHJHSA-N 406.463 4.902 5 20 HJBD CCCn1c(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nnc1-c1cccc(C)c1 789759357 VTUQRCOZBBICAA-UHFFFAOYSA-N 413.459 4.772 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc2ccccc2n1C(F)F 809920463 SGGYIFBIIYGIBU-SECBINFHSA-N 409.780 4.876 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 815406121 VLXSYXISZFTTJI-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc2ccccc2c1 822393591 PCNDIKAMMASSIY-MHWBIKBRSA-N 418.449 4.570 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@@H](C)C(=O)N[C@@H](C)c1ccc(Cl)cc1 861872670 QHCWGOSLHZCMRZ-STQMWFEESA-N 408.907 4.756 5 20 HJBD O=[N+]([O-])c1c(-c2nc(-c3c(Cl)cccc3Cl)no2)ccc2c1OCCCO2 904306970 PCRJGANSGGAGOW-UHFFFAOYSA-N 408.197 4.780 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 914512930 VKKBOBKXVGZWCJ-CQSZACIVSA-N 411.439 4.968 5 20 HJBD COc1cccc([C@H](C)C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1 919678992 KLHSSUVRMKGUEO-HNNXBMFYSA-N 420.421 4.565 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N(C)Cc1ccccc1C(F)(F)F 1319299383 RPWKCYLDQYIQOO-NSHDSACASA-N 415.799 4.726 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 11227059 IPLSRGBUWQKLGR-LBPRGKRZSA-N 404.469 4.844 5 20 HJBD C[C@H](OC(=O)CCc1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12952699 VZXGVSYKJYBZEL-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD CC(C)[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1 14809559 XDDXDJWKBYEOTQ-DEOSSOPVSA-N 402.469 4.807 5 20 HJBD Cc1c(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 22911347 NCNGZCSPXVNIGB-INIZCTEOSA-N 420.421 4.880 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(Cc3cccs3)n2CCc2ccccc2)nc1 23615177 CUWJBYWFKHBZQG-UHFFFAOYSA-N 423.523 4.628 5 20 HJBD CCn1c(SCc2cc(F)cc3c2OCOC3)nc2cc3ccccc3cc2c1=O 24422420 UUUKXESZJFXKQH-UHFFFAOYSA-N 422.481 4.868 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3nc(-c4ccc(Cl)cc4)no3)c1)OCOC2 56260002 MDCFCGYTSDUGED-UHFFFAOYSA-N 419.846 4.598 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(COc2cccc(Br)c2)o1 59274571 MNPXVAXKWHNLMH-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(N3CCCC3)n2-c2ccccc2Cl)o1 210412548 ZCZHSDXVUXBYPB-XFXZXTDPSA-N 417.878 4.785 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCCN(c4cccc(F)c4)C3)cs2)c1 237760919 SKAYQSWLFRFPLA-KRWDZBQOSA-N 412.490 4.616 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])c(OC(F)F)c1 301538418 RLJIHTPTWJKTBU-UHFFFAOYSA-N 420.199 4.578 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3cccnc3)cc2)c2cccc([N+](=O)[O-])c2n1 302022461 OLNSOYNREWVROQ-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1Oc1ccc2cc(Br)ccc2c1 302726929 SJBISYZWJPKLPF-UHFFFAOYSA-N 422.256 4.706 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 303399985 QSGKCGAUHPJSKF-JOCHJYFZSA-N 415.453 4.629 5 20 HJBD CC(C)(CNC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 303617046 ZYWCROSNPCKXSG-UHFFFAOYSA-N 415.437 4.571 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 426724357 RRDWGONFJCHPRZ-UHFFFAOYSA-N 415.833 4.601 5 20 HJBD C[C@@]1(C(=O)O)CCC[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426732314 SNNXCIUDCOGGDZ-UYAOXDASSA-N 404.850 4.774 5 20 HJBD O=C(Nc1cc2c(cc1Cl)CCC2=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 429484015 OVXUHKSWMXSEHU-UHFFFAOYSA-N 413.842 4.753 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1ccc(Br)cc1[N+](=O)[O-] 429825240 XRCKEWBHAXQYKT-ZDUSSCGKSA-N 417.181 4.781 5 20 HJBD COc1cc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])ccc1Cl 433201313 VPBOYZMNELTUJO-UHFFFAOYSA-N 420.771 4.819 5 20 HJBD CC1(C)C[C@@H](NCc2nccn2CCCc2ccccc2)c2cc([N+](=O)[O-])ccc21 433284757 FNDPMVZFEDERLU-JOCHJYFZSA-N 404.514 4.936 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436082190 KABDSQOYRAXQSE-NRFANRHFSA-N 416.452 4.636 5 20 HJBD Cc1cnc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)nc1-c1ccccc1 439299099 BTJIIGBXPWSHNF-UHFFFAOYSA-N 420.472 4.559 5 20 HJBD CCOc1cc(NC(=O)c2ccncc2Oc2ccccc2)c([N+](=O)[O-])cc1OCC 440449726 GTLPZTCXAPLKCC-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD O=C(NC[C@@H]1SCCc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444172762 RWQUQTIANTXHCB-FQEVSTJZSA-N 418.493 4.581 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236393 ZSJPDVBKBSGJDO-HIFRSBDPSA-N 402.416 4.780 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NC[C@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1 446482939 YBWPLGZWSWKRKW-KUHUBIRLSA-N 420.872 4.510 5 20 HJBD CC(C)(CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 463572409 YPJBJOVZGOEDHK-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD Cc1ccc(SCCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1C 464632455 PYVUOCCNCNITDZ-UHFFFAOYSA-N 414.527 4.764 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(OCc2c(C)noc2C)cc1 464714174 RNIFHNLATOBYMN-UHFFFAOYSA-N 409.442 4.522 5 20 HJBD C[C@H](NC[C@@H]1CCN(c2cccc(Br)c2)C1)c1cccc([N+](=O)[O-])c1 467837336 AQLKJHVKYIXWPM-GJZGRUSLSA-N 404.308 4.534 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3cc(C)c([N+](=O)[O-])cn3)c(C(F)(F)F)c2)n1 470857709 FKJACOQQXAIBRM-UHFFFAOYSA-N 405.380 4.732 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)cc1C 472821560 DTAHZCXHGXVIJI-UHFFFAOYSA-N 402.472 4.751 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2cccc(Cl)c2O1 476108319 KCEIYWZFOFVEMO-LLVKDONJSA-N 415.858 4.799 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3cccnc3)ccc2C)cc1OC 477532503 YDCVNHBENAMOSW-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccc(Br)cc1F)C1CC1 479060893 MSIUGRZQFBFEPH-SFHVURJKSA-N 409.255 4.746 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)cc([N+](=O)[O-])c1C 479898115 FUBDDKASTLXDAW-UHFFFAOYSA-N 416.437 4.562 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 480107479 WYJQLARUERIRKV-XZOQPEGZSA-N 416.477 4.936 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 482082732 MWDFTKSOTUMUMU-ZDUSSCGKSA-N 412.877 4.601 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482361982 AZNNAKPAJVZNCI-ZDUSSCGKSA-N 418.375 4.633 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1 484592172 NGFONVOPIPIFRE-CQSZACIVSA-N 420.556 4.936 5 20 HJBD COC(=O)c1c(F)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1F 485152584 ONMPYYXIGGXISB-UHFFFAOYSA-N 424.425 4.660 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1C 485844349 JCMLRQNQSTYMCL-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486329430 QLTVQEIUBJMYHF-JTQLQIEISA-N 415.334 4.720 5 20 HJBD CCn1c(SCC(=O)c2ccc(Cl)s2)nnc1-c1ccc([N+](=O)[O-])cc1 489402507 GCKCACZXXPBINM-UHFFFAOYSA-N 408.892 4.563 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)C)cc1C 503006337 WPLCWSJDTHKPEQ-UHFFFAOYSA-N 415.515 4.796 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cccc([N+](=O)[O-])c3C)s2)cc1 505134046 IBMQQWKFPLHIPA-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CCc1cccc2c(C3CCN(Cc4cc([N+](=O)[O-])cc5c4OCOC5)CC3)c[nH]c12 515511579 MRXXSQPTDCDVAB-UHFFFAOYSA-N 421.497 4.885 5 20 HJBD CN(C)[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 518029515 DHTTVTRGOBRDDH-MRXNPFEDSA-N 419.934 4.566 5 20 HJBD CCC[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Cl)c(Cl)c1 520080033 LKUHZQUYCMASEW-AWEZNQCLSA-N 411.241 4.902 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(=Cc2cccc(F)c2)CC1 522651519 XRBQSFIBFWUZJY-UHFFFAOYSA-N 418.852 4.862 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccccc2C)C2CC2)c([N+](=O)[O-])cc1OCC 524071443 XGLJHNKNTQFRGW-UHFFFAOYSA-N 413.474 4.897 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(-c2cn3ccccc3n2)cc1 533887843 IYSXLALVVSPANG-UHFFFAOYSA-N 411.421 4.572 5 20 HJBD C[C@H]1CC(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C[C@H](C)O1 534317502 WNAHDSBOOMNFET-KBPBESRZSA-N 417.893 4.546 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3n[nH]c(/C=C/c4ccccc4)n3)co2)cc1 535505118 JRZHGQFVSIOLRE-IZZDOVSWSA-N 405.439 4.831 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537217551 HUVBKHHJGGWLBL-UHFFFAOYSA-N 419.334 4.745 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nncn2C)c1 537436926 QTXICOIMEGQTJK-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](COc3ccccc3)c3ccccc3)o2)cc1 538219093 XRXQXBCCARCPPT-OAQYLSRUSA-N 416.437 4.555 5 20 HJBD CN(C)C(=O)C[C@H]1CN(c2ccc([N+](=O)[O-])c3cccnc23)c2ccc(Cl)cc21 538933585 CTAHCJXVRQREKE-ZDUSSCGKSA-N 410.861 4.510 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](C)c2ccccc2I)cc1[N+](=O)[O-] 539121508 VCUNBFWCWALCQV-OLZOCXBDSA-N 410.255 4.920 5 20 HJBD COc1ccc(CCCN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 539338970 XYJVTTLTOMXNNS-UHFFFAOYSA-N 402.516 4.809 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2cccc3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 541904225 LOOXANDQSBFFEQ-HXUWFJFHSA-N 418.371 4.719 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)n1 542912271 IPAFETWRKXLMFF-UHFFFAOYSA-N 404.637 4.584 5 20 HJBD CC(C)N1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 543499171 NXDLPUIGHZZHJZ-UHFFFAOYSA-N 419.591 4.822 5 20 HJBD COc1cc(Br)ccc1-c1noc(CSc2ccc([N+](=O)[O-])cc2)n1 545075291 MMTMAZFUKWXNSG-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 546488109 MBKZIWCPVOPRQD-MRXNPFEDSA-N 400.822 4.569 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2N[C@H](C)c2ccccc2)cc([N+](=O)[O-])c1 550101587 QBYZVFLMOLJDMV-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(OC2CCCC2)cc1)c1cccc([N+](=O)[O-])c1 551812514 TXIIFFZXRUKEGR-QFIPXVFZSA-N 406.486 4.529 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)[C@H](C)c2ccccc2C(F)(F)F)c1 554962881 CNJYMVZUMMFKPX-QWHCGFSZSA-N 410.392 4.934 5 20 HJBD COc1cc(CN(Cc2cccnc2)Cc2ccccc2C)c([N+](=O)[O-])cc1OC 565118655 WREPAXKRLXGJAW-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD C[C@H](NC(=O)NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)c1cc2cccc(F)c2o1 571317148 GKZBFTZSFZPGCP-LBPRGKRZSA-N 410.405 4.564 5 20 HJBD Cc1cc(N[C@H](C)c2ccc3c(c2)CCC(=O)N3)c(Br)cc1[N+](=O)[O-] 580373236 LKBFCAHRRSKIAT-LLVKDONJSA-N 404.264 4.724 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]3C[C@H]32)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 586890106 KHHHQHMBPBFVKS-IUODEOHRSA-N 402.834 4.674 5 20 HJBD CCCNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 603744214 WJJYYGMBSBINTN-UHFFFAOYSA-N 419.437 4.779 5 20 HJBD CC(C)Oc1ccc(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c(C(F)(F)F)c1 608831931 GJKGFLAXZHIRAY-UHFFFAOYSA-N 423.391 4.898 5 20 HJBD COc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc(Cl)c1OCCC(C)C 609972336 GKAORBUTXXJMLH-UHFFFAOYSA-N 418.877 4.885 5 20 HJBD CN(CCOC(C)(C)C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 610859845 PUVOBGYFLNKLPD-LJQANCHMSA-N 419.909 4.675 5 20 HJBD CC(C)COc1ccccc1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 617385918 UDEFCONIIZWKEM-UHFFFAOYSA-N 414.505 4.506 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 725925256 RMAHTPKAGTYFMR-UHFFFAOYSA-N 413.411 4.600 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 733244390 FYDJJUGYOYHOEI-UHFFFAOYSA-N 410.773 4.829 5 20 HJBD Cc1c(C(=O)Nc2ccc(Oc3ccccn3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 733767569 FMICFLLOUZAKAB-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1ccco1 741516212 GHTFALQEPOWMDX-LBPRGKRZSA-N 414.801 4.803 5 20 HJBD C[C@H]1CC[C@@H](CCC(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)O1 744031627 ONGBWOLHLCPJGA-YOEHRIQHSA-N 423.421 4.621 5 20 HJBD C[C@@H](OC(=O)c1nc(C2CC2)n(-c2ccccc2Cl)n1)c1ccccc1[N+](=O)[O-] 749054194 KEFQLPVPJIVGPJ-GFCCVEGCSA-N 412.833 4.624 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCCCC[C@H]1C[C@H](O)c1ccccc1 752114212 SCVDYHBVOFLUCI-RXVVDRJESA-N 407.470 4.585 5 20 HJBD C[C@@H](C(=O)Oc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 754195848 PGUJDKMRLZNKRY-CQSZACIVSA-N 408.385 4.695 5 20 HJBD Cc1oc2cc(OC[C@@H](O)c3cccc([N+](=O)[O-])c3)ccc2c(=O)c1-c1ccccc1 755311559 VKUROKONTOGVPZ-OAQYLSRUSA-N 417.417 4.789 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3ccc(Br)cc3[N+](=O)[O-])CCO2)cc1 757517575 HSVQBZPQYKTERQ-YJBOKZPZSA-N 420.259 4.743 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CCCC1)c1cccc([N+](=O)[O-])c1Br 758152875 RGWIWWHSVOBLCP-UHFFFAOYSA-N 407.239 4.696 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@H]2CC(c3ccc(Cl)cc3Cl)=NO2)n1 761343560 ILANQMGJIDOCPQ-MRXNPFEDSA-N 419.224 4.741 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761871248 IQCCEHIVQCGLBN-KBXCAEBGSA-N 412.467 4.581 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cn1 763408231 OZWUOOUPKOKLMH-UHFFFAOYSA-N 415.352 4.630 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2C[C@H](C)O[C@H](C)C2)cc1 764061942 HRQGUARWMZOOAC-GASCZTMLSA-N 415.515 4.573 5 20 HJBD CN(CCO)c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 770539848 GDHGHBABWLJZFG-UHFFFAOYSA-N 401.850 4.549 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cc1 778018109 QIDOLAZFTDGNBQ-UHFFFAOYSA-N 422.384 4.664 5 20 HJBD COC(=O)Nc1cccc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 782850489 ZCURLTMMYVOKDP-UHFFFAOYSA-N 421.409 4.604 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])OCc1csc(-c2cccs2)n1 790739900 HUTUQWRCDROLCS-UHFFFAOYSA-N 412.851 4.858 5 20 HJBD O=C(c1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1)N1CCCC1 797434711 VNMOEOWMOYTKMU-UHFFFAOYSA-N 420.444 4.644 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2nc(-c3ccc(C)cc3)no2)no1 809252545 AHCYHXRCKTYABA-INIZCTEOSA-N 419.441 4.663 5 20 HJBD Cc1nc(C(C)C)ccc1COC(=O)Cn1c2ccccc2c(=O)c2ccccc21 815184830 KNUFKLDJWSHWST-UHFFFAOYSA-N 400.478 4.725 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@@H](c1nc2ccccc2o1)C(F)(F)F 816063135 DRZPQARPLAMANG-KRWDZBQOSA-N 419.315 4.538 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)c1cc2c(Cl)cccc2s1 825213079 UTTAHIVJJDORRJ-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD O=C(OCc1cccc(C(F)(F)F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 844245819 SSIINXSORBMFPX-UHFFFAOYSA-N 408.376 4.573 5 20 HJBD O=[N+]([O-])c1c(-c2nc(-c3ccc(Br)o3)no2)ccnc1-c1ccccc1 904630072 NBXIIERFTQJFKM-UHFFFAOYSA-N 413.187 4.729 5 20 HJBD C[C@@H](OC(=O)c1cc2ccc(Cl)cc2[nH]1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 914924956 UJXWNLFKOOGCCV-SECBINFHSA-N 422.224 4.567 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CSCc3cccs3)n2)cc1[N+](=O)[O-] 1248480766 RQJYTHDLUSGNTL-UHFFFAOYSA-N 405.526 4.960 5 20 HJBD CC(C)n1ncc2c1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 1255104354 XEXAEEFCUGLKDH-AWEZNQCLSA-N 411.384 4.590 5 20 HJBD CC(C)OC(=O)NCc1ccc(NCc2ccc([N+](=O)[O-])cc2Br)cc1 1255156015 GVILUADPOBXDRK-UHFFFAOYSA-N 422.279 4.604 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nnc(CCCc3ccccc3)o2)c1Br 1257825316 DFCCHCBFSMBZQN-UHFFFAOYSA-N 406.211 4.722 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C(F)(F)c1ccc(Cl)cc1 1317996893 OMQZEAPYEPAWRD-UHFFFAOYSA-N 422.737 4.931 5 20 HJBD CN(C)[C@@H]1CCCC[C@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 1318396711 JAFIUYNBNSUBFD-QZTJIDSGSA-N 422.323 4.580 5 20 HJBD O=C(c1cccs1)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 1337033565 ZHSCMCYMBOOOCJ-UHFFFAOYSA-N 413.524 4.875 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 5117795 BRXZLLZDSLOELE-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1C(=O)O[C@@H](C)c1cccc([N+](=O)[O-])c1 21621167 WQCWWLSPLLPWIO-ZDUSSCGKSA-N 417.824 4.859 5 20 HJBD COCc1cccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 25334572 PDDNZIBDGXAOML-UHFFFAOYSA-N 415.496 4.910 5 20 HJBD C[C@H]1CCC[C@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 71861591 GKLBBGUYBYYKMG-BBRMVZONSA-N 413.440 4.525 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCc2ccc(Br)cc2)c1 72017428 JTLZYUXGNORRFN-LBPRGKRZSA-N 423.332 4.830 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(NCc2ccc(C)c([N+](=O)[O-])c2)c1 237137938 KKGTYMCVFFOVKF-UHFFFAOYSA-N 421.453 4.541 5 20 HJBD COc1cc([C@H](C)NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1OCC(C)C 303378168 NCNLWSPSNUJUPH-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3occc3C(C)C)no2)ccc1[N+](=O)[O-] 426231708 JPMUHFGBTGVANO-KRWDZBQOSA-N 410.430 4.647 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 426273026 CIGAJKVLPOZYRY-GOSISDBHSA-N 423.391 4.669 5 20 HJBD C[C@@]1(C(=O)O)CCCC[C@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426726870 LUCOMXQQHZMMMF-TZIWHRDSSA-N 402.422 4.649 5 20 HJBD CC1(C)CCCc2sc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])nc21 427622749 SVWBBOVKRRXFRA-UHFFFAOYSA-N 408.483 4.980 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCS1 427968050 GYEIDSVCVUYTPE-CQSZACIVSA-N 419.934 4.828 5 20 HJBD COc1cc(C(=O)N2c3ccccc3S[C@H](C)[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 430636534 JNVGXFBCQSRRRY-WDEREUQCSA-N 424.425 4.734 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CCN(Cc4ccccc4)CC3)C2)c2ccncc12 431514165 PHMHLXCRPSPRRH-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD CN1C(=O)Cc2cc(NC(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)ccc21 434023028 QZAZFPAHHHIODD-UHFFFAOYSA-N 419.462 4.517 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 436029698 SNCDKORKEOWOTA-GOEBONIOSA-N 408.376 4.688 5 20 HJBD O=C(CSCc1cscn1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 437283000 DGVNAIBDZJMZMT-UHFFFAOYSA-N 401.469 4.716 5 20 HJBD Cc1c(CS(=O)(=O)[C@H]2CCc3c(Cl)cc(Cl)cc32)cccc1[N+](=O)[O-] 443442236 LCDFTYQKPGTYQD-KRWDZBQOSA-N 400.283 4.812 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)CC2 443949216 OPIJMZMTRUQJET-UHFFFAOYSA-N 413.474 4.599 5 20 HJBD CCC(=O)c1ccc(OCCCCc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 445486237 KFGBWEJYANNZSD-UHFFFAOYSA-N 401.444 4.701 5 20 HJBD Cc1ccccc1[C@@H]1CCCN1S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462530183 REVYHBOHIQUCCJ-HNNXBMFYSA-N 415.298 4.736 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)Cc1cc(Br)ccc1F 463962168 SJISKIHFVQVCCN-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD CC[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1nc(C(F)(F)F)cs1 467010643 KMAIXUXOHXALNA-VIFPVBQESA-N 400.382 4.518 5 20 HJBD Cc1cc(Sc2nnc(COc3ccccc3)n2CCC(C)C)ncc1[N+](=O)[O-] 470861343 VCHWBCRXLIATDQ-UHFFFAOYSA-N 413.503 4.666 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1cc(Cl)cc([N+](=O)[O-])c1 471541660 XWTCXDXUDFEHNN-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 472838564 ZNWKVXAVYVISSR-KRWDZBQOSA-N 419.485 4.562 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477502990 TWFXEXYSQXTFTI-LBPRGKRZSA-N 405.886 4.657 5 20 HJBD CC(C)c1csc(CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 478724282 ZJXZFXQMSSLYAD-UHFFFAOYSA-N 410.499 4.717 5 20 HJBD O=C(Nc1ccc(-c2cccc(Br)c2)cn1)c1csc([N+](=O)[O-])c1 482507610 NBNAUYMAMBXPHL-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD COc1cc(C(=O)N[C@@H](c2oc3ccccc3c2C)C(C)C)c([N+](=O)[O-])cc1OC 482914533 YFILQLXKSLHVML-HXUWFJFHSA-N 412.442 4.794 5 20 HJBD CC(C)(C)N(Cc1ccc(F)cc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 484354155 VDWRFAGKGNXWNJ-UHFFFAOYSA-N 413.493 4.778 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 486132773 ATCNJSROXIOTSH-UHFFFAOYSA-N 403.323 4.575 5 20 HJBD CC[C@@H](C[C@@H](C)CO)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 494689012 VHCOYCMZZMMBHC-CJNGLKHVSA-N 406.866 4.567 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)cc12 498153342 OLJPSWROSRUPLY-GOSISDBHSA-N 416.528 4.554 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C/c3cccc([N+](=O)[O-])c3)n2)cc1C(F)(F)F 499610476 KEJGFVPODPXTBB-VMPITWQZSA-N 418.331 4.792 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)c1 502714498 WFBGZRWOOJIFCC-IBGZPJMESA-N 422.510 5.000 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 505272831 IVUBHFZOLHRJEF-UHFFFAOYSA-N 405.458 4.866 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2ccccc2Cl)n1 505782449 NKOQYFFZJHGMBT-UHFFFAOYSA-N 417.878 4.788 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccccc1)c1nccs1 510553326 NLICFISPQBYDGI-IBGZPJMESA-N 410.499 4.761 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)c2ccc(C(F)(F)F)cc2)cc1OC 512474028 KFYGWNBZAIMPJS-UHFFFAOYSA-N 412.364 4.688 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccccc3COCc3ccccc3)n2)c1 515664210 IVLFYCWTQCNENC-UHFFFAOYSA-N 416.437 4.974 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cccc(Cl)c1 515677706 TYIVCPJKQVPWPR-JOCHJYFZSA-N 410.857 4.923 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)n2)c(C)c1 520723960 FLZMIEBWFPNNIA-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1ccccn1 522170902 PEEYFEDUVUCRPE-OAQYLSRUSA-N 424.888 4.937 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 524336570 JHCOUKZZBSOMLO-OAQYLSRUSA-N 403.438 4.585 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1cc2cc([N+](=O)[O-])ccc2o1 525771974 NTMDKSQQWYVQGQ-QFIPXVFZSA-N 412.405 4.737 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535673217 PNYPVXGWOMKCKW-AWEZNQCLSA-N 420.469 4.509 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1)CC(F)(F)F 536697233 HCGFGQFBFLSEMJ-OAHLLOKOSA-N 411.424 4.561 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCOCc2ccc(Cl)cc2Cl)c1 539543269 KPOZEDHSDYKVKF-UHFFFAOYSA-N 415.298 4.570 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)c2cc3c(cc2Br)OCCO3)cc1[N+](=O)[O-] 540191992 WPRWBEXYCLDKMR-CHWSQXEVSA-N 421.291 4.849 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(F)ccc3C(F)(F)F)no2)cc1 545572052 VKIJWLWBXIKJGU-UHFFFAOYSA-N 403.238 4.943 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2cc(C3CC3)nc3ccccc23)n1 545676463 UUUHSXMLCACKAC-UHFFFAOYSA-N 401.426 4.725 5 20 HJBD Cn1ccnc1[C@H](NCCc1c(Cl)cccc1Cl)c1cccc([N+](=O)[O-])c1 552046670 NRFVADCYFIULBT-GOSISDBHSA-N 405.285 4.557 5 20 HJBD COc1c(C(=O)N2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 552610226 ADWVUDACIUODMU-AWEZNQCLSA-N 408.376 4.642 5 20 HJBD Cc1nc2ccccc2c(-c2ccccc2)c1CC(=O)N(C)c1ccc([N+](=O)[O-])nc1 554748690 DPPDTAGJKSARBB-UHFFFAOYSA-N 412.449 4.719 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@H](C)c2cccc([N+](=O)[O-])c2C)c1 558296773 LDWVJHZCXRFQME-NVXWUHKLSA-N 419.547 4.684 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)c1 558804269 SFSRHRNKMFURTG-HNNXBMFYSA-N 400.328 4.556 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)c1 558805558 IWLLSWGJVMMFTI-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccnc(O)c1 559322155 ZJCWZIPGFFCWGG-NSHDSACASA-N 418.247 4.569 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)c1 561443746 MFWFDICJZIEXTB-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD Cc1nc(-c2nc(CCNc3ccccc3[N+](=O)[O-])no2)c(-c2ccccc2)s1 564247342 VJFPZWDNWQDPJO-UHFFFAOYSA-N 407.455 4.731 5 20 HJBD Cc1cc(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)cc([N+](=O)[O-])c1 584309226 VZNHKTVPICLDGI-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD C[C@H](c1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)N1CCOCC1 600853515 JGUANCAJXFYFBE-CQSZACIVSA-N 409.408 4.619 5 20 HJBD O=C(NC[C@@H](c1ccccc1Cl)N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 603730644 LKWMNPDNQPDZKY-SFHVURJKSA-N 413.861 4.561 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H]1Cc1ccc(F)cc1 604085215 WNVZWTZEIXEDQX-AWEZNQCLSA-N 409.364 4.574 5 20 HJBD Cn1cc(C(=O)NCC2CCC(c3ccccc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 609373839 JMQAEGOVUQCHFB-UHFFFAOYSA-N 418.497 4.699 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccccc1O[C@H](C)c1ccccc1 609671190 IUSWQAYGFNFYFC-MRXNPFEDSA-N 421.453 4.794 5 20 HJBD COc1ccc(-c2ccc(CNC[C@@H]3CCCO[C@@H]3C(C)(C)C)o2)c([N+](=O)[O-])c1 609825913 BHAVINJCPVYVPW-BTYIYWSLSA-N 402.491 4.794 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)cc([N+](=O)[O-])c1OC 610174376 FMTCKCSFUUPGRY-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD Cn1cc(-c2nc(CNc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cs2)cn1 610754759 DBDWKFSYWQNBKI-UHFFFAOYSA-N 407.455 4.856 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 611111519 JUSUZYCBHRYLSE-UHFFFAOYSA-N 405.439 4.655 5 20 HJBD O=C(O[C@H](c1ccc(Br)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 731145954 LPFKIILYIYNEDE-CYBMUJFWSA-N 404.138 4.818 5 20 HJBD Cc1ccc(NC(=O)N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 731536155 RYNSWCGOLKPWAP-LJQANCHMSA-N 403.866 4.534 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@H](C)c1ccc(C(F)(F)F)cc1 733522247 IKNPSTOVXUURSI-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD CC(=O)N1C=Cc2ccccc2[C@@H]1CC(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 736015695 FAMVIXZKPZIMDZ-QHCPKHFHSA-N 419.481 4.835 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1cc([N+](=O)[O-])ccc1F 744776529 QKFKVFXLSSUZFC-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)co2)cc1 747119385 PTELQYGFNHQGJL-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD C[C@@H](OC(=O)Cc1csc(Cc2ccc(F)cc2)n1)c1ccccc1[N+](=O)[O-] 749054112 IZTCHWIIDUQSDR-CYBMUJFWSA-N 400.431 4.628 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 749951311 QBPDIWBLXSNTQV-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD C[C@@H]1CCC[C@H](CC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)C1 750237083 CBUMMBSTWGBWBF-UPJWGTAASA-N 416.396 4.700 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536314 RWIDKBMIAKFPRL-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1Br 750601637 KATYPDTYKPAYBT-UHFFFAOYSA-N 415.696 4.533 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(F)c(N3CCCC3)c(F)c2)cc1[N+](=O)[O-] 752647454 WIRJINQRMQSEJB-ZDUSSCGKSA-N 404.417 4.664 5 20 HJBD COc1ccccc1[C@@H](CN[C@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)OC(C)(C)C 765143033 ZADKHCINEMPECM-DNVCBOLYSA-N 415.490 4.520 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3ccc(Oc4cccnc4)c(F)c3)CC2)cc1 765322302 FEJNFMFHCOABSF-UHFFFAOYSA-N 422.460 4.680 5 20 HJBD Cc1cnc(COC(=O)c2cc(Cl)cc(Br)c2F)c(C)c1[N+](=O)[O-] 766952328 WUNKDANSMJNWMT-UHFFFAOYSA-N 417.618 4.519 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 771763226 CFMORWVTELQNET-UHFFFAOYSA-N 424.335 4.718 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(CN2CCCC2)cc1 776216517 AKTNQNQYBSEDFT-HSZRJFAPSA-N 417.465 4.532 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 778093840 WZSOAOYXGBWUAY-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 778599718 YSCAMSQVXOIQMN-CABCVRRESA-N 407.511 4.512 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781649702 UUIBRRMLNXLOQX-MRXNPFEDSA-N 411.458 4.826 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4ccc(C(F)(F)F)cc4)C3)sc2c1 782378868 MVSYCVTZSIRIIB-HNNXBMFYSA-N 409.389 4.801 5 20 HJBD COc1ccc(NC(=O)c2ccc(CSc3nc(C)cs3)cc2)cc1[N+](=O)[O-] 782563659 PMARWQZBUJDHAL-UHFFFAOYSA-N 415.496 4.913 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccccc2s1 786171522 PWRPHZSIXXCQDM-SNVBAGLBSA-N 420.288 4.766 5 20 HJBD CCCc1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cnn1-c1ccccc1 790925755 DAENFTJFUMVSNI-UHFFFAOYSA-N 416.840 4.707 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1cc(C2CC2)cnc1Cl 796174327 CPXMAWTWCBLNRZ-UHFFFAOYSA-N 411.639 4.640 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(NC(=O)CC(C)C)ccc1Cl 800146648 DJRRIKDWLATFTC-UHFFFAOYSA-N 407.829 4.933 5 20 HJBD CCN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 801075885 OZCHKJQYQIZCER-UHFFFAOYSA-N 411.336 4.508 5 20 HJBD Cc1cc(C(C)(C)NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])ccc1Br 810129875 KQMJOMNSNABKKT-UHFFFAOYSA-N 408.252 4.599 5 20 HJBD CC(C)(CCc1noc(CCN2c3ccccc3Sc3ccccc32)n1)[N+](=O)[O-] 812814879 RYTAPCSPHBYYIL-UHFFFAOYSA-N 410.499 4.903 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2cccs2)no1 815512308 PFQAETSMMJTZQG-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD C[C@@H](OC(=O)C1(c2ccccc2)CCCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 845416695 OLAQBGFDSNXJSS-CQSZACIVSA-N 416.861 4.630 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2cccc(OCC(F)(F)F)c2)c1 917967451 INYAGEHWMFTOGV-UHFFFAOYSA-N 401.362 4.615 5 20 HJBD C[C@H](CC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(F)c(Br)c1 1117691025 CYUHTTFIHKUZSF-LLVKDONJSA-N 407.239 4.532 5 20 HJBD COc1ccc(COC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1SC(F)(F)F 1122633606 OLDIYXWZMPNKLV-UHFFFAOYSA-N 401.362 4.501 5 20 HJBD COc1cc(CSCc2cn3cccc(C)c3n2)c([N+](=O)[O-])cc1OC(F)F 1319009913 QTTCMFVNVVBGPF-UHFFFAOYSA-N 409.414 4.594 5 20 HJBD C[C@H](CCNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 1323425988 HLZBKYXPFVEZJU-CYBMUJFWSA-N 407.392 4.833 5 20 HJBD C[C@@H](Cc1nnc(COc2ccccc2[N+](=O)[O-])o1)c1cccc(C(F)(F)F)c1 1323962003 GINRUPVTTVCOLP-LBPRGKRZSA-N 407.348 4.922 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC1CCN(c2cccc(OC)c2)CC1 1324697633 CGZFYQYMNDLJKC-KRWDZBQOSA-N 415.559 4.733 5 20 HJBD COc1ccc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)cc1[N+](=O)[O-] 1345740475 OVHXHEXVVZZPEV-SNVBAGLBSA-N 420.219 4.556 5 20 HJBD CC/C=C(\C)C(=O)NC1(c2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3)cc2)CCC1 1785795109 RYPJAESIERUZGD-UBKPWBPPSA-N 421.497 4.628 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 11103491 IXUHPMFGYXNZER-BFUOFWGJSA-N 405.882 4.571 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1-c1cccs1)NCc1ccc(Cl)cc1 16324849 IEKZRCNMGOICQD-UHFFFAOYSA-N 402.859 4.672 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@H]3c3nc4ccccc4s3)n2)c1 46502566 DZALEEZHJWAUOC-INIZCTEOSA-N 407.455 4.592 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc21 65059220 UGRCWUMAOJVQIP-JKSUJKDBSA-N 402.454 4.927 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)oc12 65720140 FBCRLUJYYNCCLE-CQSZACIVSA-N 407.426 4.572 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cc(Br)cs1 108142017 NDGFKCGZFYXDAO-JTQLQIEISA-N 423.292 4.662 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 140821717 PQPMQTZKQNCSNA-NWDGAFQWSA-N 419.890 4.845 5 20 HJBD COc1ccc(CNCc2cc(Cl)cc(Cl)c2OC(F)F)cc1[N+](=O)[O-] 237212952 IZZVOVMAUWHINO-UHFFFAOYSA-N 407.200 4.801 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(NC(=O)C2CC2)cc1 237641391 YOWJDQGDLIMADQ-AWEZNQCLSA-N 422.510 4.918 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC(c4ccccc4)CC3)cc2[N+](=O)[O-])CC1 247816946 DHKUMGXMCKLICZ-UHFFFAOYSA-N 407.514 4.851 5 20 HJBD C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 301876624 SMPYMLDSZAYIOT-NVXWUHKLSA-N 412.490 4.539 5 20 HJBD O=C(Nc1ccccc1)[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccccc1 301926433 JNJJSXXOFLVSPK-IBGZPJMESA-N 413.380 4.988 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(c3cccs3)CC2)nc1OCc1ccccc1 302186673 VOCZURQBGDPKFY-UHFFFAOYSA-N 410.499 4.711 5 20 HJBD O=C(CCSCc1noc(-c2ccc(Cl)cc2)n1)Nc1ccccc1[N+](=O)[O-] 409850970 OMBRFRGCEKWFBD-UHFFFAOYSA-N 418.862 4.560 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)COc3ccc([N+](=O)[O-])c(C)c3)cc2)cs1 430326355 VGQNWRKSWGSEAT-CQSZACIVSA-N 411.483 4.591 5 20 HJBD CC(C)(NC(=O)Nc1ccccc1OC[C@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1 431396303 LVQCLIXRQVSGCV-GOSISDBHSA-N 413.474 4.600 5 20 HJBD CC1(C)C[C@@H](NCc2cccc(NC(=O)c3ccncc3)c2)c2cc([N+](=O)[O-])ccc21 433144776 NTRAHUGYIZDQNI-JOCHJYFZSA-N 416.481 4.754 5 20 HJBD COc1cccc(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c1[N+](=O)[O-] 435669600 OCEJHUFXSKYJBR-LJQANCHMSA-N 415.449 4.647 5 20 HJBD O=C(NCc1ccccc1)c1cccc(Oc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1 436264887 KWFQTGXASKNXTF-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436303288 BKHMGBMLSXPEEV-DFBJGRDBSA-N 412.489 4.579 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3cc([N+](=O)[O-])ccc3O)s2)cc1 436970714 FYHDHRQSGUIOOM-CQSZACIVSA-N 411.483 4.874 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC([C@@H]2CCCO2)CC1 439339365 YVTOZVARQIFXNF-NRFANRHFSA-N 411.458 4.810 5 20 HJBD C[C@@H](O)CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439733477 OFCURBSHEFUTHF-CYBMUJFWSA-N 422.934 4.926 5 20 HJBD CCOc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)ccc1OCc1cccnc1 445473142 QSNAECIDCBBKGO-UHFFFAOYSA-N 424.438 4.746 5 20 HJBD CCCOc1cc(C)ccc1CNC(=O)Cn1c2ccccc2c(=O)c2ccccc21 446404530 FPQZVZUGNHGGRB-UHFFFAOYSA-N 414.505 4.568 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H](c2ccc(F)cc2)C(C)C)c([N+](=O)[O-])cc1OC 448509395 CZYNMXWQAVNNGH-HXUWFJFHSA-N 404.438 4.611 5 20 HJBD Cc1cccnc1C(C)(C)CNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 461460664 MODBNLYFLAWDPG-UHFFFAOYSA-N 418.497 4.618 5 20 HJBD COc1ccc(-c2nc(CSCc3cc(OC)c(F)cc3[N+](=O)[O-])co2)cc1 464093795 PTUMWSCAGMHIJU-UHFFFAOYSA-N 404.419 4.840 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCCCC3)c(Cl)c2)c([N+](=O)[O-])cc1F 464093993 JQSKBNKBYZLJKI-UHFFFAOYSA-N 421.856 4.634 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCO1 466256193 SYOONUYZVBUKFX-ZIAGYGMSSA-N 420.918 4.944 5 20 HJBD O=C(NCCc1cccnc1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466595137 GRICUSRJJXMGSS-UHFFFAOYSA-N 413.886 4.767 5 20 HJBD O=C(NCC1(CCO)CCCC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 475969992 NVXDDECWNNEIOM-UHFFFAOYSA-N 418.877 4.713 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480100601 WUCAYEPQGNRTMO-UHFFFAOYSA-N 416.783 4.852 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(COc2ccccc2)cc1 480767703 VVWVPYHIEFWLCL-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)C2(c3ccccc3)CCCC2)cc1OC 484378927 NSMBFAMCDBOEHA-INIZCTEOSA-N 412.486 4.632 5 20 HJBD CCOCCNC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 484868920 ZTLYNWZVMWFNOY-UHFFFAOYSA-N 414.405 4.531 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1OC(F)F 485474039 JBZCEUDXATWVCG-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD CSc1cccc(C(=O)NCC2(N[C@H](C)c3ccccc3)CCCC2)c1[N+](=O)[O-] 486209210 CUZUIRMBYBLFPQ-MRXNPFEDSA-N 413.543 4.710 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(C[S@@](=O)C(C)(C)C)c2)c1[N+](=O)[O-] 486232180 VJVBMAWIDWDTEZ-HHHXNRCGSA-N 406.529 4.616 5 20 HJBD CSc1cccc(C(=O)N[C@H](COc2ccccc2F)C(C)(C)C)c1[N+](=O)[O-] 486244660 USJWQYFIAUSSEL-QGZVFWFLSA-N 406.479 4.679 5 20 HJBD Cc1c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1C1CCCCC1 486741480 ZHOSVZVPTBIHPM-UHFFFAOYSA-N 410.396 4.554 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc(NCC)c([N+](=O)[O-])c2)c1 490162709 XJKODIPEVNPMTD-UHFFFAOYSA-N 404.854 4.671 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc([C@H]4C[C@H]4C)[nH]n3)cc2[N+](=O)[O-])n1 490239162 DUKSRKHFYURGDZ-SKDRFNHKSA-N 415.500 4.610 5 20 HJBD C[C@H](CC(=O)OC(C)(C)C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 498688610 OBFDHPYVWHSJCZ-CQSZACIVSA-N 416.499 4.596 5 20 HJBD COc1cccc(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])c1OC1CCCC1 499249097 JHZCJBMBUGMJAL-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C/c3ccccc3[N+](=O)[O-])n2)cc1C(F)(F)F 499620435 SJDKEODEQZSMOZ-VQHVLOKHSA-N 418.331 4.792 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 499877718 WRUHPXNZFZVWLB-MHZLTWQESA-N 410.517 4.735 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cccn2)c(F)c1 502991885 ZDYWEKAINHLYQU-UHFFFAOYSA-N 400.435 4.674 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H](OC)c1ccccc1F 504555988 QPHWHJKVDCWFCH-YJYMSZOUSA-N 406.479 4.742 5 20 HJBD COc1ccc(NC(=O)c2ccccc2NCc2c(F)cc([N+](=O)[O-])cc2F)cc1 506282806 XBGZSJHMKSNOND-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)c1 512280679 OSCALFPYNBRYIH-UHFFFAOYSA-N 416.437 4.525 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NC(=O)c2ccccc2[N+](=O)[O-])cc1OC 520746528 GAHVVSWRBKGMJX-FQEVSTJZSA-N 420.465 4.634 5 20 HJBD COc1ccc(CC(=O)N[C@@H](Cc2ccccc2)c2sccc2C)cc1[N+](=O)[O-] 522950116 LDAULLYXRGRNEP-SFHVURJKSA-N 410.495 4.616 5 20 HJBD CCOc1ccc2c(c1)[C@@H](C)CC(C)(C)N2C(=O)CCn1nc(C)c([N+](=O)[O-])c1C 523228621 OQXRCJGQOSUITN-AWEZNQCLSA-N 414.506 4.516 5 20 HJBD C[S@](=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 523720325 AZYPHPVHNMIEJA-LJAQVGFWSA-N 410.451 4.518 5 20 HJBD COc1cc(C(=O)Nc2ccc(C(F)(F)F)c(C)c2)c([N+](=O)[O-])cc1OC(F)F 524768432 GACAIUFNEPEXLN-UHFFFAOYSA-N 420.290 4.784 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(CCC(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 532483905 MPTGGRILIJGSEI-UHFFFAOYSA-N 420.513 4.901 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3)cnc2C)cc1OC 534813332 KQFGLBFWMICAKP-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1coc(-c2ccc(Br)cc2)n1 536159411 BHXAXRPRDBDDGV-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD CN(C(=O)c1ccccc1OC(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540450308 PVYDTTHUXCKGTP-UHFFFAOYSA-N 405.382 4.596 5 20 HJBD O=C(Nc1nnc(C(F)F)s1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543470932 NWADSBAEPVDVJR-UHFFFAOYSA-N 414.459 4.919 5 20 HJBD CSc1cccc(C(=O)N2CCC(C(=O)c3ccc(C)cc3C)CC2)c1[N+](=O)[O-] 548599660 CSHLVOVRXOCQNI-UHFFFAOYSA-N 412.511 4.669 5 20 HJBD Cc1cccc(N2CCC(C(=O)Nc3cccc(Br)c3)CC2)c1[N+](=O)[O-] 549489436 YGKDCKUKXRKKHF-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD CN(Cc1cccc(OC(F)(F)F)c1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 551434487 IJDWMKUYEFGIOX-UHFFFAOYSA-N 407.348 4.780 5 20 HJBD CCCN(C(=O)c1cccc(C(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 554749040 HXKWKXDUOQTLHV-UHFFFAOYSA-N 403.438 4.904 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)C1CCN(Cc2ccccc2)CC1 559144652 MSXTVHVEOJZPBN-UHFFFAOYSA-N 407.436 4.710 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCOCC4)[C@H]3C3CC3)c([N+](=O)[O-])c2)cc1 565097969 VVOVWHUZNNNNEN-JOCHJYFZSA-N 421.497 4.551 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)c1[nH]ccc1-c1c(Cl)cccc1Cl 573766052 MVRWCMPVOJNBDH-UHFFFAOYSA-N 420.252 4.706 5 20 HJBD Cc1c(Cc2noc(-c3ccc(OCc4ccncc4)cc3)n2)cccc1[N+](=O)[O-] 581639769 FPBLPJJXULRMHH-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1N1CCc2nc(-c3ccccc3Cl)sc2C1 583402342 AHWFTSWZMRLCFB-UHFFFAOYSA-N 407.282 4.983 5 20 HJBD CCOCC(C)(C)CN[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 589054534 NDTVKKZFNDHYJF-IBGZPJMESA-N 419.909 4.580 5 20 HJBD Cc1cc(Sc2nnc([C@@H](C)N(C)C)n2-c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 603845924 BIIXTRFXCCCCGG-CYBMUJFWSA-N 417.922 4.911 5 20 HJBD COc1ccc(CNC(=O)N[C@H](C)c2cc(F)c(Cl)cc2Cl)cc1[N+](=O)[O-] 604081268 HHUUFHZBCMTBEN-SECBINFHSA-N 416.236 4.610 5 20 HJBD CCOc1cc(C(=O)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)c([N+](=O)[O-])cc1OC 604243048 BTYQRHUGXQNNLQ-INIZCTEOSA-N 423.469 4.503 5 20 HJBD O=[N+]([O-])c1ncccc1N1CCN([C@@H](c2ccccc2)c2ccccc2Cl)CC1 608856114 NFXBDWPGZATNFQ-NRFANRHFSA-N 408.889 4.555 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 608935703 DTDKENJYIGOWPK-SNVBAGLBSA-N 411.241 4.506 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1OC 608948504 BLWCALIJYNDWFH-FQEVSTJZSA-N 420.465 4.634 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1COc1ccc(-c2noc([C@@H]3CCCO3)n2)cc1 609692987 OCKRJMQMCPZLCM-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 609700037 VTJZXVOSIZLRJS-CQSZACIVSA-N 408.907 4.730 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccsc1)c1ccccc1 609762070 APMDGJIZKWGDDH-UHFFFAOYSA-N 405.435 4.757 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])n[nH]1 609771371 AEPLZOXYNYPFDP-UHFFFAOYSA-N 418.862 4.621 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2ccc(F)c(F)c2)cs1)c1ccc([N+](=O)[O-])cc1 610036847 HGCRSWRDKLTQAZ-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD CCCCCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])c1nc(C)c(C(=O)OCC)s1 610222257 LFKAVGNBQGINNV-UHFFFAOYSA-N 419.503 4.682 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(CN4CCCC4=O)c3)o2)c([N+](=O)[O-])c1 610270701 ODVCKJPOZANZRM-UHFFFAOYSA-N 421.453 4.598 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 610665847 QWRXIWJKGZZSED-RYUDHWBXSA-N 412.330 4.553 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC(Sc4ccc(Cl)cc4)CC3)c1)OCOC2 610990715 JMRCSASUQFSXTM-UHFFFAOYSA-N 420.918 4.871 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@H]2[C@H](C(F)(F)F)[C@@H]21 611211288 NEDYQJZFJOEOJJ-VBNZEHGJSA-N 400.422 4.652 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO[C@@H](c2ccccc2)C1 611540369 NPSLBPCMQGYPQK-LJQANCHMSA-N 400.500 4.701 5 20 HJBD COCC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccco1 611547472 CLNCTFPWSYTWDE-KRWDZBQOSA-N 404.488 4.730 5 20 HJBD C[C@@H](OCc1ccccc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 612956088 RXEYYQDZBJEPQL-GFCCVEGCSA-N 418.247 4.609 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCCc2cc(Br)cc(F)c21 726368047 LNDJBQUOSSOVSY-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CSc1ccc(C(=O)OCc2csc(-c3ccccc3F)n2)cc1[N+](=O)[O-] 728313999 KVJYIWFENRTIDW-UHFFFAOYSA-N 404.444 4.936 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1c(Cl)cccc1[N+](=O)[O-] 730643466 CKTMSZKVLFQRJF-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2)nc1 733250417 ASHBEKVPBFELAQ-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cc2occc2s1 735994015 PQTLEZNGMKYQBS-UHFFFAOYSA-N 412.427 4.503 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 741739693 DBNVJGJOAINCFT-GOSISDBHSA-N 401.469 4.508 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745482288 DFFJCTFQGUQXJD-UKTHLTGXSA-N 422.359 4.507 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)Nc1cccc(Br)c1)C1CC1 746533242 LGRSZIYIBSWOOE-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])c2)cc1 747313743 JDPHGUAUFJPERE-UHFFFAOYSA-N 404.394 4.540 5 20 HJBD Cn1ccnc1Sc1ccc(Cl)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 748517205 CFIBZMHIIBTTRY-WTKPLQERSA-N 414.874 4.785 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 752472262 HMONZCXKAZYBJZ-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 754221233 SYOCZTHDRLRUFS-UHFFFAOYSA-N 417.487 4.981 5 20 HJBD O=C(NCc1cc(Cl)ccn1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 758428428 IDRQVNGJYDHBHR-UHFFFAOYSA-N 405.907 4.856 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cccc(Cl)c1[N+](=O)[O-] 760833361 XMEIXEKCBIIVHA-KRWDZBQOSA-N 402.237 4.816 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1Cl)c1ccco1 763428423 YAUHZTSNOZWJQV-UHFFFAOYSA-N 421.743 4.624 5 20 HJBD Cc1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cccc1C(=O)Nc1ccccc1 763429632 IPNCDAHVDTXGDX-UHFFFAOYSA-N 411.364 4.686 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 763491399 IUJNPSWGCJQOGI-NSHDSACASA-N 421.400 4.711 5 20 HJBD O=C(Nc1cccc(-n2ccnc2O)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763962511 GCUGVBKOPGZVPN-UHFFFAOYSA-N 424.482 4.773 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3cc(Cl)ccc3OC(F)F)CC2)cc1 766381569 JSCCESOYMKSGGB-UHFFFAOYSA-N 411.836 4.608 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(Oc3ccncc3)c(Cl)c1)CC2 773993685 KTMRVFRWEBWUDS-UHFFFAOYSA-N 409.829 4.947 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])n1 776216553 AQUXFOAJMXZSBB-GOSISDBHSA-N 412.833 4.503 5 20 HJBD CC[C@@H](Oc1ccccc1F)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218697 UGSJAEFOKIRCIH-TZIWHRDSSA-N 410.401 4.619 5 20 HJBD COc1cc(OC)c(NCc2ccc([N+](=O)[O-])cc2Cl)cc1Br 777611696 XULNDLQWVDHYAE-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CO[C@@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cs1 782940531 HXFHHISPHFYAHN-ZDUSSCGKSA-N 414.439 4.908 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 805372313 YBXXDLWXBZYWPX-SNVBAGLBSA-N 402.319 4.595 5 20 HJBD O=C(O[C@@H]1CCOc2c(F)cc(F)cc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 806008181 PSTWYGHKJOHQIN-HXUWFJFHSA-N 407.372 4.640 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Cl)c(SC(=O)N(C)C)c2)c1F 809220762 DZBGGJXOVUAKKF-UHFFFAOYSA-N 411.842 4.722 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812947430 PCDZJAQAFRIBAG-VIFPVBQESA-N 410.282 4.872 5 20 HJBD O=C(Nc1nc(C2CCOCC2)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813248457 SOTNMMXJCANFAW-UHFFFAOYSA-N 402.259 4.504 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Oc3cc([N+](=O)[O-])ccc3OC)s2)cc1 914716193 DIPKQADONNYERB-UHFFFAOYSA-N 414.439 4.653 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4cc([N+](=O)[O-])cc([N+](=O)[O-])c4)CC3)c[nH]c12 918380673 IQMNOIXXXAAMMR-UHFFFAOYSA-N 422.441 4.567 5 20 HJBD Cc1ccccc1-n1c(C)nnc1Sc1ncnc2c(Cl)cc([N+](=O)[O-])cc12 1117585594 GMNZLGCJLGXTMY-UHFFFAOYSA-N 412.862 4.540 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(Nc2ccccc2)CC1 1343914685 MWXHALYAZHUBFY-UHFFFAOYSA-N 416.350 4.725 5 20 HJBD CCCNc1nnc(Sc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])s1 23619918 ZGEHJKFIYLPEOU-UHFFFAOYSA-N 400.485 4.650 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1ccc([N+](=O)[O-])cc1Cl 30281438 PPIBTVNROXVMQL-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(C)c4ncccc34)cc2)c(C)c1[N+](=O)[O-] 31096079 JEAUGPDDFUWGKU-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC[C@H](C)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 31782455 RTAVRKZXVBLJCM-HNNXBMFYSA-N 404.470 4.610 5 20 HJBD CN(Cc1csc2ccccc12)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 47181709 BLQRBLRTBFQXPZ-UHFFFAOYSA-N 409.511 4.685 5 20 HJBD CC(C)(CNC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Br)cc1 58310383 CQIDKZGHLIBINU-UHFFFAOYSA-N 416.275 4.546 5 20 HJBD COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1NC(=O)c1cccc(F)c1 58983398 FVTXMLNDTYVOGT-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])cc2Br)cc1)c1ccco1 301362824 RARBHCQRWQOYIJ-UHFFFAOYSA-N 416.231 4.815 5 20 HJBD COC1CCN(c2ccc(Nc3ncc(C)cc3[N+](=O)[O-])cc2C(F)(F)F)CC1 301417196 CIKITKWWJJDCLJ-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)c1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 302165745 KUHVZVGXZXCVQN-QMMMGPOBSA-N 409.316 4.564 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@@H]1c1ccc(F)cc1 426284330 WQPRERFUQHPYGK-QGZVFWFLSA-N 411.355 4.799 5 20 HJBD COc1cccc([C@H](CC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 426798842 JUKGANJKMJPHBJ-SFHVURJKSA-N 412.467 4.638 5 20 HJBD Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 429052804 BWDZSHHWOKJAMT-INIZCTEOSA-N 424.501 4.767 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@H](Sc4cccc(Cl)c4)C3)o2)cc1 429129413 PQZVDMBSXGMTGK-KRWDZBQOSA-N 416.890 4.665 5 20 HJBD COc1cc(CNc2cccc(-c3cnco3)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 432665860 DSYPLEPCMBPHJC-UHFFFAOYSA-N 423.347 4.812 5 20 HJBD Cc1ccc(CN[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c(-n2cc(Cl)cn2)n1 433070874 WOVSBQISFSMZBL-IBGZPJMESA-N 411.893 4.650 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@H]1c1ccccc1 434089521 OGZSZDGCKUPVFS-SFHVURJKSA-N 407.495 4.984 5 20 HJBD O=C(Nc1ccc(NC2CCOCC2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436338128 FZCQIAPNWXAWKU-UHFFFAOYSA-N 413.477 4.525 5 20 HJBD CN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccc(Cl)cc2s1 436469526 MUXOGVGSMKXXFA-UHFFFAOYSA-N 416.890 4.735 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1cccc([N+](=O)[O-])c1O 436908813 KHCQPGJQGOXUFZ-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD O=C(Nc1nc(-c2ccc(F)c(Cl)c2)cs1)c1n[nH]c2ccc([N+](=O)[O-])cc12 439090756 AGXGLUIDAKRLMQ-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD CC(=O)c1ccc(OCC(=O)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 440833470 RVAPGQPGROQHRM-SFHVURJKSA-N 416.449 4.609 5 20 HJBD Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1ccc(S(C)(=O)=O)c(C(F)(F)F)c1 447668880 HVFKORICQUQVGN-UHFFFAOYSA-N 422.812 4.591 5 20 HJBD Cc1ccc(Cl)c(S(=O)(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1Cl 462362110 VAAWNYUXXBVJTB-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD COc1cc(COc2ccc(N(C)C(=O)c3ccc(C)cc3)cc2)c([N+](=O)[O-])cc1F 463336966 YBHOUZBXKLZLAD-UHFFFAOYSA-N 424.428 4.907 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 463929535 WOCDRQHBCNNWPF-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD CC[C@@H]1CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)CC[C@@H]1c1ccccc1 469996409 NERSMFKCWHPGHB-KNQAVFIVSA-N 421.541 4.854 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cc(C)c([N+](=O)[O-])cc2F)cs1 479153194 ASZPBCZOAFBXCN-UHFFFAOYSA-N 419.409 4.902 5 20 HJBD C[C@H](c1cccc(Cl)c1)S(=O)(=O)c1ccc(Br)cc1[N+](=O)[O-] 479979301 ZBNNSNLVZHWPEI-SECBINFHSA-N 404.669 4.546 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)c1 480629612 GRRZVMSBGSZWIW-UHFFFAOYSA-N 405.838 4.556 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(-c3cnccn3)n2-c2ccc(Cl)cc2)c1 481390636 ZNXDVFMUWGGXBE-UHFFFAOYSA-N 424.873 4.578 5 20 HJBD Cc1nn(Cc2ccc(Cl)cc2)c(C)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 482082731 MWDFTKSOTUMUMU-CYBMUJFWSA-N 412.877 4.601 5 20 HJBD CCC[C@H](NCc1ccc(NC(=O)c2ccncc2)cc1)c1cccc([N+](=O)[O-])c1 482117616 AFWITCFKIAKSNH-QFIPXVFZSA-N 404.470 4.873 5 20 HJBD CSc1cccc(C(=O)NC[C@H]2CCN(c3cc(Cl)ccc3C)C2)c1[N+](=O)[O-] 486478298 KJUDGZSEERGXGH-CQSZACIVSA-N 419.934 4.535 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Cl)c1OC 487184597 GNBBOBRWHFVPOM-UHFFFAOYSA-N 404.772 4.574 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncc([N+](=O)[O-])cc1Cl 488476719 KEQUKQOYIXXWJO-BMIGLBTASA-N 401.772 4.628 5 20 HJBD C[C@@H](Sc1cccc(S(C)(=O)=O)c1[N+](=O)[O-])c1cccc(Br)c1 488752211 QTHRAPAKWBCWFE-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)c(OC(F)F)cc1F 490279785 RJQZQZDEACBFSN-UHFFFAOYSA-N 422.718 4.535 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C(C)C 490492165 OGNHIHFBWYSUIM-UHFFFAOYSA-N 423.311 4.976 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC[C@@H]2CCCO2)c1 493235643 IEHNZXHELHODIK-AEFFLSMTSA-N 413.474 4.652 5 20 HJBD CC(C)[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)cc1 501580732 NFKKHSPIDZTXAZ-QGZVFWFLSA-N 414.355 4.802 5 20 HJBD C[C@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)[C@@H]1CCCOC1 503230147 JHAOWCPIVVNLDZ-DZGCQCFKSA-N 404.850 4.585 5 20 HJBD CC[C@@H]1C[C@@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 504531316 ARNKSXMCHZMWLL-JKSUJKDBSA-N 417.531 4.913 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)C(F)(F)F)cc1 505403794 QQPXNXFUXUDGQV-ZBEGNZNMSA-N 414.405 4.504 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(F)cc3C(F)(F)F)no2)cc1 508035770 AOPOLJPQFJFHMO-UHFFFAOYSA-N 403.238 4.943 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)c2ccncc12 511674420 UPAOOYJLKAMBLJ-CYBMUJFWSA-N 418.375 4.732 5 20 HJBD CCCN(C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)[C@@H]1CCc2ccccc21 514904017 VFVFLFOYFULFGA-LJQANCHMSA-N 414.527 4.763 5 20 HJBD CC[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1nnc2n1CCCCC2 517550803 MDNPWKOMLZHZFP-QGZVFWFLSA-N 411.531 4.882 5 20 HJBD Cc1cccn2cc(COc3ccc(CN[C@@H](C)c4cccc([N+](=O)[O-])c4)cc3)nc12 518272725 HCHDKTJZJIRFCD-SFHVURJKSA-N 416.481 4.981 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc(C)c(Cc3cccc(Cl)c3)s2)c1[N+](=O)[O-] 518867031 WVUSPCMMFUZOMK-UHFFFAOYSA-N 419.894 4.532 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(-n2cnc3ccccc32)cc1 524501578 XTKIVXKSZWNMGW-UHFFFAOYSA-N 414.465 4.985 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)cc1SC 525644113 RJQFLMNVNZEZTF-IIAWOOMASA-N 400.500 4.732 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533984809 MXZQKJBSIOJEEQ-ZDUSSCGKSA-N 400.504 4.727 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 534738703 MSAAOCBNNMSXIN-UHFFFAOYSA-N 419.441 4.739 5 20 HJBD CC[C@@H](c1ccc(F)cc1F)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795801 OYHOQCWKERELKS-XDBZFTIUSA-N 406.410 4.928 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@H]1C[C@]12CCc1cc(Br)ccc12 537409641 TYIPRRDYJCAUFB-UZLBHIALSA-N 415.287 4.817 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCn1c(C2CC2)nc2ccccc21 537642743 WXGNTBXLLYQXPL-UHFFFAOYSA-N 406.486 4.649 5 20 HJBD Cn1cc(CNc2cccc(CN3CCCCC3)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 537719617 FOESHJLIMVMNDR-UHFFFAOYSA-N 405.502 4.593 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(C)c(C(=O)OCC)s1 538493626 WCRNUXAZWHEFTL-UHFFFAOYSA-N 423.516 4.681 5 20 HJBD COc1ccc(CN(C(=O)Cc2ccccc2[N+](=O)[O-])C2CCCCC2)cc1OC 538804082 PUZQDGHTFGDVQV-UHFFFAOYSA-N 412.486 4.516 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)cc1 540459289 VEYDVVKFYYLZNH-KRWDZBQOSA-N 419.481 4.584 5 20 HJBD COc1cc(C(=O)N2CCC=C(F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 540879834 DTJZPZCBZKSSMY-UHFFFAOYSA-N 406.797 4.748 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 542022036 LEQMTAHAFADIJH-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD CCc1cc(N2CCC(CCCn3ccnc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 543048548 RXNDDUFIPYYZBR-UHFFFAOYSA-N 420.517 4.508 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 543465046 VPZYXJJEQSAPHE-ZIAGYGMSSA-N 404.438 4.511 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 544402923 WECURIARQYRWPI-QVKFZJNVSA-N 400.450 4.990 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)c1 545577279 RLCPTCGVHAQXMR-FLIBITNWSA-N 414.421 4.713 5 20 HJBD Cc1ccc(-c2noc(Cn3ccc4cc([N+](=O)[O-])ccc43)n2)c(Br)c1 546393391 CZZWNVFHJUUOEK-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD COCC[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 551667117 AZGJIFYBGLAARD-KZULUSFZSA-N 411.285 4.899 5 20 HJBD O=C(Nc1ccccc1)[C@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccccc1 556064171 UZCMLXMQVJOXIL-XZOQPEGZSA-N 401.466 4.942 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(Oc2cccnc2)cc1 570881833 FFCFVYNCXDINSG-KRWDZBQOSA-N 420.469 4.770 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2c2ccc(C(F)(F)F)c([N+](=O)[O-])c2)n1 589114200 JTFMBQBIHHGTJV-ZDUSSCGKSA-N 415.393 4.588 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 597424585 LPPISYWNAFRLPF-NSHDSACASA-N 418.862 4.726 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 603642820 PCNCIGBHDMBAMQ-NXEZZACHSA-N 413.318 4.934 5 20 HJBD COc1c(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 604017834 IVIFLJUFIXCRCT-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Cc1c(C(=O)N2c3ccccc3CC[C@@H]2C(=O)Nc2ccccc2)cccc1[N+](=O)[O-] 608799560 SMSCZCLMCXKVQS-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCCCCCc3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609015125 JFPDQRCTNGHYQR-UHFFFAOYSA-N 420.513 4.599 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)ccc2o1 609549612 FLEOKKDOLLPJAZ-UHFFFAOYSA-N 420.425 4.831 5 20 HJBD O=C(Nc1cccc(Nc2ccccc2)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609766528 LUMPZWZPZUUXFA-UHFFFAOYSA-N 400.394 4.845 5 20 HJBD CC(=O)CCc1ccc(OCCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1 609859299 XRMQURWFQLGOOM-UHFFFAOYSA-N 424.497 4.861 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)c1)c1ccc(F)cc1 609860211 WVSPSFOAXZQJPL-UHFFFAOYSA-N 419.412 4.579 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2ccco2)cc1 610092827 WJFIRVWGIMUKNH-UHFFFAOYSA-N 422.441 4.585 5 20 HJBD O=C1CCc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2N1 610204100 YYSPYVUIQXJZBW-UHFFFAOYSA-N 403.394 4.524 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@H]3[C@H](C(F)(F)F)[C@@H]32)cc1[N+](=O)[O-] 610494721 OCALNHXHTIUMKW-VBNZEHGJSA-N 402.438 4.756 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 610587730 VUZGPKHLHKIPIC-HTQZYQBOSA-N 407.108 4.534 5 20 HJBD COc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611198231 GDLOMHSBXTVUTE-UHFFFAOYSA-N 400.500 4.611 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCSc2ncccc21 611206295 DVFIOKMGSBLMFJ-UHFFFAOYSA-N 401.513 4.777 5 20 HJBD CC(C)Oc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])ccn1 618695139 REKDBBYYSOABNY-UHFFFAOYSA-N 407.426 4.608 5 20 HJBD CC(C)(C)OC(=O)N1CCC(CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)CC1 726605243 ALLWZNKHRRRIRV-UHFFFAOYSA-N 415.490 4.592 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C[C@@H](c1ccccc1)C(F)(F)F 728515100 ZLVOEMAZJSDKFU-HNNXBMFYSA-N 400.784 4.943 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)cc2)nc1 733276364 FXLBUGVKKXLMSJ-UHFFFAOYSA-N 406.442 4.921 5 20 HJBD COC(=O)[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccc(Cl)c1 733817293 KCIFUALGDPLEEE-MRXNPFEDSA-N 405.815 4.534 5 20 HJBD CCCOc1ccc(O[C@H]2CCCC[C@H]2NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 735016585 FKRWSGHTLLJTGX-CTNGQTDRSA-N 416.449 4.643 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 735149951 KCRMGQOFSIQDFI-UHFFFAOYSA-N 422.846 4.785 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H](C)c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 738791545 OKWBVWJHGWUNDD-FOIQADDNSA-N 424.478 4.991 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1Cl 740776785 NKNPZHLOTCADGP-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CC(C)C[C@@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc(Cl)cc1 741591667 WGDVUBSQUKNQIS-GOSISDBHSA-N 400.866 4.502 5 20 HJBD Cc1cc([C@@](C)(O)CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c(C)o1 742372520 OTNXDHRBLZGMDI-QFIPXVFZSA-N 414.433 4.733 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc(Cl)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745301398 FADWXIRBYBKSHB-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])s1 749983482 PHIUOPSXSBNIKG-LBPRGKRZSA-N 402.863 4.894 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1cccc(NC(=O)c2ccccc2)c1 750426837 BHBWCHPJEYRPIF-SDNWHVSQSA-N 402.406 4.604 5 20 HJBD Cc1ccc(CCCC(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)s1 750969072 AFPDEQLMMVAMPS-LBPRGKRZSA-N 410.879 4.511 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CC[C@H](NC(=O)OC(C)(C)C)C(C)C 754453110 JNTBFPCOVUWLEI-INIZCTEOSA-N 422.526 4.615 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(F)cc1 755025234 WLXQDYPBSUUOEK-HNNXBMFYSA-N 408.227 4.865 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1cccc2ccccc12 755069347 ZNFCKHQHPHJOCY-ZIAGYGMSSA-N 410.401 4.561 5 20 HJBD O=C(NCc1cc(Cl)cc(Cl)c1OC(F)F)c1cc(F)ccc1[N+](=O)[O-] 756980850 FLFQCWGLEDYHEQ-UHFFFAOYSA-N 409.147 4.572 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Oc1cccc(NC(=O)c2cccs2)c1 759350989 FVUBUBCKACTQOJ-UHFFFAOYSA-N 421.434 4.538 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 760433197 PFENPVUVJPAKLP-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCCCn1ncc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c1C(C)C 760913919 QESJMELMGPKFLU-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD Cc1c(Cc2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cccc1[N+](=O)[O-] 762320816 OQPDODHECLBOKZ-UHFFFAOYSA-N 423.410 4.576 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1c[nH]c2cccc([N+](=O)[O-])c12 762906648 WKPUQSCHCWWRKG-OAQYLSRUSA-N 415.405 4.613 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C)C(C)C)c(F)c1 763962895 UJPBAYFMSDKYJG-UHFFFAOYSA-N 421.494 4.569 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768092433 YOQGBNDATGJKQU-OAQYLSRUSA-N 420.494 4.652 5 20 HJBD Cn1cc(CN2CCC(c3cc4ccccc4[nH]3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 769119525 AVBGEHNBYREJDO-UHFFFAOYSA-N 415.497 4.856 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1c[nH]c3cccc([N+](=O)[O-])c13)CC2 775296062 QKKSZJCUVOVHPQ-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)C1(c2cccc(Cl)c2)CCOCC1 778094944 IMJDZIPXENNOAC-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])C1CC1 781602189 MRRJFUPOYTZCDM-CYBMUJFWSA-N 417.849 4.841 5 20 HJBD C[C@@H](N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc(N)c([N+](=O)[O-])c1 788640402 RZDSMLRUQOMFBB-GYCJOSAFSA-N 403.482 4.942 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(OC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1 790113210 RJJXVLMOUWABML-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD C[C@H](C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1OCCc2sccc21 797032333 RYJOIGWDQNRJNQ-SZFUDVHCSA-N 424.478 4.634 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815207837 PPCZYSKJWJCVRI-UHFFFAOYSA-N 412.345 4.616 5 20 HJBD Cc1ccc(C(=O)N(C)C)cc1OC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 916066717 GCTIHQKLVADNKQ-UHFFFAOYSA-N 420.421 4.617 5 20 HJBD COc1cc(C(=O)NC[C@@H]2C[C@@H](C)CC[C@H]2C(C)C)c([N+](=O)[O-])cc1OC(F)F 1115903340 JYGHSXQATQPVPP-IHRRRGAJSA-N 414.449 4.643 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 1116638260 PSAICJMXICXMLZ-KRWDZBQOSA-N 406.269 4.854 5 20 HJBD CN(CCO)c1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1253259423 TXTVGNZZBPDXSR-UHFFFAOYSA-N 401.850 4.549 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)o1 1323012718 BTIVAGDTORKTIJ-GJZGRUSLSA-N 412.471 4.559 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)o1 1323012720 BTIVAGDTORKTIJ-LSDHHAIUSA-N 412.471 4.559 5 20 HJBD CC1(C(=O)Nc2ccc(C3(NC(=O)/C=C/c4ccc([N+](=O)[O-])o4)CCC3)cc2)CCC1 1785808748 FYUSLWPVKRKIOM-CSKARUKUSA-N 423.469 4.525 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nccn3-c3ccc(Cl)cc3)c1)OCOC2 10244110 PDIBKIMNMZCQEC-UHFFFAOYSA-N 403.847 4.593 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1ccc(Cl)c([N+](=O)[O-])c1 15640408 AGPOEGOPYHOCOE-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cccc(-n2ccnc2S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 16660981 CTCSTHYNXILEEG-CYBMUJFWSA-N 423.454 4.692 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2ccccc2[N+](=O)[O-])c2ccccc2)c1 20750887 FHOWTCNQJUFSBA-NRFANRHFSA-N 404.422 4.748 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3nc4c(s3)CCC4)cc2[N+](=O)[O-])n1 25767547 GJAQNMCQURLOSX-UHFFFAOYSA-N 418.525 4.708 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc4c(c3)CCO4)cc2[N+](=O)[O-])n1 31342846 UWGNYBGOLXQSHJ-UHFFFAOYSA-N 413.480 4.698 5 20 HJBD C[C@H](Sc1nc2cc(F)c(F)cc2[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 42656277 KKOSPCPVJVPPIF-QMMMGPOBSA-N 403.370 4.653 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)NCc4ccccc4[N+](=O)[O-])cc3)o2)c1 46149374 YCSBRUDVHBHHBG-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 50312586 VHMMAFGFQWTWMC-UHFFFAOYSA-N 410.455 4.565 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)Cc1csc(-c2ccc(Cl)s2)n1 57383890 JSHVFAKRCPKJMN-UHFFFAOYSA-N 409.876 4.623 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nnc(SCc2ccc(Cl)cc2)s1 115055114 WPDCHVWNVNPWMI-UHFFFAOYSA-N 420.903 4.573 5 20 HJBD CSc1ccc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)cc1[N+](=O)[O-] 195632714 UFJYYZBTLGKEDP-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1F)c1ccccc1Cl 303896964 PKLRSRGHUWZMLD-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CC(C)(C)c1ccc(Oc2ccc(CN[C@H](CO)CC(F)F)cc2[N+](=O)[O-])cc1 428637489 DMMCTSHQVOKEHQ-INIZCTEOSA-N 408.445 4.790 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)Cc2cn3c(n2)CCCC3)cc1[N+](=O)[O-] 429515039 JCGSURXFPWVCKO-UHFFFAOYSA-N 416.547 4.538 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccc(Cl)cc1)Cc1cnn(C)c1 432426576 SLXFENBQXUZFCL-UHFFFAOYSA-N 413.865 4.524 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])sc2C)cc1 433856151 GDZHCJKVOAHCSJ-UHFFFAOYSA-N 415.475 4.524 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 437592646 RPFRSWSLLAOLSL-CYBMUJFWSA-N 423.300 4.812 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)cc1 437677214 LOSIUDMTWBJZRH-CYBMUJFWSA-N 418.291 4.793 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@H]2CCCc3ccc([N+](=O)[O-])cc32)CC1)c1ccccc1 447539087 FOWDJSKCPORYNR-UPVQGACJSA-N 421.541 4.747 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1nc2ccccc2[nH]1 447682453 YRYHSNKAOFCTEU-QMMMGPOBSA-N 401.295 4.693 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460352757 DDKFVRRFFQTTFF-HSZRJFAPSA-N 420.490 4.915 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3cc(C)c([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 461768133 NSTQXSARWLALPF-UHFFFAOYSA-N 421.419 4.947 5 20 HJBD CSc1ccc([C@H](C)NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 466480710 FEDYARSPFCXXIL-HNNXBMFYSA-N 415.515 4.631 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2n1C(F)F 466571149 AZBSUXFHBSVSLA-SNVBAGLBSA-N 423.807 4.553 5 20 HJBD COC[C@@H]1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 466745268 VKCBTXGLMDXBJM-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD O=C(NCc1ncoc1-c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475202172 IVOSNGZWHLFADQ-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD COc1ccccc1-c1csc(C2CCN(C(=O)c3ccccc3[N+](=O)[O-])CC2)n1 481577919 UGMMPVOWUJMFHA-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccccc2F)n1C1CC1 485361708 WBKDQJOYGCBSMY-UHFFFAOYSA-N 400.435 4.628 5 20 HJBD CC[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cc(F)cc(Br)c1 485816699 DOQYPTPWGRFLKZ-CQSZACIVSA-N 408.227 4.879 5 20 HJBD CCCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)c1ccccc1 486593302 KEOYPDAGVJJOCS-AWEZNQCLSA-N 403.866 4.577 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@@H]2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 486707607 NDEYGQDFJIIRSI-BWAGICSOSA-N 408.376 4.750 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 493407905 CSMYMKFGEKFCNC-CQSZACIVSA-N 404.291 4.538 5 20 HJBD O=C(Nc1cccc(NCC(F)(F)F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 505790674 OSDJLUUHHPUMLH-UHFFFAOYSA-N 422.388 4.945 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])c(O)c2)n1 508647356 XJKMTKHIQUFOGA-HNNXBMFYSA-N 403.822 4.562 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 515098132 INKKAGPNKXANRC-QWHCGFSZSA-N 416.302 4.957 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)cc2)cc1 517441122 MXYRKEADQSVBMI-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(Cc2ccccc2F)n1 522747076 KMJZHWRQZMNMLU-UHFFFAOYSA-N 423.372 4.635 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1ccc([N+](=O)[O-])cc1Br 524257697 WZOGFAYVMYFKSK-UHFFFAOYSA-N 423.313 5.000 5 20 HJBD CCN1CC[C@H](N(C)C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C[C@H]1C 524888728 GRBJZTIYTPLHBU-AEFFLSMTSA-N 407.580 4.678 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])cc2Br)s1 525048385 JXZTZDCAERDDAT-JTQLQIEISA-N 412.309 4.518 5 20 HJBD O=C(CN1CCCC[C@H]1c1cc2ccccc2[nH]1)Nc1ccc([N+](=O)[O-])cc1Cl 532712441 OGPBDKMSJSSBSI-FQEVSTJZSA-N 412.877 4.895 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(OC(F)F)c1 537019413 XXWBDMCDSPXHHE-NWDGAFQWSA-N 404.373 4.658 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 537043958 STZNOWUWMNNYLB-KGLIPLIRSA-N 412.421 4.525 5 20 HJBD CCOc1ccc([C@@H](C)NCCCc2nc(-c3ccncc3)cs2)cc1[N+](=O)[O-] 539085107 SWXBYMMHAVRTQA-OAHLLOKOSA-N 412.515 4.795 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc(OC)cc2)c(C)s1 540066790 KEALIIAKTXXPNK-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CN(C)Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1F 540651961 KOITZLFQSDPFIC-UHFFFAOYSA-N 417.868 4.999 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C)c2ccc(NC(=O)C3CC3)cc2)c1Br 543449117 CIJJAEPFXSWYMD-LLVKDONJSA-N 419.279 4.582 5 20 HJBD C[C@H](C(=O)N(Cc1ccc2c(c1)CCO2)C1CCCC1)c1ccc([N+](=O)[O-])cc1F 543461901 GIENNPOBDUNSBF-HNNXBMFYSA-N 412.461 4.744 5 20 HJBD COc1cc(Br)ccc1-c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 545068968 OWOMSQPSFFTBRD-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD Cc1c(Cc2noc(Cc3csc(Cc4ccc(F)cc4)n3)n2)cccc1[N+](=O)[O-] 545075451 JVGJIKZNRCEGHL-UHFFFAOYSA-N 424.457 4.654 5 20 HJBD O=C(CCN(Cc1cccs1)Cc1cccs1)Nc1ccc([N+](=O)[O-])cc1 553935544 GTTBYQCLLDNLPN-UHFFFAOYSA-N 401.513 4.749 5 20 HJBD COc1ccccc1[C@@H]1CCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2CC(C)C)C1 559094441 XNAJVMMMNRYMDS-GOSISDBHSA-N 421.501 4.512 5 20 HJBD Cc1ccc(C[C@H]2CCCN(c3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)C2)cc1 568311283 SVOVRBQNEJLDDT-HXUWFJFHSA-N 407.514 4.598 5 20 HJBD Cc1cc(Br)c(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cc1Cl 569995810 NLVKELZVVVSVDT-UHFFFAOYSA-N 420.650 5.120 5 20 HJBD Cn1ccnc1[C@@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 576790280 UECWOIWBXWLDAO-MRXNPFEDSA-N 412.877 4.764 5 20 HJBD Cc1ccccc1-c1ccc(CN(c2nccc(C(N)=O)c2[N+](=O)[O-])C(C)C)s1 580021124 OZHSGMKCUZDHRW-UHFFFAOYSA-N 410.499 4.541 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3cccc(OC(F)(F)F)c3)C2)c2ncccc12 583166240 JICGVRLPHAZIQP-SFHVURJKSA-N 419.359 4.620 5 20 HJBD CCC[C@H](C)N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 603613335 ZJQWVBCUHXEERX-KRWDZBQOSA-N 406.486 4.637 5 20 HJBD CNc1ccc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cc1[N+](=O)[O-] 603665615 WKRPTVAIQJKPGL-UHFFFAOYSA-N 423.513 4.570 5 20 HJBD Cc1nn(CCC(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)c(C)c1[N+](=O)[O-] 608945644 UQBZRZOGVCJXGG-KRWDZBQOSA-N 424.423 4.571 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(C(F)(F)C(F)(F)F)cc1 609228145 FHHKWBWXIMZGOV-UHFFFAOYSA-N 404.291 4.657 5 20 HJBD COc1cc(C(=O)Nc2cccnc2Oc2cccc(C)c2C)cc([N+](=O)[O-])c1OC 610172292 MBRLYKCTIKUQAJ-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)s2)o1 610210219 BKZYLLYYHWQRAB-LJQANCHMSA-N 415.496 4.816 5 20 HJBD O=[N+]([O-])c1cc(/C=C(\c2nc3ccccc3[nH]2)S(=O)(=O)c2ccccc2)cs1 728158356 YGKLXMRYKZDDQP-LICLKQGHSA-N 411.464 4.505 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)OCc1csc(-c2ccccc2F)n1 728335591 ANSGVGOTXQWGKD-UHFFFAOYSA-N 411.414 4.556 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccc(Cl)cc2[nH]1 732520403 DNPYBBLKQVOMMQ-WDEREUQCSA-N 405.863 4.910 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 733244855 BMPZGWXCCYKOLZ-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H](c3ccccc3)C[C@@H]2C)CC1 734355426 MYEWEQVTEWJTJV-ICSRJNTNSA-N 407.514 4.849 5 20 HJBD Cc1ccc(C(=O)Nc2cc(-c3ccccc3Br)no2)cc1[N+](=O)[O-] 734358013 MPRIGGOYFJGBLI-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC[C@H](NC(=S)NNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 734368016 GIIPNLYDOQZTKD-JTQLQIEISA-N 404.439 4.617 5 20 HJBD CC(C)CO[C@@H]1C[C@@H](OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1(C)C 739287804 HMKOMMTYNOCTPI-IAGOWNOFSA-N 404.488 4.715 5 20 HJBD Cc1sc(NC(=O)c2c(C(C)C)nn(C)c2Cl)nc1-c1cccc([N+](=O)[O-])c1 741543605 FKPVNMXCSXJRPY-UHFFFAOYSA-N 419.894 4.789 5 20 HJBD C[C@H]1Oc2ccc(Nc3cc(Cl)c(C(F)(F)F)cc3[N+](=O)[O-])cc2NC1=O 743473934 ZSBGJMXAWZZCFB-SSDOTTSWSA-N 401.728 4.730 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cccc2c1C 744673595 UBYUQFXJJKTQLL-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD CC(=O)c1cccc(O[C@@H](C)C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 745313591 SBXQSYHMLZYWRK-INIZCTEOSA-N 405.406 4.837 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1 746278860 NPQCNONUDWBKLC-UHFFFAOYSA-N 421.240 4.831 5 20 HJBD C[C@@H]1CN(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)C[C@@H](C)O1 747396550 MSUZXQNHAKMGCI-ZIAGYGMSSA-N 411.483 4.675 5 20 HJBD Cn1ccc2c(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)cccc21 750866025 WQHVCWKNEQFUHC-UHFFFAOYSA-N 408.639 4.755 5 20 HJBD O=C(Nc1nc(-c2ccc(OC(F)F)cc2)cs1)c1cc(F)ccc1[N+](=O)[O-] 751079295 JHHQTZQNUNMGDL-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)c12 752975094 FDDKWBWRLBXRTD-UHFFFAOYSA-N 421.844 4.758 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(-c2nnc3n2CCCCCC3)cc1 755091170 ZAGZCXGXLPOKBH-UHFFFAOYSA-N 419.485 4.541 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 763936081 CMDAWOPUJRYMKN-UHFFFAOYSA-N 416.428 4.670 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)ccc1F 766228860 WYGWUOHPZQRNBI-GFCCVEGCSA-N 422.372 4.559 5 20 HJBD C[C@@H](NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)[C@H](C)c1ccccc1C(F)(F)F 767123852 ULPUYEYTCOKOQA-VHSXEESVSA-N 402.319 4.814 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2cccc([N+](=O)[O-])c2C)c1 768952945 SJTGLMZDMPTHBP-HSZRJFAPSA-N 419.481 4.979 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1ccc(Br)c([N+](=O)[O-])c1 770340862 YBOGVXAEKOKSQX-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3Br)CC2)c1 771259968 AXAHOACNBXHRCX-UHFFFAOYSA-N 419.250 4.734 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1ccc(Br)c([N+](=O)[O-])c1 780028174 PLIRJPJFSUNFOG-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3cccc([N+](=O)[O-])c3Br)sc2C1 782168080 WLPTZNOQNVCSBT-SECBINFHSA-N 410.293 4.581 5 20 HJBD O=C(NC1(c2ccc(Cl)cc2)CCC1)c1cccc([N+](=O)[O-])c1Br 782169328 JBVACTSFSDWOLG-UHFFFAOYSA-N 409.667 4.820 5 20 HJBD COc1ccc(NC(=O)c2nc(Cl)c(Cl)c(Cl)c2Cl)cc1[N+](=O)[O-] 782467340 NTCIUOJZCIXAHG-UHFFFAOYSA-N 411.028 4.864 5 20 HJBD CC(C)n1cc2c(n1)[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 785838050 VDJMAWQZMNHLMB-GFCCVEGCSA-N 413.356 4.789 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCCCC2CCOCC2)cc1[N+](=O)[O-])c1ccccn1 789544640 NYBRFUCHOGOJCL-INIZCTEOSA-N 413.474 4.527 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])o2)c1 790742525 STWDZFKPZOVOSA-NSHDSACASA-N 419.796 4.593 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@H]1CCCOc2c(F)cccc21 797095800 YZKDRSWKVIJKJN-QFIPXVFZSA-N 417.436 4.751 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccc(C(F)(F)F)cn2)cc1SC 798672901 BDJRDZLZUNDGBP-VIFPVBQESA-N 416.377 4.657 5 20 HJBD C[C@@H](O)c1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 804794479 HPKGDTSKHXOICX-ZIAGYGMSSA-N 424.432 4.608 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 841709891 OJFUSXOCSKBCCX-UHFFFAOYSA-N 413.455 4.577 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1[C@H](O)C(F)(F)F 864044259 YPJWSSLGDFTRRD-AWEZNQCLSA-N 405.170 4.565 5 20 HJBD CCc1ccc(N(CC)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 914519615 VLNKLWXOZHHUPW-UHFFFAOYSA-N 406.486 4.686 5 20 HJBD Cc1ccc(-c2nc(COC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])co2)cc1 920778140 QMEZYADSCAAFFD-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(CCOc2cc(Cl)ccc2Cl)n1 1254841534 LMIZKAUMYXRATQ-UHFFFAOYSA-N 423.256 4.561 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nnc(-c3ccc(F)cc3Br)o2)cc1 1261569452 GFEWURRECHKJHS-UHFFFAOYSA-N 414.137 4.687 5 20 HJBD CCCN(Cc1nc(O)c2sccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 1319798278 FZCIGGVQGVYRDY-UHFFFAOYSA-N 424.482 4.977 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccn(-c4cccc(C(F)(F)F)c4)n3)o2)c1 1323827450 XLQPIENXDVKATI-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3cc([N+](=O)[O-])ccc3OC(F)F)o2)cc1 1326961336 GALSZLLCWGNMEC-NSHDSACASA-N 421.356 4.785 5 20 HJBD O=C(/C=C\c1cccnc1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 1352736447 ALBLOXFGMJZZJJ-HJWRWDBZSA-N 409.829 4.874 5 20 HJBD CCCC(=O)Nc1ccc(C2(NC(=O)c3c(F)ccc([N+](=O)[O-])c3C)CCC2)cc1 1787698651 SYTPFPVEDKLEOG-UHFFFAOYSA-N 413.449 4.590 5 20 HJBD COc1ccc2cc(CN(C)[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)ccc2c1 7805791 OGRVQPYUVGEYKU-OAHLLOKOSA-N 418.453 5.000 5 20 HJBD Cc1c(C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)sc2cccc(F)c12 17150493 NAUCGAGZZGUOLQ-UHFFFAOYSA-N 413.386 4.664 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccccc1SCC(F)(F)F 47624250 QEZMTJUAYXJUGK-UHFFFAOYSA-N 400.378 4.657 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(C(F)(F)F)c1 64849810 BQYNSDJETAPENG-UHFFFAOYSA-N 409.364 4.839 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 65880384 YSFZPYQMPVROLO-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1cc([N+](=O)[O-])ccc1Cl 107900727 MPVSNLHSJDDFKH-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2ccc(Cl)cc2)c2cccs2)c([N+](=O)[O-])c1 301264007 LXWQDDVJRPPNSB-SFHVURJKSA-N 422.915 4.915 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 302709358 KTJKWDGRKURFFE-UHFFFAOYSA-N 407.392 4.770 5 20 HJBD COc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1C(F)(F)F 426919917 ZUZHHKZMZQDLPT-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OCC 429917336 AWUSRJFLRHQJSC-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COc1c(Br)cccc1[N+](=O)[O-] 431228800 KAEKFENRRXKQNI-UHFFFAOYSA-N 407.264 4.807 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])cc2)n1 433020077 BFZBQLWQJUXDGH-UHFFFAOYSA-N 407.430 4.542 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 436080567 FFICVYSHSZCBHW-UHFFFAOYSA-N 406.432 4.667 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437801117 YSOPUYYWJUDXNN-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1ccc(Br)cc1[N+](=O)[O-] 439680859 MNMYABCIEYUVLC-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD O=C(NC[C@@H](CCO)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442688332 OVKDMFMTLOMWAF-GOSISDBHSA-N 422.506 4.642 5 20 HJBD Cc1ccc(CCN(C[C@H]2CCCO2)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443962798 LKZQVUQAOOAOSE-HXUWFJFHSA-N 411.502 4.776 5 20 HJBD CCCOC1(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCCCC1 444111920 OKLYDQKXAJFKNA-UHFFFAOYSA-N 410.489 4.680 5 20 HJBD O=C(NCc1ccccc1N1CCCCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444283276 DEGVIJWNAZWISS-UHFFFAOYSA-N 411.505 4.602 5 20 HJBD O=C(Nc1ccc(Cl)c(Br)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 446690494 AISFIFAAQYZFLO-UHFFFAOYSA-N 422.622 4.517 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)CC(C)(C)c2ccc(C)cc2)cc1SC 460532811 NKUCWEPRUVJZMO-UHFFFAOYSA-N 402.516 4.684 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(N3CCC[C@H]4CCC[C@@H]43)n2Cc2cccs2)c1 461317185 WMRNSUMCDVYNDR-BEFAXECRSA-N 409.515 4.732 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1C 463132242 RXHLBFLTOTUMBA-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])C2)cc1 464004190 IGIKNPQLPIHGPY-HNNXBMFYSA-N 422.403 4.717 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)COc1ccc([N+](=O)[O-])c2ccccc12 464794918 OCXIEMCSCXGDBQ-UHFFFAOYSA-N 405.454 4.674 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 466230621 YMHLUDCDYNZCDC-UHFFFAOYSA-N 405.882 4.563 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(COCc2ccco2)c1 467158448 MEFQTHYMZHYKAF-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD COC(=O)[C@@H](C)Oc1ccc(/C=C(/c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 467929295 ZEYUOPBBBVRYGU-QFNCUCFLSA-N 404.422 4.519 5 20 HJBD Cc1c(CC(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 476820654 MDNFHNKIALWRDI-NRFANRHFSA-N 422.460 4.729 5 20 HJBD C[C@H](C(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccc([N+](=O)[O-])cc1F 477933665 UAYRAMIGDDEPFO-NSHDSACASA-N 422.338 4.681 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(C)c(-c3nc(C)co3)c2)c([N+](=O)[O-])cc1OC 478516015 LFMFQWRUGIJPJU-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD COC(=O)c1nc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)sc1C 480576873 ICBONYFYDBVIDM-ZDUSSCGKSA-N 415.393 4.507 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(Br)cn1 480810058 DLQOFXUBOSSVIR-QMMMGPOBSA-N 401.058 4.688 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCN(c2ccccc2C(F)(F)F)C1 481032680 CSBSQKMSMHVNPY-AWEZNQCLSA-N 422.407 4.631 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2ccc(C)cc2s1 481310161 NHXKSKFRJZOAOR-UHFFFAOYSA-N 403.485 4.504 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1C 482781634 MPVBGFURHOBORY-LSDHHAIUSA-N 422.489 4.891 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])c1 485280966 YBIPHPYSRYDLNT-NSHDSACASA-N 423.288 4.679 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2ccccc2F)s1 487466075 BQLLDPVMEMLBJO-UHFFFAOYSA-N 418.475 4.541 5 20 HJBD O=c1c([N+](=O)[O-])cn(CCOC(c2ccccc2)c2ccccc2)c2ccccc12 487874270 LGJFBJGQRVLWEJ-UHFFFAOYSA-N 400.434 4.716 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccc(C)cc2)C[C@H]1C 490014854 QRXYDLLYUAVXGJ-AEFFLSMTSA-N 414.527 4.660 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 502632866 IFJKSIWZEZNQNT-UHFFFAOYSA-N 422.388 4.825 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 502638641 RTYGGXGXPWOOKL-UHFFFAOYSA-N 411.380 4.822 5 20 HJBD CC[C@H]1C[C@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 504531313 ARNKSXMCHZMWLL-CVEARBPZSA-N 417.531 4.913 5 20 HJBD COc1ccc(NC(=O)c2ccc(NCc3c(F)cc([N+](=O)[O-])cc3F)cc2)cc1 506278950 DENGOKJBCLHKJL-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD Cc1sc(NC(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)nc1-c1cccc([N+](=O)[O-])c1 513681055 XDMGGXNFSCBOEY-RHSMWYFYSA-N 403.504 4.807 5 20 HJBD COc1c(Cl)cccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 516670557 ZHVGCJBPOQWREB-KBPBESRZSA-N 417.893 4.991 5 20 HJBD Cc1ccc(NC(=O)N(Cc2cccc(Br)c2)C2CC2)cc1[N+](=O)[O-] 520060554 ZXVMKUMRNXBTEX-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc(Br)c1)C1CC1)c1cccc([N+](=O)[O-])c1 520085714 BEAZLSFANDBPDB-CYBMUJFWSA-N 418.291 4.793 5 20 HJBD CN(C)c1ncccc1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520640035 QJJXVYHCWCOBDT-UHFFFAOYSA-N 412.833 4.754 5 20 HJBD CC(C)CN1CCC(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 520954699 FCNTWGBOZBTPKP-UHFFFAOYSA-N 401.482 4.736 5 20 HJBD CCCn1ccc2cc(NC(=O)CSCc3ccc(OC)c([N+](=O)[O-])c3)ccc21 522161999 YRYRRPCTVBIIDT-UHFFFAOYSA-N 413.499 4.840 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 524348863 NEGQCYXRHLRTQY-GOSISDBHSA-N 409.467 4.704 5 20 HJBD Cc1ccc(CN(CC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)Cc2ccco2)s1 533249325 JNUJPIYJNZRMJS-UHFFFAOYSA-N 419.890 4.852 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 535202030 RRWBTDGSJZPRGA-CYBMUJFWSA-N 402.520 4.891 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)ccc1[N+](=O)[O-] 536091655 BKULVISJIXNFMV-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CC(C)Cn1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(C(F)F)n1 539013370 DRZSKGHUGHVTOK-UHFFFAOYSA-N 407.421 4.581 5 20 HJBD COc1ccc(CC(=O)N2CCc3cc(Cl)c(Cl)cc3[C@H]2C)cc1[N+](=O)[O-] 539033766 JGBZIHVVPQBFFR-LLVKDONJSA-N 409.269 4.599 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC[C@H]3c3nncn3C)cc2[N+](=O)[O-])cc1 539823204 UWNCLBWBQRMYPT-SFHVURJKSA-N 409.515 4.520 5 20 HJBD O=C(CNC1(c2ccc(F)cc2F)CCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 540432783 VMJCNXVBNNGQBZ-UHFFFAOYSA-N 409.820 4.524 5 20 HJBD CC(C)(C)c1nnc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 541824450 CVHLBRYILFMPAL-UHFFFAOYSA-N 418.457 4.740 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 542476982 APTSENYCDFPJBD-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cc1F 543294356 YNQBVOLUGSJGIU-UHFFFAOYSA-N 405.401 4.513 5 20 HJBD COCCCCc1noc(-c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 544663517 XGDNDHXMVONPRS-UHFFFAOYSA-N 406.489 4.530 5 20 HJBD Cc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1NC(=O)c1ccccc1 546260295 YFWQQIOJCDECNZ-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(Cc3ccc(OC(F)(F)F)cc3)no2)cc1 547022954 UMRWHAXAJGGZLZ-UHFFFAOYSA-N 422.363 4.512 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 548361090 PZZLNPDAEUNHSQ-MRXNPFEDSA-N 400.478 4.960 5 20 HJBD C[C@H]1CC[C@H](c2ccccc2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 553506423 IRFRXSUUCNBYCF-GHTZIAJQSA-N 407.514 4.811 5 20 HJBD CC(C)(c1nc(CCCOc2ccc(F)cc2)no1)c1ccc([N+](=O)[O-])cc1F 554799967 YYOREVLUNYTILB-UHFFFAOYSA-N 403.385 4.594 5 20 HJBD CC(C)(NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1cccc(C(F)(F)F)c1 559669391 KCUAKXYYWYHOJY-UHFFFAOYSA-N 405.376 4.620 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccc4oc(CO)cc4c3)cc2[N+](=O)[O-])C1 564395264 DCKAISSPBRVMFV-GJZGRUSLSA-N 423.469 4.568 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H]3[C@H]3CCCO3)cc2[N+](=O)[O-])cc1 568424299 LCULGBJDPACDLG-UYAOXDASSA-N 412.511 4.838 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1cccc(CSC(C)C)c1C 568453426 SGJPYEQOASYSNF-UHFFFAOYSA-N 415.515 4.684 5 20 HJBD COc1cccc(C2(CN[C@@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)CCCC2)c1 568910202 HRXMIWFXRFAFCB-QFIPXVFZSA-N 420.513 4.528 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2cccc(SC)c2[N+](=O)[O-])n1 569152981 APWKGQKXMXBLAC-UHFFFAOYSA-N 415.471 4.757 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@](O)(C(F)(F)F)CC3)o2)c(Cl)c1 569172684 ZLGYYJKJJUEBQK-QGZVFWFLSA-N 418.799 4.788 5 20 HJBD COc1cc(Cc2noc(C(C)(C)Oc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 570083294 NPAROOWSXOVCQN-UHFFFAOYSA-N 403.822 4.545 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cc2)n1 583098787 ZSPGLTASHWOBKB-KRWDZBQOSA-N 406.486 4.552 5 20 HJBD CC(C)c1scnc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 589126588 UZQZNWHZRJYUQM-OKILXGFUSA-N 402.520 4.909 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc([C@@H](C)c2ccc(Br)cc2)no1 589138791 XBJUALXJTKWTCO-JTQLQIEISA-N 404.220 4.568 5 20 HJBD O=[N+]([O-])c1ccc(-c2nccn2C[C@H](O)COc2ccc(-c3ccccc3)cc2)cc1 603842829 NJWRYDABRUWXSR-QFIPXVFZSA-N 415.449 4.565 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nc4ccc(C(F)(F)F)cc4[nH]3)o2)cc1 603937923 ANHWTPGNHUMVGS-UHFFFAOYSA-N 421.360 4.832 5 20 HJBD C[C@@H](C[C@H]1CCCO1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608837832 OCBUCTGWDLNRNU-GXTWGEPZSA-N 409.280 4.665 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C[S@@](=O)[C@H](C)c1ccc(OC(F)(F)F)cc1 609366909 MPCZONWBXSBZSW-DQQVXTMASA-N 403.378 4.512 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc([N+](=O)[O-])c[nH]2)c1)Nc1cccc2c1CCCC2 609430343 QIMPLZIESJWVNY-UHFFFAOYSA-N 419.441 4.698 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCc1ccccc1OC1CCCC1 609723554 DMZRVNHSRXRUIL-UHFFFAOYSA-N 418.877 4.655 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2ccccc2Br)cc1[N+](=O)[O-] 611825097 GHVRBNJXNAECSD-HNNXBMFYSA-N 420.263 4.735 5 20 HJBD O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H](c1ccc(F)cc1)c1cccs1 727101602 XNRGZUPOPCQIKT-LJQANCHMSA-N 419.865 4.767 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1ccc(Cl)c([N+](=O)[O-])c1 728848801 CNCZZVKONINHKF-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD C[C@@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 731529982 LBXDVRXWIFRTBC-CQSZACIVSA-N 424.384 4.710 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nc2ccccc2n1C(F)F 733366393 QMRKDPBGEBTCHJ-SNVBAGLBSA-N 400.341 4.739 5 20 HJBD COc1ccc([C@@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@@H](C)n2cccn2)cc1 734085695 SXNPKLKFBKUGIB-YJYMSZOUSA-N 415.833 4.613 5 20 HJBD O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1cc([N+](=O)[O-])ccc1Cl 735414338 ORHGLLQUOGMEMW-UHFFFAOYSA-N 409.751 4.539 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1csc(Cc2ccccc2F)n1 735558264 LEMDBAWZUUPUJJ-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD COc1cc(N2CCC(OC(=O)c3cc4ccccc4s3)CC2)ccc1[N+](=O)[O-] 739379495 FAULWFXIEKEPBF-UHFFFAOYSA-N 412.467 4.644 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2cc(C)cc([N+](=O)[O-])c2N)cc1 743641512 JWPFEEJQAXJSSE-HNNXBMFYSA-N 405.454 4.652 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(OCc2nnc(-c3ccc(Br)s3)o2)c1 747812303 SLCVKLCAQFKQFC-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD COc1cc(C(=O)OCc2cscn2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 749192476 QDFJRMMUUJUGDT-UHFFFAOYSA-N 420.830 4.863 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cccc(Cl)c3[N+](=O)[O-])c2)cc1 749917418 DDVKOVGPPPBRKT-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD Cc1noc(C)c1[C@@H](C)CC(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 753911626 RYSKXXTZMQDPLR-ZDUSSCGKSA-N 423.425 4.551 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1cc([N+](=O)[O-])ccc1Cl 757435464 JCIIKQHBXBCAMM-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)c1ccc([C@@H]2CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 758381477 SLTHHFGCAFNBNJ-QHCPKHFHSA-N 418.497 4.737 5 20 HJBD CON(C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H]1CCCOc2ccccc21 764673159 MECZHTISNPMKKZ-MRXNPFEDSA-N 410.348 4.531 5 20 HJBD CCn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nnc1-c1ccc([N+](=O)[O-])cc1 765354265 MUMBZOXZWBZKMX-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2Cl)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 768381967 YUAZAVOISGRNKA-SECBINFHSA-N 422.825 4.557 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 770006800 CRWXTPIGNUARQM-MRXNPFEDSA-N 414.220 4.646 5 20 HJBD CC(C)c1nn(C)c(Cl)c1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775497080 ZLMMFYIJEOAXEV-UHFFFAOYSA-N 419.894 4.505 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)c1[N+](=O)[O-] 777074854 ZPDQCCVQYGORHN-CYBMUJFWSA-N 413.455 4.902 5 20 HJBD O=C(Nc1cccc(OC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 777947931 VUSFRLXFANJJSK-UHFFFAOYSA-N 412.348 4.545 5 20 HJBD Cc1ccccc1C1(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CCC1 788184857 YLXHBFVWXLCGNV-OAHLLOKOSA-N 407.426 4.679 5 20 HJBD C[C@@H](OC[C@@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 794752426 OZXBWPJWEIAMGN-ZWNOBZJWSA-N 404.221 4.558 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 798080441 YXANMRFXIACMLJ-SFHVURJKSA-N 422.529 4.690 5 20 HJBD O=C(Nc1ccc(Br)c2cccnc12)c1cccc(Cl)c1[N+](=O)[O-] 801055630 QNZDFLFAYDYJEU-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C(O[C@H]1C[C@@H](OCc2ccccc2)C1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 802864074 YBMQILQPMXKYFP-HDICACEKSA-N 410.451 4.623 5 20 HJBD COCC[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 803626005 NJWURVJNENBUHX-OAHLLOKOSA-N 401.221 4.548 5 20 HJBD C[C@H](OC(=O)c1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccccc1[N+](=O)[O-] 805633568 MJALFRDFXXWWJM-JTQLQIEISA-N 407.304 4.582 5 20 HJBD COc1ccc([C@@H](C)NCc2ccc(Br)c([N+](=O)[O-])c2)c(C)c1OC 805832432 ZGANOHVMFZWEMJ-GFCCVEGCSA-N 409.280 4.534 5 20 HJBD CCOCCOC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 807616354 SHMYEQSAQAGENH-DTQAZKPQSA-N 412.467 4.715 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809965668 NBWYGWCCZCKDKV-RISCZKNCSA-N 418.321 4.779 5 20 HJBD O=C(CCc1nnc(-c2ccccc2)o1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811062576 AOUBJHAOJUBWNU-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD O=C1CCCC(=O)N1c1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811470048 RGKBNFBIECGTNK-UHFFFAOYSA-N 408.241 4.557 5 20 HJBD CC[C@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC)cc1 813142125 CTCDYKYNWITYRA-UGKGYDQZSA-N 406.438 4.825 5 20 HJBD CC(C)CCOC1CCN(c2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])CC1 917080249 LILBKXVLGPOHKM-UHFFFAOYSA-N 405.373 4.553 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c2cccnc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 919261558 HHMWIPRIPPNQBU-NSHDSACASA-N 424.800 4.764 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Nc2ccccc2Cl)CC1 1325554896 OLKOWBGPFVUOSC-KRWDZBQOSA-N 415.921 4.920 5 20 HJBD C[C@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 5885252 IDPMGLSLJONVCB-JTQLQIEISA-N 404.831 4.647 5 20 HJBD COc1ccc(-c2ccc(/C=C(\C(N)=S)c3nc4ccccc4[nH]3)o2)c([N+](=O)[O-])c1 17476252 MSRZKOVZTVNVMB-XNTDXEJSSA-N 420.450 4.566 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCOCC1)c1cc2cc([N+](=O)[O-])ccc2s1 23123324 WDMNYWHEXIRJOF-UHFFFAOYSA-N 417.874 4.552 5 20 HJBD C[C@@H]1C[C@H]1CNC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 32390522 JDBVHENJTHUJLV-VBXBRDHYSA-N 407.495 4.907 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@H]2CCCO[C@H]2c2ccccc2)c1 50866644 WIEXJHYRKOEPMY-UZLBHIALSA-N 400.500 4.557 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 56175248 NVMWDVUYKGKUIX-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD Cc1cc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)n(-c2cccc(Cl)c2)n1 105410697 ZQPHNINIRFSIDR-UHFFFAOYSA-N 411.849 4.569 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OC 107906996 WAWYVSPLLKHUCJ-HUUCEWRRSA-N 418.515 4.750 5 20 HJBD COc1ccccc1S[C@@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 109264339 HWCGCLUPGJJMQR-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD C[C@H](c1nnc(Sc2ccc(F)cc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 301089099 MDWHZRWYEFPCHS-LLVKDONJSA-N 421.885 4.742 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cccc(NC(=O)c2ccc(F)cc2)c1 301429815 FVSXARHRBOJEKY-UHFFFAOYSA-N 409.417 4.745 5 20 HJBD O=[N+]([O-])c1cnn(CCSc2nc3cc(Cl)ccc3n2Cc2ccccc2)c1 303840908 BOZYUNHKQPUMLT-UHFFFAOYSA-N 413.890 4.635 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1ccnc2cc(Cl)ccc12 427485237 BIDQUYGEDNKVGY-NSHDSACASA-N 417.874 4.924 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 429991510 MKDJKLWMZMNRHK-OAQYLSRUSA-N 400.438 4.866 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Br)c3ccccc23)c1[N+](=O)[O-] 436055028 WYGHFQNVRHAZOC-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD C[C@@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 439621386 VUZBITRAYJFETR-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD CCNc1ccc(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)cc1[N+](=O)[O-] 440900865 FXSYDFHFXOKUII-UHFFFAOYSA-N 413.865 4.559 5 20 HJBD CN(CCCOc1ccc(Cl)cc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463284532 HHABJUIHIZRCJY-UHFFFAOYSA-N 416.783 4.808 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 463949168 ILQDMMWVMFLKDQ-OAHLLOKOSA-N 402.426 4.586 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 466550658 KCLCVYNMYAXNQC-UHFFFAOYSA-N 416.890 4.688 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)n1 470142159 FBAYLPSYEVSUJF-UHFFFAOYSA-N 420.469 4.722 5 20 HJBD CC(C)(C)c1nsc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])c[nH]3)cc2Cl)n1 474407040 DEGRSDHXVIUERY-UHFFFAOYSA-N 421.866 4.770 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1ccc(Br)cc1C(F)(F)F 474725915 ZJUSESFDPXULBU-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1-c1cc(F)c(F)c(F)c1 474760445 XRMNKVQQQRCPAF-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-n3cc(C(F)(F)F)cn3)c2)c1 477924784 HBGBCQXWUVPCQB-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CCCCn1ncc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C(C)C 482716815 BQLWMAMLDZZWKJ-ZDUSSCGKSA-N 408.886 4.774 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1OC 486019121 TWPNFIPECJCYRR-AWEZNQCLSA-N 411.458 4.755 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)n[nH]1 486865042 FUAQFVOAXPJAQG-CVEARBPZSA-N 408.506 4.845 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 487049699 TYDSLZUPJGWJPR-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cnn(-c3ccc(F)cc3)c2C(C)C)cc1[N+](=O)[O-] 488036364 APVQTOQTNDISJX-OAHLLOKOSA-N 410.449 4.842 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(F)cc1F 491348622 ZFPANRJBZVDNJM-FQEVSTJZSA-N 403.429 4.944 5 20 HJBD Cc1[nH]nc(C(=O)Nc2c(Oc3ccc(Cl)cc3)ccc3ncccc23)c1[N+](=O)[O-] 497457130 DISFXUPVPFQERJ-UHFFFAOYSA-N 423.816 4.873 5 20 HJBD O=C(Nc1ccc(Sc2ccncc2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 498638109 KFNMORJISOYLAV-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD CCN(CC)[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 500265974 OWUTXUZMIOQDCE-NRFANRHFSA-N 410.543 4.891 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(CCCN2CCCC2)CC1 505256010 XCHOSCVKKPEOEJ-UHFFFAOYSA-N 401.532 4.538 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc3c2CN(CC(F)(F)F)C3)cc1[N+](=O)[O-] 505979108 LQGMKAVWHNKUON-UHFFFAOYSA-N 407.392 4.848 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2ccc(F)cc2)CC1 507196210 LRURXXVHGDXUEC-HNNXBMFYSA-N 410.449 4.800 5 20 HJBD C[C@H](Nc1cccc(C(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 507862810 KKZGLJNEAMDCNN-VIFPVBQESA-N 403.307 4.990 5 20 HJBD CN(C(=O)c1ccc(Br)c(OC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 509107348 JSNLRKPJZZSALO-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)o1 509719871 OWNMGLGWSRVZLV-UHFFFAOYSA-N 424.453 4.826 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3c[nH]c4ccccc34)o2)cccc1[N+](=O)[O-] 514355846 OZADDRVSBPIWEN-LBPRGKRZSA-N 423.454 4.554 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nc(-c4ccco4)cs3)cc2[N+](=O)[O-])CC1 515428200 ZBBRKDAVCLOTJA-UHFFFAOYSA-N 412.471 4.800 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)n(C(C)(C)C)n1 518284825 GULNRSYKSJZOHK-GJZGRUSLSA-N 413.522 4.589 5 20 HJBD CCCO[C@@H]1CCCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 518668658 JXOXGZJVZIIURR-CQSZACIVSA-N 420.453 4.513 5 20 HJBD Cc1ccc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cc1NC(=O)C(C)(C)C 520374883 JDLILLXIKSTASK-UHFFFAOYSA-N 412.490 4.719 5 20 HJBD Cc1ccccc1Oc1ccccc1CNc1cc2ncnc(O)c2cc1[N+](=O)[O-] 520727367 YMSNRNPGDDHRPE-UHFFFAOYSA-N 402.410 4.956 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 521381483 ZKVGZMRBBSLDMI-CQSZACIVSA-N 410.861 4.800 5 20 HJBD COc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(Br)c1 521934200 YBGDZXAPTWQXLN-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc(-c2nc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)sc2C)cc1 522738056 LFJQFIYGTMJVJQ-UHFFFAOYSA-N 417.874 4.870 5 20 HJBD O=C(Nc1ccc(-c2ncon2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 524232891 QWLCWJSNCQVTNP-UHFFFAOYSA-N 401.382 4.641 5 20 HJBD Cc1cc(C)cc(CCNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 524615890 FFZSDKYZSQNHLH-SFHVURJKSA-N 418.497 4.752 5 20 HJBD COc1ccc(CC(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)cc1[N+](=O)[O-] 531811835 IWFHSZCOEMZJOW-UHFFFAOYSA-N 417.421 4.517 5 20 HJBD Cc1ccc(Br)c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 534159251 BPVWYMSGSARKQD-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1ccc(Br)cc1[N+](=O)[O-] 535794915 VMYZFAKCLOYALD-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1scnc1C1CC1 536486780 VIZWGTQJFJQPIO-UHFFFAOYSA-N 403.407 4.678 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)c4c[nH]c5cccc([N+](=O)[O-])c45)CC3)c[nH]c2c1 536508893 WYNPMMQJHMJAKA-UHFFFAOYSA-N 400.438 4.795 5 20 HJBD CC[C@H](NCc1cc(Br)c(OC)c(OC)c1)c1cccc([N+](=O)[O-])c1 536864992 PAGZLWYIUOQATC-INIZCTEOSA-N 409.280 4.615 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](Cc3ccccc3)c3ccccc3F)o2)cc1 537158079 LIMRYVCQHIMZSN-NRFANRHFSA-N 418.428 4.858 5 20 HJBD CCc1cnccc1[C@@H](C)NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 537353317 PPDBWFNJYIMNAS-QGZVFWFLSA-N 421.497 4.991 5 20 HJBD Cc1ccc([C@H](C)Nc2ccc(NC(=O)CN3CCCCCC3)cc2)cc1[N+](=O)[O-] 538538260 WDSPYXFFXZUQEO-SFHVURJKSA-N 410.518 4.891 5 20 HJBD Cc1cc([C@@H](N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)ccc1F 540523338 OXQYNDOKJMLUOK-BUXKBTBVSA-N 405.857 4.764 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 542012440 FJWDNGBZVGGFCO-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cnn(-c2ccccc2)c1C(F)(F)F 542480668 NUKOVADEABHJEF-UHFFFAOYSA-N 424.766 4.634 5 20 HJBD CCCc1c(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)cnn1-c1ccccc1 544097543 WPSMXADNFZDXSB-UHFFFAOYSA-N 401.426 4.534 5 20 HJBD COc1ccc(-c2nc([C@@H](C)c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 547249539 GLXSILFDMBYADO-JTQLQIEISA-N 404.220 4.568 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC(c4c[nH]c5ccc(F)cc45)CC3)n2)c1 554307327 LDWMHYIMTVULAK-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 557496421 BLHJHWTZAPYGMN-IPMKNSEASA-N 415.287 4.766 5 20 HJBD Cc1cc(NCc2ccccc2CN2CCCC2=O)c([N+](=O)[O-])cc1C(F)(F)F 558887923 NNIFNGXZIXBEKC-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@H](C)c1sc(-c2ccc(OC)cc2)nc1C 570754614 GXRZMBHXCHDHOU-LLVKDONJSA-N 401.492 4.733 5 20 HJBD CN(Cc1cccs1)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 571449334 FCQRMWLWVAKAHG-UHFFFAOYSA-N 411.512 4.661 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCc4ccc(Br)cc4C3)co2)cc1 573466573 WNMYOCBLRBFGCO-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD CC1(C)CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)[C@@H]1[C@H]1CCCO1 589540363 HJQJJGLWPGZVRC-WOJBJXKFSA-N 412.511 4.776 5 20 HJBD CCOc1ccc(C(=O)N[C@H](C)c2ccc(OCC(C)C)c(OC)c2)cc1[N+](=O)[O-] 603596612 NRJHXMHDXRKNEL-OAHLLOKOSA-N 416.474 4.528 5 20 HJBD COc1cc([C@H](C)Nc2ccc(C(C)=O)cc2[N+](=O)[O-])ccc1OCc1ccncc1 603868119 AMPFMBUEOGLCAQ-HNNXBMFYSA-N 421.453 4.953 5 20 HJBD CN(Cc1cccc2ccccc12)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603870580 ZUWOCMGKWVTSIZ-UHFFFAOYSA-N 418.371 4.961 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1-c1ncc(-c2ccccc2F)o1 603918517 BGRGKWJDMRABAQ-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccccc2)c2cc3ccccc3o2)cc1[N+](=O)[O-] 609010299 WSTYVYWZDVFISM-XMMPIXPASA-N 416.433 4.798 5 20 HJBD COc1ccc(CC(=O)N[C@@H](C)c2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 609012255 RYZAAXDWIIJZJW-INIZCTEOSA-N 406.438 4.816 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1ccc([N+](=O)[O-])cc1Cl 609153199 MPPGXOXYYXXHQP-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 609263149 JQFSOWOBEMHSDC-HXUWFJFHSA-N 423.513 4.574 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc2O1 609509205 SMXOKMWQZNIRDI-HZPDHXFCSA-N 418.453 4.591 5 20 HJBD C[C@@H](c1ccccc1)N1CC[C@@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 609599671 NJIGKENWJFLKPV-QFBILLFUSA-N 408.527 4.643 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCOC[C@@H]3c3ccco3)cc2[N+](=O)[O-])cc1 609729021 IHPZICGAVFWTOQ-LJQANCHMSA-N 424.478 4.861 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC(=O)c1ccc([N+](=O)[O-])cc1Br 610039841 CRTJGAHDRGAQQI-HNNXBMFYSA-N 407.264 4.702 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 610046905 NINGATNCFJOFPZ-ROUUACIJSA-N 406.404 4.856 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@H](C)O[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610399331 WSKGEYFXWQXPHQ-BLLLJJGKSA-N 420.453 4.525 5 20 HJBD C[C@H](N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 610833243 OSWJBVXPADHZJJ-DOTOQJQBSA-N 413.543 4.765 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 611355627 FKQWQNDEZXNYRL-ROUUACIJSA-N 409.486 4.617 5 20 HJBD COc1ccc2[nH]c(NC(=O)CCNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2c1 618918884 CNXZPCNMQSQLPD-UHFFFAOYSA-N 422.363 4.544 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711562 KLCQBUGZRPZJJU-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ncc(-c2cccs2)o1 731508364 DUDOIPDNTOZMGQ-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD CCC(CC)(CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 733266363 BXDODVSLJOWZOL-HNNXBMFYSA-N 403.910 4.888 5 20 HJBD COc1cc(C(=O)O[C@H](Cc2ccc(Cl)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 733948804 YNCUGHLQUFCOHZ-OAHLLOKOSA-N 403.740 4.587 5 20 HJBD Cc1ccn2cc(-c3ccc(NC(=O)c4cc(F)c(F)cc4[N+](=O)[O-])cc3)nc2c1 734770359 KSEHJFSNAQSYDE-UHFFFAOYSA-N 408.364 4.748 5 20 HJBD CC(C)[C@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 745545998 DXAKOUPOYKHHGS-ACJLOTCBSA-N 404.850 4.558 5 20 HJBD O=Cc1ccc([N+](=O)[O-])c(OCc2csc(COc3ccc(Cl)cc3)n2)c1 748150235 DTGAPBWPNFUUMB-UHFFFAOYSA-N 404.831 4.675 5 20 HJBD O=C(N[C@@H]1CCc2cc(F)ccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 749421344 HAZNORJSNVEDHW-CYBMUJFWSA-N 413.630 4.567 5 20 HJBD C[C@@H](Cc1ccccc1F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750854758 SZLBGVULNASHAG-VIFPVBQESA-N 415.646 4.511 5 20 HJBD CCc1nc([C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cs1 750878460 HPVDPXGLMFJEGT-SSDOTTSWSA-N 418.700 4.521 5 20 HJBD COc1ccc(S(=O)(=O)Nc2ccc(SC(C)(C)C)cc2C)cc1[N+](=O)[O-] 751284641 OSUGSTYGZCPUGZ-UHFFFAOYSA-N 410.517 4.603 5 20 HJBD C[C@H](Oc1cc(Cl)cc(Cl)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751660884 SWXBSWGBNKZWPM-VIFPVBQESA-N 411.197 4.554 5 20 HJBD CC[C@@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC)cc1 752506097 XFIMCFKTDQYTJP-HNNXBMFYSA-N 410.426 4.886 5 20 HJBD CCc1ccc([C@@H](NCc2cccc(N3CCOC3=O)c2)c2ccc([N+](=O)[O-])cc2)o1 753626968 TYULDFOGLNUQJE-QFIPXVFZSA-N 421.453 4.586 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CC[C@@H](NC(=O)OC(C)(C)C)C(C)C 754453115 JNTBFPCOVUWLEI-MRXNPFEDSA-N 422.526 4.615 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(CC[C@@H](O)c2ccccc2)c1 754946535 BBBYPMSHQDXSMQ-JOCHJYFZSA-N 422.506 4.992 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754991160 SBRVTRYCNBNCDH-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 756460010 OCJSUCJKMPQMEM-CQSZACIVSA-N 400.431 4.789 5 20 HJBD Cc1ccc(-c2noc(C[C@H](NC(=O)OC(C)(C)C)c3ccccc3)n2)cc1[N+](=O)[O-] 761639178 PPLOTOKLZPXYQN-KRWDZBQOSA-N 424.457 4.762 5 20 HJBD Cc1sc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)nc1-c1cccc([N+](=O)[O-])c1 763009393 XSEYCTVJDMFICJ-LLVKDONJSA-N 412.427 4.677 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2ccccc2)s1 766736819 HFNHGUZIGABJDB-UHFFFAOYSA-N 401.469 4.582 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ncc(-c2ccccc2)o1 778890336 FHLPMDUJHBZNGS-NSHDSACASA-N 404.325 4.769 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1coc(-c2ccc(Br)cc2F)n1 779337258 PXPSVWNZKMIZCR-UHFFFAOYSA-N 424.157 4.543 5 20 HJBD CCOC(=O)/C(=C/c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cn1 791428297 OANWXOAQTGJAOM-KGENOOAVSA-N 404.422 4.673 5 20 HJBD COCCCC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccccc1 791903768 FBHIYWZNANEBDF-SFHVURJKSA-N 421.291 4.645 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(C2SCCS2)c1 801609692 OFDYVGVACCMQBU-UHFFFAOYSA-N 403.529 4.780 5 20 HJBD COc1ccc2cc(NC(=O)c3cccc([N+](=O)[O-])c3Br)ccc2c1 807676865 QOZQOUGTSCYORS-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD O=C(Nc1cccc(Cl)c1-n1cccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812920651 MBIUYNAQQMWPQC-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(-n3cnc4ccccc43)nc2)n1 1116612945 VTEYPDUKJPDXAY-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD Cc1nc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)nn1-c1c(Cl)cccc1Cl 1261425680 HYIRIVZYNCQOHG-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 1322342584 FBIUARNECSKDJS-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD Cc1ccc([N+](=O)[O-])c(S(=O)(=O)Nc2c(Cl)cc(C)c3ncccc23)c1C 1323965151 WESPQXKWUNGPOX-UHFFFAOYSA-N 405.863 4.522 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1-c1nnc(-c2cc(-c3ccccc3)on2)o1 1345890503 YOIZVUTYUWGYCO-UHFFFAOYSA-N 413.187 4.729 5 20 HJBD C[C@@H](OC(=O)c1ccc(SC(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 10768010 FJLCTQHHWQMYTI-SNVBAGLBSA-N 421.381 4.878 5 20 HJBD CCc1nnc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)s1 15963227 ATMGPYQAZLCKAA-UHFFFAOYSA-N 417.878 4.527 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cc(Cl)sc2Cl)cs1 26866154 SCKRXJPRGUCDCQ-UHFFFAOYSA-N 403.272 4.677 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ncc(C)s2)cc1[N+](=O)[O-] 44188944 WPGOQMSHTXLGFP-UHFFFAOYSA-N 413.480 4.565 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 58533133 LUWJFZXWLJKSPN-UHFFFAOYSA-N 420.469 4.699 5 20 HJBD C[C@H]1C[C@H](C)CN(Cc2ccc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 59410559 CGSLVWDNUZQEFD-HOTGVXAUSA-N 415.921 4.656 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1cccc([N+](=O)[O-])c1Br 163911770 TZPWJGYMKDHJHG-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNc3cnn(CCO)c3)cc2[N+](=O)[O-])c1 236742834 DDAXCGHYULXCMS-UHFFFAOYSA-N 410.474 4.620 5 20 HJBD COc1cc(C)c([C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC 237283958 BDRTWJPVFIAUMB-CQSZACIVSA-N 413.499 4.895 5 20 HJBD COc1cc(CNCc2ccc(C)c(F)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 237309863 UHVYUEHSXNBNNP-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)n2)c(C)c1 247877243 PIUIMRJCJVPLOC-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CCCCN(C)CC1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)CC1 303594114 PJJLSOUDHKHWII-UHFFFAOYSA-N 424.545 4.923 5 20 HJBD C[C@H]1C[C@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CCN1Cc1ccccc1 303805987 MOLWKCOOOUASOE-DOTOQJQBSA-N 416.909 4.765 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 410191310 CSYUBEXLNHYZMC-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD Cc1ccc(C[C@H](NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 431664643 MHYVNQQQALNJPX-QFIPXVFZSA-N 418.497 4.817 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437251747 AUKBOAOAPNGJKV-UHFFFAOYSA-N 424.375 4.671 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])c2cccnc12 437399806 ZMOCOSVGLBYHBV-CTYIDZIISA-N 415.371 4.838 5 20 HJBD Cc1ccc(Sc2nsc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 440311067 DLSAERDZDDXGDT-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=C(C[C@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(F)cc1 441393243 GCHCMSLRLNOMLO-LJQANCHMSA-N 423.444 4.669 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc(Cc3cccc([N+](=O)[O-])c3C)n2)cc1 445276576 YQRCBQNOLXLGBM-CQSZACIVSA-N 408.458 4.572 5 20 HJBD CCc1c(C)sc2nc(Cc3ccc([N+](=O)[O-])cc3Br)nc(O)c12 445648308 NDXSGAMRAQFTCC-UHFFFAOYSA-N 408.277 4.529 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cc1[N+](=O)[O-] 461300607 XUNDRJVBLFMNCG-ICSRJNTNSA-N 418.559 4.907 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462536052 KNJVEVJCDXHEJJ-VIFPVBQESA-N 423.705 4.937 5 20 HJBD Cc1cccc(Oc2cc(CNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)ccn2)c1C 463022138 QYFLVDXRFOKMIE-UHFFFAOYSA-N 418.453 4.896 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 464047961 YYQURIMRSAYLBV-VXKWHMMOSA-N 421.541 4.914 5 20 HJBD Cc1ocnc1CNC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 468011202 GWECXBZUUUHTKT-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD COCCc1ccccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 468181664 BUWIXJVDSWYGNS-UHFFFAOYSA-N 405.454 4.648 5 20 HJBD CC(C)(C)[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)cc1F 468345048 AABHZBRPRNPFOH-LJQANCHMSA-N 415.396 4.644 5 20 HJBD CC[C@H](Cc1ccccc1)N(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 469707420 IISZESNLQPTZAT-OAHLLOKOSA-N 422.428 4.688 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCC(C)CC3)cc2C)cc1[N+](=O)[O-] 471349288 WAPNDRJWAWHMRD-UHFFFAOYSA-N 409.486 4.590 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1 474261003 WLYVYNGLYTXBFP-HNNXBMFYSA-N 420.309 4.966 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 475314719 HSQJFSKQFKTSPH-UHFFFAOYSA-N 404.853 4.649 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 478818542 VWSPSUWFLFHOOA-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2)cs1 484624114 NGZSPQNHLRKEPJ-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2ccccc2Br)CC1 485698743 VZDRPLCCYYVXJL-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 485744549 VXQVKYMZACENDX-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1 486431601 XXNZYBUOOMLXSP-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD O=C([C@@H]1C[C@H]1c1c(F)cccc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498133075 VFYOOMRTBDIGIT-LPMFXHHGSA-N 406.866 4.765 5 20 HJBD COc1cccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1OC1CCCC1 499240272 VDWVOLBVHXWRHS-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 500992183 AHWSJUIWIFUUEZ-UHFFFAOYSA-N 400.765 4.525 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506021656 LHDLZOYLUGMTEB-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc4nc[nH]c4c3)cc2[N+](=O)[O-])n1 511280256 MBKAYFLVYNGDMG-UHFFFAOYSA-N 411.468 4.640 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nccn1-c1ccccc1 521301375 PUWRDBYZSRQPSC-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc(Cl)c2O)cc1[N+](=O)[O-])c1ccccn1 522975666 JWOWRJILDWNQTD-LBPRGKRZSA-N 412.833 4.774 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)CC1 534625043 FWQPSSGNKMIWMS-UHFFFAOYSA-N 416.481 4.815 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1cccc(-c2ccoc2)c1)c1ccccc1[N+](=O)[O-] 534788974 DEGBPDVGPSVJLS-FQEVSTJZSA-N 422.437 4.668 5 20 HJBD O=C(Nc1ccccc1)c1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 535379698 YAXMBIBWLOLELY-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 535929360 KAITZMBHFKAOBL-AWEZNQCLSA-N 413.503 4.502 5 20 HJBD O=C(O)c1cc2cc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2s1 538728765 VVPNARSMYWUPQJ-UHFFFAOYSA-N 410.329 4.779 5 20 HJBD CCOc1cc(Sc2nnc(COc3ccc(Cl)cc3)n2C)ccc1[N+](=O)[O-] 539994332 SMCONXOJXYBMGB-UHFFFAOYSA-N 420.878 4.506 5 20 HJBD O=C1CCCN1c1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)nc1 540626187 HKSPLDLTLZMVNM-UHFFFAOYSA-N 412.833 4.642 5 20 HJBD CCc1ccc([C@@H](Nc2ccn(CCc3ccncc3)n2)c2ccc([N+](=O)[O-])cc2)o1 542497597 AVBZMPLBIHUIER-QHCPKHFHSA-N 417.469 4.786 5 20 HJBD Cc1c(CN[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cccc1[N+](=O)[O-] 544409872 LDGVODWUOSLXSI-OAQYLSRUSA-N 404.445 4.923 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cc2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1 545535811 WBSUQKNDGBIRBL-UHFFFAOYSA-N 408.458 4.534 5 20 HJBD Cc1nc(-c2ccco2)ccc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 545785802 VLDJQWPGYNPBSV-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 546473964 WUWZVFCUWRHVMW-UHFFFAOYSA-N 411.458 4.694 5 20 HJBD C[C@@H](C(=O)Nc1cccc(Br)c1Cl)c1ccc([N+](=O)[O-])cc1F 549015822 XKTVKPROTSFRDO-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC(CC(F)(F)F)CC3)cc2[N+](=O)[O-])CC1 551697721 UEOHOSJCIPTJCX-UHFFFAOYSA-N 413.440 4.636 5 20 HJBD COc1cc(CNCc2cc3ccccc3s2)c([N+](=O)[O-])cc1OCC(F)F 556908044 HWMWMMDLGYXDAD-UHFFFAOYSA-N 408.426 4.752 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1noc(-c2cccs2)n1 558070589 UZGHSLOEWNGDJT-UHFFFAOYSA-N 418.500 4.529 5 20 HJBD Cc1cc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc(N2CCCC2)n1 569175729 UOWPIGGPVDGDJP-UHFFFAOYSA-N 408.527 4.917 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1cncc(Br)c1)C1CC1 569397289 DXOKULPFLCASRN-KRWDZBQOSA-N 419.279 4.588 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 575858566 SHUFFYJDQHAWLR-KKSFZXQISA-N 406.486 4.993 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H]1CCSc2ccc(Cl)cc21 576601051 UKQXCYQCPXPUOO-QGZVFWFLSA-N 405.907 4.669 5 20 HJBD O=C(NCCc1nc(-c2ccccn2)cs1)c1cc2ccccc2c2cccnc12 599254194 WNFDYUMLWUXVKI-UHFFFAOYSA-N 410.502 4.879 5 20 HJBD O=C(NCc1cccc(COCc2ccco2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 603882479 QGGLPCXPCGLXEO-UHFFFAOYSA-N 406.394 4.581 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC[C@H](c4cc5ccccc5[nH]4)C3)o2)cc1 603889905 DOIMSTYZINSQHX-KRWDZBQOSA-N 403.442 4.506 5 20 HJBD Cc1sc(NC(=O)CSc2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997327 VELPRHHUVVFHGM-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 609079882 NBTUOEIWVJJUPL-UHFFFAOYSA-N 423.444 4.986 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(OCC(F)(F)F)cc1 610092040 AFMHATGECJXCFD-UHFFFAOYSA-N 411.380 4.680 5 20 HJBD C[C@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610174548 OJWMWSXZRZMVSN-CYBMUJFWSA-N 418.375 4.932 5 20 HJBD COc1ccc2c(c1)OCC[C@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 610271461 KHCMWMGZCRBRMZ-OAQYLSRUSA-N 419.481 4.985 5 20 HJBD CCOc1cc(C(=O)N(C)c2ccc(-c3ccccc3)cc2)c([N+](=O)[O-])cc1OC 610296803 JWVIEMFQWARPPL-UHFFFAOYSA-N 406.438 4.946 5 20 HJBD COc1ccccc1-c1nc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cs1 610874831 SOMJRBLZQIRJSY-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD Cc1nc2ccccc2n1CCNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198685 IOSPWGFAXJWWEG-UHFFFAOYSA-N 424.526 4.718 5 20 HJBD CCOc1cc(NC(=O)CCCc2c[nH]c3ccccc23)c([N+](=O)[O-])cc1OCC 619213005 SYUWKZWWSIVTOP-UHFFFAOYSA-N 411.458 4.835 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)OCc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 729827695 REBFBPIPBPSLTD-QFIPXVFZSA-N 418.449 4.508 5 20 HJBD Cc1ccc(-c2noc(CCC(=O)Oc3cc([N+](=O)[O-])c(Cl)cc3C)n2)cc1F 735642931 IKJXYZFGFLHQLM-UHFFFAOYSA-N 419.796 4.592 5 20 HJBD COc1ccc(COC(=O)Cc2cccc([N+](=O)[O-])c2)cc1SC(F)(F)F 745334215 RHFDBKJZKWCYGK-UHFFFAOYSA-N 401.362 4.501 5 20 HJBD O=C(OCC(=O)N(C1CCCCC1)C1CCCCC1)c1c(F)ccc([N+](=O)[O-])c1F 752569322 XNDPQQWAXQZOCP-UHFFFAOYSA-N 424.444 4.524 5 20 HJBD C[C@H]1c2c(F)cccc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755024732 HXOKEZTZLKBQMZ-JTQLQIEISA-N 408.227 4.648 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCCC2=O)c(Cl)c1 764978421 YXRQMUDWUVRJMG-OAHLLOKOSA-N 415.877 4.582 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(NC(=O)c2ccco2)c1 765060857 LEJFZDBJHLIULQ-MRXNPFEDSA-N 408.410 4.614 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 765197143 ZBLODFHADRVPIW-LPHOPBHVSA-N 418.490 4.574 5 20 HJBD CC(=O)c1cc(Cl)c(Cl)cc1OS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 766178235 FQNXXAUXZBKTIR-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767483191 QBPFPSONOMDJQO-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD CCS[C@H](C)c1noc(COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n1 775086873 MHKVEAQUOVHVSV-LLVKDONJSA-N 411.505 4.649 5 20 HJBD CCOc1ccc(CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133367 IUNMKXPQHSUSOQ-HSZRJFAPSA-N 406.438 4.654 5 20 HJBD CC(Cl)(Cl)C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 776743364 VSPIBJGQRVVAFZ-UHFFFAOYSA-N 417.632 4.600 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H]1/C=C/c1ccccc1 781045637 HEZYTMQDESKUFO-HVHJFMEUSA-N 419.250 4.814 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccco1 783595398 KSNQETVISKCQKK-UHFFFAOYSA-N 406.803 4.512 5 20 HJBD CSCC(C)(C)C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 799858257 ORZKDWKKUCCCEQ-UHFFFAOYSA-N 413.451 4.663 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc(Cl)c1[N+](=O)[O-] 804126775 QXWHNIJXOBSBMO-KRWDZBQOSA-N 409.269 4.799 5 20 HJBD COc1cc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1Br 809914873 KSZDKOCVHXMNLJ-UHFFFAOYSA-N 400.616 4.663 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC 809918450 IIINJUCWXIDURQ-GFCCVEGCSA-N 407.854 4.928 5 20 HJBD C[C@@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 845070880 FRJUUUZFMFOGPO-LLVKDONJSA-N 423.252 4.504 5 20 HJBD CC(=O)c1ccc(N2CCc3[nH]c4c(Br)cccc4c3C2)c([N+](=O)[O-])c1 919965415 ZSAWXZMCJZQSSQ-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD Cc1ccc(-c2cc(COC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])on2)cc1 920777398 FRAAJCGKABMMOG-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD C[C@@H](c1ccccc1)n1cnnc1SCc1ccc([N+](=O)[O-])cc1Br 1255164703 KKDRSPMGIIFQIA-LBPRGKRZSA-N 419.304 4.850 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)CCCNc2ccc([N+](=O)[O-])cc2)cs1 1317779593 ULCPIUMFQADQGI-UHFFFAOYSA-N 422.510 4.808 5 20 HJBD Cc1cc(N[C@H](c2ccccc2)c2cnn(C)c2)c(Br)cc1[N+](=O)[O-] 1321795391 ANSPMDLPRWYFBC-GOSISDBHSA-N 401.264 4.601 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(CCOc2ccccc2[N+](=O)[O-])o1 1327806000 DOCFEXYAUDLIJM-OAHLLOKOSA-N 405.410 4.893 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCc3nc4ccccc4n3-c3ccccc3)o2)c1 1328100177 AHDFGAJKZKCZIV-UHFFFAOYSA-N 411.421 4.769 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(CN2CCCC2)c1 1337652946 GMXBRAKULCGTMD-UHFFFAOYSA-N 405.907 4.575 5 20 HJBD COC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 7801096 RTDWHYSQJFFEDG-UHFFFAOYSA-N 419.437 4.554 5 20 HJBD CN(CC(=O)Nc1ccccc1SC(F)F)Cc1cc([N+](=O)[O-])ccc1Cl 11012715 CEQHOAXTPMADPP-UHFFFAOYSA-N 415.849 4.633 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCN(C(c2ccccc2)c2ccccc2)CC1 18174944 DIPVJLDRPHKUCF-UHFFFAOYSA-N 416.481 4.534 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(CN2CCCCCC2)cs1 29636519 WXYCCRPSYRYMQF-CQSZACIVSA-N 420.560 4.547 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(CN(C)C)cc2)cc1 30861790 MBKKLERMIPSRDK-UHFFFAOYSA-N 421.453 4.710 5 20 HJBD O=C(Nc1ccccc1Br)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50256121 DDTYTMBYLDUFEM-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1cc(CNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 53183289 IUBUWJZEIFKFBQ-HNNXBMFYSA-N 408.433 4.540 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1)c1cc2ccccc2o1 65511337 CVZJXGCLHDQBCO-QHCPKHFHSA-N 415.449 4.972 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2Oc2ccc(C)cc2)ccc1[N+](=O)[O-] 66015430 ZFTWXXSYQRGRDY-UHFFFAOYSA-N 408.410 4.722 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1)c1ccc(F)cc1 301091191 FTUNTRPCPAIBNC-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD COc1ccccc1Oc1ccc(N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 302908427 GYIXFERRFBCRCT-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(NC(=O)C(C)(C)C)c2)c1 303549964 VULYTOGHTZVQQM-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD CS(=O)(=O)c1cccc(Oc2ccc3cc(Br)ccc3c2)c1[N+](=O)[O-] 327279855 ZKQKPEZBLBOZPS-UHFFFAOYSA-N 422.256 4.706 5 20 HJBD C[C@@H](C(=O)Nc1cccc2cc(Br)cnc12)c1cccc([N+](=O)[O-])c1 327805970 VOWLEZQIFBBJFG-LLVKDONJSA-N 400.232 4.648 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429796923 ZIJLUWAORYZKSU-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c(Br)c1 429920649 FIAANSKSVMRGFZ-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CN(Cc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)Cc1cn2c(n1)CCCC2 432791074 DUUMFDWVXIORIO-UHFFFAOYSA-N 405.502 4.528 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436374512 USXPJFRWKWOFHL-HRAATJIYSA-N 422.406 4.986 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)[C@@H](C)CO1 444091563 XJRDLJMRXIRAMU-BXKMTCNYSA-N 412.489 4.592 5 20 HJBD Cc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1Br 445251519 IMGONCQWJJJSIW-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD CCOc1cc(-c2noc([C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc(Cl)c1O 445413119 QMWQNHGNHRLHSB-VIFPVBQESA-N 407.785 4.693 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@@H]3c3c(F)cccc3Cl)n2)c1 445579317 MLYVIWWPXQDAMY-QWHCGFSZSA-N 403.797 4.641 5 20 HJBD C[C@H](c1ccccc1)N1CC(=O)N(c2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1=O 445975746 WSYWUYVREQZOPZ-MRXNPFEDSA-N 417.421 4.917 5 20 HJBD Cc1ccnc(Oc2cc(NS(=O)(=O)c3c(C)cccc3[N+](=O)[O-])ccc2C)c1 460822928 HBXFICROUGLJON-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 470143728 UEBWURCEGWOIJS-UHFFFAOYSA-N 415.833 4.928 5 20 HJBD CCC1(CC)Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2O1 470732531 LWPUEOIKNNHKJI-UHFFFAOYSA-N 421.247 4.897 5 20 HJBD Cn1ccc2cccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)c21 472923476 QDSAUMCTIZJBCM-UHFFFAOYSA-N 403.388 4.704 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(SCc3ccc([N+](=O)[O-])cc3F)s2)c1 476479459 BBVWHJLRQHFBGA-UHFFFAOYSA-N 419.463 4.580 5 20 HJBD C[C@H](CNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)C(F)(F)F 479399602 OPISUMJRASOMCV-LLVKDONJSA-N 415.799 4.789 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC[C@H]2[C@@H](CCCN2Cc2ccccc2)C1 483770915 CLFDEHICKZYIKB-UNMCSNQZSA-N 422.529 4.730 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 486726696 WFRPPOLPOMUDOZ-INIZCTEOSA-N 424.526 4.557 5 20 HJBD C[C@H](NC(=O)N[C@H]1CSc2ccc(Br)cc21)c1cccc([N+](=O)[O-])c1 494672401 GXHQMJWXEUTJOC-BONVTDFDSA-N 422.304 4.565 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2ccc(F)cc2Cl)cc1OC 497927249 LVAYYVFEQMJZLH-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCc2c(Cl)cc(Cl)cc2C1 498392026 ZHVAVXUTAYWSFO-UHFFFAOYSA-N 402.237 4.648 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2cn(C(C)C)nc2c1 498561229 DEWPWAQIJGPFRN-UHFFFAOYSA-N 417.263 4.849 5 20 HJBD COCCN(C(=O)c1csc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 500299201 RAUSHLCWGBJHEI-LLVKDONJSA-N 402.394 4.525 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3cccc(C)c3[N+](=O)[O-])s2)cc1 505132534 APBBHINHAZAZNJ-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 507501266 MWILSHZQZPIFIO-CABCVRRESA-N 417.531 4.782 5 20 HJBD Cn1ccnc1C[C@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 508625122 YQVMSTPAENTAHW-QGZVFWFLSA-N 424.476 4.714 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(c2c[nH]c3cc(F)ccc23)CC1 520376708 MENOXRXUPGWAPV-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(Oc4ccc(F)cc4)nc3)no2)cc1 521043437 ICOPMIIJHAZRBR-UHFFFAOYSA-N 408.345 4.550 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccccc1Cl 524022158 IQLVQXIPMOOWDX-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1cc(C)ccc1[N+](=O)[O-] 525665417 NVYQJHSSKWDUMK-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD COc1ccc(CN[C@@H]2COc3ccc(C)cc32)cc1OCc1ccc([N+](=O)[O-])cc1 532171061 AALYJEKNFACGPR-OAQYLSRUSA-N 420.465 4.714 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)Cc1ccc(F)c(Br)c1 533195319 NMILYOKUNZHDPN-NSHDSACASA-N 409.255 4.931 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(Cc3coc(-c4ccc(C(F)(F)F)cc4)n3)n2)c1 535160724 DHJFCIZXNBPDGE-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)NCCc3cccc([N+](=O)[O-])c3)cc2)cs1 536173388 ORVUYTDUELAITA-AWEZNQCLSA-N 410.499 4.630 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 536507341 QQXPGQSHJUCNFQ-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC[C@@H](C)c1nc(-c2ccccc2)no1 539052468 HWFMETMWPMHFKR-WBVHZDCISA-N 412.515 4.909 5 20 HJBD CN(C(=O)c1sccc1Br)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540450986 ARLSNFMAKISBTE-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD Cc1ccnc(NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)n1 540608061 GKXUPNCNTZRCCI-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 541129645 OHKNYGIKPQBVOV-ZDUSSCGKSA-N 424.404 4.502 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 543519315 VNWBWQWHMKGCSM-LBPRGKRZSA-N 416.890 4.661 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cncc(Br)c2)no1 545026068 YJMVPOJSSUOFLQ-SECBINFHSA-N 407.249 4.656 5 20 HJBD C[C@@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)C1 553482327 AAJCFZAPBASHSM-PIGZYNQJSA-N 418.375 4.850 5 20 HJBD Cc1sc(NC(=O)C2CCC(OC(F)F)CC2)nc1-c1cccc([N+](=O)[O-])c1 569028571 FSNYEWURGYSCOL-UHFFFAOYSA-N 411.430 4.763 5 20 HJBD CSc1cccc(-c2nc(CC(=O)Nc3cccc4ccccc34)no2)c1[N+](=O)[O-] 589145702 DMJREUXSOQQOTN-UHFFFAOYSA-N 420.450 4.701 5 20 HJBD COCCN(C(=O)c1ccc(Cl)s1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 603461236 HTIYTFCLQSLQJC-UHFFFAOYSA-N 423.903 4.726 5 20 HJBD CCCCN(C(=O)c1cc(C(=O)OCC)cc([N+](=O)[O-])c1)[C@@H](C)c1ccc(C)o1 603531165 HUGJUKRXBVKGHN-HNNXBMFYSA-N 402.447 4.676 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(CCc1ccccc1F)C1CCCC1 603531469 WPRNUYSDGMVWPX-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1C 604363543 DURWTAOBZWTFSP-MRXNPFEDSA-N 421.501 4.608 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2Oc2cccnc2)c([N+](=O)[O-])cc1OCC 604523221 DUPJXMOYJAWRBA-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD Cn1c(-c2cccc(NC(=O)c3c[nH]c4ccc([N+](=O)[O-])cc34)c2)nc2ccccc21 604540053 VUEQVZXCURLDBB-UHFFFAOYSA-N 411.421 4.882 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-] 608945597 RFRAJAZOPNAMGC-MRXNPFEDSA-N 408.376 4.990 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCCNc3ccccn3)c([N+](=O)[O-])c2)cc1 608973361 LETQFTUKRDLWSL-UHFFFAOYSA-N 419.485 4.855 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 609080324 BDPPFIOKXWJRDH-NSHDSACASA-N 408.252 4.639 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CN1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 609315874 GGCFAFYDFRRCTC-QGZVFWFLSA-N 403.212 4.753 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(OCc3ccccc3C)c2)cc1[N+](=O)[O-] 609563349 OWYKTJDNSSECPZ-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 609854835 IPQBTWCAHAUSRT-VXGBXAGGSA-N 411.289 4.738 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(Cc4ccccc4F)[nH]c3c2)c1 610224933 KWWSIZPPXBQTLD-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD Cc1ccsc1CNC(=O)CSc1nc2ccccc2c2nc3ccccc3n12 613813367 MDEWAJVAIPZPAR-UHFFFAOYSA-N 418.547 4.814 5 20 HJBD CCOc1cc(NC(=O)N(CCc2ccccc2)C2CC2)c([N+](=O)[O-])cc1OCC 728735347 MCXPSZNOLGVKEB-UHFFFAOYSA-N 413.474 4.631 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])s1 730189811 MWLTYWDZGAMCII-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730646510 FRBJRYYQJBPOII-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cc2)n1 732088796 XOYYXVXHJZEDSY-UHFFFAOYSA-N 413.415 4.572 5 20 HJBD CCc1cc(=N[C@@H](c2ccccc2)C2CCOCC2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 734485028 RBOBNJOUIZJCEO-QHCPKHFHSA-N 418.497 4.616 5 20 HJBD Cn1c(-c2ccccc2Cl)nn(CN2CCCc3ccc([N+](=O)[O-])cc32)c1=S 735918688 QQYOFLJVCCCQPR-UHFFFAOYSA-N 415.906 4.590 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc2cccnc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 735935973 HTDXMHLUWBETKU-LLVKDONJSA-N 424.800 4.764 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(C)c(NC(=O)Nc2ccccc2)c1 741174172 DMYFVCBVBQZZPM-UHFFFAOYSA-N 421.409 4.775 5 20 HJBD CCCn1cc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cn1 746474979 HFPQZIIIUUFTGR-UHFFFAOYSA-N 402.458 4.666 5 20 HJBD C[C@@H](NC(=O)CC1CCCCC1)C(=O)OCc1nc2ccccc2c2ccccc12 750233469 ZTHMYDDODDVVTB-QGZVFWFLSA-N 404.510 4.906 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C/c3cnc4ccc(Br)cn34)nc2c1 751516972 HQFRXSYVRVQKGJ-XGICHPGQSA-N 419.622 4.883 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(CSc2nnc(-c3ccc(Cl)cc3)o2)o1 753850485 MKOCLDARBGNJAY-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)c1Br 754037952 QRWNFCRPXPDLRT-QMMMGPOBSA-N 410.659 4.764 5 20 HJBD Cc1ccc(-c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cc1[N+](=O)[O-] 761639616 ZOJKTWXEQNGXLU-JTQLQIEISA-N 404.766 4.655 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 762047908 NDQPUABOJYHTHR-KSSFIOAISA-N 418.925 4.876 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 762992468 ZNIZYGIOYFOHFT-GFCCVEGCSA-N 422.840 4.544 5 20 HJBD C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(OC(C)(C)C)c1 764169171 RJYBUKOIZCALFH-LSDHHAIUSA-N 407.511 4.654 5 20 HJBD O=C(OCc1nc(C2CCCCC2)cs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 768716630 LXTCWDCGSHSGLZ-UHFFFAOYSA-N 413.455 4.710 5 20 HJBD CCCN(C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)C1CCN(C(=O)OC(C)(C)C)CC1 768951168 GADGVMCOCNZWFX-UHFFFAOYSA-N 420.510 4.547 5 20 HJBD CCc1cc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cc(O)n1 769725522 CGWSWBJLHSZZMD-UHFFFAOYSA-N 404.382 4.767 5 20 HJBD CCOC(=O)N(C)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 770492528 DQONNOKXTQYMHZ-UHFFFAOYSA-N 412.471 4.923 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Cc2cccc(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 771691338 UAKDAZUJIQMMSV-OAHLLOKOSA-N 413.474 4.670 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217532 JCSVFKGBRRBLJD-JOCHJYFZSA-N 415.405 4.900 5 20 HJBD Cc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)nn1[C@@H](C)C1CC1 776860494 KSDQDJDVKCXTGJ-ZDUSSCGKSA-N 402.882 4.781 5 20 HJBD CCOc1ccc(N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1Br 777637501 ILSBIVAJJBCVJB-GFCCVEGCSA-N 422.279 4.504 5 20 HJBD CCOC(=O)/C=C/c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 779432882 LKSOTUNXONXDOY-DHZHZOJOSA-N 409.467 4.907 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OCCN1CCCC1=O 785639964 GBLNDMGTGFOCBQ-UHFFFAOYSA-N 413.473 4.713 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccc(Cl)nc2C(F)(F)F)c1 787594325 BBCONBUWCYYTJK-UHFFFAOYSA-N 406.769 4.741 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@@H](C)c2cccc(N3CCOC3=O)c2)cc1[N+](=O)[O-] 790200718 FKQADAQUPCGYPE-HNNXBMFYSA-N 411.458 4.508 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)c(C)c2)s1 790419983 FZBVVAPYICLMTP-UHFFFAOYSA-N 418.475 4.914 5 20 HJBD Cc1nc2ccccc2n1C1CCN(Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)CC1 794677351 CYSMMHLZZVQRFG-UHFFFAOYSA-N 424.892 4.551 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(N3CCC(O)CC3)cc2)nc1-c1ccc(F)cc1 797434154 NUNSIQZFSMDUOP-UHFFFAOYSA-N 408.433 4.501 5 20 HJBD C[C@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1nccn1-c1ccccc1 803072239 QQZKJJVHQIZUGW-JTQLQIEISA-N 422.260 4.523 5 20 HJBD CC(C)(C)c1nc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cs1 811219564 VZRPYBHAOUHENH-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD Cc1nc(C(C)C)oc1COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 813226374 LUGAFNJPRMUVBD-AWEZNQCLSA-N 424.457 4.940 5 20 HJBD Cc1ccc(OC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2c1[C@H](C)CC2=O 1115898897 YBAOPNSUEMKQGX-NEPJUHHUSA-N 403.818 4.619 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1ncc(-c3ccccc3Br)o1)C2 1116161183 IJGJGQFULJYEEH-UHFFFAOYSA-N 400.232 4.528 5 20 HJBD C[C@@H]1CCCCN1CCOc1ccccc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1322709819 VGFFGAVNQXLQQP-MRXNPFEDSA-N 408.458 4.565 5 20 HJBD COc1ccc(-c2cc(NCCC(=O)Nc3ccccc3[N+](=O)[O-])ccc2OC)cc1 1329139799 ACHIRRTYQOIIQX-UHFFFAOYSA-N 421.453 4.720 5 20 HJBD Cc1c(Cc2nnc(-c3ccc(Br)cc3Cl)o2)cccc1[N+](=O)[O-] 1331872998 CVCCFGZTTDRGLB-UHFFFAOYSA-N 408.639 4.960 5 20 HJBD COc1ccc(-c2cc(N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)ccc2OC)cc1 1337272088 ZTQPYRJMPQZVPY-OAHLLOKOSA-N 421.453 4.718 5 20 HJBD CNc1ccc(C(=O)OCC(=O)Nc2c(C(C)C)cccc2C(C)C)cc1[N+](=O)[O-] 5363445 VBBDFUKZURZUHG-UHFFFAOYSA-N 413.474 4.679 5 20 HJBD C[C@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 6260122 NXYUSJAYFLGTJP-JTQLQIEISA-N 404.831 4.647 5 20 HJBD C[C@@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 6900304 BTJYUNRFJDEEKM-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C2CC2)o1 27285544 YYIDANMXULALQG-HNAYVOBHSA-N 409.486 4.716 5 20 HJBD CC[C@H](C)c1ccccc1OCC(=O)Nc1cc(C)nn1-c1ccc([N+](=O)[O-])cc1 105509090 FXMUGLLIVNLFKS-HNNXBMFYSA-N 408.458 4.620 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 111032540 FFQRACDYIHWQAB-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1cc(C)cc([N+](=O)[O-])c1 195692410 CZRDIUXOUMCGSZ-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD COc1ccc(CNCc2ccc(C)c(F)c2)cc1OCc1ccc([N+](=O)[O-])cc1 237222357 XICAAUMPCVKMAA-UHFFFAOYSA-N 410.445 4.920 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3c(F)cccc3F)C3CCCC3)c1)OCOC2 303269323 QTLDCXMNWDZIDA-UHFFFAOYSA-N 404.413 4.684 5 20 HJBD CC(C)N(Cc1cccs1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426266820 ZQPDNQRFDODCGP-UHFFFAOYSA-N 401.410 4.795 5 20 HJBD CC[C@@H](CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])Oc1cccc(C(F)(F)F)c1 430496492 GTTOFFXGOMPIQZ-LBPRGKRZSA-N 416.783 4.854 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CCN[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21 433300116 SDNUAFTZXARSDA-GOSISDBHSA-N 417.893 4.598 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 433855471 SUJXQNMQFYSLOJ-UHFFFAOYSA-N 410.430 4.885 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1-c1ccc(Cl)cc1 437058722 OPSMIGSXSLQWON-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD O=C(Nc1cccc(C(F)(F)F)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437712764 DVCYEQGMCHGUDT-UHFFFAOYSA-N 409.364 4.689 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444336278 DFOJCZLFXFKKON-UHFFFAOYSA-N 424.422 4.851 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1cccc2c(=O)c3ccccc3[nH]c12 445184516 FGCSUQXKJHEWMQ-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@H]1CCCN(C2CCC2)C1 447681250 XPOQIVJEOJBIQH-NEPJUHHUSA-N 422.398 4.709 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(Oc2ccccc2)CC1 447685979 CGIXFNKYLCNQER-UHFFFAOYSA-N 417.334 4.692 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2ccc(F)cc2)CC1 447714842 OMWHCHWIYNZWSW-UHFFFAOYSA-N 416.474 4.581 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC(C)(C)[C@H]3C3CC3)cc2[N+](=O)[O-])n1 460962834 YLWNELAAJXSLOV-MRXNPFEDSA-N 403.529 4.772 5 20 HJBD C[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(C2CCCCC2)no1 464717450 WIQUENAVZPDCKG-NSHDSACASA-N 400.460 4.731 5 20 HJBD CC(C)c1ccc(-c2noc(CN3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)n2)cc1 464777430 OZMFXGIOBPVYST-UHFFFAOYSA-N 422.485 4.812 5 20 HJBD CO[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C(C)(C)C 465016622 JEYRTYXGYDHXFO-QGZVFWFLSA-N 411.296 4.768 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 466852711 KUNOTEHNSRFAPW-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD CC(C)c1csc([C@H]2CCCN(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)C2)n1 467216668 JGFAQTVCLIPWIU-AWEZNQCLSA-N 422.938 4.917 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cccc(NC(=O)[C@@H](C)n2cccn2)c1 468640727 BUSXPUITNFPUFI-TXQCQQFXSA-N 405.458 4.506 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)[C@H](C)c2cccc(O)c2)cc1[N+](=O)[O-])c1ccccn1 473931603 ITDIGZSIHMAROQ-HZPDHXFCSA-N 420.469 4.702 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1ccc([N+](=O)[O-])cc1Br 475488038 OZRPBWCQGLJSBC-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccc(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1Oc1ccncc1 479430130 TVANWSBNIHNTOA-UHFFFAOYSA-N 411.845 4.716 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 479950994 PRWMHNFUEIGMKT-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD O=C(N[C@H]1CCC[C@H]1Cc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 485529513 HKAPBGHWGJTCQS-STQMWFEESA-N 409.305 4.560 5 20 HJBD CC(=O)NCc1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 487754162 WJLKQGYORDLTBT-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)ccc1OC 488355423 BAYUSROHXNFAEF-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 489382355 ASNBVKPUIKGRSL-UHFFFAOYSA-N 408.502 4.874 5 20 HJBD O=[N+]([O-])c1ccc2oc(CSc3nnc(-c4ccco4)n3Cc3ccco3)nc2c1 489438309 NRCIQOZJZYYQIV-UHFFFAOYSA-N 423.410 4.521 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 489877996 AKQWWPUFYFKWLY-CHWSQXEVSA-N 417.416 4.769 5 20 HJBD O=C(Nc1nc(-c2cc(Br)cs2)cs1)c1cccc([N+](=O)[O-])c1 497931960 LQLRFAQFSNMTTA-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 499763396 OPHKQADKSQEFTP-KBPBESRZSA-N 409.408 4.947 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(CCO)Cc1ccc(Cl)c(Cl)c1 503644661 SAEPGNIOERHBHR-UHFFFAOYSA-N 418.664 4.581 5 20 HJBD CCOc1ccc(Br)cc1CN(C(=O)c1cccc([N+](=O)[O-])c1)C1CC1 504760309 QAWBNVAEOJWKMQ-UHFFFAOYSA-N 419.275 4.561 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccccc1Oc1ccccc1 506201689 WJCVEBCXHNHQAQ-HNNXBMFYSA-N 420.421 4.649 5 20 HJBD Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 508221966 JDRZHARJBVGITN-IIBYNOLFSA-N 416.481 4.889 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](C(=O)Nc1ccccc1)c1ccccc1 516272471 LRQHLQUXXKKQFI-IBGZPJMESA-N 424.844 4.750 5 20 HJBD C[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1c(F)cncc1F 519722636 GDPKXAPJEBAIAK-GFCCVEGCSA-N 415.421 4.910 5 20 HJBD O=C(C1CCC1)N(CCCNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)C1CC1 520227969 LRQIVCUPLYOEKJ-UHFFFAOYSA-N 413.543 4.594 5 20 HJBD C[C@@H](Oc1ccccc1NCC(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1ccccc1 522402415 RENJJOWXISWVCW-QGZVFWFLSA-N 417.465 4.736 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2[nH]1 523507124 CZLJWVGNUDPLEZ-UHFFFAOYSA-N 417.263 4.829 5 20 HJBD CNc1ccc(C(=O)N[C@@H](C)c2ccc(-c3ccccc3OC)cc2)cc1[N+](=O)[O-] 525602779 FIPPLZABYCRZLA-HNNXBMFYSA-N 405.454 4.803 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@@H](C)c2cc([N+](=O)[O-])ccc2C)cn1 532473547 JKXPPAPYSIYLIK-INIZCTEOSA-N 423.469 4.959 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 532868524 JWOKSVUPKJIERD-UHFFFAOYSA-N 404.378 4.878 5 20 HJBD CC[C@@H](c1ccc(F)cc1F)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795797 OYHOQCWKERELKS-JMQGSBJISA-N 406.410 4.928 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534991059 SADJAQYQKXRHLC-UHFFFAOYSA-N 424.638 4.753 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H]2[C@@H](CCCN2C2CC2)C1 538588380 GQCBPBYGCMMFQZ-OXJNMPFZSA-N 417.575 4.576 5 20 HJBD CC(C)N1CCc2nc(NC(=O)c3cc4ccccc4c4cccnc34)sc2C1 541462724 JIIBFMRZAYTSAD-UHFFFAOYSA-N 402.523 4.863 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 541529103 RKGMMAUVEVPCFI-JLTOFOAXSA-N 423.494 4.950 5 20 HJBD O=C(CCN1CCCCC1)Nc1cccc(NCc2ccc([N+](=O)[O-])cc2Cl)c1 542465239 BEBNZRCZLWCXRV-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD CCc1ccc([C@@H](N[C@@H](C)c2ccc(NC(N)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 543403263 UACZONINXPESOO-QKKBWIMNSA-N 408.458 4.681 5 20 HJBD CCc1ccc([C@H](NCC2CCN(c3ccncc3)CC2)c2ccc([N+](=O)[O-])cc2)o1 544355903 UFEDUJRENGHYIZ-XMMPIXPASA-N 420.513 4.741 5 20 HJBD C[C@@H](c1nc(Cc2ccc(F)c(Br)c2)no1)c1ccc([N+](=O)[O-])cc1F 544539242 HHDDQUCNXWSVLD-SECBINFHSA-N 424.201 4.761 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCC[C@@H]3CCCCO3)cc2[N+](=O)[O-])n1 546161770 WSCUNKLMTKLOOZ-HNNXBMFYSA-N 421.544 4.590 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 553061645 LPIVYNOCPXEHOT-MSOLQXFVSA-N 421.522 4.955 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(F)(c2cccnc2)CC1 557674647 RLGFXUWSVANSHC-UHFFFAOYSA-N 420.313 4.504 5 20 HJBD CNc1ccc(-c2nc([C@H](CCCOC)c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 560455196 TXOKAXVBQGFMHB-MRXNPFEDSA-N 416.865 4.898 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)c1 564547556 BKOCRXAPUUCHJR-UHFFFAOYSA-N 422.825 4.557 5 20 HJBD Cc1cccc(OCc2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)c1 567067278 XMWSKYHFRIBJHG-UHFFFAOYSA-N 424.438 4.813 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2c2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 603868970 NXUSOALEIQXYIQ-GOSISDBHSA-N 402.516 4.637 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCOc1cccc(Cl)c1Cl 604334026 JRDHRUYYBRVPGC-LLVKDONJSA-N 415.298 4.577 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1F 604475422 WVOJJBOJTNTTDQ-UHFFFAOYSA-N 411.389 4.857 5 20 HJBD CCCCN([C@@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 604494944 MGUCDBOGNIXHJG-ZDUSSCGKSA-N 400.884 4.702 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c1 608897033 QNVMPSRADMWAKP-GFCCVEGCSA-N 422.890 4.933 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc2c(c1)OCO2)C1CCCC1 610317469 SDKAQCIZJZKBDL-UHFFFAOYSA-N 417.849 4.954 5 20 HJBD Cc1cc(OC(C)C)ccc1NS(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 610335532 ICQVKNOTOUWCCS-UHFFFAOYSA-N 419.286 4.798 5 20 HJBD CC(C)C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCO1 611475779 MAMJHDCAOWAWOG-DOTOQJQBSA-N 406.548 4.953 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(C1CC1)[C@@H]1CCc2ccccc21 614347002 NVXZDKMRCNGNFR-RUZDIDTESA-N 408.501 4.833 5 20 HJBD COc1cc(C(=O)Nc2cc(Br)c(F)cc2Cl)cc([N+](=O)[O-])c1C 616909856 XMSYBAXZHLOWAW-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3cc(F)ccc3[N+](=O)[O-])ccc21 729413577 QXIPXTLBBCUFOK-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1cc(F)ccc1N1CCOCC1 730862971 KHUJORMMYPVYPP-UHFFFAOYSA-N 416.452 4.721 5 20 HJBD Cc1ccc(COc2cccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c2)cc1 732012337 MYHKFIYFXUVLCW-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](C)c1ncc(-c2ccccc2)o1 741317040 YSCSQAKRMQWNBX-STQMWFEESA-N 416.817 4.975 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC(c3cc4ccccc4[nH]3)CC2)c(Br)c1 749148146 VGHJITOIPPPXPK-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD Cc1cc(N2CCC(NC(=O)c3c4ccccc4cc4ccccc34)CC2)nc(C)n1 750207931 JDDUYXNWXZJQTE-UHFFFAOYSA-N 410.521 4.799 5 20 HJBD CCCN(CC)Cc1ccc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1 751309689 IBDGVBYZBGVVLE-UHFFFAOYSA-N 403.910 4.752 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 752926755 CVDLNRFEQHAWFP-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc2ccccc2c1 753519089 BFUZVHPWLTWDLM-AWEZNQCLSA-N 403.394 4.645 5 20 HJBD CCc1ccc([C@@H](NC[C@@H](O)c2ccccc2Cl)c2ccc([N+](=O)[O-])cc2)o1 753680460 UYCHTERVWJHLKF-CTNGQTDRSA-N 400.862 4.816 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 754631521 LEWMNYCFHQQAKD-ZDUSSCGKSA-N 421.144 4.530 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2)n1 761501024 NTAKOGAPUTYMST-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD O=C(NC[C@H](O)c1ccccc1Cl)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764337506 QCMJLQLRBUVUOG-SFHVURJKSA-N 420.918 4.746 5 20 HJBD Cn1cc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c(C(F)F)n1 770307575 WZASKAUOWROKKE-UHFFFAOYSA-N 419.409 4.774 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1coc(-c2ccc(Br)cc2F)n1 778894302 BYSMIULILBXDGN-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 783942217 WRVYNORLVQIKQU-UHFFFAOYSA-N 421.862 4.692 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(-n3nccn3)cc2C(F)(F)F)cc1[N+](=O)[O-] 784056232 MDDOKZMNWSXPLY-UHFFFAOYSA-N 419.363 4.570 5 20 HJBD CC(C)N(C(=O)c1cc([N+](=O)[O-])ccc1Br)c1cnc2ccccc2c1 791026133 NCWRWNIRGSYLIB-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD C[C@H](CCC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1 791173337 SIHXEIQCBBDSCY-CQSZACIVSA-N 417.303 4.826 5 20 HJBD CCc1c(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 791580336 PHIUZCAQVYNKIX-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)N[C@@H](C)c2ccc3ccccc3c2)c1F 803462889 VCITUUHDEALEKM-GJZGRUSLSA-N 424.428 4.618 5 20 HJBD Cc1cc(C)n(-c2ccc(CNc3ccc(N)cc3[N+](=O)[O-])c(C(F)(F)F)c2)n1 804377085 VDNPYISQFCNUSC-UHFFFAOYSA-N 405.380 4.610 5 20 HJBD CN(Cc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 806253238 PSCLCCKQMCAOHK-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CCOCC1)Nc1cccc([N+](=O)[O-])c1Cl 809919308 FIZXUQVRKIBAGJ-UHFFFAOYSA-N 424.284 4.772 5 20 HJBD Cc1c(Cl)cccc1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373219 SYRLUEBOHLVQAH-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1cccc(-c2nc(/C=C3/C(=O)Nc4cc(Cl)c([N+](=O)[O-])cc43)cs2)c1 915114383 LTNBCDABEGJKEF-MKMNVTDBSA-N 413.842 4.873 5 20 HJBD CC(C)[C@H](NC(=O)c1cccs1)C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330590 ICRKFMVIDKECQQ-FQEVSTJZSA-N 424.478 4.683 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc(C)c1Br 1116676629 HDPBSRIPRUWFOC-UHFFFAOYSA-N 419.279 4.566 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])cc2F)cc1OC 1264016192 OLHONYWHEYIHLA-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2ccc(Cn3cncn3)cc2)o1 1319376480 WFAHNECJZYQSJH-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD Cn1cc(CN2CCC(c3nc4ccccc4o3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 1323283098 WMIJRVJOKWWTRJ-UHFFFAOYSA-N 417.469 4.516 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1cccc(Br)c1 5595518 YCFKPZPOMPBCEY-UHFFFAOYSA-N 408.251 4.523 5 20 HJBD O=C1c2ccccc2N[C@@H](c2cccn2-c2ccc([N+](=O)[O-])cc2)N1CC(F)(F)F 15251032 XINDMAZXVNCHCU-GOSISDBHSA-N 416.359 4.514 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)c1ccccc1SCc1cc(C)no1 16542566 VMTWEUDCCLOWGK-UHFFFAOYSA-N 414.439 4.549 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1ccccc1)C(=O)c1ccccc1[N+](=O)[O-] 23742632 IZVBXWCPDLEEJN-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD CN(C)Cc1ccccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 31233289 OEJKSTVOJPOHGZ-UHFFFAOYSA-N 404.470 4.521 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1F 58277085 UIXKQIWAWCECPM-UHFFFAOYSA-N 422.372 4.621 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@H]2CCN(C3CC3)C2)ccc1Oc1ccccc1Cl 237932967 NFLYLOPPAJZYKL-MRXNPFEDSA-N 401.894 4.614 5 20 HJBD Cc1ccccc1-n1c(Sc2ccc([N+](=O)[O-])cc2F)nnc1-c1cccnc1 301058554 BBNDTXAQWPSFPX-UHFFFAOYSA-N 407.430 4.836 5 20 HJBD CNC(=O)c1ccc(N[C@H](c2ccc(Cl)cc2)c2ccsc2)c([N+](=O)[O-])c1 301237018 DMFGNWABEMNCAV-GOSISDBHSA-N 401.875 4.871 5 20 HJBD O=C(c1cc(N[C@H]2C[C@@H]2c2c(F)cccc2Cl)ccc1[N+](=O)[O-])N1CCCC1 301350237 LATWOTGRIKUPIR-GUYCJALGSA-N 403.841 4.591 5 20 HJBD COc1ccccc1[C@@H](CNCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)N1CCCC1 409736672 NAIFVAGAWKEQLC-JOCHJYFZSA-N 421.497 4.790 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426737176 MOGUKFSNVRCAEH-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD O=C(O)C/C(=C\c1ccc(O)c([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2s1 436854900 VMZLWNXIMYQOFO-BJMVGYQFSA-N 424.356 4.944 5 20 HJBD Cc1c(NC(=O)C(=O)N(Cc2ccc(Cl)c(Cl)c2)C(C)C)cccc1[N+](=O)[O-] 437259965 BNKLNRPDRMRHAB-UHFFFAOYSA-N 424.284 4.586 5 20 HJBD COC(=O)c1ccc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 440601032 JKDCGVMPJUZBLM-UHFFFAOYSA-N 418.449 4.547 5 20 HJBD Cc1cc(C)cc(C[C@@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444103898 WBODXWBZPIQBQH-MRXNPFEDSA-N 402.469 4.798 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N1CCC(Sc2ccc(Cl)cc2)CC1 448207792 BCTBMQRXFONRNS-UHFFFAOYSA-N 405.907 4.715 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(Br)c1 462248285 QKEOHHWAKJQFHE-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)c1ccco1 463350234 OXEYQJYASDYYBI-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)C1(c2cc(F)cc(C(F)(F)F)c2)CC1 466502377 IRMIBWMJCABXSH-UHFFFAOYSA-N 412.339 4.822 5 20 HJBD O=C(C[C@H]1CCCN1CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccs1 468291906 QYDKGNJRSPMPPN-MRXNPFEDSA-N 411.483 4.591 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 469192521 ZTFCZORJKDPAPT-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD CC[C@@H](NC(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C)c1cccc([N+](=O)[O-])c1 471857529 PGCBXBMLLLNMEO-OXJNMPFZSA-N 407.474 4.982 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](CO)c3c(Cl)cccc3Cl)o2)cc1 475625107 NRJULHWVEJWGJX-KRWDZBQOSA-N 407.253 4.985 5 20 HJBD CCc1c(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cnn1C(C)(C)C 478065342 UXYPJBKFZQHPNU-UHFFFAOYSA-N 413.503 4.793 5 20 HJBD O=C(Nc1cc(Br)ccc1N1CCCC1)c1ccc([N+](=O)[O-])cc1Cl 478247891 FOOJJDACZYWRCX-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD CC(C)(C)c1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1O 480062555 AFQVXHWAVUIIOA-UHFFFAOYSA-N 412.364 4.791 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC4(CCC4)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 481464014 LHBHALLGXGTCOS-UHFFFAOYSA-N 416.481 4.539 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 482430502 CYOBUKAQFGDCHF-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC2CCOCC2)cc1 486154687 SIMHQZMKVUPABR-UHFFFAOYSA-N 401.488 4.550 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489635167 PBJHXBOYUWAXRK-SFHVURJKSA-N 407.392 4.963 5 20 HJBD CCOc1ccc(Cl)cc1CCNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 489986475 ZNWSYPZCGGKHAP-UHFFFAOYSA-N 416.783 4.638 5 20 HJBD Cc1cn(-c2ccccc2Cl)nc1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 499514106 OFBXYFUCQDTIQB-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 501148035 LCVAPAPSVUCWHU-UHFFFAOYSA-N 420.400 4.668 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(Cc1csc(Br)c1)C1CC1 502449262 CVETXCOHXQROND-UHFFFAOYSA-N 421.272 4.970 5 20 HJBD CCC(C)(C)c1ccc(C[S@@](=O)CCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 505705184 HRUJULSJAPBQTE-NDEPHWFRSA-N 402.516 4.560 5 20 HJBD NC(=O)c1ccc(-c2nc(CSCc3c(F)cc([N+](=O)[O-])cc3F)cs2)cc1 507084609 KAYQXCIYORYIMD-UHFFFAOYSA-N 421.450 4.529 5 20 HJBD C[C@@H](NC(=O)N(C)CCCc1cc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 510510207 LXXZDPLNVFOBQH-MRXNPFEDSA-N 408.458 4.585 5 20 HJBD O=C(c1cc2c(c([N+](=O)[O-])c1)OCO2)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 511504353 IARZKGORTPYVDO-UHFFFAOYSA-N 421.236 4.560 5 20 HJBD C[C@@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1ccc([N+](=O)[O-])n1C 514941796 TZHCZCHDIBYYON-AWEZNQCLSA-N 417.918 4.922 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c12 517879993 QMIHSXPIXMMVFY-UHFFFAOYSA-N 420.425 4.616 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1[C@H]1CCCc2ccccc21 520477054 MFZPFRKCMKGAGC-YWZLYKJASA-N 422.510 4.836 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccccc1 520796928 NYTAOMCCXIDBAN-OAQYLSRUSA-N 408.479 4.845 5 20 HJBD CC(C)(NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)c(Cl)c1 521073357 OSLPPZJUEOUQHU-UHFFFAOYSA-N 420.252 4.821 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nc(-c4ccncc4)cs3)o2)cc1 524970129 MIGAAYFKJSVXLE-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-c3ccccc3OC)cc2)c1 525592879 DLIYDBPSTNYRQK-HNNXBMFYSA-N 406.438 4.770 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)Cc3ccc(C(F)(F)F)cc3)co2)cc1 534796361 FCGCHZCKHMEAQV-MUUNZHRXSA-N 410.373 4.718 5 20 HJBD COc1ccc(C[C@H](NCc2cc([N+](=O)[O-])ccc2OC)c2ccc(OC)cc2)cc1 538140715 SPLNHSRKTPMAFH-QHCPKHFHSA-N 422.481 4.694 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCCC(=O)Nc2ccccc2[N+](=O)[O-])cc1 538261142 OQCCACFWTLDSAN-QGZVFWFLSA-N 419.481 4.950 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1NC(=O)C(C)(C)C 541624422 SJYQNTXHGCGYJO-ZDUSSCGKSA-N 401.438 4.769 5 20 HJBD CCc1ccc([C@@H](NCCCc2nc3ccccc3[nH]2)c2ccc([N+](=O)[O-])cc2)o1 543405890 FCZSSPFJOQYILJ-QHCPKHFHSA-N 404.470 4.938 5 20 HJBD COc1c(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(Cl)cc1C(=O)N(C)C 551817219 OIANPDMZZRLIDK-SFHVURJKSA-N 417.893 4.793 5 20 HJBD COc1ccccc1N(C(C)C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 562065521 VHACECDUFHWYSZ-UHFFFAOYSA-N 419.286 4.514 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(Br)cc2F)c1 565855942 LAYDZIHTEAZNDZ-SECBINFHSA-N 413.268 4.709 5 20 HJBD Cc1noc([C@H]2CCCCN2Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)n1 570084110 ATEKLNAHRRQFRY-GOSISDBHSA-N 412.421 4.945 5 20 HJBD C[C@H](C(=O)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 574894494 DWUHZTIFLCZXCG-BONVTDFDSA-N 418.318 4.720 5 20 HJBD CC[C@@H](C)Oc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccn1 580969491 CDYOYWBVTAKNRK-CYBMUJFWSA-N 412.471 4.567 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1cccc([N+](=O)[O-])c1 608892724 GEVMTCVTNPYEMS-SNVBAGLBSA-N 421.335 4.546 5 20 HJBD COc1ccc2c(c1)CN(c1ccc(C(=O)Nc3ccc(C)cc3)cc1[N+](=O)[O-])CC2 608974505 CXWICXZBOPRDIK-UHFFFAOYSA-N 417.465 4.727 5 20 HJBD COc1ccc(SCCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 610116879 XYYJHZZKFPAJSC-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2cc(C)cc([N+](=O)[O-])c2)C1 610159770 KQJRAQXXVFHHGW-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(Oc3ccccc3)cc2)cc([N+](=O)[O-])c1OC 610173613 NLNGGQFXWZWIGN-OAHLLOKOSA-N 422.437 4.895 5 20 HJBD O=C(N[C@@H]1CCc2cc(O)ccc2C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 618250190 RLGRRKDIJWLCPC-MRXNPFEDSA-N 412.511 4.622 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C\C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742872431 FMNZNJWQGRLGFB-RUEYPURISA-N 424.497 4.855 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1OCc1ccnc(-c2ccc(Br)cc2)n1 749823313 NWZHVAOKTROBKR-UHFFFAOYSA-N 404.195 4.532 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 750854294 LVTBDMXGMLGJHL-MRVPVSSYSA-N 403.685 4.872 5 20 HJBD Cc1cc(F)cc(C(=O)OCc2csc(COc3ccc(F)cc3)n2)c1[N+](=O)[O-] 752558080 TXNZVTDNCUMSSQ-UHFFFAOYSA-N 420.393 4.574 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 754455515 UMCUBVBEYWYBRE-HXUWFJFHSA-N 417.893 4.843 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc(Cl)c1[N+](=O)[O-] 754473996 XTQNXKHZEXXQHY-LBPRGKRZSA-N 401.633 4.809 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 759869383 YSJOEBNOVCHDHT-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD Cc1nc(-c2cccc(C(=O)O[C@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)c2)cs1 759950791 QRELGEOETWBIFC-ROUUACIJSA-N 412.471 4.564 5 20 HJBD O=C(CSc1ccccc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760464115 YHXDOKVVPZAJLF-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD C[C@@H](CC[S@@](C)=O)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 761094381 SKBPHDIDMXHAPE-BEIWTESXSA-N 419.546 4.820 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1=CCCC1 761556276 NSLDLEVTWNHFLM-SFHVURJKSA-N 400.818 4.582 5 20 HJBD Cc1c(C(=O)COC(=O)CSc2ccccc2[N+](=O)[O-])sc2ccccc12 761766438 BRRJHKIHPLPJHG-UHFFFAOYSA-N 401.465 4.636 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC=C(c2cccc(Br)c2)CC1 762707066 IFGJUANJHYTYFQ-UHFFFAOYSA-N 423.213 4.565 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1c[nH]c2cccc([N+](=O)[O-])c12 762906647 WKPUQSCHCWWRKG-NRFANRHFSA-N 415.405 4.613 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(Cl)c1 763395463 WOIHRMZFYAJLIU-KGLIPLIRSA-N 404.850 4.539 5 20 HJBD CCn1ccnc1[C@@H](CCc1ccccc1)OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 764017385 WSHSHVYKSRJBNS-DYESRHJHSA-N 407.470 4.832 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1cc2cc([N+](=O)[O-])ccc2s1 766074828 ZDGAAOFZXKJUHT-UHFFFAOYSA-N 407.407 4.749 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 768573873 QHIVZLOTKRCBCH-UHFFFAOYSA-N 424.844 4.643 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)nc2ccccc21 769998685 SAIKYDHHDIDOLI-SFHVURJKSA-N 413.865 4.987 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c2cnn(Cc3cccs3)c2n1 777309669 ODRLZRATXUQCEN-AWEZNQCLSA-N 422.466 4.676 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778055748 ZANHGHVXSFEIQN-UHFFFAOYSA-N 421.400 4.981 5 20 HJBD O=C(Nc1cc2ccccc2nc1Br)c1cc([N+](=O)[O-])ccc1Cl 788111785 NCMKDOFJRSQQLI-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COC(=O)c1cc(NC(=S)Nc2cccc(C(F)(F)F)c2)c(C)c([N+](=O)[O-])c1 792685724 NQSVDINTOXTOSK-UHFFFAOYSA-N 413.377 4.518 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nc3cccc(Br)c3s2)c1 795557102 UBGOMBVQJDXOAR-CYBMUJFWSA-N 411.302 4.793 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(Cc1ccsc1)c1cccnc1 799327625 UVYLTILWGRRMIG-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 800356827 YHYJYESVPYBOHZ-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD CN1CCC[C@@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)[C@H]1c1cccs1 809920564 WAMHJKTZPQAUGI-SJCJKPOMSA-N 408.911 4.514 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1nc2ccccc2cc1Cl 810589253 FLEMFHDEDLEYHP-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=C(OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(Br)ccc1F 811207998 MZWOUVIYGQWHML-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD CC(=O)[C@H](OC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 846719456 JNTBCBVXBRKYFD-QFIPXVFZSA-N 404.422 4.694 5 20 HJBD O=C(Cc1cccs1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 890308226 YWWGNYCRMJZVGP-UHFFFAOYSA-N 407.403 4.578 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(Cl)cc1Cl 919213467 KVKMNJQUXWVNRA-SECBINFHSA-N 423.208 4.832 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1115842511 RJTYOJIYOADLBB-UHFFFAOYSA-N 417.400 4.598 5 20 HJBD O=C(COc1ccc(Cl)cc1Br)Oc1cc(Cl)ccc1[N+](=O)[O-] 1122629134 XCVAUBRJTLQCOM-UHFFFAOYSA-N 421.030 4.649 5 20 HJBD Cc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c(C)cc1Br 1257768231 AOVHXFRNCUJFAI-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD CC(C)(C(=O)N1CCC(Nc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1 1319249646 RRCUMOXIYYKWQB-UHFFFAOYSA-N 401.894 4.629 5 20 HJBD Cc1cnc(COC(=O)c2csc(Cc3ccc(Cl)cc3)n2)c(C)c1[N+](=O)[O-] 1320793194 PRQOBOZRZKXFLE-UHFFFAOYSA-N 417.874 4.664 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2csc(-c3ccc(F)c(F)c3)n2)o1 1325796783 HUESFTWLVKPUGX-UHFFFAOYSA-N 416.365 4.626 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)cc1F 1329532442 HXUCLWSTIYRTQD-NSHDSACASA-N 402.319 4.568 5 20 HJBD CC(C)[C@H]1COC(c2cccc3cc4cccc(C5=N[C@@H](C(C)C)CO5)c4nc23)=N1 1988188057 PCBWRENPCKEJJP-NHCUHLMSSA-N 401.510 4.991 5 20 HJBD COc1cc(F)ccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 25308904 WSDMUHCRDTUIOH-UHFFFAOYSA-N 419.459 4.911 5 20 HJBD Cc1ccc(F)cc1NC(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1C 26580902 OTHQZUSNPVXANP-CQSZACIVSA-N 421.497 4.654 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)Cc1csc(-c2ccccc2)n1 53335386 HZEAILSPGWYTPF-UHFFFAOYSA-N 401.875 4.573 5 20 HJBD Cn1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)c(-c2ccc([N+](=O)[O-])cc2)n1 64670343 OCDBTJMGTLHAAF-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(-c2ccccc2)nc1-c1ccc(C)o1 69520498 DLGRBYHKYUUJNU-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3ccc(Cl)cc3s2)cc1SC 112529839 SYSMUOABDMGVKW-UHFFFAOYSA-N 409.876 4.841 5 20 HJBD CCCN(CC(F)(F)F)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 141054401 QAGCVEHHBDOPNI-ZDUSSCGKSA-N 410.396 4.577 5 20 HJBD Cc1noc(C)c1CSCC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 195703794 QWYLGJNPYXSJHI-UHFFFAOYSA-N 418.500 4.503 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nnc(-c4ccccc4)o3)o2)c(Cl)c1 237818062 IYQKUXNMSMDRAH-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD O=C(Nc1ccc(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1)[C@@H]1CCCO1 301840005 AARKKQAESNVSHL-IBGZPJMESA-N 404.426 4.518 5 20 HJBD Cc1nn(CCC(=O)N[C@H](c2ccc(CC(C)C)cc2)C(C)C)c(C)c1[N+](=O)[O-] 303131893 KMMQSPNRBJJSFK-NRFANRHFSA-N 400.523 4.510 5 20 HJBD COc1ccc([C@H](C)CCNC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 303952301 UCUJRESBIUUDKQ-CQSZACIVSA-N 411.483 4.651 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410104943 GQDQSGIJVPVXME-UHFFFAOYSA-N 410.367 4.644 5 20 HJBD Cc1ccc([C@H](CC(=O)O)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)o1 426770377 RFXXBEVFSYAUOE-SFHVURJKSA-N 409.442 4.570 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2OC)c1C 427357213 LXTVIAHBXFXCOF-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1c(F)cccc1Cl 430641252 LRKNOIYTLSIMOP-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=C(c1cccc(COc2c(Br)cccc2[N+](=O)[O-])c1)N1CCCCC1 431222022 WBVUPKMVINIQHP-UHFFFAOYSA-N 419.275 4.562 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2s1 435186923 OOEQCQSFBZIJEU-UHFFFAOYSA-N 402.863 4.757 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)c1[N+](=O)[O-] 435663672 OHGUXPMRFSDRLQ-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD C[C@H](CCc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435822724 UAYSYIBJENYQTA-CQSZACIVSA-N 406.432 4.711 5 20 HJBD COc1cccc(C(=O)N[C@](C)(c2ccc(Br)cc2)C(C)C)c1[N+](=O)[O-] 436010807 LYCNVEKFTCNILM-IBGZPJMESA-N 421.291 4.667 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437163775 IEHAVZHNCSZKJA-RDTXWAMCSA-N 408.376 4.511 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc([N+](=O)[O-])c1OC 439125232 ULDISYYSUOAXGJ-UHFFFAOYSA-N 418.755 4.561 5 20 HJBD CSCCCN(C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439476574 REJVKAFHYOFFOZ-SFHVURJKSA-N 407.923 4.613 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)n2)cc1 440470112 MFZSFYQPJOYOKL-UHFFFAOYSA-N 411.468 4.569 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@H](C)c3c(C)noc3C)c([N+](=O)[O-])c2)cc1 443894704 IBYMLVJUYAJNPQ-AWEZNQCLSA-N 408.458 4.976 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1SCc1csc(-c2ncccn2)n1 447352316 ZELVIYSDMYLSPG-UHFFFAOYSA-N 409.290 4.563 5 20 HJBD COc1cc(C(=O)Nc2c(Cl)ccc(F)c2C)c([N+](=O)[O-])cc1OC(F)F 448520136 MIJLNRDNRQVDBE-UHFFFAOYSA-N 404.728 4.558 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNc1ccc(Cc2nnc3n2CCCCC3)cc1 463727470 JTYGZLDRROOIKU-VURMDHGXSA-N 403.486 4.629 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)c3ccc(F)cc3[N+](=O)[O-])C2)nc2ccccc21 467197900 RCYBYKDNZWLGRO-HNNXBMFYSA-N 410.449 4.684 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470697192 NMNNDIFFEFRSNJ-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc([C@@H]2CCCc3ccccc32)s1 471162430 UFPPMTANUUSIPC-OAHLLOKOSA-N 424.482 4.566 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nnc(-c2ccccc2Br)o1 471638430 JGZXVUYPYCOREF-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](CO)c3c(Cl)cccc3Cl)o2)cc1 475625109 NRJULHWVEJWGJX-QGZVFWFLSA-N 407.253 4.985 5 20 HJBD Cc1ccc([N+](=O)[O-])c(NC2CCN(C(=O)c3ccccc3C(F)(F)F)CC2)c1 475742001 ZGQVISIAYDTPNS-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD COc1cc(C)c(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1F 478043832 BNOZWNXWMOINTG-UHFFFAOYSA-N 401.438 4.540 5 20 HJBD CCOc1cc(OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)ccc1[N+](=O)[O-] 478733995 TUBSJAVJEQPKJD-UHFFFAOYSA-N 419.648 4.971 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccnc3OCC(F)F)o2)c(Cl)c1 480008406 MAIRGZSKEQSDFS-UHFFFAOYSA-N 423.803 4.837 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489870483 KLPGKKHMYFSHFC-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD CC(C)(C)c1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)o1 491244695 XSPAVRYXKQOXOB-UHFFFAOYSA-N 411.483 4.962 5 20 HJBD CCOc1ccc(N(CC)C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 500732630 OATBYDVBQOVAOO-UHFFFAOYSA-N 400.478 4.607 5 20 HJBD COCc1c(Br)cccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 505431740 YWOPBWMFVAZUDY-UHFFFAOYSA-N 421.272 4.971 5 20 HJBD CC(C)N(CC(=O)N[C@H](c1ccccc1)c1cccs1)Cc1ccc([N+](=O)[O-])cc1 513397698 NFLTZKJLULFYJX-HSZRJFAPSA-N 423.538 4.773 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 514161371 ZOJYICVPDMMEOP-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccccc3[N+](=O)[O-])o2)N1C[C@H]1CCCO1 514898456 ISIOZGCJRKBONB-QRQCRPRQSA-N 419.437 4.600 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C[C@H]1c1cccc(Br)c1 518082995 GLXGIPAINFQSSJ-SWLSCSKDSA-N 410.655 4.688 5 20 HJBD COc1ccc(CC(=O)N2CCCCC[C@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 518494158 BRPONLLBSYKNGS-SFHVURJKSA-N 402.878 4.943 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Oc2ccccc2)cc1C(F)(F)F 520094949 NPJWSSZNPARJFU-UHFFFAOYSA-N 420.347 4.631 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(OC2CCCC2)c(F)c1 520269899 BJPIXXGZJMMABS-UHFFFAOYSA-N 401.438 4.762 5 20 HJBD CC(C)(C)CN(CC(F)(F)F)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 520316392 LCMCXSLEXJFCCZ-UHFFFAOYSA-N 401.410 4.769 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3ccc(Cl)cc3)no2)c2ccccc12 521904236 BXFHNRCGUQWHKT-UHFFFAOYSA-N 401.853 4.830 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1nc2cc(Cl)cc(C)c2o1 522685222 WESPTHVDQOXHGJ-JTQLQIEISA-N 421.862 4.826 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)ccc21 523305215 QBEPCFIJAYFQQB-UHFFFAOYSA-N 420.469 4.890 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](CCCO)c2ccccc2)cc1[N+](=O)[O-] 524883289 ZGLBIMNXMZREAU-JOCHJYFZSA-N 405.498 4.966 5 20 HJBD C[C@H](NCCNC(=O)c1ccccc1F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 536845858 MGUKFGCVSVDBTH-AWEZNQCLSA-N 413.474 4.543 5 20 HJBD Cn1ccnc1C(=O)c1ccc(NCc2cc(Cl)sc2Cl)c([N+](=O)[O-])c1 537824806 HIKWQEHZVMLFFH-UHFFFAOYSA-N 411.270 4.540 5 20 HJBD O=C(Nc1c(F)cc(Cl)cc1F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 540419682 YIXJARYPZKILJL-UHFFFAOYSA-N 411.792 4.602 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(COc2ccc(F)cc2)o1 540900289 CITPOKWHRCMOGD-UHFFFAOYSA-N 418.808 4.532 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 541733871 LEXMJLHEQRCGDR-GOSISDBHSA-N 419.591 4.681 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 543153583 LFOBGYZSTMUTOA-UHFFFAOYSA-N 407.879 4.636 5 20 HJBD CSC1(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCOCC1 543441960 PXBVXIDGIBTNIN-UHFFFAOYSA-N 424.588 4.519 5 20 HJBD CCc1ccc([C@H](Nc2ccc(C)c(C(=O)N(C)C)c2)c2ccc([N+](=O)[O-])cc2)o1 544384766 BCWHEUWBBYWXJD-JOCHJYFZSA-N 407.470 4.962 5 20 HJBD COc1ccc(-c2cncc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)c2)cc1 545780578 JNRAISZVARCQAC-UHFFFAOYSA-N 402.410 4.615 5 20 HJBD O=C(NCCOc1ccc2ccccc2c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549487299 PTKOLZJKTMDLPS-UHFFFAOYSA-N 404.344 4.576 5 20 HJBD CC(C)(NC(=O)c1cc(Cl)cc(F)c1Br)c1ccc([N+](=O)[O-])cc1 550655761 VELAYSYKOXXXTD-UHFFFAOYSA-N 415.646 4.815 5 20 HJBD COCC[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 551667119 AZGJIFYBGLAARD-XIKOKIGWSA-N 411.285 4.899 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCC1(c2ccccn2)CCC1 553728528 CIDXRNPQOKFION-UHFFFAOYSA-N 402.323 4.573 5 20 HJBD CCc1noc(C)c1CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 559313991 CKFOKKRCMAOCHN-UHFFFAOYSA-N 417.469 4.596 5 20 HJBD CC(C)CC(=O)Nc1ccc(Cl)c(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1 560724389 MTSYKLHSXAFPRY-UHFFFAOYSA-N 417.893 4.548 5 20 HJBD CSc1ccc(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 561261690 BJARJZKMOSCALO-MRXNPFEDSA-N 409.389 4.831 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NCc2cc(OC)c(F)cc2[N+](=O)[O-])cc1 563656517 MLCMMKOEFWCNLN-OAQYLSRUSA-N 410.445 4.825 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccccc1Oc1ccccc1)c1ccc([N+](=O)[O-])cc1 569133313 JAVWFSVKXFFNKS-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2c(Cl)cccc2Cl)no1 570885341 AAIHSMAYUAMTFD-SNVBAGLBSA-N 421.240 4.618 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)Nc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 580545491 DZVSKWNWJFYIAW-UHFFFAOYSA-N 400.822 4.603 5 20 HJBD CSc1cccc(N(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C2CCN(C)CC2)c1 580930282 MIDXKCQAYGXEAV-INIZCTEOSA-N 413.543 4.548 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1F 582413579 LQZWLJVOTSLJPT-LBPRGKRZSA-N 411.389 4.630 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603645600 IOHMRTPJOHNTOX-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD CN(C)c1cc(C(F)(F)F)nc(Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])n1 604432865 TXGRGFGIWAUHDH-UHFFFAOYSA-N 404.348 4.929 5 20 HJBD COc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 608898605 PRCIKGVUPVBSCC-HNNXBMFYSA-N 406.442 4.732 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(CCC4CCCCC4)o3)n2)cc1 608929733 NNLZQZXWDJRTGN-UHFFFAOYSA-N 415.475 4.833 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1 609204301 FEBINCAVNNBRGB-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD COc1ccc(CSCC(=O)N2CCCc3c(Cl)ccc(F)c32)cc1[N+](=O)[O-] 609444477 KYNVMJSUEBDYFK-UHFFFAOYSA-N 424.881 4.609 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)c2)n1 729334426 NJWHSWQKBYJOFZ-UHFFFAOYSA-N 411.487 4.934 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)ccc1F 731792598 RRPOYBBWZGNDBY-UHFFFAOYSA-N 400.366 4.798 5 20 HJBD COc1ccc(C(=O)O[C@@H](Cc2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 733947527 WLWZXVSGXFDWKO-HNNXBMFYSA-N 403.740 4.587 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ncc(-c2ccccc2)o1 739778798 JRMJLPRQVVEPOF-INIZCTEOSA-N 420.469 4.731 5 20 HJBD COc1cccc(-c2nc(COC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cs2)c1 740392453 XVKCELYALZXLIJ-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD CC1CCN(c2nnc(SCc3cc(Cl)ccc3[N+](=O)[O-])n2C2CC2)CC1 746388510 BJYPTYVYOXNOKA-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD O=C(Nc1cnc2ccccc2c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749409463 CEULFZAZLBDPCO-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCCCC[C@H]3C[C@H](O)c3cccs3)nc2c1 751481089 MTYUSKYCVKPWHD-YOEHRIQHSA-N 401.488 4.666 5 20 HJBD Cc1c([N+](=O)[O-])cnc(Sc2nnc(-c3ccccc3)s2)c1Br 754032350 SVRWZLHWMLTAFW-UHFFFAOYSA-N 409.290 4.730 5 20 HJBD COc1ccccc1C[C@@H](C)CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760514834 LWMMZZJWYUDNBL-OAHLLOKOSA-N 410.426 4.571 5 20 HJBD O=[N+]([O-])c1ccc(CNC2CCN(c3nc4ccccc4s3)CC2)cc1Cl 761532861 ORKGQCDLOULPJG-UHFFFAOYSA-N 402.907 4.617 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(Oc2ncc(Br)cc2[N+](=O)[O-])c1 761893106 CUDQKWHDHGPDKT-UHFFFAOYSA-N 424.251 4.569 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(CC[C@@H](O)c2ccccc2)c1 762994061 PRDFIHYTDJVZHE-JOCHJYFZSA-N 420.465 4.669 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@H]2CCOc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 764363317 BZLBUTOYJAKLAK-QRQCRPRQSA-N 419.437 4.844 5 20 HJBD O=[N+]([O-])c1cnn(CC[S@](=O)C(c2ccc(Cl)cc2)c2ccc(Cl)cc2)c1 772827504 VIAGXDBEEUKFSW-MHZLTWQESA-N 424.309 4.636 5 20 HJBD CC(=O)Nc1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1C 774656798 FBTFOHASWPLEGQ-UHFFFAOYSA-N 404.295 4.654 5 20 HJBD CN(C(=O)/C=C\c1ccc2[nH]ccc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495662 OOTLORDWOMPAEI-CLFYSBASSA-N 404.451 4.876 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1CCSc2ccccc21 776219201 XWNPDNMPUORBIK-UWJYYQICSA-N 406.463 4.902 5 20 HJBD Cc1cc(COC(=O)CCc2ncc(-c3ccc(F)cc3F)o2)ccc1[N+](=O)[O-] 781172927 ROAXBUQFAORVGF-UHFFFAOYSA-N 402.353 4.512 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@H](c2ccccc2)CC1 782169523 LZZFUERKONPWBD-HNNXBMFYSA-N 403.276 4.767 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCCSc2ccc(F)cc21 787989025 YEOHCWBJVXRFBC-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F 790259886 ILKUYSJSQTZRQE-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cn1nc(-c2cccs2)n(C2CC2)c1=S 795644387 IEVUFDIUYQAROO-UHFFFAOYSA-N 415.544 4.518 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 797622892 ZJJXGYWXUBKFSM-UHFFFAOYSA-N 413.865 4.775 5 20 HJBD CC(C)(c1ccc(Cl)cc1)c1noc([C@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1 809520235 CWMUTHAZPKZWAO-QGZVFWFLSA-N 412.833 4.823 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2ccc(N3CCCCC3=O)cc2)cc1[N+](=O)[O-] 810264579 WHUBMEYVGLEELK-SJORKVTESA-N 411.502 4.922 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2cccs2)no1 811375067 UQMNKGGMJGGZTG-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD CC(C)(CCc1noc(-c2ccc(-c3ccccc3OC(F)(F)F)o2)n1)[N+](=O)[O-] 812815152 GEBIZPYWVPKCFS-UHFFFAOYSA-N 411.336 4.883 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813001928 MFBWGQXFPMDIFQ-CYBMUJFWSA-N 422.312 4.857 5 20 HJBD CC(C)(C)OC(=O)C1CCC(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 813129841 GORAXKUCAFWUSE-UHFFFAOYSA-N 417.289 4.532 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(-c4ccsc4C(F)(F)F)no3)cn2)cc1 904926000 PRJVOBLKIQWBIJ-UHFFFAOYSA-N 407.333 4.578 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@H](CO)Cc2ccc(F)cc2)o1 1116013272 QYHBFYMRUKLZFU-OAHLLOKOSA-N 418.852 4.588 5 20 HJBD CCc1oc2ccccc2c1-c1nc(Cc2cc(OC)cc(OC)c2[N+](=O)[O-])no1 1116812222 BFCXHAOYTBSREG-UHFFFAOYSA-N 409.398 4.561 5 20 HJBD COc1ccccc1-c1nnc(CSc2nc3ccc([N+](=O)[O-])cc3s2)o1 1318687689 NLZWPSVZBXUJJQ-UHFFFAOYSA-N 400.441 4.555 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(F)cc2OC(F)F)c1 1319906252 QOKWECGPSPRBDY-VIFPVBQESA-N 400.378 4.548 5 20 HJBD CC[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(OC)c(OC)c1 1340967504 YQOPYKLISNVKNT-MRXNPFEDSA-N 409.280 4.615 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 9899898 DSIVXKXSDNGZQK-FQEVSTJZSA-N 411.458 4.889 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3ccccc3)c(C(C)=O)s2)cc1[N+](=O)[O-] 14658069 RNTUYLCVURWDEP-UHFFFAOYSA-N 410.455 4.605 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(C(=O)Nc3nccs3)cc2)cc1[N+](=O)[O-] 24918346 HTBUQUOCXHRTOT-UHFFFAOYSA-N 424.482 4.853 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCO[C@@H](c2ccccc2)C1 27914611 HNIGEWHHBLXGFC-OAQYLSRUSA-N 420.490 4.960 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc12 31929788 MQBUABXATJEQQN-UHFFFAOYSA-N 406.467 4.576 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1ccccc1[N+](=O)[O-] 59888919 YPUTYVCYNJORRC-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD Cc1sc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)nc1-c1ccc(F)cc1 65716066 IODYORZDVWVWBW-UHFFFAOYSA-N 410.430 4.759 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 303018830 OGURFRNWNIOYMX-ZFWWWQNUSA-N 410.499 4.705 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cc(F)ccc3F)cc2[N+](=O)[O-])C1 303130888 IFVOHPFXQQHDGW-OKILXGFUSA-N 403.429 4.632 5 20 HJBD C[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cc(Cl)ccc1Cl 409934404 ZIXVWAFMMMDUNE-ZDUSSCGKSA-N 422.312 4.995 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410101542 NYLYCUPVEGINSE-UHFFFAOYSA-N 414.330 4.854 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(OCC3CCOCC3)cc2)cc1[N+](=O)[O-] 428898162 IEBSOQFGAFKEAF-INIZCTEOSA-N 413.474 4.591 5 20 HJBD COc1ccc(CNC(=O)Nc2ccccc2CN(C)c2ccccc2)cc1[N+](=O)[O-] 433763162 COCOSBQTDFMKNC-UHFFFAOYSA-N 420.469 4.562 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437098179 PCVQIDVMTVHMRK-UHFFFAOYSA-N 423.513 4.518 5 20 HJBD CN(CC[C@@H]1CCCCN1C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438484542 RFSMNNKKMXKSGH-SFHVURJKSA-N 412.490 4.725 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(F)(c2cccc(Cl)c2)CC1 439324869 JDHGQQWPGGNIFV-UHFFFAOYSA-N 407.829 4.750 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C[C@@H](C)O)[C@@H](C)Cc1ccc(Cl)cc1 441771304 WBWGJMZVWDHGAD-JKSUJKDBSA-N 419.909 4.711 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccc(F)c1Sc1ccccc1 444633940 WPKVSGFQBODOPQ-UHFFFAOYSA-N 422.441 4.691 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3sc(-c4ccc(C)o4)nc3C)n2)c1 445574887 OTQQELXAASGHDR-UHFFFAOYSA-N 412.427 4.578 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1Cl 460220325 GYHHHWUIPGCRII-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccco1)c1ccccc1OC 462616719 MYHCGNQSBNUIQU-VGOFRKELSA-N 408.454 4.671 5 20 HJBD COc1cc(CSc2nnc(-c3ccccc3F)n2C2CC2)c([N+](=O)[O-])cc1F 463419012 SKEHVGVNMVZACO-UHFFFAOYSA-N 418.425 4.767 5 20 HJBD CC(C)Sc1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464625029 GKVOEZZXMSVGDL-UHFFFAOYSA-N 400.500 4.779 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1occc1CN1c2ccccc2C[C@@H]1C 466498785 FZJGKIXLLUFDQM-HNNXBMFYSA-N 421.453 4.790 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](c2c(F)cccc2F)C1 470357737 SICUYUWZKHSHFO-JTQLQIEISA-N 400.303 4.522 5 20 HJBD CCc1ccc(C(=O)N2CCC(c3nc(C(C)(C)C)cs3)CC2)cc1[N+](=O)[O-] 471967078 XYCXYHXQZMHHHK-UHFFFAOYSA-N 401.532 4.931 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c1 475328838 FCZGIHYTYWCRCN-UHFFFAOYSA-N 405.410 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@@]2(CCCN(Cc3ccccc3)C2)C1 475546080 LEBSHZYHXJZASH-XMMPIXPASA-N 422.529 4.732 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cnc(Cc2ccccc2F)s1 476934841 XGFJTOMEEWBXTM-UHFFFAOYSA-N 408.414 4.582 5 20 HJBD Cc1ccccc1-n1cc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cn1 481960468 JPQXQNXQECTMOX-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cc(OC(F)F)ccc32)cc1SC 483461553 CRBGBLYXTDBOHG-UHFFFAOYSA-N 424.425 4.520 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1csc(-c2cccs2)n1 485382244 JKPYMWBCEVZHMK-LBPRGKRZSA-N 408.526 4.764 5 20 HJBD O=C(c1cc2sc3ccccc3c2s1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 486996904 JYDQKYSHHSEEDG-UHFFFAOYSA-N 412.496 4.698 5 20 HJBD C[C@@H](NC(=O)CN(Cc1ccco1)c1cccc(Cl)c1)c1cccc([N+](=O)[O-])c1 489228331 RAOWYKRCDREJNJ-OAHLLOKOSA-N 413.861 4.725 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 489937709 ZEWOSNWSZKBEAW-UHFFFAOYSA-N 410.455 4.887 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H](O)C34CCCC4)cc2[N+](=O)[O-])cc1 495685716 UCZBJKYSRGWCHF-FQEVSTJZSA-N 412.511 4.574 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498152154 HLQKLUIKZJNZCV-LJQANCHMSA-N 401.875 4.546 5 20 HJBD CN(C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)C1CCN(Cc2ccccc2)CC1 499526983 FUZRKZZCYGJQJP-UHFFFAOYSA-N 409.530 4.629 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(C)(C)CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 500111504 QSQKVFFGUGQOLW-IYBDPMFKSA-N 402.564 4.564 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 504712331 OLRHNICNWSRWJA-CQSZACIVSA-N 410.499 4.598 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2scnc2c1 505873574 YMUDSZKLHTYFLW-UHFFFAOYSA-N 410.377 4.664 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)C1 510774641 WCMNUHNJLWYUMF-BBWFWOEESA-N 424.501 4.596 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccsc1Br 511992148 BXQZPPOESDYQAR-UHFFFAOYSA-N 415.334 4.849 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](COc2ccccc2Cl)C1 513872674 LMECHZHNFNZDPN-CYBMUJFWSA-N 409.269 4.833 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(C)c3)n2Cc2ccco2)cc1 515540850 HTTJCPDUHFXOLM-UHFFFAOYSA-N 422.466 4.963 5 20 HJBD CC[C@H](NC(=O)Cc1noc([C@H](CC)Cc2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 516214115 VNANCKZLFPWJKO-XLIONFOSSA-N 422.485 4.524 5 20 HJBD CCN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)c1cc2ccccc2o1 519158023 YYGNOBIGKNGWCV-OAHLLOKOSA-N 404.426 4.750 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 525214716 BCNGCOMPXBMTET-UHFFFAOYSA-N 424.375 4.750 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(Oc2ccc(C(C)C)cc2)CC1 532919070 LINNKULWWSQRSD-UHFFFAOYSA-N 411.502 4.509 5 20 HJBD Cc1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)n1[C@@H]1CCC[C@@H](C)C1 535337794 WRAXXKMBFWGCTN-DGCLKSJQSA-N 423.926 4.630 5 20 HJBD CC[C@H]1CC[C@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 537890593 IOWKZOJDOUBDRB-BBRMVZONSA-N 413.440 4.620 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1 539360970 FFJUJFLQPIURLU-KRWDZBQOSA-N 419.481 4.868 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nc2ccccc21 539373596 PSNGIPDKPHODDI-CQSZACIVSA-N 412.515 4.956 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)F)cc1 540323523 JTEFCBNYNFQVGV-NWDGAFQWSA-N 404.373 4.658 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 541612820 ALXVJYSRMJDOSN-GJZGRUSLSA-N 418.465 4.758 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 541701601 UNDQJLNWZXJQBI-DENIHFKCSA-N 402.466 4.873 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cccc4cnccc34)no2)c2ccccc12 545085070 DKYFDWDEDIYADB-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(N3CCCC3=O)c2)no1 546991364 DJSUGRQSFGAXHM-ZDUSSCGKSA-N 410.455 4.625 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 554827269 ATMDMTCGFTVIHG-MOPGFXCFSA-N 421.522 4.955 5 20 HJBD Cc1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCC1CCOCC1 556276177 PQAKRBMBBMIBCR-UHFFFAOYSA-N 423.469 4.976 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(CN5CCCC5)cc4)no3)cc12 558279001 SRJHJACCNHLQOK-UHFFFAOYSA-N 422.488 4.994 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H]3CCC(=O)c4ccccc43)n2)cc1[N+](=O)[O-] 560055360 UKRZZHAAGVWGFP-INIZCTEOSA-N 407.451 4.726 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc(Br)c1C)[C@H](C)Cc1ccsc1 560115549 BLJGFNXCAOSMPP-LLVKDONJSA-N 411.321 4.821 5 20 HJBD Cc1c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cccc1-c1ncco1 560989928 QCGCQGVETZRRQU-UHFFFAOYSA-N 400.394 4.873 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1c(Cl)cc(Cl)c2cccnc12 564392272 YNOJSCZVHGVXDJ-UHFFFAOYSA-N 406.225 4.776 5 20 HJBD Cc1nn(CCC(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)c(C)c1[N+](=O)[O-] 565728663 ZSHZQDDRUSDXMW-UHFFFAOYSA-N 419.894 4.522 5 20 HJBD C[C@H](C(=O)NCC(C)(C)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 569066946 OCRHKDZGFNZLEI-ZDUSSCGKSA-N 405.292 4.555 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)c1 574921471 BHJNTZVMUNGJKI-UHFFFAOYSA-N 402.410 4.561 5 20 HJBD Cc1sc(NC(=O)COc2ccc(F)cc2Cl)nc1-c1cccc([N+](=O)[O-])c1 603997031 OAMSXDHJEPFJIO-UHFFFAOYSA-N 421.837 4.837 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)Cc4ccc(OC)c([N+](=O)[O-])c4)CC3)c[nH]c12 609016622 SQUMKLFBTPUPQL-UHFFFAOYSA-N 421.497 4.596 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 609500732 QYXBLCFWKQNCQE-OLZOCXBDSA-N 416.302 4.957 5 20 HJBD COc1cc(C(=O)N[C@@H](CCc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 610171113 GIPTZTQPEXWMEG-FQEVSTJZSA-N 420.465 4.716 5 20 HJBD COc1cc(C(=O)N[C@H](CCc2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 610171115 GIPTZTQPEXWMEG-HXUWFJFHSA-N 420.465 4.716 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 610597845 HKYGRXMIQBQVNU-UWVGGRQHSA-N 412.311 4.622 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CCCCOc1cccc(C(F)(F)F)c1 726431233 TYDJQNJHFMUJKY-UHFFFAOYSA-N 422.201 4.740 5 20 HJBD CC(C)C[C@@H](C)N(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)c1ccc2[nH]ccc2c1 727312410 HLQGIGHOQZFTKT-OAHLLOKOSA-N 423.469 4.929 5 20 HJBD COC(=O)c1ccc(Oc2nc3ccc([N+](=O)[O-])cc3s2)c(Br)c1 731209142 UXTSALXMCMQGSL-UHFFFAOYSA-N 409.217 4.546 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 736002100 WJQGCMZVRRNHNT-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(Cc2ccccc2F)n1 736157759 FXPOQPDIGMRYHI-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 742096774 RVBSEWSSLXEWTK-UHFFFAOYSA-N 406.822 4.743 5 20 HJBD O=Cc1cc(-c2ccccc2)ccc1OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 742452221 TULUYDDVOOEHCW-UHFFFAOYSA-N 401.378 4.703 5 20 HJBD CC(C)c1ccc(C(=O)[C@@H](C)OC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 744844437 CMPKVYRURYCNMV-OAHLLOKOSA-N 421.453 4.547 5 20 HJBD O=C(NOCc1ccc(C(F)(F)F)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 746932555 GIQWSXJNFADPRZ-UHFFFAOYSA-N 423.372 4.599 5 20 HJBD C/C(=C/C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccc(C(F)(F)F)cc1 748388183 FRLKEXJJTYOOJK-BENRWUELSA-N 422.359 4.507 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@@H]1CCc2ccccc2C1 751108722 VMPUZMFSDCUGQN-MRXNPFEDSA-N 417.303 4.767 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n[nH]1 755764387 OSBBYJPCOKXITG-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CCO2)cc1 757526272 LPFHFGVEYKGFFN-UGKGYDQZSA-N 410.470 4.581 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cncc(-c2ccc(Cl)cc2)c1 758111828 RYHUYDYYSBWUJD-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c1 762100120 ZLIHVMUCQIOZQX-NTKDMRAZSA-N 418.493 4.769 5 20 HJBD Cc1c(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cccc1[N+](=O)[O-] 762318719 FUQQVGXJPSIULP-NSHDSACASA-N 418.793 4.579 5 20 HJBD C[C@@H](C(=O)OCc1ccc(Br)c2cccnc12)c1cccc([N+](=O)[O-])c1 763396621 CCEFBMFKMMVZMU-GFCCVEGCSA-N 415.243 4.752 5 20 HJBD COc1ccc2[nH]c(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)cc2c1 763477745 VAXGOCJCLQTZCY-UHFFFAOYSA-N 408.458 4.573 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(N2CCCCC2)cc1 763860737 NNBWYEXJLVNFOI-UHFFFAOYSA-N 413.543 4.670 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781258691 BONCSOKRIOJQSY-UHFFFAOYSA-N 423.428 4.621 5 20 HJBD CC(C)(C)Oc1c(F)cc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1F 782221322 NSBOZXQJJFRTDF-UHFFFAOYSA-N 404.373 4.712 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(N3CCCCCCC3)c(F)c2)c1[N+](=O)[O-] 782830029 CUEISVAXQQAWRH-UHFFFAOYSA-N 403.458 4.603 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c(C)c2c1 790552797 CDYDDDJOKVHERW-LLVKDONJSA-N 420.824 4.870 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1Cl 793372602 WXKBZMKPTVJDPH-CQSZACIVSA-N 409.191 4.744 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 800356818 YEBILPBHFHKQOW-UHFFFAOYSA-N 422.281 4.796 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NCc1ncc(-c2cccs2)[nH]1 808055874 YGPZKENVSAGVNR-UHFFFAOYSA-N 413.337 4.586 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1 809912735 JCZSVBOQNIMEFH-LBPRGKRZSA-N 404.854 4.555 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c(COC(C)C)c1 811592261 DHPMZKDCTCFOGL-UHFFFAOYSA-N 404.488 4.980 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)Nc1cc([N+](=O)[O-])c(F)cc1F 825488092 PBRIIBSPHRANGQ-UHFFFAOYSA-N 409.801 4.831 5 20 HJBD CCC(=O)c1ccc(OC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 855190431 WOCUWXDXFMYVFS-UHFFFAOYSA-N 413.373 4.904 5 20 HJBD O=C(/C=C\c1ccccc1F)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 909834270 VPHJZGYJMBNAKE-JYRVWZFOSA-N 406.369 4.605 5 20 HJBD Cc1ccc(OC(=O)CCSc2ncnc3sc(C)c(C)c23)c([N+](=O)[O-])c1 920113460 DYBXGMQMROJLGD-UHFFFAOYSA-N 403.485 4.613 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCN(c2cc(Cl)ccc2Cl)C1=O)c1cccc([N+](=O)[O-])c1 920160574 XTYVQDXJEODPOA-IAQYHMDHSA-N 423.252 4.559 5 20 HJBD CC(C)(CNC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1cccc(C(F)(F)F)c1 1318839556 RBIRRDYHAARHPW-UHFFFAOYSA-N 407.392 4.617 5 20 HJBD Cc1nn(Cc2ccc(-c3nnc(C(C)(C)c4ccccc4)o3)cc2)c(C)c1[N+](=O)[O-] 1329064351 SEKHKZNNQYIZBC-UHFFFAOYSA-N 417.469 4.832 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN2CCC(c3nc4ccccc4o3)CC2)c1 1336912487 VDUQAPQKSOPBPV-UHFFFAOYSA-N 403.385 4.717 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(COc3ccccc3-c3ccccc3)o2)cc2c1OCO2 1349921706 GZRIFHGTLWRDEV-UHFFFAOYSA-N 417.377 4.620 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1 1353231268 IBOGTWCDGMNVSV-LSDHHAIUSA-N 408.298 4.540 5 20 HJBD C[C@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1cccc([N+](=O)[O-])c1 2064036 WCPHSCCDHDOOLP-NSHDSACASA-N 414.512 4.598 5 20 HJBD CC(=O)c1cccc(NC(=O)[C@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)c1 7797754 PHAFYIZDJMNXTQ-NSHDSACASA-N 401.469 4.526 5 20 HJBD CC[C@H](C)[C@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 9098114 BEZGMDQRDKIGLI-DJJJIMSYSA-N 404.850 4.560 5 20 HJBD O=C(Nc1ccc(SC(F)F)cc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 16018938 CHFIRUSAALKMEL-UHFFFAOYSA-N 407.442 4.765 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccccc2Oc2ccccc2)cccc1[N+](=O)[O-] 22742428 FKMZIZIUPYKDAU-INIZCTEOSA-N 420.421 4.880 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCc1nc(-c2cc(Br)cs2)no1 195560973 KXNKKDVCNSCAPX-UHFFFAOYSA-N 409.265 4.698 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3ccc([N+](=O)[O-])c(C)c3)s2)c1 301063141 BHZIQVSYEVYNEM-UHFFFAOYSA-N 401.473 4.608 5 20 HJBD COc1cccc([C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2nccn2C)c1 301878723 OJRDYKYYWIJSEJ-JOCHJYFZSA-N 415.453 4.600 5 20 HJBD O=C(Nc1nnc(C(F)F)s1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 409455459 HPFVUEFBVPXQHF-UHFFFAOYSA-N 408.411 4.787 5 20 HJBD COc1cc(C(=O)Nc2ccc3ccc(Cl)cc3n2)c([N+](=O)[O-])cc1OC(F)F 410419388 GLCYTHMZTAWQNT-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD O=C(c1cc2ccccc2n1CC(F)(F)F)N1CCCc2ccc([N+](=O)[O-])cc21 426888281 FZNLFGQEDRXBGW-UHFFFAOYSA-N 403.360 4.705 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2cccc(F)c2)C(C)(C)C)c([N+](=O)[O-])cc1OC 427884207 SICTXSPLXJMDGC-LJQANCHMSA-N 404.438 4.658 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c(-c2nc(C3CC3)no2)c1 431206592 DVAVKYWBJJFWJE-UHFFFAOYSA-N 421.457 4.887 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 433955772 QPAGHUBDTJRPMZ-RDTXWAMCSA-N 410.499 4.677 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 436355544 DWTDIAJHIUUFOD-CQSZACIVSA-N 418.318 4.928 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(-c2cc3ccccc3o2)o1 436407462 SWJNGWDFPAIHJQ-UHFFFAOYSA-N 408.317 4.956 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(C(C)(C)C)cs1 437159517 FYWUXVJQUKJLPX-SECBINFHSA-N 401.410 4.859 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1[N+](=O)[O-] 444164063 CEPWHCTWJCDKEE-CYBMUJFWSA-N 419.412 4.528 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3ncc(C)cc3[N+](=O)[O-])C2)n1 447686355 DYWRWGIFEFLRFF-HNNXBMFYSA-N 410.499 4.814 5 20 HJBD CCOCCCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N(C)[C@@H](C)c1cccs1 460775080 DNWCZQYUYBAXPK-HNNXBMFYSA-N 415.519 4.539 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC3(CCC3)[C@@H]2C2CC2)cc1[N+](=O)[O-])c1ccccn1 461195184 QPDHFNUQJLJBBG-BTYIYWSLSA-N 406.486 4.568 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 461394303 IOURDILOQQOHDO-QGZVFWFLSA-N 407.470 4.640 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCOc4c(F)ccc(F)c43)cs2)c1 463025986 YJUBWHZEIDPGSV-MRXNPFEDSA-N 403.410 4.610 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 466270447 KGUSZGLUCWYFQT-INIZCTEOSA-N 407.495 4.562 5 20 HJBD O=C(CCCCc1nc2ccccc2s1)NCc1ccc([N+](=O)[O-])cc1Cl 466287456 KMCRSWIXIYETDA-UHFFFAOYSA-N 403.891 4.887 5 20 HJBD COC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)cc(Cl)c1 466999021 ULDGZPGMYHPBHF-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC(C)C)c2ccccc2OC)cc1SC 471470765 JSEIXHDZIXWMTI-MRXNPFEDSA-N 418.515 4.851 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccc(COCC(F)(F)F)c1 475644565 ZSJUKISHVOZUND-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD CC(C)CN(C(=O)CC1CCC(=O)CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 475803265 BZOOXZDBPQENDF-UHFFFAOYSA-N 415.515 4.857 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1(c2ccc3c(c2)OCCO3)CCCC1 481060905 RCSCLEAWKXBLRE-UHFFFAOYSA-N 411.458 4.574 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1C 482802137 PPYUUDZPJUZNGM-OAHLLOKOSA-N 407.474 4.935 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@@H]1CCc2ccccc2C1 483380610 TXTPKMMJDZWMNC-QGZVFWFLSA-N 414.527 4.735 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)cc1 483657186 RUCBSKISJXAGSP-LBPRGKRZSA-N 418.400 4.659 5 20 HJBD COc1ccccc1N(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)C(C)C 484901242 YJVBSMWZZXTBBW-UHFFFAOYSA-N 422.485 4.520 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@H](Nc2ccccc2)C1 485783506 HCMYDFMOSUVMEJ-FQEVSTJZSA-N 416.481 4.534 5 20 HJBD CC[C@@H](C)c1nc([C@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 486947446 CDUZBNLDYZOLHT-KGLIPLIRSA-N 424.461 4.901 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1C[C@H](C)O[C@@H](c2cccc(C(F)(F)F)c2)C1 488686252 NSYDNPNRIZVFLI-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492868906 DIUSYMWBOYXAGQ-RTBURBONSA-N 415.287 4.652 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)CNc2ccccc2[N+](=O)[O-])cc1 496614183 XWIOYMOWBZWOCY-DEOSSOPVSA-N 418.497 4.602 5 20 HJBD O=C(c1cc(-c2ccc(Cl)cc2)on1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498160152 ZWVPWIPBQHWGNU-LJQANCHMSA-N 415.858 4.791 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 510585115 RUTSFUPBQLMQTF-INIZCTEOSA-N 420.263 4.735 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N[C@H]1CCSc2ccc(F)cc21 510832510 ISDKNJAOJPQJIE-LBPRGKRZSA-N 413.377 4.694 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(Oc2ccccc2Cl)CC1 513890981 XSMSWGAANLTBBX-CQSZACIVSA-N 420.918 4.799 5 20 HJBD C[C@H](C(=O)N1C[C@@H](c2cccc(C(F)(F)F)c2)OC[C@@H]1C)c1cccc([N+](=O)[O-])c1 515494695 WTCNBOUBEYTELT-NJSLBKSFSA-N 422.403 4.706 5 20 HJBD COC(=O)c1ccoc1CSc1ccccc1C(=O)Nc1cccc([N+](=O)[O-])c1 515638741 MZCFNXDSJHKGMX-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 515796008 VHWSUAPHAVJLGB-UHFFFAOYSA-N 416.840 4.625 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 519086408 PPLGRXMPIYLYEG-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(-c2cn3ccsc3n2)cc1 520962802 VKAHTQJJPWQBFD-UHFFFAOYSA-N 417.450 4.564 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 525101642 BRWMRMHHXNBPLC-UHFFFAOYSA-N 409.269 4.833 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCC[C@@H](c4nc5ccccc5s4)C3)n2)cc1 533334709 DYLWZMBKJHASSJ-OAHLLOKOSA-N 421.482 4.634 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(C/C=C\c2ccccc2[N+](=O)[O-])CC1 539900010 LIUKSJOGBSQTHE-YVMONPNESA-N 413.543 4.686 5 20 HJBD CCc1ccc([C@H](N[C@@H](C)c2ccc([S@](C)=O)cc2)c2ccc([N+](=O)[O-])cc2)o1 541565167 APVRULYLLUDRMC-RHVXWGOOSA-N 412.511 4.928 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(C)C)n2)cc1F 541685682 CGWUMKYWQMIBDY-AWEZNQCLSA-N 414.437 4.730 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2cc(C)[nH]n2)CC1 545447377 XUCLAYXSUPRDMO-UHFFFAOYSA-N 402.520 4.538 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccnc(OC5CCC5)c4)no3)cs2)cc1 546466955 IVTCZUHCWNJIQR-UHFFFAOYSA-N 421.438 4.762 5 20 HJBD COc1cc(CN[C@@H](C)c2ccc(OCc3cccnc3)cc2)c([N+](=O)[O-])cc1F 547335466 KEYOKHXFBWDXSE-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCCO)c1ccc(Cl)cc1 557673678 QXBSXNWYWIMTCH-SFHVURJKSA-N 422.934 4.502 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1csc(-c2ccncc2)n1 559023541 NZGQIPACXLFNSQ-UHFFFAOYSA-N 400.485 4.868 5 20 HJBD Cc1nc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)sc1C(F)(F)F 565260461 QEAHLSKQKLMVOJ-UHFFFAOYSA-N 400.382 4.575 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 567529437 RRLSATZUUOXUMD-JLTOFOAXSA-N 413.861 4.865 5 20 HJBD Cn1cc(CNC[C@H](Cc2ccccc2)C(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 568032209 GBILXRBTLAGTCJ-SFHVURJKSA-N 418.419 4.506 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H]3[C@@H]3CCCO3)cc2[N+](=O)[O-])cc1 568424297 LCULGBJDPACDLG-ICSRJNTNSA-N 412.511 4.838 5 20 HJBD O=C(CSCc1c(F)cccc1F)Nc1ccc([N+](=O)[O-])cc1Br 568567435 YLTPKWSFQDPJFW-UHFFFAOYSA-N 417.231 4.507 5 20 HJBD COc1ccc([C@@H](C)Nc2ncnc3sc([N+](=O)[O-])cc23)cc1Br 587044977 FKSDBOILNLTJMQ-MRVPVSSYSA-N 409.265 4.544 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCc2sccc2[C@@H]1c1cccs1 591744894 KKBPCPFQUOEZFS-HXUWFJFHSA-N 413.524 4.694 5 20 HJBD C[C@H](c1ccccc1F)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603737071 SZDQGOMRANCWSG-LLVKDONJSA-N 400.328 4.508 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1OC(F)F 603943423 DSDJPEDIIFBHDP-CYBMUJFWSA-N 405.401 4.605 5 20 HJBD COc1cc([C@@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 603986877 QVFICLAAGRSXMW-QGZVFWFLSA-N 420.465 4.602 5 20 HJBD Cc1cc(N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604520504 YKWKIDOSUCLZJN-REWPJTCUSA-N 418.497 4.964 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)o1 609039658 CUAKXGPMHINOSR-CQSZACIVSA-N 410.455 4.556 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1cc(F)cc([N+](=O)[O-])c1 609441812 FONYTGNNIUXVHB-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)c1sc2cccc(Cl)c2c1Cl 609454875 XQUZLAHGBMWNEN-UHFFFAOYSA-N 411.266 4.925 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609722197 JUESUUZAIBSMCV-GOSISDBHSA-N 418.877 4.780 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(C)c2)C1 610160000 XRXTZKTUIDRKCD-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC(OCC2CCCCC2)CC1 610237037 SEHPUYAMIOUYDJ-HNNXBMFYSA-N 424.925 4.603 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1F 611200091 HWAJCDJYWNSZME-UHFFFAOYSA-N 404.463 4.707 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccc(C(F)(F)F)n3)cc2[N+](=O)[O-])C1 726148370 OVVLBRTZGKVQQI-STQMWFEESA-N 422.407 4.743 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)cc1 726958736 JQHICOQMQACCKX-UHFFFAOYSA-N 422.462 4.853 5 20 HJBD O=C(OCc1nccn1CC(F)(F)F)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 727775995 XTKBEQQETXKCIN-UHFFFAOYSA-N 421.331 4.503 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2nc(-c3cccs3)oc2C)cc1SC 728650699 KKZFBDCFUKUJIM-UHFFFAOYSA-N 420.468 4.707 5 20 HJBD O=C(NC[C@@H](O)c1ccccc1Cl)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 740932612 PZQQLKFMONDBDP-LJQANCHMSA-N 412.829 4.504 5 20 HJBD CCN(C(=O)[C@@H](C)OC(=O)c1cc(C)ccc1[N+](=O)[O-])c1cccc2ccccc12 745388841 WETIVBLOZJKBJN-MRXNPFEDSA-N 406.438 4.655 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@@H](OC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F 746437786 PTBMYOQATJUEHF-GOSISDBHSA-N 419.359 4.858 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1ccc(Cl)c([N+](=O)[O-])c1 746562570 XOIQYNUSEJCVAF-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1ccc(Br)c([N+](=O)[O-])c1 748686217 QTNKSWOHYLBFGW-UHFFFAOYSA-N 409.211 4.680 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)c1cc([N+](=O)[O-])ccc1Cl 749521989 YVXYRAPAAYHDNW-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nnc(-c3cccs3)n2-c2ccccc2)c1 749639090 JZMQFAPFISZXNP-QGZVFWFLSA-N 424.507 4.730 5 20 HJBD COC(=O)[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1Cl 756880023 DZHMNUPURLIDJX-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD C[C@@H](OC(=O)CN(C(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 761106227 OVMNWBIOYXQLNF-OAHLLOKOSA-N 400.431 4.641 5 20 HJBD O=C(NC[C@@H](O)c1ccsc1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 762501116 QAIWFEMKMGCVJA-QGZVFWFLSA-N 418.858 4.565 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@H]1C[C@H](O)c1ccco1 762986073 IBJSNJBMFCYLGT-IEZWGBDMSA-N 418.515 4.563 5 20 HJBD O=C(Cc1cccc(OCc2cccnc2)c1)OCc1ccc(Cl)c([N+](=O)[O-])c1 770734766 OVGYXMPGZVKUBT-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)sc1-c1ccccc1 778626095 BGSRVNWZUUTNBC-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CC(C)(C)OCCCCOC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 789101060 GZXJTKMYIJATPB-UHFFFAOYSA-N 403.425 4.712 5 20 HJBD COc1cc(CNc2ccc(OC(F)F)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1O 793316617 LBYNEEOEESCHRX-UHFFFAOYSA-N 408.279 4.541 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@@H](c1nc2ccccc2o1)C(F)(F)F 798206906 CGXNSJTUYXLERN-KRWDZBQOSA-N 419.315 4.607 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803796444 ROGBAJKVIJULKS-GJZGRUSLSA-N 420.425 4.540 5 20 HJBD O=C(O[C@@H]1CCOc2c(F)cc(F)cc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 806011401 UGWXDCWKKAIKMJ-MRXNPFEDSA-N 418.377 4.677 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc3c2COC3=O)cc1[N+](=O)[O-] 808478016 XLWFDBMLIFHWKG-UHFFFAOYSA-N 400.456 4.656 5 20 HJBD CC(=O)N[C@@H](CC(C)C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809362630 GIRZFSWJEAJPAE-IBGZPJMESA-N 410.430 4.660 5 20 HJBD CC[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1nc(C(F)(F)F)cs1 809917400 GQBIBFGUPCMTGH-ZETCQYMHSA-N 408.789 4.996 5 20 HJBD C[C@H](N[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1)c1ccc(N)c([N+](=O)[O-])c1 815574718 AOVRHGUOVFGOET-YCRPNKLZSA-N 419.460 4.758 5 20 HJBD CN(Cc1cccc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)c1)C(=O)OC(C)(C)C 816864634 SPLZUQXGWNLBDP-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD COc1cc(COC(=O)/C=C\c2ccc(Cl)s2)c([N+](=O)[O-])cc1OC(F)F 829100377 RICFFJNNNSPCHZ-HYXAFXHYSA-N 419.789 4.676 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2SC(F)(F)F)cc([N+](=O)[O-])c1 915655332 MSSULDUQAHLPSN-UHFFFAOYSA-N 414.361 4.636 5 20 HJBD O=C(Nc1nc(-c2ccc(F)c(Cl)c2)cs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 920009629 JQVSGVXJQDQXFU-UHFFFAOYSA-N 422.781 4.671 5 20 HJBD COc1ccc(Cc2nnc([C@@H](C)Oc3ccc4ccccc4c3)o2)cc1[N+](=O)[O-] 1322398959 GRIJYAFABRVVRB-CQSZACIVSA-N 405.410 4.870 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1N1CCCC1=O 1324295154 NMSYSMJXQYQRJB-UHFFFAOYSA-N 408.241 4.589 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)s2)C1 1330126467 FMPADLCQSKCKSG-LLVKDONJSA-N 421.689 4.830 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1338006631 YBLQCHMDXPIRQQ-KRWDZBQOSA-N 420.431 4.883 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(-c2cc(Br)cc([N+](=O)[O-])c2)o1 1349923874 WZSHQIMKGOGRKI-VIFPVBQESA-N 408.183 4.686 5 20 HJBD O=C(OCC(=O)N(c1ccccc1)C1CCCCC1)c1cc([N+](=O)[O-])ccc1Cl 5850954 VDZGOPAPIAUNJB-UHFFFAOYSA-N 416.861 4.771 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1c[nH]nc1-c1ccc(Cl)cc1 10376386 BENVATMFUVBYDS-UHFFFAOYSA-N 405.241 4.564 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc2c(c1)OCO2)C1CCCC1 18891121 KAYYWLVZIMUCAN-UHFFFAOYSA-N 402.834 4.562 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc2cc(C)c3ccccc3n12 26368033 JJUHQBJXORYUDB-UHFFFAOYSA-N 421.482 4.528 5 20 HJBD CC(=O)N(c1ccc(Cl)cc1)c1nc(COc2ccc([N+](=O)[O-])cc2)cs1 54912374 JRHVNKWCCNEFBZ-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccn2)cc1[N+](=O)[O-])c1ccccn1 55523965 QKEVTOFSFYZWOW-IIBYNOLFSA-N 417.469 4.535 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Cn2cnc3ccccc32)cc1 61733429 AEJFVXFWBFFTAT-UHFFFAOYSA-N 415.453 4.623 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc2c(c1)OC1(CCCCC1)O2 65721410 MZYQEGFCLXMWOX-UHFFFAOYSA-N 407.426 4.620 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(OCc2ccc(F)cc2)c1 117359393 FVLLHNYMIONZQG-UHFFFAOYSA-N 410.401 4.721 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(N2CCCC2=O)c1 237641649 ZQFFMUQZLJBEAU-HNNXBMFYSA-N 422.510 4.696 5 20 HJBD O=C(Nc1cccc(CNc2ncc([N+](=O)[O-])cc2Cl)c1)c1cccc(F)c1 301268925 IYWOFQSOZDDWFS-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 303794042 VKZFKLRGDISSBR-JTQLQIEISA-N 418.462 4.823 5 20 HJBD Cn1cc(C(=O)N2C[C@H](c3ccccc3)c3ccccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 436264293 JBZBXZAVKGDAEY-OAQYLSRUSA-N 424.460 4.788 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1nc(C(C)(C)C)no1 437133585 DGYGZZJXDKBHBU-CYBMUJFWSA-N 410.430 4.559 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cnccc1-c1cccc(F)c1 439693468 SRDMAHQSTGBBFA-UHFFFAOYSA-N 423.447 4.995 5 20 HJBD CCOCc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 440433965 YQWVLBMAVRMGAZ-UHFFFAOYSA-N 414.405 4.881 5 20 HJBD Cc1[nH]nc2ncc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc12 441819585 HAWAGQDYUKBWGQ-UHFFFAOYSA-N 405.439 4.578 5 20 HJBD COc1ccc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC1CCCC1 443944609 HIRJKJUJZQHBBQ-UHFFFAOYSA-N 413.474 4.863 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 443967218 PWSPPJZMINRPIL-HNNXBMFYSA-N 402.426 4.586 5 20 HJBD O=C(NCCc1c(Cl)cccc1Cl)c1cccc2c(=O)c3ccccc3[nH]c12 445177932 HCQANOXJGXODAB-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 448105419 RYUAURVYLWLKJG-HNNXBMFYSA-N 412.298 4.752 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2c(C)nn(C)c2Sc2ccccc2)cc1[N+](=O)[O-] 463578309 UEXMKSVNSVNRCW-CQSZACIVSA-N 410.499 4.587 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1c(F)cccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464074625 VUVZFKHWRJPKRU-GWCFXTLKSA-N 402.731 4.692 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@H](C)c1sc(-c2ccccc2)nc1C 469398674 YWKHNCMUBKBTOH-CQSZACIVSA-N 411.483 4.869 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 470130032 ACNPRAZIDYCPHR-UHFFFAOYSA-N 423.469 4.532 5 20 HJBD Cc1nc2cc(C(=O)Nc3ccc([N+](=O)[O-])cc3C(=O)c3ccccc3)ccc2o1 470730079 OMCMDETXJVAWJL-UHFFFAOYSA-N 401.378 4.528 5 20 HJBD CN(Cc1nc(C(F)(F)F)cs1)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 470874371 ACBMUVJQHLAVQL-UHFFFAOYSA-N 421.400 4.938 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)c1ccccn1 475571943 WKPNDHMMCPWVEX-NRFANRHFSA-N 406.442 4.526 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1ccccc1[N+](=O)[O-] 476771891 LGBOIALDTYHFDI-UHFFFAOYSA-N 400.438 4.830 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cnn(C)c1 480715964 JXKZCZDRHHRCOL-NQIIRXRSSA-N 414.506 4.717 5 20 HJBD CCc1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1C 482751104 ZMUDULAPLZWGOT-UHFFFAOYSA-N 419.485 4.504 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 485502812 OYRNVKPPQNSSHM-SECBINFHSA-N 417.712 4.775 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486108829 VRGZXOQJHOJHMX-QZTJIDSGSA-N 404.388 4.908 5 20 HJBD O=C(Nc1ccc(F)cc1-c1ccc(F)cc1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 487421768 VBOBCPRKWAXRSN-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3F)s2)c1 487635886 QEOUQQJNZBLXDV-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)ccc1Oc1ccncc1 487673259 IUPBBLGBEFNZLN-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD C[C@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncc([N+](=O)[O-])cc1Cl 488476720 KEQUKQOYIXXWJO-BONVTDFDSA-N 401.772 4.628 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccccc2C)OC[C@H]1C 490010172 LOZXCGQWRXYICU-DNVCBOLYSA-N 400.500 4.617 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 493339317 SKSMHDACHZXHHA-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD O=C(Nc1cc(C(F)F)ccc1Cl)N1CCN(c2cccc([N+](=O)[O-])c2)CC1 497294511 PFGIFSIQAVEMMJ-UHFFFAOYSA-N 410.808 4.540 5 20 HJBD COCCN(C(=O)c1cc(C)cc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 500307467 SXVOUTDWTIUGHY-CQSZACIVSA-N 410.392 4.772 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])cc2Cl)CC1)c1ccccc1 502321940 XJDILRSJQXOYQB-SFHVURJKSA-N 401.894 4.845 5 20 HJBD CCCN(C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)[C@H](C)CC(=O)Nc1ccccc1 505391960 NAPZKFIQZILEQF-MRXNPFEDSA-N 412.490 4.873 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 505889195 XYLJVJQAQNDQMA-XJKSGUPXSA-N 406.866 4.682 5 20 HJBD CCOC1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCCC1 506384152 WDTJTNZDNIVBHV-UHFFFAOYSA-N 400.500 4.825 5 20 HJBD O=C(c1cc(NCCCc2cccc(C(F)(F)F)c2)ccc1[N+](=O)[O-])N1CCCC1 506786743 SFGLSWQDKJBCFE-UHFFFAOYSA-N 421.419 4.894 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(Cl)c1 508253359 GTWQFPZHBWIQOX-UHFFFAOYSA-N 424.844 4.604 5 20 HJBD CC(C)(C(=O)NCc1ccnc(Oc2ccccc2F)c1)c1ccccc1[N+](=O)[O-] 508735709 TULNGBQIMLQUJZ-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccsc3Br)o2)c([N+](=O)[O-])c1 509429781 MJUFLDMPRHNBEC-UHFFFAOYSA-N 423.288 4.977 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)NCc1ccccc1[N+](=O)[O-] 511729293 YOBAVRQOSCCWSV-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=C(CCc1nc2ccccc2s1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 514602683 VSFXZWLJBIROBI-UHFFFAOYSA-N 410.480 4.899 5 20 HJBD O=c1c(Cl)c(N[C@H]2CCc3sc(Cl)cc32)cnn1-c1ccc([N+](=O)[O-])cc1 514786378 AXXMGNDYELXZCN-LBPRGKRZSA-N 423.281 4.608 5 20 HJBD O=C(NC[C@H]1CCOc2ccccc21)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 518193542 LPVJPJDVTHSKKG-GOSISDBHSA-N 417.465 4.503 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 518911234 ATJHVBOYSBMIGN-UHFFFAOYSA-N 420.469 4.794 5 20 HJBD O=C(CCCOc1ccc(F)cc1F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 520014950 PREXDUGABFZIES-UHFFFAOYSA-N 419.409 4.794 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccco1)c1ccccc1 521267919 DRIGBTLMZKOYRR-CQSZACIVSA-N 400.818 4.842 5 20 HJBD COc1ccc(-c2ccc(CNCCc3cccc4cccnc34)o2)c([N+](=O)[O-])c1 522145612 KDLYOSNGEPNUJZ-UHFFFAOYSA-N 403.438 4.744 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 530787048 SXSSHYIYRARPFX-PMERELPUSA-N 422.506 4.615 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC(c2ccccc2F)CC1 530948639 WGERCUUJBVAPBI-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1 532678460 JVKNPJXYPNVVSD-HNNXBMFYSA-N 424.478 4.999 5 20 HJBD CC[C@H](c1ccc(F)cc1F)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795799 OYHOQCWKERELKS-KWMCUTETSA-N 406.410 4.928 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(Br)cc2C(C)C)ccc1[N+](=O)[O-] 535522033 CJARDMHCSOPVOV-UHFFFAOYSA-N 423.263 4.507 5 20 HJBD Cc1cc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)nn1[C@H](C)C1CC1 538500505 JNTHUAOFSFFNAO-OAHLLOKOSA-N 418.453 4.554 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(OC2CCC2)cc1Cl 539801266 VRHXEOGICRIQBG-UHFFFAOYSA-N 409.269 4.805 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 539909094 UIDSTKJOMOHYAH-UHFFFAOYSA-N 407.264 4.934 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2cccc([N+](=O)[O-])c2C)C3)cs1 540066445 ZJXXHNPERPZUEI-UHFFFAOYSA-N 414.512 4.987 5 20 HJBD Cc1ccc([C@H](OC[C@@H](O)CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 540376034 OERHIZXKCNHHRV-PNTFMKQHSA-N 420.509 4.721 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)cc1[N+](=O)[O-] 541101854 VNTFUXZJUVIYOH-CQSZACIVSA-N 419.865 4.580 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cnn1CCC(C)C 551771017 JSNPNPJBAZFSLT-UHFFFAOYSA-N 423.311 4.723 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccccc2Cl)n1 552609731 PTSWLIRIYUUYKE-UHFFFAOYSA-N 415.902 4.615 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](c2cncc(Br)c2)C2CC2)cc1OC(F)F 553252287 GGDUBTVPQNCHKH-OAHLLOKOSA-N 414.206 4.917 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 557453367 WLPGEDHGSOKMFD-HOCLYGCPSA-N 408.376 4.688 5 20 HJBD CCCc1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 567144692 ZLBGNQRSPKGBBG-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD CS[C@H]1C(=O)Nc2ccc(-c3noc(-c4ccc(C(C)C)c([N+](=O)[O-])c4)n3)cc21 577916848 CXBAHRPVHDHPSQ-QGZVFWFLSA-N 410.455 4.791 5 20 HJBD C[C@H](C(=O)NCc1cccc(NC(=O)C2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 581861225 SSRILVACVNDUBF-INIZCTEOSA-N 409.486 4.534 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 582897187 DFEKWSOUHDSTMB-UHFFFAOYSA-N 400.765 4.889 5 20 HJBD O=C(Nc1nc(CC(F)(F)F)cs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 590531841 LSICILSBHLCTMU-UHFFFAOYSA-N 414.390 4.532 5 20 HJBD Cc1ccc(O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c(Br)c1 603751635 UONGNUPLNFJSFD-CYBMUJFWSA-N 407.264 4.689 5 20 HJBD COc1ccccc1N1CC[C@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 608836737 BRDUERXVMKLJAO-QGZVFWFLSA-N 423.538 4.948 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc(-c2ccc(F)c(F)c2)no1 609476041 ZGSQSHNXMPNBDH-UHFFFAOYSA-N 414.290 4.596 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548303 VHSISOKOBJHFBR-UHFFFAOYSA-N 417.824 4.694 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1csc(C2CCCC2)n1 609769534 IVLKRDBGZOKKKM-UHFFFAOYSA-N 419.528 4.940 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(F)cc1F)c1ccc([N+](=O)[O-])s1 609908076 XHAZBESIHGCGMQ-UHFFFAOYSA-N 416.365 4.633 5 20 HJBD CCOC(=O)c1ccnc(NCc2ccc(Oc3cc(C)ccc3C)nc2)c1[N+](=O)[O-] 609964714 YNHXSUPWKKXIKR-UHFFFAOYSA-N 422.441 4.583 5 20 HJBD C[C@H](NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 610640386 SNRAWBDCKIUEPU-ZDUSSCGKSA-N 407.392 4.559 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611202334 ABQUWPNVORYYBK-UHFFFAOYSA-N 413.499 4.865 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(OCc3cccnc3)cc2)no1 617813024 YGWQZZNLLUVTFQ-OAHLLOKOSA-N 402.410 4.771 5 20 HJBD O=C(Nc1cnn(-c2ccccn2)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619654644 ZHQPPBNHLPULQL-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1ccc(Cl)c([N+](=O)[O-])c1 730181778 XMQGSPCQBBTBKF-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CC[C@@H]1CCC[C@@H](OC(=O)c2cc(OC)c(OCc3cscn3)cc2[N+](=O)[O-])C1 734723788 VDULMWLOTVQNCT-UKRRQHHQSA-N 420.487 4.765 5 20 HJBD O=Cc1ccc(OCCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735274333 YAUCTQMPJSIDOV-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C1(c2ccccc2F)CCCC1 735445650 PSTSWGHYLSDLBJ-UHFFFAOYSA-N 410.401 4.944 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(NC(=O)c2cc3ccccc3c3cccnc23)CC1 739803608 DOLKHJBIRBBSQY-UHFFFAOYSA-N 419.525 4.954 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1ccco1 741516211 GHTFALQEPOWMDX-GFCCVEGCSA-N 414.801 4.803 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCC[C@H]1C[C@H](O)c1ccco1 752106007 ZBSFILCEQLATCC-RDJZCZTQSA-N 414.483 4.911 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ncoc1-c1cccc(C(F)(F)F)c1 752199321 BNIYERBSRCNYAT-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(C[C@H]1C(=O)Nc2ccccc21)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 752216216 GQVDJSRWFKMQQK-HXUWFJFHSA-N 418.405 4.556 5 20 HJBD C[C@@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc2ccccc2c1 753512910 CUTZQKBHLPFDBK-CYBMUJFWSA-N 412.829 4.687 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])cc1F 754268493 CYMMPGWDXRISER-LLVKDONJSA-N 416.796 4.712 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1 755025509 YOEHCHRZFRZUGI-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD O=C(OCc1nc(-c2ccccc2)cs1)c1cccc([N+](=O)[O-])c1Br 758077152 VBYCCIYJQYOROY-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1csc(-c2ccc(F)cc2)n1 758733325 UABNLMLEGHTJAL-UHFFFAOYSA-N 411.414 4.625 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)c1 762342883 NVIVJUSLFBWOLY-UHFFFAOYSA-N 415.877 4.939 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 762998030 GXGPNCNNCIGLTD-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD C[C@@H](NC1CCN(C[C@H]2CCCO2)CC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 765973102 CMCAZRKZDWCTQS-SJLPKXTDSA-N 415.559 4.617 5 20 HJBD C[C@@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1 766328910 HSTOLQSRQGQTDU-LLVKDONJSA-N 411.683 4.773 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767191620 WFCPSLSPESJDGM-LLVKDONJSA-N 400.303 4.522 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)OCc1ccc([N+](=O)[O-])cc1Cl 778093325 PYGUTDLDMKLGJM-UHFFFAOYSA-N 418.858 4.880 5 20 HJBD C[C@@H](c1ccccn1)N(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1ccc(N(C)C)cc1 779465162 UBGBMNYYVIREKJ-VUZIPCMWSA-N 416.481 4.863 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780580697 LZMGTMUJYKLGNJ-UHFFFAOYSA-N 417.490 4.707 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@@H]1CCCCS1 783355803 OAZVBEUUJSCXGU-IBGZPJMESA-N 411.435 4.559 5 20 HJBD Cc1coc(C)c1C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 785744318 GJOUSXZBYOVLGO-UHFFFAOYSA-N 414.801 4.930 5 20 HJBD Cc1c(CNC(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cccc1[N+](=O)[O-] 790565231 UJZBLBISFWRLAJ-INIZCTEOSA-N 407.392 4.621 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1OCC(F)(F)F 790912457 QTMOTGRVBFQYNQ-UHFFFAOYSA-N 424.709 4.649 5 20 HJBD O=C(CSc1nc2cccc(Br)c2s1)Nc1ccccc1[N+](=O)[O-] 794516030 GZGSYGGYWIJAJG-UHFFFAOYSA-N 424.301 4.698 5 20 HJBD CCC(=O)c1ccc(N2CCC[C@@H](CNC(=O)Nc3ccc(C)cc3)C2)c([N+](=O)[O-])c1 795084837 HPARYJDAGOCHMV-KRWDZBQOSA-N 424.501 4.534 5 20 HJBD CC(C)O[C@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 797278063 DAANLMHVZQYKGU-IBGZPJMESA-N 412.467 4.928 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 806261045 CYNNLPWWKUUEMO-UHFFFAOYSA-N 402.882 4.555 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 807860766 RGCZXCFPWKZNTK-AWEZNQCLSA-N 407.264 4.589 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC1CCN(CCc2ccccc2)CC1 811472390 DLDFHVZGICOSGK-UHFFFAOYSA-N 408.329 4.698 5 20 HJBD C[C@@H]1CN(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 816996599 PXWKKYCZMJJPMR-UFIQOXCRSA-N 420.387 4.615 5 20 HJBD CCNc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2[C@@H](C)CC)cc1[N+](=O)[O-] 916597226 PNXCOOUBUKTACK-GJZGRUSLSA-N 413.474 4.724 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ccccc1OC(F)F 918591094 LXXHPSOFVJXTJZ-CQSZACIVSA-N 419.428 4.678 5 20 HJBD COc1cccc(CO[C@@H](C)C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 920329327 IFHVZDHFXDYTPG-INIZCTEOSA-N 407.422 4.781 5 20 HJBD Cn1nc([C@H]2CCCOC2)cc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1322338424 KEBFLEUQAWIHLE-ZDUSSCGKSA-N 416.865 4.748 5 20 HJBD C[C@@H](Oc1cccc(Br)c1)c1nnc(CCc2cccc([N+](=O)[O-])c2)o1 1323965597 XUEJSJKNYWOFED-GFCCVEGCSA-N 418.247 4.666 5 20 HJBD O=C(c1csc(-c2cccc(C(F)(F)F)c2)n1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339614718 STUZGLQMQFGICZ-UHFFFAOYSA-N 419.384 4.893 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c2cnn(C(C)C)c2n1 10959748 HQKHYQMBFWEHDC-UHFFFAOYSA-N 422.470 4.605 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@H]2c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 21360427 FHHDBYAICNHACB-JOCHJYFZSA-N 419.437 4.692 5 20 HJBD O=C(COc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 24709563 OADUFLAJBKYAPG-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2ccc(C)cc2)c([N+](=O)[O-])cc1OC 24941540 KEVPEEFOHAOWOU-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 32359005 ZYIFHPCGOWSMNH-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD COc1ccc([C@H](N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 42637266 WYHBPJUDNDRJHA-UZUQRXQVSA-N 419.481 4.618 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)[C@H]1CC=CC[C@H]1c1nc2ccccc2s1 46361760 YOTWOFKGAIWMEB-SJORKVTESA-N 407.495 4.913 5 20 HJBD COc1cc([C@@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC(C)C 56398597 GJGGZGZSDQFMQP-HUUCEWRRSA-N 401.463 4.512 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)c1 72035987 ONSXPZOVAPYQHF-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 72082029 GHMJRSQBDWQZKU-UHFFFAOYSA-N 416.783 4.984 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)n2)c1 237577051 NIARJRIZNRRRQX-CQSZACIVSA-N 420.425 4.764 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(c3ncc([N+](=O)[O-])cc3Cl)CC2)cc1 303780312 OGACOHAOKDGTFR-UHFFFAOYSA-N 401.894 4.941 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Sc1nnc(-c2ccc(C)cc2)o1 427983804 VOSDTXSOBAZIIH-UHFFFAOYSA-N 405.819 4.544 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 428007432 WFEQQCBOSZAKPC-UHFFFAOYSA-N 403.394 4.613 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 429217219 VRTIEIKNIFKLRL-UHFFFAOYSA-N 409.273 4.692 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)c1[N+](=O)[O-] 435722000 LCGULJOJLGZFFA-IBGZPJMESA-N 404.422 4.524 5 20 HJBD CC(C)[C@@H]1CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCS1 438489406 JDVUCXPTUMHBPV-IBGZPJMESA-N 401.488 4.992 5 20 HJBD CC[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1F 444090735 ZDMZYFYTEMQGRX-IBGZPJMESA-N 410.395 4.980 5 20 HJBD COCc1ccc([C@H]2CCCN2c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])o1 466621276 XLUKPSRBUAKDGF-HXUWFJFHSA-N 406.438 4.907 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C)[C@@H](C)c1sc(-c2ccccc2)nc1C 469398673 YWKHNCMUBKBTOH-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 474160074 TVVSTKMZACPNSQ-LLVKDONJSA-N 412.412 4.585 5 20 HJBD Cc1ccccc1-c1nn(-c2ccccc2)cc1C(=O)Nc1ccc([N+](=O)[O-])c(C)n1 475294603 OCBZFDXZBWTMQD-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 477048222 NFVDVLJWDVFYCV-VBKZILBWSA-N 417.893 4.672 5 20 HJBD O=C(N[C@@H]1CCCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 477479788 XCRBFCVMKVCZHY-HXUWFJFHSA-N 422.406 4.906 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1 479278464 MLAJPEXSKGXQGA-GFCCVEGCSA-N 423.897 4.508 5 20 HJBD COc1cc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)cc1C 479836910 LPIDVBYZLRAWBG-LBPRGKRZSA-N 411.380 4.678 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC(c2ccccc2)c2ccccc2)cc1SC 482303389 PEFIGPRLTGHJIZ-UHFFFAOYSA-N 408.479 4.845 5 20 HJBD CO[C@H]1CCC[C@@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)C1 483608656 VLCAEPXJIHKOPH-QAPCUYQASA-N 424.457 4.574 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(COC(C)(C)C)on1 485566291 VAANLHZWHABXIM-UHFFFAOYSA-N 421.519 4.576 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486683456 JSVSSCIZBAPMJD-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 486821489 SDRLDZFTIDNROO-MRXNPFEDSA-N 413.543 4.662 5 20 HJBD COc1cc(CSc2ncnc3ccsc23)c([N+](=O)[O-])cc1OCC(F)F 502883734 UOWZAUSLZGRPGH-UHFFFAOYSA-N 413.427 4.544 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC[C@H]3CCCCO3)o2)c(Br)c1 503949002 DFSIGAMBDOKQTQ-CQSZACIVSA-N 409.280 4.666 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc2ccccc21 506152664 INHOHTRLOZUTKO-FQEVSTJZSA-N 419.485 4.516 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COc3c(Cl)cncc3[N+](=O)[O-])cc2)c1 506567870 JAIOWGHSCZDGEA-UHFFFAOYSA-N 413.817 4.761 5 20 HJBD COC(=O)CCSCc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 508267775 VWDPWTIBKOAXSA-UHFFFAOYSA-N 423.878 4.689 5 20 HJBD CC(C)(C(=O)N[C@@H]1CSc2ccc(Br)cc21)c1ccccc1[N+](=O)[O-] 508740876 IKGXMBXQGKBNHB-CQSZACIVSA-N 421.316 4.598 5 20 HJBD Cc1nc([C@H](C)S(=O)(=O)c2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 509138239 NGDTWXCZUYFANL-LBPRGKRZSA-N 406.847 4.747 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)c1 513282355 YBXHKRAOXTWBJN-AWEZNQCLSA-N 402.369 4.879 5 20 HJBD Cc1ccc(CN(Cc2ccco2)c2nnc(-c3cccc([N+](=O)[O-])c3)n2C)s1 515094461 NEQKRMUAZLKSOE-UHFFFAOYSA-N 409.471 4.560 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(-c4cccc(F)c4)[nH]c3c2)c1 516335974 PXNKBIYPCXJZKN-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=[N+]([O-])c1ccc(-c2nccn2C[C@H](O)Cn2c3ccccc3c3ccccc32)cc1 518995356 ZMJYMNCSNLSCSC-IBGZPJMESA-N 412.449 4.627 5 20 HJBD O=C(Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)c1cc2cc([N+](=O)[O-])ccc2s1 519931711 NMLZNVRKSNDNMA-INIZCTEOSA-N 408.439 4.790 5 20 HJBD COc1ccc2oc([C@H](C)Nc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])c(C)c2c1 521644406 JNTLZRMGDKLAGC-AWEZNQCLSA-N 411.458 4.969 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 524052909 ZDHPFNFAKSATBV-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC1CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 532335225 SHXCNKGXBNTVQC-UHFFFAOYSA-N 414.893 4.678 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 535680403 KFVGNBBAEXQDOK-UHFFFAOYSA-N 408.860 4.606 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)cc1 537098044 QSZZMCLAWIIJIA-PMACEKPBSA-N 417.893 4.698 5 20 HJBD CN(C(=O)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251013 AXXAPSSPXFMLII-RKOMNEFPSA-N 415.490 4.990 5 20 HJBD C[C@H](OCCCNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 538966561 RHXOSACQBCGQDO-KRWDZBQOSA-N 405.454 4.555 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)n1 539899877 YIKGKMBRJQZZIX-ZDUSSCGKSA-N 402.476 4.684 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(Cc3c[nH]c4cc(Cl)ccc34)no2)c1 545683405 JVSUANABKJAIFR-UHFFFAOYSA-N 407.817 4.706 5 20 HJBD COc1cc(Cc2noc(CCC(=O)c3ccc4ccccc4c3)n2)ccc1[N+](=O)[O-] 546490218 WHCCQICLLMAWDD-UHFFFAOYSA-N 417.421 4.546 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(OCC(F)F)n2)no1 546552582 HOJGHSWSNULSSK-JTQLQIEISA-N 408.386 4.537 5 20 HJBD CC(C)(NCc1cnn(-c2ccc(Br)cc2)c1)c1ccc([N+](=O)[O-])cc1 547287207 ICWNMHGXLPQSJQ-UHFFFAOYSA-N 415.291 4.568 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(F)cc2)nc1C 547371761 KZWRBUJXRGLDOT-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CC[C@](F)(c3cc(F)cc(F)c3)C2)cc1[N+](=O)[O-] 565612506 NJDPONSEXRNJEE-ZUOKHONESA-N 407.392 4.523 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@]2(CCCN(Cc3ccccc3)C2)C1 566590743 DYEDEBPGYZHCDT-DEOSSOPVSA-N 422.529 4.677 5 20 HJBD COc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OCC(F)(F)F 569914149 SGGJMKBNYSCTGK-UHFFFAOYSA-N 412.364 4.722 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1noc(Cc2ccccc2)n1 574943860 QECRINSKDCBMIL-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@H]4C[C@H]4c4cc(F)c(F)c(F)c4)n3)c2c1 579525419 UOCAQAQYIWKQPL-JSGCOSHPSA-N 414.343 4.738 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1Cc1nc(Cc2cccc([N+](=O)[O-])c2C)no1 589137343 CVOWRZNDLKBPKX-UHFFFAOYSA-N 405.410 4.725 5 20 HJBD CC(C)n1c(SCC(=O)c2ccc([N+](=O)[O-])cc2)nc2cc(Cl)ccc2c1=O 591925090 HXKVTWNTQFISIX-UHFFFAOYSA-N 417.874 4.514 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 603967188 WREBIIKYMUREEK-NWDGAFQWSA-N 409.305 4.715 5 20 HJBD Cc1nccc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)n1 604111613 CSMJOFIITCDBDK-UHFFFAOYSA-N 417.347 4.708 5 20 HJBD CC(C)n1cc2cc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])ccc2n1 609880849 WXSHFOMERYTBPQ-UHFFFAOYSA-N 402.838 4.586 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 610198872 QZSDTWDKLGJSMB-LBPRGKRZSA-N 421.906 4.506 5 20 HJBD C[C@H](C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610234030 ZLAVDSWPMQOYNE-KPZWWZAWSA-N 410.417 4.991 5 20 HJBD Cc1ccc(OCCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 611400787 VEURBFDJBQXAIU-UHFFFAOYSA-N 411.483 4.849 5 20 HJBD O=C(OCc1ccccc1)c1cccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 727397157 GXGFMRCPUJRBSX-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD CC(C)N(C)c1cccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 731585155 QBFOIAFHVXRWRO-UHFFFAOYSA-N 411.380 4.633 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccc(Br)c(F)c1 732740417 WHTAUWOBMFSNPG-QMMMGPOBSA-N 418.602 4.523 5 20 HJBD CC[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 733185046 KJIRUBKFIGLSRD-CYBMUJFWSA-N 404.822 4.808 5 20 HJBD C[C@@H](Oc1ccccc1Br)C(=O)OCc1cc([N+](=O)[O-])ccc1Cl 734781236 UWEFJRDXWISMKN-SNVBAGLBSA-N 414.639 4.521 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCC1(N2CCCCC2)CCOCC1 736602749 NZEJAPGABPQKCO-UHFFFAOYSA-N 421.847 4.714 5 20 HJBD COc1ccc(-c2ncc(C(=O)OCc3cc([N+](=O)[O-])ccc3Cl)s2)cc1 744152541 VAFQKVACWZCDTI-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1ncc(-c2ccccc2F)o1 745051023 VTQPLJNNQPACJR-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD CCn1cc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c(=O)c2cc(Cl)ccc21 746066162 YSOWPSLFFHJBLB-UHFFFAOYSA-N 421.236 4.593 5 20 HJBD C[C@H](OC(=O)Cc1cc(Cl)ccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748388925 BOOPWUDHFGZZLU-JTQLQIEISA-N 422.224 4.799 5 20 HJBD CC[C@@H](NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)c1ccccc1OC(F)F 748618889 KUXMDCXUTHIYNY-CQSZACIVSA-N 423.372 4.602 5 20 HJBD CCOc1ccc(OCC)c(NC(=S)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 751915057 RBYKZKAPMOIADL-CQSZACIVSA-N 403.504 4.748 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccccc2n1C(F)F 754027712 MKTFROHCLYCDSO-MNOVXSKESA-N 407.348 4.887 5 20 HJBD O=C(/C=C/SCc1ccco1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 754217489 FWCMPEIOSUOGFH-ZRDIBKRKSA-N 424.434 4.793 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(CC(=O)N(C)c3ccccc3)cc2)c1[N+](=O)[O-] 758428717 GGUNZYKZSKGDCW-UHFFFAOYSA-N 421.428 4.500 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1CN(C)C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 761648388 RTRVXPWEXJXFAM-OAHLLOKOSA-N 413.474 4.704 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3)oc2c1)c1cc(F)c([N+](=O)[O-])cc1F 763507797 FSEQHSPZFSGBBD-UHFFFAOYSA-N 409.348 4.857 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 767333711 XULHMOFICLHWEV-OAHLLOKOSA-N 402.319 4.805 5 20 HJBD O=C(Nc1cc(F)cc(Cl)c1O)c1cc(Br)cc([N+](=O)[O-])c1Cl 771510492 KGUKHMFMCOHDTQ-UHFFFAOYSA-N 424.009 4.761 5 20 HJBD Cc1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)cc1NC(=O)c1ccco1 778096761 YHZVZCVGZNOOJB-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD Cc1c(CNC(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cccc1[N+](=O)[O-] 790565233 UJZBLBISFWRLAJ-MRXNPFEDSA-N 407.392 4.621 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1cccc(Cl)c1[N+](=O)[O-] 803674555 ONAVURZHKZKJSY-UHFFFAOYSA-N 408.266 4.955 5 20 HJBD Cc1ccc([C@@H](O)[C@H](C)NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804477955 GJWYIRJVUYVUFB-AOMKIAJQSA-N 422.506 4.906 5 20 HJBD CN(Cc1ccc(Br)c(F)c1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916612 PWPVWVVJIZCYRI-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD O=C(Nc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@@H]1CCCO1 811469785 OIDSUNZKYLJHLE-INIZCTEOSA-N 410.257 4.631 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(c2nc3ccccc3[nH]2)CC1 812862150 PJWRUTPQHSXCNB-UHFFFAOYSA-N 419.268 4.798 5 20 HJBD Cn1c(=O)c(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc2ccccc21 813626287 YAWDUJCBYMJVTA-UHFFFAOYSA-N 411.767 4.731 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1OCC(C)C 914871732 FJLXOVKWQJZGIW-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD CCO[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 920215215 AAXAOIKMHLVLBD-NRFANRHFSA-N 420.421 4.530 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CC[C@@H]2CCCC[C@H]2C1 1318612589 VAWOOZQQPCHBIA-STQMWFEESA-N 423.300 4.740 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(-c2nnc(C3CCOCC3)o2)cc1 1322682830 IUQNRKGMKJLGPI-UHFFFAOYSA-N 401.806 4.985 5 20 HJBD CCOc1ccc(NC(=O)CSc2ncc(-c3ccc(F)cc3)o2)c([N+](=O)[O-])c1 10714748 ARAWOCMFBNVSCG-UHFFFAOYSA-N 417.418 4.518 5 20 HJBD Cc1cc(C)c(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c(C)c1 20991427 ZTAUNVZCXDOKIP-ZDUSSCGKSA-N 424.375 4.723 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 21954046 HJELGOMIHUWUPC-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 29012994 ZALAQVACYZNSAT-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD Cc1nc2ccccc2nc1SCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 29281361 NTJCSGCIHLPAKN-UHFFFAOYSA-N 422.388 4.596 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccccc2F)s1 58511664 XBOJRQYWSWVYIV-UHFFFAOYSA-N 414.462 4.612 5 20 HJBD O=C1CCc2cc(Nc3ccc([N+](=O)[O-])cn3)ccc2N1Cc1ccc(Cl)cc1 65946671 WUJRLFANKOPJQE-UHFFFAOYSA-N 408.845 4.866 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](c2ccccc2)C(C)(C)C)cc1[N+](=O)[O-] 106216881 MGGZXILSXTUFIW-FQEVSTJZSA-N 402.516 4.740 5 20 HJBD CS(=O)(=O)c1ccc(Oc2ccc(Nc3ncc([N+](=O)[O-])cc3Cl)cc2)cc1 301280855 ODPMEIQGBHURGV-UHFFFAOYSA-N 419.846 4.583 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2cccs2)C[C@@H]2CCCO2)cc1[N+](=O)[O-] 302634290 GTYRLAYTYNTDER-INIZCTEOSA-N 402.516 4.775 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1 302811473 IUTCTEGEQDBAIY-HXUWFJFHSA-N 420.469 4.547 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4sccc4[C@@H]3c3cccs3)o2)cc1 302836286 FRJGLNATFWGFNK-LJQANCHMSA-N 424.507 4.916 5 20 HJBD C[C@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 409972378 VTMDLHSLBDNNOP-GUYCJALGSA-N 408.376 4.602 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(F)(c2ccccc2OC(F)(F)F)CC1 410168316 IHXCFZQAMIKBTO-UHFFFAOYSA-N 412.339 4.594 5 20 HJBD C[C@H]1CC[C@@](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426641054 XMRZJOSJOLUXPS-NYHFZMIOSA-N 416.449 4.897 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C1 428905152 HCLYSCNLTMJDJF-KRWDZBQOSA-N 412.490 4.583 5 20 HJBD CCOc1cc(C(=O)Nc2c(C)cc(C)cc2Br)c([N+](=O)[O-])cc1OC 432865852 MSLTUDZPIRLORB-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 437594358 WCQBKEWDBBPZHX-QGZVFWFLSA-N 416.909 4.624 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCCCC(F)(F)F)c1ccccc1 439581924 OFFGSYMPKHZMEJ-INIZCTEOSA-N 415.799 4.860 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(OC(F)(F)F)c2)c1 440824677 IBNDPZAQROXGLN-SNVBAGLBSA-N 400.378 4.706 5 20 HJBD CCN(CC)C[C@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442681700 NTVNSCFHTCAQON-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD O=C(Nc1cccc(OC2CCCC2)c1)c1sc(Br)cc1[N+](=O)[O-] 444844221 CEZXNFPQPNDMTQ-UHFFFAOYSA-N 411.277 4.993 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1cccc2c(=O)c3ccccc3[nH]c12 444998366 ROTMLBNZOODVLJ-UHFFFAOYSA-N 406.526 4.931 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(CSc3cccc4cccc(Cl)c34)n2)n1C 445624065 OIDNKMSWICYLKL-UHFFFAOYSA-N 415.862 4.786 5 20 HJBD C[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ccccc2S1 446621138 AVKCJGGZFIHGNO-MRXNPFEDSA-N 400.503 4.682 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NCc3ccc([N+](=O)[O-])cc3F)cc2)cc1 446875846 AXRICLHFVUUYRE-UHFFFAOYSA-N 409.417 4.997 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1csc(Br)c1 462483078 ZRJPQBVXJFBXPT-CQSZACIVSA-N 411.321 4.646 5 20 HJBD COc1cc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)c([N+](=O)[O-])cc1OCC(F)(F)F 462737376 VWDFDKRSHBFHLN-GZBFAFLISA-N 402.413 4.603 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCCn3ccnc3C)c([N+](=O)[O-])c2)cc1 462884299 OFQRUGMXADVLIK-UHFFFAOYSA-N 407.474 4.553 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)c3c(C)cc(Cl)cc3[N+](=O)[O-])CC2)c1 466553848 UGHJNDAGQPRKSX-UHFFFAOYSA-N 406.841 4.666 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(-c2ccc(C)cc2)nc1C(C)(C)C 468548029 MKZXRLYLQAESSU-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)[C@H](C)c1ccccc1C(F)(F)F 471094706 HNBYFEGIKBBHIA-QWHCGFSZSA-N 410.392 4.934 5 20 HJBD COc1ccc(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)cc1[N+](=O)[O-] 474734976 WJIBQDGRTMEKNI-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD COc1c(C(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)cccc1[N+](=O)[O-] 475763417 YJFVPFYVQPUFTK-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD COc1cc(OCC(=O)Nc2c(Cl)cc(Cl)cc2Cl)ccc1[N+](=O)[O-] 475884933 BJTCHUPYHTUBMD-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)cc([N+](=O)[O-])c1OC 477944961 XHUASMRDFRUSIC-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD C[C@H]1CCSCCN1C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 482927439 LUFYUSXCUPAOIQ-AWEZNQCLSA-N 419.934 4.828 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 483283152 RPXREOAEZIBHTF-VIFPVBQESA-N 415.646 4.511 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@@H](c2ccc(F)cc2)CC1 484464907 LUKOMDRLBDGHJQ-QGZVFWFLSA-N 411.477 4.744 5 20 HJBD O=C(Nc1nc(-c2ccco2)cs1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 486235153 XSLOQHUJFRZVMW-UHFFFAOYSA-N 423.400 4.928 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 487384376 HEXYADYISUFFDV-CQSZACIVSA-N 411.433 4.559 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c3c(cccc13)CC2 488292300 HMCZUFCZDZNKQM-UHFFFAOYSA-N 408.479 4.837 5 20 HJBD Cc1cc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)cc(C)c1Br 494899712 DGWFUPOWYXALBI-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1SCCO 498074268 GWACEHBEHHENRS-UHFFFAOYSA-N 420.796 4.604 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccnc3ccccc23)cc1 500496179 YGNYLBPVZQAHOM-UHFFFAOYSA-N 414.421 4.986 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cc2cc([N+](=O)[O-])ccc2o1 501714459 NSBGISPIQZRSNI-UHFFFAOYSA-N 407.357 4.597 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2CCCc3occc32)cc1[N+](=O)[O-])c1ccccn1 506164168 OXEJBYILBKCXGP-KDOFPFPSSA-N 406.442 4.563 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NCc1c(F)cc([N+](=O)[O-])cc1F 506294901 MBYKCVYCAYZSSS-UHFFFAOYSA-N 403.410 4.728 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 513789590 KVGYGMQJFYDODL-UCNVEGJOSA-N 408.477 4.558 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 516272499 NKSFUWWZHNHOHR-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD Cc1ccc(CN(C(=O)COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])C2CC2)o1 517911757 WDCRQJWHPNZINR-UHFFFAOYSA-N 406.438 4.733 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCC1(c2ccccc2Cl)CCOCC1 521320083 BZMOIKKHEBPDLN-UHFFFAOYSA-N 417.893 4.681 5 20 HJBD Cc1nc2cc(NC(=O)c3ccc(N4C[C@@H](C)C[C@H](C)C4)c([N+](=O)[O-])c3)ccc2n1C 525217417 MPNZORVVRVORHJ-GJZGRUSLSA-N 421.501 4.525 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(OCC2CCCCC2)CC1 525683237 KUJQYSMQWHRGKH-UHFFFAOYSA-N 424.925 4.605 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(CCc2ccc(O)cc2)CC1 532923099 RSTLUIZDAOQGDP-MRXNPFEDSA-N 422.485 4.756 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1 535679887 KZOSQRZDRYMTAF-KUHUBIRLSA-N 420.872 4.510 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)c2)CC1 535804750 UMONULNPIDHJRB-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3C3CCOCC3)c([N+](=O)[O-])c2)cc1 537408659 LXDRHWBMPQJHTF-FQEVSTJZSA-N 409.486 4.551 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 537464536 TXVVYRSBOBLQAO-ATZDWAIDSA-N 404.532 4.565 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCC(=O)[C@@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452036 VTSROGCEPOHQLI-GJZGRUSLSA-N 415.515 4.713 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1nc2ccccc2[nH]1 543127387 VHGXDZSNUPAQBV-UHFFFAOYSA-N 404.451 4.869 5 20 HJBD COc1ccc(NC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)cc1[N+](=O)[O-] 543852794 HMVTYCWPBYACFE-UHFFFAOYSA-N 423.473 4.541 5 20 HJBD COc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])cc3Cl)n2)c(Cl)c1OC 545655806 BXGAYAGHAGZLQA-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(Cc1ccco1)c1ccccn1 551559120 ATFZWRJQWGFUOO-UHFFFAOYSA-N 416.231 4.501 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cccc5ncccc45)no3)cs2)c1 585731449 IUMDWDMYABDOLK-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CCCOc1cc(NC(=O)[C@H]2Cc3ccccc3S2)c([N+](=O)[O-])cc1OCCC 590643836 PBWAAGPOZFRPQB-HXUWFJFHSA-N 416.499 4.828 5 20 HJBD CCOc1cc(NC(=O)C2(c3cccc(F)c3)CCC2)c([N+](=O)[O-])cc1OCC 602212125 DYKHGCLPVDGNGP-UHFFFAOYSA-N 402.422 4.592 5 20 HJBD CCOc1cc(NC(=O)N2CCc3sccc3[C@H]2CC)c([N+](=O)[O-])cc1OCC 603650994 SPLFRCBMZZYZME-OAHLLOKOSA-N 419.503 4.995 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])cc3F)s2)cc1Cl 603938641 AKZUDEVJXNHQIL-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 603990614 DWCCFKWGYHXPJF-UHFFFAOYSA-N 416.430 4.660 5 20 HJBD Cc1sc(NC(=O)c2cccc(OC(F)F)c2)nc1-c1cccc([N+](=O)[O-])c1 603997039 ONMQBBIREAREAW-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCC[C@@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])C1 604485654 OJFKITAWPRJTTD-LLGFUMIMSA-N 423.513 4.760 5 20 HJBD C[C@@H](Oc1ccccc1Cc1ccccc1)C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 609101795 FBJHZRHIEZVFMG-GOSISDBHSA-N 404.466 4.612 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3[nH]c(-c4ccco4)nc3c2)cc1SC 609128976 DCXRRLXBMLZPIZ-UHFFFAOYSA-N 424.438 4.714 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)s1 609305452 GWRBJONWYXBTTD-CQSZACIVSA-N 414.512 4.962 5 20 HJBD COc1ccc(CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC(C)(C)C)cc1 609681251 XVWYIRWBJNEJIJ-UHFFFAOYSA-N 424.501 4.744 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3[C@H]4CCO[C@H]4C3(C)C)cc2[N+](=O)[O-])cc1 610154581 FRXNDAIBVVTVIF-NSISKUIASA-N 412.511 4.598 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 726228701 QVLXDSATRGAWKG-ZDUSSCGKSA-N 420.381 4.526 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2csc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 729959951 MBCKOCDSMAPXRK-JTQLQIEISA-N 422.409 4.911 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)ccc1-n1ccnc1 731789736 BRGCECXFWYCXNT-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD Cc1ccc([C@@H](O)CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735368459 PMOQRNVMFNKQBG-NRFANRHFSA-N 407.426 4.551 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1ccc2ccccc2c1 741855300 JPEQMFCVXJLNQN-UHFFFAOYSA-N 401.216 4.528 5 20 HJBD CS[C@H]1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 742383388 MGEJMTFUHVCLGR-FZMZJTMJSA-N 411.321 4.991 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccc(Cl)n2)cc1 744722325 JMYBRMWETWPNSR-UHFFFAOYSA-N 414.801 4.801 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1nc(C(F)(F)F)cs1 751633743 GUDOLNUQJOIGLP-UHFFFAOYSA-N 412.798 4.559 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccc([N+](=O)[O-])cc2)o1 753641343 VWWHDBALOPCXCS-NRFANRHFSA-N 411.414 4.546 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCS[C@@H](c2ccccc2)C1 755036409 VNYJLYFEHMJHSE-MRXNPFEDSA-N 422.304 4.679 5 20 HJBD Cc1nc(Cn2c(C)nc([N+](=O)[O-])c2Br)oc1-c1ccc(Cl)cc1 756944247 LODFLVLUBJRHAX-UHFFFAOYSA-N 411.643 4.527 5 20 HJBD O=C(Nc1ccc(Oc2ccnc(Cl)n2)cc1)c1cc([N+](=O)[O-])ccc1Cl 759679921 WNZQCFHATWFNKR-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1c(F)cccc1Br 760526810 DNDSDEHOZCMGTE-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CCC(CC)[C@H](C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761499722 XWPWZXWLZJDZMR-QWRGUYRKSA-N 404.385 4.556 5 20 HJBD O=C(Nc1c(C(=O)c2ccco2)oc2ccccc12)c1cc(F)c([N+](=O)[O-])cc1F 763373829 LTSPNCBMRPEOBN-UHFFFAOYSA-N 412.304 4.696 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc(F)c([N+](=O)[O-])cc2F)cc1 767061586 GBHYEZUCDQCDHY-LLVKDONJSA-N 422.384 4.678 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 770168510 RDQDMBJCMXAAPA-UHFFFAOYSA-N 401.426 4.768 5 20 HJBD Cc1ccc([C@@H](C)NCc2nnc(-c3ccc(Br)s3)o2)cc1[N+](=O)[O-] 775549935 RXVJZSTUPDUFBC-SNVBAGLBSA-N 423.292 4.628 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc2nc(C(F)F)[nH]c2c1 776136319 JEBLEKKRONULGL-SFHVURJKSA-N 424.363 4.750 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1c(C)cccc1C 780282752 KXFCDRBUNWZZGS-HNNXBMFYSA-N 416.499 4.898 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2ccc(N(C)C(C)=O)cc2)cc1OC 781615251 UFZQJIYEWDQMAQ-HNNXBMFYSA-N 416.474 4.590 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N)c(C)c2)n1 786856899 IZLHXIDHFYIFFS-UHFFFAOYSA-N 400.485 4.654 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nn(-c3ccccc3)c(=S)s2)c([N+](=O)[O-])c1 789738258 VFJQSPSOOGWMQP-UHFFFAOYSA-N 406.470 4.772 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c2)n1 790555821 CTIVSQQJJMMGRM-UHFFFAOYSA-N 403.797 4.840 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)c2ncccc12 800924924 HYJYOVTZTJYDPX-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COC(=O)C12CCC(C(=O)OCc3nc4ccccc4c4ccccc34)(CC1)CC2 814593862 NHAQMWJLVDVRSS-UHFFFAOYSA-N 403.478 4.945 5 20 HJBD C[C@@H](Cc1cnc2ccccc2n1)C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 815464496 LHRBACHKRSRKBM-NSHDSACASA-N 420.252 4.767 5 20 HJBD C[C@@H]1CN(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2)C[C@H](c2cccc(C(F)(F)F)c2)O1 816996598 PXWKKYCZMJJPMR-MAEBUKNSSA-N 420.387 4.615 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3csc(-c4ccc(F)cc4)n3)[n+]2[O-])c1 915987670 IDYSPVRSUOBYAH-CMDGGOBGSA-N 420.425 4.723 5 20 HJBD CC/C=C(\C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 920507829 RCOSSCWWTWHSKQ-UTGRISEESA-N 402.834 4.828 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nnc(C(C)(C)c3ccccc3)o2)ccc1[N+](=O)[O-] 1117217668 PKEOTFDCPAJNHJ-LJQANCHMSA-N 420.469 4.589 5 20 HJBD O=c1c2ccccc2nc(SCc2cccc([N+](=O)[O-])c2)n1Cc1ccccc1 2970163 ISGFVUUHYRDVKO-UHFFFAOYSA-N 403.463 4.645 5 20 HJBD CCn1c(SCC(=O)Nc2cc(C)ccc2C)nc2cc3ccccc3cc2c1=O 7577783 BKKLNZJIGAGBSW-UHFFFAOYSA-N 417.534 4.917 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C/c1ccc(-c2ccc(F)cc2)o1 16386999 VVKRDUAUCOUYMW-KAMYIIQDSA-N 403.369 4.648 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)[nH]1)Nc1ccc([N+](=O)[O-])cc1Cl 17749378 BHLSBGNXOYAKPL-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1cccc([N+](=O)[O-])c1 47547349 QOHPMOVKQFERHX-SECBINFHSA-N 417.315 4.680 5 20 HJBD CCOc1cc(F)ccc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 55152791 BHNHKMQXDTYZDF-JTQLQIEISA-N 415.343 4.591 5 20 HJBD Cc1cccc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c1[N+](=O)[O-] 55427673 MJRMBGNOCHLFCT-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 63069667 PWTKEMIJKODMPD-FQEVSTJZSA-N 413.836 4.976 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1coc(-c2ccc(Br)cc2)n1 63846433 HSNYTEPFYFFLPK-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)CNc1ccccc1[N+](=O)[O-])C1CC1 65891945 LMYFSELBFWPZMB-ZDUSSCGKSA-N 407.392 4.778 5 20 HJBD COc1cc(OCC(=O)Nc2ccccc2Sc2ccccc2)ccc1[N+](=O)[O-] 66018490 RFVMYMLEMOPWAO-UHFFFAOYSA-N 410.451 4.772 5 20 HJBD O=C(Nc1cccnc1)c1ccc(Oc2ccc(Br)cc2[N+](=O)[O-])cc1 301204091 YBFWEZYEUYWNMX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 301357775 ZBKMYCVVHBCVBF-SFHVURJKSA-N 416.524 4.764 5 20 HJBD CN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)C1CCC(C(C)(C)C)CC1 410065936 RLWLDEIBZLUREA-UHFFFAOYSA-N 401.551 4.875 5 20 HJBD O=C(c1ccccc1NCCC1=CCCCC1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 429471663 IOWXVXKVHLMBFV-UHFFFAOYSA-N 423.517 4.571 5 20 HJBD CCCn1ccc2cc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])ccc21 429798421 HQSWKOBWYQATIB-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD CC(C)(NC(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 433159516 DVWJOIZFUOKWSW-GDLZYMKVSA-N 417.531 4.699 5 20 HJBD Cc1cc[nH]c1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 435455314 UOWKMOIWDYPPES-UHFFFAOYSA-N 403.797 4.746 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccccc2OC(F)(F)F)o1 436152933 OBJBUUHEJRCPER-UHFFFAOYSA-N 420.343 4.726 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCc2cc(Br)ccc21 436305392 GJKGVQSVBISIAK-UHFFFAOYSA-N 419.278 4.647 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(F)cc1F)C1CCCC1 437700696 CNUVEXIGYNWBQP-UHFFFAOYSA-N 405.401 4.858 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@H]1CCC[C@@H](C(F)(F)F)C1 438539759 IQXAXIZJSHFMCW-JKSUJKDBSA-N 416.443 4.640 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1cccc2c1CN(c1nccs1)C2 438960727 QAHPXBXJOXLSQL-UHFFFAOYSA-N 414.874 4.786 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccnc(OCc2ccccc2)c1 443959678 NNWRQQYNJXGESQ-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1-c1nc(-c2ccc([N+](=O)[O-])s2)no1 445474077 SXEILFHETGOXQV-UHFFFAOYSA-N 402.413 4.589 5 20 HJBD CC[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 465194165 QHGCQIXTAYANCD-NSHDSACASA-N 407.801 4.534 5 20 HJBD O=C(Nc1nc2ccc(OCC(F)(F)F)cc2s1)c1ccc(F)cc1[N+](=O)[O-] 466427482 ZYBYVOYCJKMFIV-UHFFFAOYSA-N 415.324 4.537 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC1CC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 471143573 MLKACIBMRPHJKQ-UHFFFAOYSA-N 400.866 4.675 5 20 HJBD COCCCC[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccccc1 471337251 PXIQZFAZWYDIHR-KRWDZBQOSA-N 421.291 4.645 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cc2cccc(F)c2o1 475792635 RCTHLBNPBVCWCU-LBPRGKRZSA-N 418.446 4.710 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479678806 TZVQFWSMBIXXJO-HNNXBMFYSA-N 420.309 4.907 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 483413244 BXFBAMDBQAOYNV-VBKZILBWSA-N 417.893 4.698 5 20 HJBD CO[C@@H]1CCC[C@H](CC(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)C1 483608655 VLCAEPXJIHKOPH-MAUKXSAKSA-N 424.457 4.574 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)C3CCC3)cc2)cc1SC 486286988 WKSSLUAVMZVMOZ-UHFFFAOYSA-N 400.456 4.560 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 486653268 PNSFCUPFXPLXCR-AZUAARDMSA-N 404.470 4.579 5 20 HJBD CCn1c(S[C@@H](C)C(=O)c2ccc(Cl)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 489398357 YDUUBASHPVHKRI-LBPRGKRZSA-N 416.890 4.890 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C\c1ccc([N+](=O)[O-])c(O)c1 498300748 HKFBSPBZUGLLOQ-XNTDXEJSSA-N 417.446 4.683 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)c1cc2cc([N+](=O)[O-])ccc2s1 498881358 AVRWUIGVNQQAIY-FQEVSTJZSA-N 407.426 4.863 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC(c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 500942595 IWTDEBGWGBFHRZ-DZIBYMRMSA-N 416.481 4.839 5 20 HJBD Cc1sc2ncn(Cc3c(F)cc([N+](=O)[O-])cc3F)c(=O)c2c1-c1ccccc1 505455135 GYRKGWLXXACEAV-UHFFFAOYSA-N 413.405 4.668 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2cccnc2)cc1[N+](=O)[O-])c1ccccn1 506068564 ACQZKWUIBBNAMZ-IIBYNOLFSA-N 417.469 4.535 5 20 HJBD CC1(c2ccc(Br)cc2)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 508364747 YULOUMQNMKUHCD-UHFFFAOYSA-N 409.305 4.613 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1 511829909 MTKKBLFTRQKLLH-SJORKVTESA-N 421.419 4.786 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1OCC 514301521 PFEKTQYVEKZVNY-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD Cc1cc(C)c(NC(=O)Cc2noc(-c3ccc(C(C)C)c([N+](=O)[O-])c3)n2)c(C)c1 519788257 JAJNIXFTQOCKPL-UHFFFAOYSA-N 408.458 4.875 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 520831381 HFXYULZTBBBHQE-QFIPXVFZSA-N 401.422 4.604 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCN(c1ccccc1[N+](=O)[O-])C2 521118606 DNYRLHRJGWTZHM-UHFFFAOYSA-N 406.417 4.941 5 20 HJBD CC(C)Cn1c(Sc2ncc([N+](=O)[O-])cc2Cl)nnc1-c1ccccc1F 522932192 UNRYFVLPOROBGW-UHFFFAOYSA-N 407.858 4.848 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(-c2ccccc2)n1 531863206 QIIQKBCYSUNVIS-ZDUSSCGKSA-N 407.455 4.619 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(C(C)(C)C(N)=O)cc2)cc1[N+](=O)[O-] 532896611 UNMIYJVOTSVIDJ-UHFFFAOYSA-N 418.497 4.738 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 539891096 QLIXQMFZOOBECK-TZMCWYRMSA-N 406.891 4.697 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1Br 543438173 JEGUBQZNHXFHOJ-ZFWWWQNUSA-N 406.280 4.641 5 20 HJBD C[C@@H](c1nc(Cc2c[nH]c3cc(Cl)ccc23)no1)c1ccc([N+](=O)[O-])cc1F 544413231 AGAPPFGWVFCHRR-SNVBAGLBSA-N 400.797 4.994 5 20 HJBD COc1c(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc(Cl)cc1C(N)=O 551581241 FHQCPBSYGYCSMU-UHFFFAOYSA-N 417.874 4.696 5 20 HJBD O=C([C@H]1CC12CCN(c1c(Cl)cc(Cl)cc1[N+](=O)[O-])CC2)N1CCCCC1 553519113 YTHFAOGQHHLHQQ-CQSZACIVSA-N 412.317 4.521 5 20 HJBD COc1cc(CNc2ccc(-c3ccccn3)cc2)c([N+](=O)[O-])cc1OCC(F)F 572952534 LTOAHOZRQGDMME-UHFFFAOYSA-N 415.396 4.921 5 20 HJBD CCCCN(Cc1ccccc1F)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603522096 KUWZMVBYBPHTKM-UHFFFAOYSA-N 413.493 4.779 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939637 ZZJHGRKNWGWUFT-VFNWGFHPSA-N 421.501 4.589 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] 609219030 CLQDNCZVEHCQSK-HWPZZCPQSA-N 407.289 4.570 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2SCC(F)(F)F)ccc1[N+](=O)[O-] 609908324 OJWSZEXWQNEGHK-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1Br 610040833 QIMHSXPHNYXDHH-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)s1 610620896 SSFIAJHLSQHQIR-LBPRGKRZSA-N 401.513 4.817 5 20 HJBD CN(C(=O)CCc1nc2cc(C(F)(F)F)ccc2s1)c1cccc([N+](=O)[O-])c1 611090847 LMFKWMGOBSSAHE-UHFFFAOYSA-N 409.389 4.819 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 612455956 WFBBHKOKSZRQRV-NSHDSACASA-N 422.338 4.681 5 20 HJBD Cc1ccc(-c2nc3ccc(F)cc3n2C(C)C)cc1NC(=O)c1c[nH]nc1[N+](=O)[O-] 619788145 HVLHKKHVKCTSMT-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1ccc2nc(C(F)F)[nH]c2c1 733533969 KUCBLLKOPZKBPS-UHFFFAOYSA-N 405.357 4.553 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ccn(C(C)C)n3)cc2[N+](=O)[O-])n1 735126789 DFULJJOFEVBCDX-UHFFFAOYSA-N 418.500 4.645 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 742502054 AWMFCBYKGLFPGX-UHFFFAOYSA-N 414.687 4.665 5 20 HJBD Cc1ccc2c(CCC(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c2c1 745761644 WHDBVYOYNBYXIO-CQSZACIVSA-N 420.425 4.672 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 746186730 UMDWAHAVWNSXQV-AWEZNQCLSA-N 420.487 4.748 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccc(NC(=O)c3ccccc3)cc2)c1 748017037 QQIOZPQBFBKKSN-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@@H]2C[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 752115443 VFPXFYZQKHKCPI-QUCCMNQESA-N 414.527 4.825 5 20 HJBD O=C(NCc1cc2ccccc2s1)c1cc(F)cc([N+](=O)[O-])c1Br 754986282 HGFWZEOPADGYLD-UHFFFAOYSA-N 409.236 4.641 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756967702 UQPXFPKTLDAYOH-LJQANCHMSA-N 403.866 4.694 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1cc(C)ccc1C 763811957 WJMWUBYBUWKOMQ-AWEZNQCLSA-N 402.472 4.508 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nnc(-c2ccc(C)cc2)o1 763814064 MOAZKFYMOPECSH-ZDUSSCGKSA-N 413.455 4.983 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)OC(C)(C)C 766243120 ZUQGDZOWZYFDFI-NVXWUHKLSA-N 420.510 4.511 5 20 HJBD CCn1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc2ccc(Br)cc21 770900519 FBFLVZXUPFIPBH-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD C[C@@H](Cc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1)N(C)C(=O)OC(C)(C)C 771121090 OIXGAFRYWSTRGI-QWHCGFSZSA-N 422.507 4.629 5 20 HJBD O=C(N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 779459096 OSYYDYLYMIMXMA-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD COc1cccc([C@H](OC(=O)c2cc(SC)ccc2[N+](=O)[O-])c2ccncc2)c1 782465095 WOFHUCKZEFUCLA-HXUWFJFHSA-N 410.451 4.667 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN(CCO)Cc3cccnc3Cl)o2)c(Cl)c1 793363289 BWDOKQYHANXYPT-UHFFFAOYSA-N 422.268 4.551 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1cc2cc([N+](=O)[O-])ccc2o1 803092098 WTISWQARPAXNGG-UHFFFAOYSA-N 417.171 4.602 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)N[C@H](C)c2ccc3ccccc3c2)c1F 803462888 VCITUUHDEALEKM-CABCVRRESA-N 424.428 4.618 5 20 HJBD Cc1ccc([C@H](O)[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804470300 AAUAOLAIMNCKKW-QVKFZJNVSA-N 414.527 4.790 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812930494 MJHKVECHCKTWNO-UHFFFAOYSA-N 424.284 4.816 5 20 HJBD COc1cc(OC)c(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1Br 864026449 JKTXXQOIPMGFOS-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)c1 916672044 LNPBEUPGCMJGOV-ZDUSSCGKSA-N 412.471 4.533 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@@H](CO)Cc2ccc(F)cc2)o1 1116013271 QYHBFYMRUKLZFU-HNNXBMFYSA-N 418.852 4.588 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc(-n3ccnc3)cc2)o1 1116017384 NAHJRDZRQOVHMQ-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD COc1cc(OCc2nnc(-c3sc(-c4ccccc4)nc3C)o2)ccc1[N+](=O)[O-] 1117242797 TZDBJBIHAWBXLE-UHFFFAOYSA-N 424.438 4.664 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1C 1119556616 RMZJBRWDSAKWND-GOSISDBHSA-N 424.457 4.876 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)ccc1NC(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 1324626621 YQNVBQLOXVWFSO-GFCCVEGCSA-N 403.410 4.823 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1Cl 10390074 FDVWRQAABAOJBV-LJQANCHMSA-N 410.813 4.785 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 30860145 FOYLZGSJGWAJPP-HNNXBMFYSA-N 406.891 4.650 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1 56336589 WXGTUPCYXLIZSM-UHFFFAOYSA-N 415.409 4.972 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2cccc([N+](=O)[O-])c2)n1 60674536 QWWMZTZGLRCKLS-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C)nn2-c2ccc(Cl)cc2)cc1[N+](=O)[O-] 216752126 FJKQNROPAKRWDD-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD COc1ccc([C@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2nccn2C)cc1 301840643 AWLLFHVMNGWBBX-QFIPXVFZSA-N 415.453 4.600 5 20 HJBD COc1ccccc1-c1nnc(Sc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)n1C 301896953 ZWQBXFJJZSMQLX-UHFFFAOYSA-N 419.466 4.612 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2[nH]1 409722680 VDPJOYCBQOKZFW-UHFFFAOYSA-N 407.474 4.666 5 20 HJBD O=C(CCc1cc(Br)cs1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 409788714 FQWZGSOCNYWMRT-UHFFFAOYSA-N 421.219 4.592 5 20 HJBD CCSCCCN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 409834044 CDLXLYCZPTTZJP-GOSISDBHSA-N 407.923 4.661 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Cl)c(F)c1 426753446 IIWLSUTXOWDCBS-GOSISDBHSA-N 404.781 4.563 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1ccc(Br)cc1[N+](=O)[O-] 429974772 QGQOBYNUDOPUPD-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(CCSc1ccnc2cc(Cl)ccc12)NCc1ccc([N+](=O)[O-])cc1 433405190 DAELBJFTJUSRCE-UHFFFAOYSA-N 401.875 4.595 5 20 HJBD CCOc1ccc(Oc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])cc2)cc1 433831744 FSWJLVBUNSTNNQ-UHFFFAOYSA-N 410.430 4.885 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])c2ccccc2)cc1 435532902 SFBUVBCRINQYSZ-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 443945902 HWJCOBJJCGWJEX-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD O=C(N[C@@H](c1ccccc1F)C1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 446860885 IVCMHLXEEWSYSI-OAQYLSRUSA-N 409.417 4.649 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CSc2ccc([N+](=O)[O-])cn2)cs1 448107998 AMAVWWIAGQGWHL-UHFFFAOYSA-N 414.512 4.986 5 20 HJBD O=C(NC[C@H]1CCCOC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 464437758 CQXPCINOVZPLQM-CYBMUJFWSA-N 406.891 4.556 5 20 HJBD Cc1nc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])sc1Cc1ccccc1Cl 466306862 IXOMVOTXXBOKKI-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cn1cc(CNC2CC(c3ccc(F)c(Cl)c3)C2)c(-c2ccc([N+](=O)[O-])cc2)n1 466474096 MYWRUDUFHFTYJD-UHFFFAOYSA-N 414.868 4.824 5 20 HJBD CC[C@H](C)N(C(=O)c1cc(OC)c(OCc2cscn2)cc1[N+](=O)[O-])[C@@H](C)CC 467207152 NGFVWJCJJSXGRO-KBPBESRZSA-N 421.519 4.678 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2cccnc2)cc1 467210590 CWOZVVPXMBWIBW-CQSZACIVSA-N 410.861 4.871 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Nc2ccc(C(F)(F)F)cn2)cc1 469698332 CPJNVGAXQMYIKW-UHFFFAOYSA-N 416.359 4.933 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H](COc2ccccc2Cl)C1 470125291 LPLYIQXWTGPWSR-ZDUSSCGKSA-N 407.829 4.710 5 20 HJBD O=C(N[C@@H]1CCC[C@H]2OCC[C@H]12)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 472898131 XFDGKBDYILWOMM-ZHALLVOQSA-N 416.861 4.728 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1ncnn1C 473988840 WFHVVPZOPWQPLK-OAHLLOKOSA-N 409.490 4.664 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC(COc2ccccc2C(F)(F)F)CC1 475850150 VGTODBOGKZHLJZ-UHFFFAOYSA-N 414.405 4.606 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 476174313 WNOARSPGYRGBIV-IFMALSPDSA-N 404.470 4.579 5 20 HJBD Cn1nc([N+](=O)[O-])cc1C(=O)Nc1c(Oc2ccc(Cl)cc2)ccc2ncccc12 481755378 ZBNPDRMCJMYKEV-UHFFFAOYSA-N 423.816 4.575 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc(Cl)c1F 482651241 LUYGMEVRXOHMRX-UHFFFAOYSA-N 424.815 4.513 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 485105833 XZYBRTUEDCPOTE-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CSc1cccc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCO2)c1[N+](=O)[O-] 486239549 LLEZSXKMZKJDFG-CYBMUJFWSA-N 422.890 4.780 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cccc(OCc3c(C)noc3C)c2)cc1[N+](=O)[O-] 488067027 XPXHKDQXJQZHNP-CQSZACIVSA-N 409.442 4.578 5 20 HJBD CC(C)N(Cc1ccc(-c2ccc(F)cc2)s1)C(=O)c1ccc([N+](=O)[O-])n1C 500952422 ICYJNOJZAWPHPY-UHFFFAOYSA-N 401.463 4.852 5 20 HJBD O=C(NC[C@H](c1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 501400693 PDEURQVSWSDUQU-CQSZACIVSA-N 406.282 4.690 5 20 HJBD CC(C)N(CCOc1cccc([N+](=O)[O-])c1)Cc1nnc(-c2ccccc2Cl)o1 502756659 RIPYQCVXNVFRRB-UHFFFAOYSA-N 416.865 4.588 5 20 HJBD C[C@@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1cccc([N+](=O)[O-])c1 504401937 SPHJPOISFAFCEX-VFNWGFHPSA-N 424.888 4.544 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CCOc2c(-c3ccccc3)cccc21 505116597 CQELVOPDFXGHDK-NRFANRHFSA-N 420.490 4.994 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(Br)cn1 507867577 CYSIDLCNFRQCKO-MRVPVSSYSA-N 401.058 4.688 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](C[S@](C)=O)c2ccccc2)cc1[N+](=O)[O-] 508689391 GOISALACIZLZRO-DFXYEROKSA-N 423.538 4.572 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(N2CCCCC2)n1C1CC1 511066149 QSRFBBVMMRWVRU-UHFFFAOYSA-N 417.535 4.591 5 20 HJBD COc1ccccc1[C@@H](C)N(C)C(=O)COc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 516344470 VMHGHHRJMAUKJE-QGZVFWFLSA-N 420.465 4.869 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 517540985 UQUQKHULBXTTAV-NRFANRHFSA-N 404.495 4.936 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 518284762 MBDDDZVXFKVYOC-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2)cs1 518354458 ODHIRSWNTBFZLL-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCCOc1cc(NC(=O)N(C)C2CCC(OC)CC2)c([N+](=O)[O-])cc1OCCC 522463898 GWSDZQACAQQHJZ-UHFFFAOYSA-N 423.510 4.594 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@H](c3nc4ccccc4s3)C2)c(Br)c1 530668503 KOVKDDIZXNWXMK-NSHDSACASA-N 419.304 4.746 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@@H](c4nc5ccccc5s4)C3)n2)c1 533471931 UKMMUFOTVYSBAF-OAHLLOKOSA-N 421.482 4.634 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccc2s1 533594132 FKIDLONTSJDRSM-UHFFFAOYSA-N 413.455 4.650 5 20 HJBD Cc1ccc(Br)c(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 534164813 XOVQVKSIKNSDHD-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD COc1cc(CN2CCC[C@H](c3nc4ccccc4s3)C2)c([N+](=O)[O-])cc1OC 535781645 OMAIDLWNOBXAIG-AWEZNQCLSA-N 413.499 4.601 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2c[nH]c3ncccc23)CC1 538236399 NDPCVTBYWYAWEY-UHFFFAOYSA-N 424.526 4.991 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1 538600293 WUZHVGJIWWBCDF-DOTOQJQBSA-N 408.376 4.688 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 542559576 DQNIKHGZFADJCG-APWZRJJASA-N 418.490 4.885 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)c12 546258267 AYOLLUZYZVFHFT-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD CC(=O)CCc1ccc(O[C@H](C)c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1 547194207 CBSXVQPYFRYMCW-MRXNPFEDSA-N 409.442 4.539 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CS(=O)(=O)c2ccccc2C(F)(F)F)c1 549386183 MJOLXJSCYDBNHL-UHFFFAOYSA-N 413.295 4.606 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CCOC)Cc1ccc(F)cc1Cl 555012742 FOFDTUDRJAFIPI-UHFFFAOYSA-N 409.845 4.630 5 20 HJBD CC(C)(C(=O)NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccccc1[N+](=O)[O-] 559377399 DVEHODKLYJUURK-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCc1c(C)nc2c3ccccc3nn2c1C 589208885 CPEOOAZECFJQOD-UHFFFAOYSA-N 417.469 4.596 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC(C)(C)CCc3ccccc3)cc2)c(C)c1[N+](=O)[O-] 608953191 ZYQCWIDQRYSRHO-UHFFFAOYSA-N 420.513 4.598 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc([N+](=O)[O-])cc1F 609187074 AJGCGCYAHPNKSL-INIZCTEOSA-N 415.421 4.804 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1cc(Cl)cc([N+](=O)[O-])c1 609857520 TZFMPOPSGDOMLV-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H](N4CCCC4)CC3)c([N+](=O)[O-])c2)cc1 609964782 JNPFSOYXSASUSB-NRFANRHFSA-N 422.529 4.610 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2ncc(C(C)C)n2C(C)C)c([N+](=O)[O-])c1 610034191 YXBPNBOYSQNCRR-CYBMUJFWSA-N 406.508 4.624 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 610745714 BCKPMCWVVZOYKI-CQSZACIVSA-N 419.466 4.776 5 20 HJBD COc1ccc([C@H](NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c2ccccc2)cc1 611144391 YBOTUVYLECZHAU-XMMPIXPASA-N 415.449 4.533 5 20 HJBD O=C(Nc1ccc2c(c1)OCCO2)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611198109 VEEVAKIEDBGDBD-UHFFFAOYSA-N 400.456 4.653 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccc(OC2CCCC2)cc1)c1ccc([N+](=O)[O-])cc1 611561028 AUTQHHNMIBAEMF-QFIPXVFZSA-N 406.486 4.529 5 20 HJBD CC(=O)c1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611591633 LSVDTXWXVVXREA-UHFFFAOYSA-N 400.456 4.790 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(N(C)c3ccccc3)c([N+](=O)[O-])c1)N2 726605188 JSQWOTJMOVDWOH-QFIPXVFZSA-N 418.453 4.568 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 728835408 PXQUPKJDDDLONG-ZDUSSCGKSA-N 403.460 4.723 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)OCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)sc2c1 729727994 HEQGIEXTVKBERS-UHFFFAOYSA-N 418.858 4.591 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C2CC2)cc1C 740792341 WKPSJOZQCXQZPG-UHFFFAOYSA-N 403.276 4.779 5 20 HJBD CCN(C(=O)Cc1ccc(NCc2cc(F)ccc2[N+](=O)[O-])cc1)c1ccccc1 742258551 BGJYMQHEJOEOAJ-UHFFFAOYSA-N 407.445 4.942 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CC23CCCC3)cc1)c1cc([N+](=O)[O-])ccc1Cl 747296411 YOAAEDAEWBVCER-QGZVFWFLSA-N 414.845 4.986 5 20 HJBD O=C(CCOc1ccc(Cl)cc1Cl)OCc1nc2cc([N+](=O)[O-])ccc2o1 751652592 XDYQWDPNHMWEPS-UHFFFAOYSA-N 411.197 4.555 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cccc1C(F)(F)F 760671726 VUPGPXIKJPCBCV-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1oc(-c2ccccc2F)nc1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 762309830 QVUUCHRTPILSLO-UHFFFAOYSA-N 419.372 4.819 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2 766368097 HLNCHAAJKKIAHW-RKOMNEFPSA-N 400.406 4.602 5 20 HJBD O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 772818215 NPGHECACIARVIV-SFHVURJKSA-N 409.269 4.516 5 20 HJBD Cn1cc(CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc21 776131238 FFDWGFCVZKVRBC-DEOSSOPVSA-N 415.449 4.747 5 20 HJBD O=C(CSCc1ccc(F)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139373 UFTRZJMTCSNOSB-OAQYLSRUSA-N 412.442 4.695 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cccc(OCc4cccnc4)c3)CC2)cc1 776821151 TWRMBHUYZOOGNZ-UHFFFAOYSA-N 404.470 4.650 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccc4oc(C(F)(F)F)nc4c3)CC2)cc1 777653667 QYEUSHAQMRFPPO-UHFFFAOYSA-N 406.364 4.836 5 20 HJBD O=[N+]([O-])c1cccc(NS(=O)(=O)c2ccc(Cl)cc2C(F)(F)F)c1Cl 788216379 JPGNAQHQBNHIOH-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 790545996 ISXGRZQFABTBFD-IAQYHMDHSA-N 416.758 4.621 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cn(-c2ccc(Cl)c(Cl)c2)cn1 797424825 NNYUBFAZVRWRKX-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD C[C@@H](OC(=O)c1ccccc1OCc1cn2ccccc2n1)c1cccc([N+](=O)[O-])c1 805601901 MVJGKKDEJDAZNH-MRXNPFEDSA-N 417.421 4.740 5 20 HJBD CCOC(=O)/C=C\c1ccc(NCc2ccc(Br)cc2[N+](=O)[O-])cc1 864000708 YTLIPSXWEYBEQM-YHYXMXQVSA-N 405.248 4.546 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccccc2)Cc2ccc(F)cc2)cc1[N+](=O)[O-] 914512417 PPQXNSHICCOJFY-UHFFFAOYSA-N 423.400 4.793 5 20 HJBD O=C(OCc1csc(-c2cccs2)n1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 916479436 WOFBITFBYAALAO-UHFFFAOYSA-N 415.496 4.737 5 20 HJBD C[C@H](Sc1ccccc1Cl)C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 917326222 SYRODYIPFDEVMX-NSHDSACASA-N 419.846 4.522 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)ccc1F 918849805 LOBFMJAKZJXEFM-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1F)C(=O)Nc1ccccc1Oc1ccccc1 919251591 GPBVQZHRGUKBNV-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc2sc3ccccc3n2c1=S 919950004 BNJWOASWAJLDHE-UHFFFAOYSA-N 413.528 4.856 5 20 HJBD COc1ccc(-c2cc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)ccc2OC)cc1 1318811105 CPFLVVMRSVQKRT-UHFFFAOYSA-N 419.437 4.827 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccn(-c2ccccc2C(F)(F)F)n1 1323791883 CZLFVIWKJRGLIX-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1nn(CCc2nnc(-c3sc4ccccc4c3Cl)o2)c(C)c1[N+](=O)[O-] 1328189954 ISXVMYNTXZPFQH-UHFFFAOYSA-N 403.851 4.569 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)cc1 1345606932 VTSZBAURQUUXKZ-UHFFFAOYSA-N 410.393 4.560 5 20 HJBD CCOc1cc(C(=O)OCc2csc(-c3cccs3)n2)c([N+](=O)[O-])cc1OC 19473794 HSJMLBGUTKDAEV-UHFFFAOYSA-N 420.468 4.544 5 20 HJBD Cc1[nH]c(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nc1Cc1ccccc1 23582504 HKUMBLIMEJOGNT-UHFFFAOYSA-N 407.455 4.559 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32993896 KUZZCUFZLCVZIL-FQEVSTJZSA-N 422.441 4.501 5 20 HJBD CSc1cccc(NC(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1 54005445 WOEYWNALXIDQGY-QGZVFWFLSA-N 417.487 4.521 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccc(OCc2ccccn2)c1 58571496 OAFPPUQRECFYQM-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD COc1ccc(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)cc1[N+](=O)[O-] 78660816 LOCQIGUMXICLNU-ZDUSSCGKSA-N 411.483 4.835 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3ccccc3Cl)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 248010601 XRYBSAZUNIEUNR-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NCc2coc(-c3ccc(C)cc3)n2)cc1Cl 301660004 JBDYMFPZZMNASZ-UHFFFAOYSA-N 401.806 4.610 5 20 HJBD O=C(Nc1ccc(Oc2cc(Cl)nc(C3CC3)n2)cc1)c1cccc([N+](=O)[O-])c1 301922515 LHBIYJWTRDCLRI-UHFFFAOYSA-N 410.817 4.960 5 20 HJBD CC(C)(c1nc(-c2ccc(OC3CCOCC3)cc2)no1)c1ccccc1[N+](=O)[O-] 426410279 LDOUXMRFFFFMTH-UHFFFAOYSA-N 409.442 4.529 5 20 HJBD O=C(O)[C@@H]1[C@H]2CC[C@@H](C2)[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 426780368 RBPLQMZAAFORAX-AFHBHXEDSA-N 416.861 4.629 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1)c1ccc([N+](=O)[O-])cc1 430544233 NSOPJZCUZRKVAJ-UHFFFAOYSA-N 415.396 4.512 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431187687 QPTKMPZRPGCAMN-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD COc1cccc(C(=O)NCCc2ccc(Oc3ccc(F)cc3)cc2)c1[N+](=O)[O-] 435927741 DDEJCLDIDUJVKE-UHFFFAOYSA-N 410.401 4.507 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435935871 KSPOZUGWDHWDQQ-UHFFFAOYSA-N 423.428 4.779 5 20 HJBD O=C(Nc1cccc(CNc2cc(C(F)(F)F)ncc2[N+](=O)[O-])c1)C1CCCC1 436304988 GFXVYVGVVWOTNQ-UHFFFAOYSA-N 408.380 4.749 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)c1ccc(O)c([N+](=O)[O-])c1 436975500 AUASXLBBLDDVJI-UHFFFAOYSA-N 410.451 4.854 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437985393 JZRFMBGAVNOFTB-WMZHIEFXSA-N 423.513 4.745 5 20 HJBD O=C(Nc1c(F)cccc1Br)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 438418979 JQMSIJYMHAJUOL-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(CCN1CCc2ccc([N+](=O)[O-])cc2C1)Nc1ccccc1-c1ccccc1 438519120 YJWBTPWPOGLQSF-UHFFFAOYSA-N 401.466 4.649 5 20 HJBD CC(=O)Nc1ccc(Br)cc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 439110409 IUGQBEIPKFESJA-UHFFFAOYSA-N 420.263 4.691 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1nc2ccccc2s1 439936992 XXWAWISHUFAKHL-INIZCTEOSA-N 412.471 4.972 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 446005160 XFHSUADDFKYWTQ-MJGOQNOKSA-N 421.419 4.502 5 20 HJBD C[C@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 447674616 FIJQORKMZMARSI-ZANVPECISA-N 423.363 4.672 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3C(F)(F)F)o2)cc1 448114361 SMKCVUCHVXEEHF-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD CN(C)c1ccc(-c2cncc(C(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)c2)cc1 463134857 OYHWISDJBUBLMI-UHFFFAOYSA-N 413.437 4.523 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1OC 466456101 POKSRPZEIXHLHD-CQSZACIVSA-N 404.438 4.803 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1-c1ccccc1C(=O)OC 466501191 APZYUKBJSJSSMW-UHFFFAOYSA-N 420.421 4.699 5 20 HJBD Cc1cccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1Br 470047976 RVHBYVUXHUWXTC-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1c(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 474906098 JCFZNSPGGZBEFB-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 475296998 NTRUZJSUYBFOJN-UHFFFAOYSA-N 416.739 4.644 5 20 HJBD COc1c(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)cccc1[N+](=O)[O-] 475772438 JMEHOZYLVSMFJX-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD COc1c(C(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)cccc1[N+](=O)[O-] 476136655 FZAKVSLZYHZNRO-IBGZPJMESA-N 408.458 4.511 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477706723 WAOAXAZFPOZHMB-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)NCc1cccc([N+](=O)[O-])c1C 481471154 FQCFUKQUPFPDAS-UHFFFAOYSA-N 411.483 4.730 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)ccc1Cl)C(C)C 487661333 DYMOSBHGJFIOIM-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(C(C)(C)C)no1 489927940 GGDHENHTVHNXTC-LBPRGKRZSA-N 406.508 4.514 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 490850605 ZJMBBQAAHUDDGU-KRWDZBQOSA-N 406.364 4.521 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 497653819 FBJZTXJDEQKEOI-NSHDSACASA-N 411.683 4.762 5 20 HJBD O=C(Nc1cccc(C(=O)c2ccccc2)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 505425163 MHFDYVYVGBMTIG-UHFFFAOYSA-N 401.422 4.652 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc(C)cc([N+](=O)[O-])c1 505453749 PJLXDACTMSPELV-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD Cc1cc(C(=O)Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)c(C)n1Cc1cccs1 505569881 CCQNCVQYHWEBOY-UHFFFAOYSA-N 420.494 4.869 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1cccc(Br)c1 507632957 BYOMPMMAKYBVRN-JTQLQIEISA-N 416.318 4.614 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2cc(Br)ccc2[nH]1 509715986 YNOWXJXTASDESI-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD C[C@@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(OC(F)F)cc1 510843941 PBLHWKFMGUCDRJ-SECBINFHSA-N 417.315 4.680 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](c2ccc(Cl)cc2)C2CCCC2)c([N+](=O)[O-])c1 511378207 MUJPTMWNKFADRI-LJQANCHMSA-N 408.907 4.995 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@@H](C(F)(F)F)C2(CCC2)C1 511886172 RQYSGQPECIARAO-CQSZACIVSA-N 405.804 4.512 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2)cc1 512841303 ZWCKEWYOZCDNRU-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD CCOc1ccc(C(=O)N[C@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 520209458 YEOOWWYLNQSTIN-QGZVFWFLSA-N 407.348 4.508 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(Cc2ccco2)c2ccc(F)cc2C)cc1C 521136201 OGWWUXGKCCUUBX-UHFFFAOYSA-N 418.446 4.648 5 20 HJBD O=C(NCc1cccc(-n2ccnc2)c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 523733142 QWVBFQIPNFNZKD-UHFFFAOYSA-N 414.421 4.503 5 20 HJBD COc1ccccc1[C@@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 524348864 NEGQCYXRHLRTQY-SFHVURJKSA-N 409.467 4.704 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1c1ccccc1Cl 524603596 QLINMXMIKKBPQW-MRXNPFEDSA-N 409.667 4.988 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1cccc(Cl)c1 525143771 BZKLTQNKRZALPL-LBPRGKRZSA-N 400.784 4.943 5 20 HJBD COc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OCc1cscn1 531256623 LETZCPHUDXRHFK-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc([C@H]4CCCO4)s3)n2)cc1[N+](=O)[O-] 534788727 ATXBWCVUQJQDTL-CQSZACIVSA-N 416.484 4.587 5 20 HJBD C[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)Cc2ccccc2F)c1 537022157 ANPXFYFEPBDSLM-QGZVFWFLSA-N 421.472 4.808 5 20 HJBD Cc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nn1-c1ccccc1Cl 537332552 UNLCWGWGFXJJCU-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD C[C@H]1C[C@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 537764824 XFMJRCXQZQEENQ-UONOGXRCSA-N 404.850 4.585 5 20 HJBD Cc1cccc(C2=CCN(C(=O)c3ccc(Br)cc3[N+](=O)[O-])CC2)c1 537792112 ILQOOZIKMLWRLV-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n([C@H]2C[C@H]3CC[C@H]2C3)n1 538240553 BTSMYYQAOOIFEX-HUBLWGQQSA-N 408.380 4.732 5 20 HJBD CN(C(=O)c1coc(-c2ccccc2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251333 HXTNXSZFSNTXER-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN2CCC[C@@H]2c2ncon2)cc1 538716524 XFZFRRIGFKVCFK-MRXNPFEDSA-N 400.822 4.761 5 20 HJBD COc1cccc2sc(NC(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])nc12 539446917 UYKUEEAOEPCSAH-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cccn2)c(F)c1 540435885 CJCFRLJNGUDLOW-UHFFFAOYSA-N 400.435 4.672 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 541121626 QFTOUPJAQUDMNV-GOSISDBHSA-N 404.555 4.508 5 20 HJBD C[C@H](C(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1F 541592228 VPHHEKGCEATYSI-QFYYESIMSA-N 402.319 4.786 5 20 HJBD C[C@H](C(=O)Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc([N+](=O)[O-])cc1F 542550739 MYELCVSOPXZCDP-NSHDSACASA-N 420.803 4.513 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(N2CCC(C(=O)Nc3ccc(Cl)c(Cl)c3)CC2)n1 543791614 FRKWOPIKNXBPEE-UHFFFAOYSA-N 423.300 4.769 5 20 HJBD CN1CC[C@H](c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c2ccccc21 545649118 UBVWXEKGWJWKIB-INIZCTEOSA-N 419.466 4.740 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 548087789 XWUQEWVNXXVMOJ-AWEZNQCLSA-N 410.405 4.591 5 20 HJBD Cc1ccc2snc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c2c1 550648387 LRVMBCODHAFESZ-UHFFFAOYSA-N 409.389 4.718 5 20 HJBD CC(C)(NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1cccc(C(F)(F)F)c1 550915822 FWJLUSFYSXGDAE-UHFFFAOYSA-N 405.376 4.689 5 20 HJBD Cn1ccnc1[C@H](NCCCc1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 556874207 YVWKRAQBLQHGEO-LJQANCHMSA-N 419.312 4.947 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1sc(C2CC2)nc1-c1ccccc1 560991901 SCGVVENLKMQMQU-UHFFFAOYSA-N 407.495 4.910 5 20 HJBD CN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])C1CCC(F)(F)CC1 561868078 DFZJKXPXVPNHPW-UHFFFAOYSA-N 404.413 4.824 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3c(C)cccc3[N+](=O)[O-])n2)cc1Cl 577544120 BNOVWPCMWLBZNQ-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 578811663 FQXMFARVEXCFGF-HNNXBMFYSA-N 409.467 4.752 5 20 HJBD Cc1c(CNC(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)cccc1[N+](=O)[O-] 585745847 CEWNWOUJUDTODV-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 588937126 UECKMCZFHKHDLG-AEFFLSMTSA-N 417.387 4.557 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CCc1nc(-c2ccc(C(C)C)cc2)no1 591589910 KPSCJTMMZIVTJT-UHFFFAOYSA-N 408.458 4.956 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)c1cc(SC)ccc1[N+](=O)[O-] 603524658 AFCWFRHTQQTJAR-UHFFFAOYSA-N 409.305 4.742 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2csc([N+](=O)[O-])c2)n1 603756477 RCZKDLQHIHLHKQ-UHFFFAOYSA-N 410.377 4.730 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 604493838 ZSUPVRXGLDTJGB-NSHDSACASA-N 420.384 4.525 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)c2ccccc2F)cc1[N+](=O)[O-] 608807176 YUXJVUDCRZHOIL-UHFFFAOYSA-N 424.453 4.963 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1Cl)NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1 609410905 IRZKHPXNVGSWDD-OAHLLOKOSA-N 411.285 4.590 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1 609444322 WQLMYQDRVIUEAQ-JTQLQIEISA-N 403.410 4.796 5 20 HJBD C[C@H]1CN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccc2O1 609508996 JJDPFKCYBALZKP-NSHDSACASA-N 412.389 4.520 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)C1CCCCC1 609698142 YGBKLHUFTIYSFF-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD COc1cc(COc2ccc([N+](=O)[O-])cc2Br)ccc1OC(F)F 609962682 ONTORTXBALWJHE-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CC(c3nc4ccccc4[nH]3)C2)cc1OC 611668423 INSZHVJFQCVKLV-HNNXBMFYSA-N 424.501 4.504 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CC[C@@H](n4cncn4)C3)cc2[N+](=O)[O-])c1 614453057 BUIOLEBVVHATPS-LJQANCHMSA-N 421.501 4.857 5 20 HJBD CC(C)[C@@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccnn1C 619658537 JKDKEJKNKSEWRX-QGZVFWFLSA-N 424.526 4.649 5 20 HJBD COc1ccc(CC(=O)O[C@H](c2cncc(F)c2)C2CCCCC2)cc1[N+](=O)[O-] 731776006 SYTJETUMOCDWEM-NRFANRHFSA-N 402.422 4.545 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1cc(Cl)cc([N+](=O)[O-])c1 736864961 WLENHYGLXYNKIX-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2sc(Cc3ccccc3)nc2C)ccc1[N+](=O)[O-] 739591783 JPUUWIFRNPLGDV-AWEZNQCLSA-N 412.467 4.877 5 20 HJBD Cc1cccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Cc2ccccc2)c1[N+](=O)[O-] 741823932 WBBVQWPKHNVTJA-KRWDZBQOSA-N 418.449 4.678 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 742765405 FZEKYZUGJCSCMA-UHFFFAOYSA-N 411.414 4.667 5 20 HJBD C[C@@H](OC(=O)C1(c2cccc(F)c2)CCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744538452 WEKZETWWNSBWCL-CYBMUJFWSA-N 411.389 4.510 5 20 HJBD C[C@H]1CCC[C@@H](OCc2cccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)c2)C1 745776234 UYTFEDPGPHOJRC-MAUKXSAKSA-N 416.449 4.847 5 20 HJBD CC(C)(C)c1csc(C2CCN(CCC(=O)Nc3ccccc3[N+](=O)[O-])CC2)n1 750066657 GSXJRYORVUGYEK-UHFFFAOYSA-N 416.547 4.557 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1)c1cc([N+](=O)[O-])ccc1F 751109444 QXMQOVUMOWKUHX-UHFFFAOYSA-N 408.364 4.592 5 20 HJBD C[C@@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccc(Oc2ccccc2)cc1 751184909 DBFUVFTUPUNRPM-MRXNPFEDSA-N 416.437 4.614 5 20 HJBD C[C@H](C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 754209178 JPVKCTAXJVTJGS-LBPRGKRZSA-N 423.897 4.683 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc2ccccc2c1)c1ccc([N+](=O)[O-])cc1F 755069443 UADSJTMKGGDRTC-UONOGXRCSA-N 410.401 4.561 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC(c2ccc(F)cc2)CC1 770185747 RYDQDXSKAGUEAG-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CN(C)c1ccc(C2CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 775882180 OBUKJKMXPHLFMZ-UHFFFAOYSA-N 406.486 4.695 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 777326854 WHQGYQJRHJXEKL-OAQYLSRUSA-N 415.405 4.520 5 20 HJBD O=C(Nc1cccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 777947904 VIIKPJGYBLXYAJ-UHFFFAOYSA-N 411.364 4.578 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1cc(Cl)ccc1[N+](=O)[O-] 778137837 LLBSNWVLULNXRX-LLVKDONJSA-N 411.241 4.569 5 20 HJBD CCN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)[C@H](C)Cc1ccsc1 779444261 UCAVUGPIKDZCBK-LLVKDONJSA-N 412.309 4.904 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3ccc([N+](=O)[O-])c(Cl)c3)CC2)c(OC)c1 783520994 MCLLAUBEMGSJIU-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD COc1ccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c(OCc2ccccc2)c1 784169311 DALKSJUWOHBCEM-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NS(=O)(=O)C1=Cc2ccc(OC(F)F)cc2CC1 788845451 CTRLGXYSWRWACF-UHFFFAOYSA-N 424.425 4.542 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)Cc1ccc(NC(=O)OC(C)(C)C)cc1 800151930 TYUCULHCIGVMMW-UHFFFAOYSA-N 403.410 4.571 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)n1 801710471 PERATBLPVYPPAL-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1Cl 803363170 DPDAFSKKVBTQRP-SFHVURJKSA-N 409.269 4.799 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 807727548 UMBWWSJCVXAYMC-UHFFFAOYSA-N 406.719 4.623 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 814623140 YIIOVBVFDLDPCG-UHFFFAOYSA-N 414.462 4.856 5 20 HJBD CON(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@@H](C)c1ccccc1C 820040525 YEFQZECESDTCLG-SFHVURJKSA-N 400.478 4.614 5 20 HJBD COc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Br 864021775 NRNPJMIJIIEUAC-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/Nc3ccc(F)c([N+](=O)[O-])c3)c3ccccc3C2=O)cc1 912742362 QIIBOJQTLTXSDX-CPNJWEJPSA-N 417.396 4.682 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)cc1[N+](=O)[O-] 914484815 IMWRIZOAVCHWEO-UHFFFAOYSA-N 405.410 4.769 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1COc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 918218625 YRLDCHHUZQMDSP-RDTXWAMCSA-N 403.866 4.562 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)s2)cc1OC 14878163 RTXAGNYYLYKVQS-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD C[C@H](Nc1cccc(OCC(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 30495219 VAQPOXAVMLGXTR-JTQLQIEISA-N 417.771 4.628 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1ccc([N+](=O)[O-])cc1 59888934 JPDNZZLAGCANOJ-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD CN(CC1CCCC1)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 64088886 OCXJEHQADJVXQW-UHFFFAOYSA-N 418.497 4.639 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 110563903 BNEKSAUZPUZWLC-ZDUSSCGKSA-N 404.536 4.963 5 20 HJBD CCOc1cc(NCCC(=O)Nc2ccc(Br)cc2C)ccc1[N+](=O)[O-] 301497635 BWGFTIWQQVTENY-UHFFFAOYSA-N 422.279 4.505 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)s2)cc1 303306770 PTQWBVHIJOOLNX-LBPRGKRZSA-N 421.482 4.702 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])cc2Cl)cc(Br)c1OC 319921031 ZRRNLJVBOHCRHC-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2nc3ccccc3s2)cc1C(F)(F)F 409660786 UKYAXSMWWIDRQT-HNNXBMFYSA-N 409.389 4.801 5 20 HJBD C[C@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 409910008 JKQOHVXZVOMAFX-NSHDSACASA-N 400.784 4.729 5 20 HJBD C[C@@H]1C[C@]1(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 410376126 UERNXJYZGQEJFJ-IVZQSRNASA-N 413.905 4.516 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2nc(-c3ccccc3F)cs2)cc1[N+](=O)[O-] 426036283 NFLXAWPWDCRJSA-ZDUSSCGKSA-N 414.462 4.726 5 20 HJBD Cc1sc(NC(=O)CCn2cc([N+](=O)[O-])nc2C)nc1-c1cccc2ccccc12 426420195 LDNCSALDOVVTIL-UHFFFAOYSA-N 421.482 4.714 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC3(CCCC3)C2)cc1[N+](=O)[O-] 428302243 DGKGSAJVVATYPB-UHFFFAOYSA-N 410.495 4.564 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 429034458 JACFOAFHQCAJPC-HNNXBMFYSA-N 407.430 4.677 5 20 HJBD CC1(C)Cc2ccc(Br)cc2[C@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 429283736 IFHHPELYNPYPRP-GOSISDBHSA-N 416.275 4.912 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431458016 PCVODHWXKYFWMN-FZMZJTMJSA-N 407.239 4.515 5 20 HJBD CC(C)(C(=O)NCc1ccccc1[N+](=O)[O-])c1csc(-c2c(F)cccc2F)n1 431839181 BQZTWDNEJZLENK-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD CN(C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C1C2CC3CC(C2)CC1C3 436103027 MIFQKSZYSCVTLR-UHFFFAOYSA-N 404.485 4.717 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 436340551 FSZPVGCPUNPZCZ-QGZVFWFLSA-N 421.419 4.927 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@H](C)c2nc(C(C)(C)C)no2)cc1[N+](=O)[O-] 437131391 AQYTWARWUJYCFS-CYBMUJFWSA-N 420.535 4.905 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccc(F)c1F 444300236 YVTXKDDVDNZVNC-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](c3cc(F)cc(Br)c3)C3CC3)[nH]c2c1 444669101 DJVZRUGSRAIPAO-SFHVURJKSA-N 419.254 4.614 5 20 HJBD COc1cc(Cl)ccc1-c1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 445672073 JCBXJGSJVVAAAW-UHFFFAOYSA-N 417.852 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@@H]2CCSc3ccc(Br)cc32)c1 460150525 LKYBZTUMZHWMJG-OAHLLOKOSA-N 421.316 4.975 5 20 HJBD CCn1c(-c2cccc3cccnc23)nnc1N(Cc1cccc([N+](=O)[O-])c1)C1CC1 460268794 YLGCLJKCSLDVDW-UHFFFAOYSA-N 414.469 4.590 5 20 HJBD O=C(O)C/C(=C\c1ccccc1[N+](=O)[O-])c1nc2cc(Br)ccc2s1 463048839 OLLPKZSFYWZVIK-YRNVUSSQSA-N 419.256 4.982 5 20 HJBD CC(C)(Cc1nc2ccccc2[nH]1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 463054293 QLJNXFOYRWAIGP-UHFFFAOYSA-N 406.364 4.697 5 20 HJBD O=C(Nc1cc(F)c(Br)cc1Cl)c1ccc([N+](=O)[O-])c2cccnc12 466447826 DNDPURXAWCUINR-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD CO[C@H]1CCOc2c(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cccc21 468685291 ZPIWBAMHOPOWPY-KRWDZBQOSA-N 402.472 4.818 5 20 HJBD CC[C@H]1CN(C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)CC[C@@H]1c1ccccc1 470200178 KLOPEVICHHVMJJ-YJBOKZPZSA-N 405.454 4.505 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 475647451 RYLXEUGYXFANOE-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cc(F)cc(Cl)c3)cc2)c(C)c1[N+](=O)[O-] 478371406 AIMTVCUAGBAPCR-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CSc1cccc(C(=O)Nc2c(F)cc(Br)cc2F)c1[N+](=O)[O-] 486423007 HYAVGQNXAMRXKY-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1ccc(NC(=O)[C@@H](Sc2nc3c([nH]2)CCC3)c2ccccc2)c([N+](=O)[O-])c1 487917649 XYBJZAQPHDUCIV-IBGZPJMESA-N 408.483 4.587 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc2ccccc2n1C 488727472 PNKUHYGSTUGVRO-UHFFFAOYSA-N 412.515 4.502 5 20 HJBD CC(C)(NC(=O)NCC1(CCOCc2ccccc2)CC1)c1ccccc1[N+](=O)[O-] 490252395 QMZHEBYIMLTREY-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2)cc1[N+](=O)[O-] 493259659 QTVOBDDLCNAIQZ-INIZCTEOSA-N 424.501 4.848 5 20 HJBD Cc1sc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc1-c1c[nH]c2ncccc12 506176482 WCGYEIUTGHPDJU-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD O=C(Nc1cc2c(cc1Sc1ccccc1)OCCO2)c1csc([N+](=O)[O-])c1 506217429 WMGJHUDKGJSHMG-UHFFFAOYSA-N 414.464 4.831 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c2cccnc2O1 508263882 DQCPHOLOOYVWLE-CQSZACIVSA-N 407.451 4.569 5 20 HJBD COc1ccc([C@@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccc(F)cc2)cc1 512165823 HXLWLVVAGXZFII-QFIPXVFZSA-N 419.412 4.743 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 512348296 YOOSJAXXDPPJSG-UHFFFAOYSA-N 401.369 4.763 5 20 HJBD O=C(NCc1nc2ccccc2s1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 514612462 HYBZOQPZWWXNHW-UHFFFAOYSA-N 418.478 4.747 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccnc(N3CCCC3)c2)cc1[N+](=O)[O-] 514867363 IYDGMLFIUITGPY-UHFFFAOYSA-N 417.513 4.648 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1cccc(Cl)c1Cl 520322440 QVYZYOGNOMUDTD-RYUDHWBXSA-N 423.252 4.805 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nc(-c2ccc(F)c(F)c2)cs1 524553069 DHGSGBNBYBGGOL-UHFFFAOYSA-N 409.801 4.831 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(-c3nnc4n3CCCC4)cc2)cc1[N+](=O)[O-] 524615325 LTJBGVAOTJPSFO-UHFFFAOYSA-N 419.485 4.739 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3ccccc3[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 531363490 URXHXBWGZZXDHX-IAGOWNOFSA-N 416.481 4.922 5 20 HJBD COc1ccc(C[C@H](C)CN(C)[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 534176046 CARFNGUYJFOXSK-JKSUJKDBSA-N 410.474 4.525 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Cl)c1Cl 534272421 IHLPTHSCKNMMMI-UHFFFAOYSA-N 401.271 4.892 5 20 HJBD CC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1c(C)noc1C 535021556 DFCVEXNAXVHTMO-LJQANCHMSA-N 408.458 4.693 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1nc[nH]n1)c1cccc2ccccc12 536102218 DYASVSVKZZSULI-ZDUSSCGKSA-N 419.466 4.508 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1)CC(F)(F)F 536698536 QCRPVNPOPDOWJN-OAHLLOKOSA-N 411.424 4.561 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(Cn2ccnc2)c1 538515859 VDEBBZKHPLFYHB-UHFFFAOYSA-N 424.526 4.662 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](CCc2ccccc2)c2ccccc2)s1 541172613 GMLXNDIBRWQRFQ-QGZVFWFLSA-N 416.524 4.846 5 20 HJBD CC[C@@H](C(=O)N1CCC(NCc2ccc([N+](=O)[O-])cc2Cl)CC1)c1ccccc1 541539589 BKUDDDBNWBESGH-HXUWFJFHSA-N 415.921 4.523 5 20 HJBD CC[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(C(F)(F)F)cs1 541633578 ZLOYIKVVSFHYLR-ZDUSSCGKSA-N 412.393 4.966 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 544558358 JOWQUDHAGYPLTD-JKSUJKDBSA-N 410.449 4.517 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4ccccc4-n4cccn4)no3)cs2)c1 545661296 LJPRIBPANYBJEF-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCCC(F)(F)F)cc1 553846584 IYHJCKPDMNJTGU-UHFFFAOYSA-N 404.392 4.880 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(-c2ccc3c(c2)OCCO3)s1 558424788 DAXCDFSTQOBQRP-UHFFFAOYSA-N 410.451 4.964 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)N[C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 558588501 HDBYGWFJIULINA-HTAPYJJXSA-N 419.481 4.504 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(C4(c5ccccc5)CCOCC4)no3)cc2c1 583660084 DYOYEIGQZVVIEU-UHFFFAOYSA-N 407.451 4.956 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 590644431 KHDDTARTMYPISA-VXGBXAGGSA-N 420.441 4.698 5 20 HJBD CCN(CC(F)(F)F)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 603618823 DVISBZNFDFTTJJ-UHFFFAOYSA-N 405.423 4.535 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(COCCOc2ccccc2)c1 604073865 FKWGJHJSRXPDAM-UHFFFAOYSA-N 407.426 4.834 5 20 HJBD CCCCN([C@@H](C)c1ccc(C)o1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 604494381 OKKQQLQAQWETKB-ZDUSSCGKSA-N 400.884 4.702 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nncs2)c(Cl)c1 609107818 VQEGAHQTKVJICF-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(C)c1 609154947 CQZBHTAZKXAAFY-HSZRJFAPSA-N 407.445 4.618 5 20 HJBD C[C@@H](CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1c(Cl)cccc1Cl 609550840 DPIBMADCPOTAEL-JTQLQIEISA-N 420.252 4.689 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)s2)c(C)c1 609784929 PBGAITUQABPPLP-UHFFFAOYSA-N 402.863 4.565 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)nc2ccccc21 609852391 MVZUJEAAXQNPPB-AWEZNQCLSA-N 407.474 4.591 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCC 610036632 ZWJAPRJZWNBWMA-HNNXBMFYSA-N 400.475 4.547 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2OCC2CC2)cc1SC 610122331 QCTSWHLPNWNUBH-UHFFFAOYSA-N 406.435 4.506 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](Cc2ccccc2)c2ccccc2F)cc1[N+](=O)[O-] 610138412 HNIHXSKKXKMHLC-FQEVSTJZSA-N 423.444 4.526 5 20 HJBD CC(C)(C)N1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 611202248 BMNNZOJFMRNXSO-UHFFFAOYSA-N 405.564 4.576 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NCc2nc3cc([N+](=O)[O-])ccc3o2)cc1 611385069 PXWWSCZRYLECSY-FQEVSTJZSA-N 421.428 4.957 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(c2ncnc4ccc([N+](=O)[O-])cc24)C3)cs1 611714465 RXCABFMIQOVAKE-UHFFFAOYSA-N 409.496 4.594 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCS[C@H](c2ccccc2)C1 681999507 PYBBGTQHDLMBHT-QFIPXVFZSA-N 400.503 4.612 5 20 HJBD COc1ccc(CNC(=S)N[C@@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 726471856 GHZRGWDCSUWWHA-JTQLQIEISA-N 414.314 4.636 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1cccc([N+](=O)[O-])c1)c1ccccc1Cl 730366233 BTAMFZZBKZVWKL-UHFFFAOYSA-N 416.842 4.919 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)cc1SC 732519401 MOQNATFLSLSUOW-VIFPVBQESA-N 421.862 4.773 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Oc1ccc(NC(=O)c2ccsc2)cc1 735469636 UISIDZPPQJNENV-UHFFFAOYSA-N 416.842 4.710 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 748390667 LJWFXFWKOODYRM-HHQWOOOKSA-N 422.359 4.587 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1cc([N+](=O)[O-])ccc1Br 751070764 LJHJJCKSFRQWLW-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(N3CCCC3=O)c(Cl)c2)cc1[N+](=O)[O-] 752628976 GZIYMEHSHRPLRB-ZDUSSCGKSA-N 416.865 4.566 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 763023320 WIRPWLLQFNRPHG-UTKZUKDTSA-N 407.470 4.585 5 20 HJBD C[C@H](C(=O)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 763400878 BUUBWEJEAQNKCU-HRAATJIYSA-N 404.422 4.622 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(Cc2cccs2)C2CC2)c1=S 764879854 RMUOFHYZAFBPRE-UHFFFAOYSA-N 415.544 4.693 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCc2sc(Br)cc2C1 770014306 DLHFGJVOWRPRBJ-UHFFFAOYSA-N 416.684 4.662 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 770116186 JXMZYTARVGTLFI-MRXNPFEDSA-N 414.380 4.683 5 20 HJBD CC[C@H](Oc1ccccc1F)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218694 UGSJAEFOKIRCIH-FPOVZHCZSA-N 410.401 4.619 5 20 HJBD Cc1cc(CSc2ncccc2C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)no1 778760650 BQBXCUJIQSIDKG-CQSZACIVSA-N 413.455 4.805 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 782473056 RFVIUHNJAXVMHI-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@H](C(=O)OCc1cncc(OCc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 784696260 QIYOLEIIGFWGEL-HNNXBMFYSA-N 410.401 4.555 5 20 HJBD CC(C)(Cc1ccccc1)C(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791245464 QJVZFKDLEZFGRH-UHFFFAOYSA-N 417.303 4.511 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 795316252 LMLYECOMTFBQIL-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 798080438 YXANMRFXIACMLJ-GOSISDBHSA-N 422.529 4.690 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccccc1NC(=O)OC(C)(C)C 798543651 ZSFMIGUTDHLEOK-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CSc1nnc(NC[C@H]2CCCO2)s1 809952836 BXBFHQNQPKWEDH-SNVBAGLBSA-N 421.331 4.636 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1OC(F)F 812894750 PSRALMXBTDGJDT-MRVPVSSYSA-N 405.184 4.994 5 20 HJBD CC(C)c1noc([C@H](C)OC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 914893818 WYJZTWPZUQHPHG-AWEZNQCLSA-N 410.430 4.631 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1COCc1ccccc1 916399239 STDHYDSFNAZOSK-UHFFFAOYSA-N 405.454 4.630 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNc1ccc([N+](=O)[O-])c2nonc12 917084968 NXTDJZSJLAWQSD-UHFFFAOYSA-N 421.413 4.719 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)C1(c2cccc(Br)c2)CCCC1 917609329 UOEICTIZNZPFIW-UHFFFAOYSA-N 407.239 4.947 5 20 HJBD O=[N+]([O-])c1nn(CN2CCC[C@H]2c2ccc(Cl)c(Cl)c2)cc1Br 917616702 QDCPBDCDHREPNO-ZDUSSCGKSA-N 420.094 4.655 5 20 HJBD C/C(=C\c1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccccc1OC(F)F 1117214188 CLOBSOPXXUNJDI-ZRDIBKRKSA-N 403.341 4.719 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCCCc1cc(-c2ccccc2)no1 1318290285 IFDICQLDRVWODK-UHFFFAOYSA-N 422.485 4.777 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1319571304 FYPQGULPUPIUMJ-UHFFFAOYSA-N 412.446 4.798 5 20 HJBD O=[N+]([O-])c1cc(CN2CCO[C@H](c3ccc(Cl)s3)C2)ccc1Br 1322704179 HPLNVQUBNUOVOY-ZDUSSCGKSA-N 417.712 4.646 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1323146533 QMVBUWFRWXPHNR-GFCCVEGCSA-N 401.264 4.618 5 20 HJBD C/C=C(/C)C(=O)NC1(c2ccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)cc2)CCC1 1786601040 FQBKJUBXZQIKJB-YPEHOIGNSA-N 421.497 4.628 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)c1 29266519 ATJHDWYZIIBXSL-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCC[C@H]1c1nc(-c2ccccc2)no1 116697496 SVUCHAJXNPMMCG-INIZCTEOSA-N 412.833 4.666 5 20 HJBD Cc1cc(N2CCC[C@@H]2C[C@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301833364 SBLGBJRMPRQGDQ-MSOLQXFVSA-N 410.499 4.514 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)s1 303925993 PRLDKKIEONGWQU-AWEZNQCLSA-N 400.504 4.813 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@H]1CCOC1 410234860 FEQPBZRATWBZIG-OLZOCXBDSA-N 406.891 4.554 5 20 HJBD CSc1nnc(CCCN[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)n1CC(C)C 433113811 DGGMPTJSGFGCNU-SFHVURJKSA-N 417.579 4.509 5 20 HJBD Cc1cc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)ccc1C(F)(F)F 438540911 NXBHOPQICBYCLH-UHFFFAOYSA-N 423.391 4.997 5 20 HJBD CCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)c(F)c1 441410435 QJLUPWNONZGYMV-UHFFFAOYSA-N 405.857 4.657 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)cc(F)c1F 441567690 FMSLPKBEEQAOOA-UHFFFAOYSA-N 402.806 4.517 5 20 HJBD C[C@H](NCc1cccc(N2CCC2=O)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 442827186 YRKMEXUHAUATKV-HNNXBMFYSA-N 407.495 4.911 5 20 HJBD Cc1nn(-c2ccccc2)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444303121 LQGMKVBNUXVJBE-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD COc1cc(Br)c(CN[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)cc1OC 447578418 FKCXLMWHPKMOAX-QGZVFWFLSA-N 421.291 4.542 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)ccc1OC 462498203 AHPPDCYGSXBRIQ-ZDUSSCGKSA-N 407.264 4.573 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 464071245 PEEUTIOAHQAKRT-NSHDSACASA-N 405.332 4.760 5 20 HJBD CC(C)(C)Oc1ccc(CNC(=O)Cc2cccc([N+](=O)[O-])c2)c(C(F)(F)F)c1 464562521 XDRCPFMAALQJEY-UHFFFAOYSA-N 410.392 4.650 5 20 HJBD CCn1ncc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1C(F)(F)F 466506805 SJCQBFZJURQYTA-UHFFFAOYSA-N 419.363 4.826 5 20 HJBD COc1cc(C(=O)N(Cc2cccnc2)c2cc(C)ccc2Cl)ccc1[N+](=O)[O-] 466613079 YWWQJCBORNZVBM-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD O=[N+]([O-])c1ccccc1CCN[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 467989207 UEBSGIZSWXXJLC-OAQYLSRUSA-N 400.438 4.567 5 20 HJBD CN(C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCC(CO)CC1 469951303 AYGLLXIMOJIPMW-UHFFFAOYSA-N 418.877 4.664 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(OC(F)(F)F)c1 470013539 XVYYNXPJEJVOLT-GFCCVEGCSA-N 422.363 4.736 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2cccc(OC(F)(F)F)c2)cc1[N+](=O)[O-] 470169833 KUROXVZNOWOMEU-CYBMUJFWSA-N 411.380 4.704 5 20 HJBD Cc1cccc(CC2(O)CCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)c1 475503316 OTSPOUBGTZSQGV-UHFFFAOYSA-N 423.538 4.596 5 20 HJBD CCN(C(=O)c1cc(O)cc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1cccnc1 476129653 CARYXSMRUBMCKU-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC=C(c3ccc(F)cc3)CC2)cc1SC 479446569 WIEOBKMYDUPULX-INIZCTEOSA-N 416.474 4.830 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nnc(-c4ccco4)n3-c3ccccc3)[nH]c2c1 484489405 VZAPXPRLNDCWOX-UHFFFAOYSA-N 418.438 4.604 5 20 HJBD CC(=O)c1c(C)cc(C)c(CS(=O)(=O)[C@H](C)c2cc([N+](=O)[O-])ccc2C)c1C 485215452 DZVMWVCOUGVJNB-QGZVFWFLSA-N 403.500 4.707 5 20 HJBD Cc1cc(Br)cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1 486608093 VOSOWZLXANCBDE-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@H](C)C(=O)Nc1ccccc1C 490003596 UZKGMEPUIKVYEP-OAHLLOKOSA-N 409.442 4.529 5 20 HJBD CCc1ccccc1NC(=O)C[C@H](C)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 494454355 SDQNYDKEYAWRPK-ZDUSSCGKSA-N 409.408 4.683 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)C1CCSCC1 495912098 ZWPYFZYBLNOQBE-UHFFFAOYSA-N 420.512 4.582 5 20 HJBD O=C(N[C@@H]1CCCOc2ccc(F)cc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 501551229 RBRNSJNYEQDVRP-MRXNPFEDSA-N 413.430 4.501 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N(C)C)c(Cl)c1 504093470 KYSWJXSSZGLVDE-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc3c(Cl)cc(C(F)(F)F)cn23)c(F)c1 505463052 WPZKTQPPDUOKEI-UHFFFAOYSA-N 424.738 4.880 5 20 HJBD CCCN(C(=O)c1cc(C(=O)OC)cc([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 507468277 ZAXVJUWQBVHJJE-CQSZACIVSA-N 410.426 4.741 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1c(O)c(Cl)cc(Cl)c1Cl 509489981 YMFRLCGORSPYOJ-UHFFFAOYSA-N 405.621 4.668 5 20 HJBD O=C(NC1CCCCC1)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 512375004 KFRLBJXYEIGSJO-UHFFFAOYSA-N 411.502 4.516 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])o1)c1ccc(Oc2ccc(C(F)(F)F)cn2)cc1 513428015 ZJDBSAFFINWYKR-UHFFFAOYSA-N 407.304 4.671 5 20 HJBD CCOc1cc(NC(=O)c2oc3ccc(F)cc3c2C)c([N+](=O)[O-])cc1OCC 515339119 MHGLSUREGLXVJB-UHFFFAOYSA-N 402.378 4.838 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc([S@](C)=O)c2)cc1[N+](=O)[O-] 517673521 VNENCLLBTYEAAG-MHZLTWQESA-N 406.529 4.723 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2ccc(OCc3cccnc3)cc2)no1 518343403 FFOUMFWGGUCFMJ-POHAHGRESA-N 418.384 4.928 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)c1C 521157460 UFEVQMKCVIICBQ-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCSC[C@H]1c1ccccc1 522330163 ZLBALPMNNFZTPG-SFHVURJKSA-N 411.508 4.649 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Nc1cccc(CS(=O)(=O)c2ccc(F)cc2F)c1 522691983 QJEFASQMZRLUHS-UHFFFAOYSA-N 422.384 4.730 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)c1cccc(C(F)(F)F)c1 523109622 RRQBJEAALDAYNJ-UHFFFAOYSA-N 404.138 4.638 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCc1cccnc1 530968373 XLXNTZAANGEVCP-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCc1ccc(-n2nc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)c3c2CCC3)cc1 531260769 NPXDROSVDMQAPB-UHFFFAOYSA-N 404.470 4.701 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(Cc2ccccc2F)CC1 533345694 SLXOBBFHNWVWJK-HNNXBMFYSA-N 410.449 4.800 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccccc1COCc1ccccc1 534774399 QQNHKSHCBIYAHH-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2ccc(F)c(F)c21 537692409 KEVOHOJVUQSNQN-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD CCn1c(S[C@@H](C(=O)OC)c2ccsc2)nc2cc3ccccc3cc2c1=O 540769578 XHEBAGDRLFJXOU-GOSISDBHSA-N 410.520 4.638 5 20 HJBD CCOc1cc(/C=C/c2nc(Cc3ccccc3[N+](=O)[O-])no2)ccc1OC(F)F 544910587 OUWHNRPKQJQYGR-CSKARUKUSA-N 417.368 4.739 5 20 HJBD Cn1c(C(=O)Nc2ccc(SCc3ccccc3Cl)cn2)ccc1[N+](=O)[O-] 546214796 KWMLUMXKOVLAKK-UHFFFAOYSA-N 402.863 4.526 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCc2ccc(O)cc2)CC1 546613808 BIMIBDCBRXJAIE-OAQYLSRUSA-N 410.514 4.741 5 20 HJBD COc1cc(C)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(C)c1C 553731677 NTMZWLGXDRUTPM-UHFFFAOYSA-N 400.478 4.727 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4cnc5n4CCCC5)n3)cc2)c1 554818122 QYLLBKJGDQDVBN-UHFFFAOYSA-N 403.398 4.637 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccsc1Br 555198069 JMSJQGWYQDGNRJ-UHFFFAOYSA-N 412.309 4.539 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)Nc1ccc([N+](=O)[O-])cc1F 572565289 OYWBUMHYIAVSDE-UHFFFAOYSA-N 418.768 4.877 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccc(OC)cc2)c1 573371853 MQJDUXHJLYXIDT-UHFFFAOYSA-N 422.441 4.675 5 20 HJBD Cc1cc(Cc2noc(-c3cccnc3OCc3ccccc3F)n2)ccc1[N+](=O)[O-] 581573847 OYVCRZCYQGVAIS-UHFFFAOYSA-N 420.400 4.657 5 20 HJBD Cc1sc2nc(SCC(=O)c3ccc([N+](=O)[O-])cc3)n(C(C)C)c(=O)c2c1C 591949880 UYFPAHJQJGHBKH-UHFFFAOYSA-N 417.512 4.539 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 604270596 ANBVJJNKKZEBHH-YUMQZZPRSA-N 421.135 4.924 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 608962762 JWWHCBFHGYXXDT-INIZCTEOSA-N 420.849 4.816 5 20 HJBD Cc1nc(-c2ccc(Br)cc2Cl)nn1Cc1ccccc1[N+](=O)[O-] 609157193 PIECCQXFYVAITO-UHFFFAOYSA-N 407.655 4.626 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 609704834 QXHCQFLQSVCNQL-AWEZNQCLSA-N 416.934 4.737 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(NC(=O)c2cccs2)c1 609783140 DWHBEYSKXITHHZ-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD CN(C(=O)c1csc(-c2ccc(Br)s2)n1)c1ccc([N+](=O)[O-])cc1 609967440 ZQBPFWCWZSRZJP-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD Cc1cc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 609976386 LFLXFGHGGDDFAT-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@H](N3CCCC3)CC2)cc1[N+](=O)[O-] 609977726 LCWNJFJGBFJECU-IBGZPJMESA-N 419.591 4.824 5 20 HJBD CC[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 610101501 UEVKUKCGRFWJBY-HXUWFJFHSA-N 421.501 4.758 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC(c3nc4ccccc4[nH]3)C2)cc1[N+](=O)[O-] 610765919 DMKLBNHHNBBWQC-UHFFFAOYSA-N 424.526 4.849 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC(N2CCCCC2)CC1 611201600 PLIJKPNQIKLTPS-UHFFFAOYSA-N 417.575 4.720 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 725980562 MJRGLFUBRSIYET-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD Cc1ccc2c(CC(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)c[nH]c2c1 726144648 TXBWRYMOLIXUMN-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc2c(c1)CC(=O)N2C 728286376 CGANTFPHNIOTPR-OAHLLOKOSA-N 415.449 4.518 5 20 HJBD CC(C)c1ocnc1C(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 731959329 JQJFLQLTOLMBKS-UHFFFAOYSA-N 420.377 4.699 5 20 HJBD C[C@H](NC(=O)Nc1cc(NC(=O)OC(C)(C)C)ccc1F)c1cccc([N+](=O)[O-])c1 735572289 AMTVKFHCCLEFPF-LBPRGKRZSA-N 418.425 4.964 5 20 HJBD CC[C@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1cc([N+](=O)[O-])ccc1Cl 741792808 VBQGTEZVFYETTK-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 743068653 JAXSWEJXVWWXPC-HNAYVOBHSA-N 403.866 4.846 5 20 HJBD C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 744048513 JAOJCBDQKQLMMV-HNAYVOBHSA-N 414.458 4.540 5 20 HJBD Cc1cc(C(=O)COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc(C)c1F 744894078 COYXRFFWKMYYBL-UHFFFAOYSA-N 414.414 4.514 5 20 HJBD COc1ccc(CSCC(=O)O[C@H](c2ccccc2)c2ccncc2)cc1[N+](=O)[O-] 744963090 TUJMIMVECPCZLV-JOCHJYFZSA-N 424.478 4.564 5 20 HJBD CCOC(=O)CSc1nnc(NC(=O)c2c3ccccc3cc3ccccc23)s1 746505521 GMZBZTYWBONYIW-UHFFFAOYSA-N 423.519 4.752 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(Cc2ccccc2)no1 747120058 WPSMTRGPKOJWCV-LLVKDONJSA-N 421.331 4.505 5 20 HJBD CCCc1nc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])nn1-c1ccccc1Cl 749057587 UDWOIIDWONLKRY-ZDUSSCGKSA-N 414.849 4.699 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757091127 NNVLORSQSXXQFV-HXUWFJFHSA-N 421.478 4.514 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cn1 758415731 LPXZAJBZTFVRDA-UHFFFAOYSA-N 411.389 4.799 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@@H](c1cccnc1)c1cccc(C(F)(F)F)c1 759596000 LHNMDSNGMPHWPJ-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)Nc1c(C)cccc1C(C)C 765530401 QXNPEMFPZJAWPS-SFHVURJKSA-N 412.486 4.777 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1cc(F)ccc1[N+](=O)[O-] 766869566 LISAJGVINNDADH-OAHLLOKOSA-N 415.421 4.804 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 767333736 YWHQXYGYZOPIBG-CQSZACIVSA-N 411.336 4.543 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@H]3[C@H](O)c3ccccc3)cs2)c1 769236682 CDKMZSVUXDTRAH-LEWJYISDSA-N 409.511 4.806 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccc(NC(=O)N(C)C)cc1Cl 778824148 GFAMSLGQVIDIGY-GFCCVEGCSA-N 405.838 4.568 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cccc1C 780286703 JUCFSWOSIUCMRT-UHFFFAOYSA-N 402.472 4.509 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)c1cc(Cl)ccc1[N+](=O)[O-] 785411961 ZIZOUUZEVVJJDO-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])[C@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 785883495 BMVISMMXPXTEEQ-BRSBDYLESA-N 407.344 4.523 5 20 HJBD Nc1ccc(C(=O)Nc2cccnc2Oc2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 792534400 JDVMLPGQPQRKOB-UHFFFAOYSA-N 419.224 4.923 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)co1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 796003482 PLQWKBDZYYNDQI-UHFFFAOYSA-N 410.405 4.632 5 20 HJBD COc1ccc([C@H](O)C2CCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 812774173 HHTNKNFOHNLFMO-DEOSSOPVSA-N 422.481 4.809 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812844218 PRLRGNOUKRASDG-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD COc1ccc(CCC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1Br 813245564 FLQATTVZKIPXIE-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD Cc1ncoc1-c1noc(-c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 820931734 FPVKRNJIMXCLSH-UHFFFAOYSA-N 411.805 4.874 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1cccc(Cl)c1 914468283 PTRZSVIDRQBFSM-UHFFFAOYSA-N 406.776 4.527 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1cccc(OCc2ccccn2)c1 917755919 GEQUBRXHMQPHCW-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=S)Nc1ccc([N+](=O)[O-])cc1 919717032 DAGKQWKVHRLCFR-GFCCVEGCSA-N 408.321 4.665 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCCCC2)c(Cl)c1 920005460 HNVDDCRMXOFFGW-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD C[C@@H](C(=O)N1CCC(Nc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 1116582086 ZPBBDQUHUIACFF-CYBMUJFWSA-N 405.857 4.594 5 20 HJBD C[S@@](=O)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 1319285826 AMAZPVSQBUGVFP-MUUNZHRXSA-N 400.431 4.876 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)nc3cc(F)ccc23)c([N+](=O)[O-])cc1OCC 1322638473 DWADPYLEWVQVNL-UHFFFAOYSA-N 413.405 4.640 5 20 HJBD CC(C)(C(=O)N1CCC(Nc2ccccc2Cl)CC1)c1ccccc1[N+](=O)[O-] 1343901976 KCLYKSZNWZYKBB-UHFFFAOYSA-N 401.894 4.629 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(CCSc2ccc(F)cc2)o1 1349948031 SBUFYUZILFFAQZ-UHFFFAOYSA-N 423.853 4.727 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1csc(-c2ccc(F)cc2)n1 4219564 BCQYMPIKRMMGER-UHFFFAOYSA-N 404.444 4.693 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC(F)F)c(Cl)c1 7399375 TXUFNKWABXFINW-SECBINFHSA-N 402.806 4.969 5 20 HJBD Cc1ccc(SCC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(C)c1 9213845 UQXUDZLQJMZPLJ-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD Cc1nc(SCC(=O)N2CCc3cc([N+](=O)[O-])ccc32)n(C2CCCCC2)c1C 16129735 DRWUCZCWIXFJHK-UHFFFAOYSA-N 414.531 4.595 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NCc2ccc(C)cc2)c([N+](=O)[O-])c1 29253047 GNZIKWWEPHKPQX-UHFFFAOYSA-N 404.422 4.533 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccnc3)n2Cc2ccccc2)c(F)c1 42097183 HDFWVJBOIMYHEQ-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD Cc1c(CSc2nnc([C@H](C)N(C)C)n2-c2ccc(F)cc2)cccc1[N+](=O)[O-] 42113451 JDZDQFSBLOESTD-AWEZNQCLSA-N 415.494 4.538 5 20 HJBD Cc1cc(-c2cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c3c(C)noc3n2)c(C)o1 55148514 OQGMAVOQXXQNLD-UHFFFAOYSA-N 418.409 4.519 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2ccc([N+](=O)[O-])cc2Cl)cs1 79396745 WVWVMXSYDYYVQS-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(Sc3cc(C)ccc3[N+](=O)[O-])s2)c1 301538524 AVYRCSVAHHHILV-UHFFFAOYSA-N 401.473 4.608 5 20 HJBD COc1ccc(-c2nc(CNC(C)(C)c3ccccc3[N+](=O)[O-])cs2)cc1OC 429241014 YHSCOSRFVIGFPB-UHFFFAOYSA-N 413.499 4.760 5 20 HJBD CC[C@H]1CCc2nc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])sc2C1 429830073 ITNJRPCXCIGINA-VIFPVBQESA-N 410.293 4.581 5 20 HJBD C[C@H](Nc1ccnc2c([N+](=O)[O-])cccc12)[C@@H](OC1CCOCC1)c1ccccc1 432343092 RCJUTPPHMYRPCI-QMHKHESXSA-N 407.470 4.880 5 20 HJBD COc1cccc(C(=O)Nc2ccc(OCc3cccc(F)c3)cc2C)c1[N+](=O)[O-] 435713506 DVUWIZWBVLBUOE-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC(=O)[C@@H](Cc1ccc(F)cc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436129493 MGRWAQBXEKHJNE-OAQYLSRUSA-N 424.472 4.657 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3ccc([N+](=O)[O-])cc3O)s2)cc1 436991707 SMTUQDNDXWBEFO-CQSZACIVSA-N 411.483 4.874 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(Sc3nncs3)cc2)cc1[N+](=O)[O-] 439105568 FMAIMORWZGOZPZ-UHFFFAOYSA-N 400.485 4.973 5 20 HJBD Cc1cc(N2CCC(N[C@@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)CC2)n[nH]1 439144509 VDAAKTQPCCMHKJ-HNNXBMFYSA-N 411.531 4.674 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c2ccccc2O1 444221410 DODGSSPPOMCXBV-IBGZPJMESA-N 416.452 4.853 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444244778 RQKIOTDCILQLOO-KRWDZBQOSA-N 402.519 4.982 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445250753 GVTKEDBEWKNKHP-SECBINFHSA-N 409.261 4.998 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCCc2n[nH]cc21 447576203 WUJFKIDPNFIMRJ-UHFFFAOYSA-N 414.874 4.716 5 20 HJBD Cc1ccc([C@@H]2CCCN2S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])s1 462130474 JVBNUQQPPNDQOL-LBPRGKRZSA-N 421.327 4.797 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(Cl)c1SC(C)C 462231598 XURDZRBGURBLER-UHFFFAOYSA-N 409.895 4.808 5 20 HJBD O=C(COc1c(F)cccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 463567010 DBAMNKBCYRWHDL-OAHLLOKOSA-N 413.232 4.783 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 463953652 HUOSFPGGPDTECF-LBPRGKRZSA-N 415.837 4.798 5 20 HJBD C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 474743531 QRCYUIGNTAPJBG-LSDHHAIUSA-N 412.877 4.698 5 20 HJBD Cc1cnc(NC(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)o1 475787747 LCLKPFFZUTVFAY-UHFFFAOYSA-N 420.784 4.798 5 20 HJBD COC(=O)c1csc(-c2cccc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)n1 477856362 QFORZDYFDDJCSR-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD CCOc1cc(OCc2csc(N(C(C)=O)c3ccccc3)n2)ccc1[N+](=O)[O-] 478720356 PCRZSKOEDKNUJB-UHFFFAOYSA-N 413.455 4.714 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 478802131 YAIARGDOELJFEU-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@H]2Cc2ccccc2)cc1SC 484363558 QBZFOBDQWKDKLI-FUHWJXTLSA-N 414.527 4.857 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(Cl)c2Br)cc1[N+](=O)[O-] 486817911 AXTYLELXTQBUQM-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)n1 489345379 ORZCHFCGQZWJMY-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CC(C)c1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1ccccc1 489463976 JKZBMLUVXZMWMF-UHFFFAOYSA-N 403.442 4.566 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 489628567 IVVJLXZOQWGIPV-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD CC(C)C(=O)Nc1ccc(Cl)c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 490432878 NWLCDOULUQQSRR-UHFFFAOYSA-N 415.877 4.648 5 20 HJBD COc1ccccc1[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccco1 494547011 JFHOYNBJJODXRE-JOCHJYFZSA-N 423.469 4.608 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c1C1CC1 496613980 FWLPHSFHIAPFDV-CYBMUJFWSA-N 418.519 4.631 5 20 HJBD CCc1ccc(CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C2CC2)cc1 498411411 FEUYDOGPQBPQBA-UHFFFAOYSA-N 410.517 4.908 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498843139 URUGQENKOFMDID-UHFFFAOYSA-N 415.465 4.766 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccc(OC)c(Br)c2)cc1[N+](=O)[O-] 504917428 BRHLLXVUYUOWPA-GFCCVEGCSA-N 409.280 4.615 5 20 HJBD O=C(Nc1ccccc1)[C@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 506281253 MEVKPPUMAORCCN-KRWDZBQOSA-N 422.510 4.569 5 20 HJBD NC(=O)c1ccc(CN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C2CC2)cc1 508613972 HMSKDGPMEAECFM-UHFFFAOYSA-N 407.495 4.587 5 20 HJBD CN(C(=O)CCc1ncc(C(C)(C)C)o1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 509284942 JATDFLBKUFTIEP-UHFFFAOYSA-N 414.487 4.599 5 20 HJBD CCNc1ccc(C(=O)Nc2c(-c3cccs3)nc3ccccn23)cc1[N+](=O)[O-] 509822829 FVBIBILEMNMTPW-UHFFFAOYSA-N 407.455 4.655 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 513697886 TWYHTTFREZXAEZ-VGSWGCGISA-N 408.502 4.693 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)cc1C 514360550 YNMJIDJHCMZPQJ-AWEZNQCLSA-N 412.471 4.689 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@H](Oc2ccc(Cl)cc2)C1 515472271 NPMWBKVXKIQABK-AWEZNQCLSA-N 414.767 4.561 5 20 HJBD CC(C)C[C@H](C)n1nccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 515703449 SHKOTFKELSMDKL-LBPRGKRZSA-N 414.384 4.592 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 517653486 HVBDRAKAKMGXAF-CQSZACIVSA-N 423.856 4.992 5 20 HJBD COc1cccc([C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)N(C)C)c1 518249520 MZDZQAJLWONOPL-OAQYLSRUSA-N 411.527 4.724 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(N[C@@H](C)c3ccccc3)cc2)ccc1[N+](=O)[O-] 519992096 KDOLHNPMHGGQRD-INIZCTEOSA-N 421.453 4.794 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 521929146 GXAZBJJYELYZOG-UHFFFAOYSA-N 414.820 4.896 5 20 HJBD Cc1ccc([C@@H]2CCCCCN2C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)o1 523132827 UIQKUSZSWJSRFS-NRFANRHFSA-N 411.502 4.857 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1cccc(COC(C)(C)C)c1 523186702 SBWIOMOOOFBZRB-AWEZNQCLSA-N 420.893 4.647 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(COCCc3ccccc3)c2)cc1[N+](=O)[O-] 524244245 QBHGUYJQCILDRK-UHFFFAOYSA-N 420.465 4.544 5 20 HJBD CCSc1ccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cn1 524330012 OQLZFBAQHRKWMT-UHFFFAOYSA-N 400.485 4.786 5 20 HJBD Cc1ccc(CN(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 524389029 KINSOJIBJMZJSG-UHFFFAOYSA-N 404.435 4.734 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])s1 525048249 BIPRAPNGPHICFH-RYUDHWBXSA-N 411.911 4.562 5 20 HJBD Cc1cn2c(n1)[C@H](CNCc1ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c1)CCC2 525695970 VEFQZGHFSALRBG-KRWDZBQOSA-N 410.449 4.698 5 20 HJBD Cc1nc(-c2ccc([C@H](C)Nc3cc4ncnc(O)c4cc3[N+](=O)[O-])cc2)cs1 530717753 JYLITHXORYSJBU-NSHDSACASA-N 407.455 4.849 5 20 HJBD CCOc1c(Br)cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC 531017869 FDYZAOVCKSIXMT-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@@H](C)c1cc([N+](=O)[O-])ccc1C 532249723 GBSLWNJUXURIOS-AWEZNQCLSA-N 405.882 4.553 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccccc1C(F)(F)F)C1CC1 533885982 WRFNMWKJRGRBMX-UHFFFAOYSA-N 417.387 4.829 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)cc(Cl)c2O)c1 534205961 KWUIYWKONJLXSX-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 536301587 FBGHEYIUHQUQAZ-UHFFFAOYSA-N 408.458 4.780 5 20 HJBD Cc1sc(NC(=O)c2ccnc(OC3CCC3)c2)nc1-c1cccc([N+](=O)[O-])c1 537707868 NLOFNJSGKWCFGF-UHFFFAOYSA-N 410.455 4.605 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccncc2)nn1C 538555527 KDWCOCXPSQOWGZ-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD CN1CC[C@H](CNC/C=C\c2ccccc2[N+](=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 539564885 AXHWCBJGOYUUHJ-PNHQXIGLSA-N 403.885 4.683 5 20 HJBD O=C1CCCN1c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)nc1 540625709 ACRJYUCQTUOTIV-UHFFFAOYSA-N 422.416 4.660 5 20 HJBD COc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1OC1CCCC1 542225331 LCHLORLYNPQODU-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD Cn1cc(-c2ccc(F)c(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)c2)cn1 542973104 NLVXZFIAKNNLCG-UHFFFAOYSA-N 408.433 4.724 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(C(C)(C)C)cs1 543512182 HCCVSLFUXFHTIK-ZDUSSCGKSA-N 400.504 4.897 5 20 HJBD Cc1nc(-c2ccccc2Br)sc1[C@@H](C)Nc1ncc([N+](=O)[O-])cn1 544841161 GRTFWUIVPOGNHC-SNVBAGLBSA-N 420.292 4.752 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cnc(-c5ccsc5)s4)n3)c2c1 545784609 CDHHIPVDCAPDKB-UHFFFAOYSA-N 409.452 4.902 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(CCCOC(C)C)no3)cc2[N+](=O)[O-])n1 546468902 CLTUNRAIISFLSL-UHFFFAOYSA-N 420.516 4.919 5 20 HJBD CC[C@@H](CC(F)(F)F)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 546875346 QSMYGBAZNHJXNR-JSGCOSHPSA-N 410.396 4.624 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN(C)CCc2cccc([N+](=O)[O-])c2)cs1 549153588 MZKGMPUDLQCSDF-UHFFFAOYSA-N 424.526 4.719 5 20 HJBD CC(C)(NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 555988116 KVJPFLLTAKCBGB-UHFFFAOYSA-N 416.783 4.854 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCCO1 564111523 AHWGSBPPYJFLCW-SFHVURJKSA-N 400.500 4.633 5 20 HJBD CC(=O)c1cc(NCc2cccc(NC(=O)c3ccc(F)cc3)c2)ccc1[N+](=O)[O-] 571787526 SJSUWGUPANCMIA-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD Cc1cccc(CN(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 572174277 IMTGUSAXMPXQLK-UHFFFAOYSA-N 424.888 4.836 5 20 HJBD CN(CCO)Cc1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1Cl 579876438 BZUWAYGHXUTYRG-UHFFFAOYSA-N 415.877 4.544 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(-c2ccccc2F)on1 604114197 QTYJUTGYQRVAFB-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)C1CCN(Cc2ccccc2)CC1 608931203 OEENSIOWCASJPZ-UHFFFAOYSA-N 422.510 4.569 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)c3ccc4ccccc4n3)cc2[N+](=O)[O-])CC1 609830855 NDMPHMWUTKXYKO-UHFFFAOYSA-N 404.470 4.656 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)ccc1[N+](=O)[O-] 609836133 MOMDNYXJWFZHDL-LJQANCHMSA-N 410.861 4.924 5 20 HJBD CC(C)Oc1cc(Br)ccc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 609859391 GPUUWQLMWRXZCW-UHFFFAOYSA-N 419.275 4.738 5 20 HJBD O=C(NC[C@H]1CCOc2ccccc21)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611204824 OTWZKRLJLJBVOA-OAHLLOKOSA-N 412.511 4.926 5 20 HJBD CC[C@H](CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 729016081 HLUXWYFKULFHDL-UONOGXRCSA-N 404.850 4.702 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)C1 732546344 IRYPCVPBYLHSNV-GOSISDBHSA-N 405.564 4.579 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2Cc3ccccc32)cc1)c1cc([N+](=O)[O-])ccc1Cl 741884389 BHUNUPCSIHAKLZ-SFHVURJKSA-N 422.824 4.746 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Oc1ccc(C(=O)c2ccc(F)cc2)cc1 743606926 VYVNOLZPLAWCAG-UHFFFAOYSA-N 418.380 4.525 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(c2cc3ccccc3[nH]2)CC1 744028161 COJDBITZSDIRHG-UHFFFAOYSA-N 404.470 4.670 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1CCC(c2ccccc2)CC1 745501684 OPHDUJXGFAKYKU-UHFFFAOYSA-N 407.426 4.662 5 20 HJBD COc1ccc(CN2CC[C@@H](NC(=O)c3c4ccccc4cc4ccccc34)C2=O)cc1 746526886 NKCQKDCJKKCRNL-XMMPIXPASA-N 424.500 4.533 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-c2nnc3n2CCCCCC3)cc1)c1cccc([N+](=O)[O-])c1 761440060 OXITYEAYSIAKOR-MRXNPFEDSA-N 419.485 4.712 5 20 HJBD C[C@@H](C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 763407990 ZDYSZAMKOKWCNE-MRXNPFEDSA-N 404.422 4.694 5 20 HJBD CCN(CCc1ccccn1)[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 764324065 RZYPWOGMDISNSO-HSZRJFAPSA-N 418.497 4.543 5 20 HJBD C[C@@H](C[C@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764580097 BOOKPKDINXIBMP-YWZLYKJASA-N 414.527 4.872 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 767333735 YWHQXYGYZOPIBG-AWEZNQCLSA-N 411.336 4.543 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](S[C@H]1CCCOC1)c1ccccc1 779830518 WSKLXSJGOPMMDO-YJBOKZPZSA-N 406.891 4.840 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)C1(c2cc(F)cc(C(F)(F)F)c2)CC1 781434290 DNVNOEZFPFNJRP-UHFFFAOYSA-N 424.306 4.669 5 20 HJBD C[C@@H](C(=O)O[C@@H](Cc1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 781756859 MFJYLFYVTJHJET-HWPZZCPQSA-N 403.303 4.693 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1 784522315 VOZWZUJPDJYVEX-CYBMUJFWSA-N 410.417 4.965 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])c1ccc(Cl)cc1 804128536 XPMUFSMKUPBUHL-HNNXBMFYSA-N 408.857 4.636 5 20 HJBD COc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c(C)c1C 805295769 PDGHQTQRTZUSNW-UHFFFAOYSA-N 407.264 4.852 5 20 HJBD CC(C)c1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 864244962 WORDVKSTQZLLGL-UHFFFAOYSA-N 408.458 4.819 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1cc([N+](=O)[O-])ccc1Cl 916472357 KTSIZTWVYBHFOY-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD Cc1cccc(-c2nn(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c(=S)n2C)c1 919950380 IQEFKUBEZOFXTF-UHFFFAOYSA-N 411.531 4.703 5 20 HJBD O=C(Nc1ccccc1C(=O)NC[C@H]1CC=CCC1)c1ccc([N+](=O)[O-])cc1Cl 921035601 FEKQDBHRIDMCSS-AWEZNQCLSA-N 413.861 4.587 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C(C)(C)C)nn2C)cc1 1115842752 KHUYFUMHKNLQEG-UHFFFAOYSA-N 424.457 4.679 5 20 HJBD C[C@H](NC(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 1116087333 FUWIBRFOEAILRO-LBPRGKRZSA-N 418.425 4.964 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccc(COc4ccccc4)o3)o2)cc1F 1261568878 LXERWRPWBGFUBH-UHFFFAOYSA-N 411.345 4.535 5 20 HJBD CC(C)n1ncc2c1[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])CCC2 1321281282 DUQWNHSYAMDKIM-LLVKDONJSA-N 419.354 4.911 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1cccc(NC(=O)OC(C)(C)C)n1 1321288373 ITTRWFVLYWXWBT-CYBMUJFWSA-N 401.419 4.563 5 20 HJBD Cc1cc(-c2nnc(-c3cc(Br)cc([N+](=O)[O-])c3)o2)c2ccccc2n1 1327286077 USDFCCXAEAZNGR-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=C(O[C@H](Cn1ccnc1)c1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 1343413612 KRANMKVHTKYVMS-QGZVFWFLSA-N 406.225 4.696 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2ccccc2)c2ccccc2OC)c([N+](=O)[O-])c1 1344461028 SWULMOGQACGCGV-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD Cc1cccc(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)c1 1785749532 CSVGJHZTZHRQLN-UHFFFAOYSA-N 419.437 4.558 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 9484991 LRSYINFEIWGQRZ-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD Cc1c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cccc1[N+](=O)[O-] 15791020 OUOMSIPQWLKHSI-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 49766459 CXGMFSDJTVXIBJ-AWEZNQCLSA-N 401.488 4.617 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1N1CCCCC1)c1cc(F)ccc1[N+](=O)[O-] 116096947 FFUGOLVQNABFJP-UHFFFAOYSA-N 411.355 4.995 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1ccc2ncsc2c1 217563321 NSUFGYUPYCLRMA-UHFFFAOYSA-N 403.419 4.688 5 20 HJBD C[C@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1ccc([N+](=O)[O-])cc1 255940602 CAFOTYDFUFFPND-NSHDSACASA-N 414.512 4.598 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2c(CC)oc3ccccc23)cc1OC 302792142 JUZUJDOOMRMJTG-UHFFFAOYSA-N 412.442 4.583 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(CC(F)(F)F)c1ccccc1 303398315 QYNYXZDHNQDYAG-UHFFFAOYSA-N 410.395 4.750 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@H](C)c3cccc([N+](=O)[O-])c3C)c2)ccn1 426302056 PUVDFGWJJTUKOC-OAHLLOKOSA-N 406.442 4.623 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1NC(=O)c1ccco1 431404935 HTCLVXJFAQPYFK-UHFFFAOYSA-N 422.441 4.805 5 20 HJBD O=C(Nc1ncc(-c2ccc(F)cc2)s1)c1ccc([N+](=O)[O-])cc1Br 432204894 IMHIVLHDZWSRIJ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)CC1 437152489 IKTZZRXJKLKQCL-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD CCC[C@@](C)(O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440552308 FGUMPXKWUAHYKM-LJQANCHMSA-N 408.907 4.680 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OC(C)C 443974703 WBSBCJHQWHOVJO-OAHLLOKOSA-N 401.463 4.890 5 20 HJBD CC1(C)CN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)Cc2ccccc21 444298212 MHZMTXNCJSIFIH-UHFFFAOYSA-N 400.453 4.754 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 444794338 VGEFHZRWMREHOD-MRXNPFEDSA-N 415.799 4.778 5 20 HJBD O=C(Cc1noc(-c2cccc([N+](=O)[O-])c2)n1)Nc1ccc(Oc2ccccc2)cc1 445375209 XCOKDYQTHXENGO-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCO[C@@H](C4CC4)C3)o2)c(Br)c1 462550093 MOZUBPFDIJPKAK-ORAYPTAESA-N 421.291 4.665 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1 462640834 NMNPENVAJFCDFR-LDLUVENISA-N 416.543 4.849 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2c(F)cccc2[N+](=O)[O-])cs1 463795186 WACCAIFCQBWMAN-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](O)C1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467788794 KQCHKVVRSXRTHI-HIFRSBDPSA-N 420.918 4.680 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1 470848742 NIONSSAKYKKYNY-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 479865004 YCKLVEPHWOZTNP-UHFFFAOYSA-N 423.816 4.854 5 20 HJBD CC(C)[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2N1 479966743 XUJNNSVPWQGNSO-KRWDZBQOSA-N 408.483 4.815 5 20 HJBD CCN1CCN(c2ccc(F)cc2[C@H](C)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1 482560366 NPIKKFAQHMFHPV-ROUUACIJSA-N 414.525 4.596 5 20 HJBD CCc1c(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1cccc(C)c1 482702494 DQCPNUGQZFRJEP-OAHLLOKOSA-N 410.499 4.771 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 482936583 QBQYOUVKBCBQFP-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD Cc1ccccc1[C@@H](CC(C)C)NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 485548284 VCKXSCHCNDEBIU-OAQYLSRUSA-N 406.486 4.821 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1sc(Br)cc1[N+](=O)[O-] 485912957 HUDCUTMNGJQYBZ-UHFFFAOYSA-N 409.183 4.776 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(NCc3ccnc(Oc4ccc(F)cc4)c3)n2)cc1 486924528 CVFXZKBAJDVBPN-UHFFFAOYSA-N 407.361 4.583 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C2(c3ccc(Br)cc3)CC2)cc1[N+](=O)[O-] 488037553 FOMAPHZEJFOHCN-CYBMUJFWSA-N 403.276 4.575 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)o1 488820632 SQXSEZIJIJTHNZ-SUMWQHHRSA-N 412.471 4.639 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1cc(F)c(C(F)(F)F)cc1F 489001936 ZKDWBWXYSRVNDI-SNVBAGLBSA-N 423.359 4.876 5 20 HJBD Cc1ccc(-c2csc3ncn(CC(=O)c4ccc([N+](=O)[O-])cc4)c(=O)c23)cc1C 496209397 FFEGYHUSVFHDMR-UHFFFAOYSA-N 419.462 4.533 5 20 HJBD Cc1cccc(CN(Cc2ccco2)Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 497751845 XGEJCWMGZHNMDX-UHFFFAOYSA-N 404.426 4.749 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nc2ccccc21 498136925 WAIPVXPSEBASMQ-CQSZACIVSA-N 403.442 4.565 5 20 HJBD COc1cc(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1OCc1ccncc1 507153708 DTNVROYLCUVBLO-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD CN1CCC(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 508035552 ONYWHZOESWLTGO-UHFFFAOYSA-N 417.893 4.502 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)sc1Cc1cccc(Cl)c1 517102473 IAXFVDUNVZCZJH-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCCOc2ccc([N+](=O)[O-])cc2)cc1 518838480 GOHOQPCWRIJAEW-UHFFFAOYSA-N 423.425 4.596 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCc3c(ccc(Cl)c3Cl)C2)c([N+](=O)[O-])c1 522358882 IRONDAQOLFREJW-UHFFFAOYSA-N 408.285 4.603 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c2)n1 522463747 ALJQARSDPXIGCI-UHFFFAOYSA-N 405.458 4.803 5 20 HJBD Cc1csc(C(C)(C)NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)n1 522515675 IRDRPFLWZDEAGP-OKILXGFUSA-N 416.547 4.507 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](CC2CCCCC2)c2ccccc2)cccc1[N+](=O)[O-] 523995498 VFHYQFXGCRPPBE-FQEVSTJZSA-N 409.486 4.670 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 524573025 HNVBXOBQKUZFBQ-ZDUSSCGKSA-N 400.866 4.599 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])sc2c1CCCCC2 525141176 ZETWOHSVKXCMHJ-UHFFFAOYSA-N 402.472 4.663 5 20 HJBD CCOc1cccc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])c1 532890216 VWJKVDBVYTXCHU-UHFFFAOYSA-N 400.353 4.555 5 20 HJBD C[C@H]1C[C@@H](C)C[C@H](CCS(=O)(=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C1 534796164 CKTUBSRARYTSFC-ZSHCYNCHSA-N 406.504 4.627 5 20 HJBD COc1cc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)c([N+](=O)[O-])cc1OC 535781646 OMAIDLWNOBXAIG-CQSZACIVSA-N 413.499 4.601 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1C1CC1 536526701 NRQVHHSFZRNZKE-SNVBAGLBSA-N 424.404 4.502 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2nnc([C@H]3Cc4ccccc4O3)o2)s1 537491506 CJMMIYYVRBDSRM-GOSISDBHSA-N 420.450 4.995 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3CN3CCOCC3)s2)cc1 538833236 PWJVVVULFGGPGN-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD COc1cc(OC)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1Cl 538833759 WIZBJIOUEQYJAJ-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD COc1ccc([C@@H](OC)[C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 540066514 PJLUBXBATQYEDE-XOBRGWDASA-N 413.499 4.593 5 20 HJBD O=C(Nc1nc2c(s1)COCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541605033 AOPUDFLJXSHDHH-UHFFFAOYSA-N 419.528 4.659 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 542514399 CJHLJZRBCGERGL-INIZCTEOSA-N 409.364 4.991 5 20 HJBD C[C@H](C(=O)N(C)c1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 543469838 WPJZQKGVETYQKI-VIFPVBQESA-N 415.646 4.916 5 20 HJBD CC(C)c1nc(Cc2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)cs1 546386484 YMGRAQJHJMZQJJ-UHFFFAOYSA-N 413.484 4.939 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CNC1(Cc2ccc(F)cc2Cl)CCOCC1 555638298 VPFFFBDNJUSRPR-HWKANZROSA-N 404.869 4.782 5 20 HJBD O=[N+]([O-])c1cnc(N[C@H](CCO)c2ccc(Cl)c(Cl)c2)c(Br)c1 557735842 SOKNDGHVRKQDGX-CYBMUJFWSA-N 421.078 4.595 5 20 HJBD CCOc1ccc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1Cl 560131115 BJDYROASMGNLQH-UHFFFAOYSA-N 420.896 4.523 5 20 HJBD COc1cc(CN(C)CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)ccc1SC 560682557 MSWBFAZJXDIZFU-UHFFFAOYSA-N 413.499 4.655 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@H](C)Nc1ncnc2sc([N+](=O)[O-])cc12 576132191 LGYXONJLIWQNLC-LKFCYVNXSA-N 423.292 4.550 5 20 HJBD Cc1nc(-c2cccs2)ccc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638454 OIZBLLIWJKMNRF-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 603915095 OYZYBKMKPDLMMZ-INIZCTEOSA-N 413.232 4.783 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCCC(=O)Nc2ccc([N+](=O)[O-])cc2)o1 609018705 LYMWPZYDLMEQBV-QGZVFWFLSA-N 412.471 4.641 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)C[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 610126602 VHSZMLQNVVIYSG-CHWSQXEVSA-N 404.850 4.920 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 610224919 IYCKUWYGHNVUHM-UHFFFAOYSA-N 403.866 4.553 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](NCc1cccc(OCc2ccccn2)c1)C1CC1 610799315 ROMKAGRWNSASAM-QHCPKHFHSA-N 403.482 4.680 5 20 HJBD O=C(CC1CCOCC1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 735244673 GMENYPKTYORGCL-UHFFFAOYSA-N 404.850 4.582 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735251381 LDWJAZPHNCGTMU-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=C(OC1([C@H]2CCOC2)CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 735911533 YUGFWEGDJSCSMB-AWEZNQCLSA-N 403.818 4.766 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1F 739514428 NYSUTPISOKIIQV-UHFFFAOYSA-N 410.243 4.593 5 20 HJBD CC[C@H](C)NC(=S)/C(=C(/O)c1cccc([N+](=O)[O-])c1)[n+]1ccc(C(C)(C)C)cc1 749885789 FOIAYPWWRWOKJX-HNNXBMFYSA-O 414.551 4.779 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 752982720 PUYALONKCWMLAN-UHFFFAOYSA-N 421.837 4.984 5 20 HJBD C[C@H](CSc1ccc(F)cc1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 755324581 CQAXAZBBKMBGTJ-CYBMUJFWSA-N 416.430 4.861 5 20 HJBD COc1ccccc1[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 755760911 WBJILNUTHOWINP-SPLOXXLWSA-N 410.401 4.574 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cc(Br)ccc1Cl 756993312 QPEOTOXNFKBJFX-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD COCCS(=O)(=O)c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1 758511953 BYPONWMZOORZRT-UHFFFAOYSA-N 419.546 4.703 5 20 HJBD Cc1cc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2)n[nH]1 759083297 BAAIRYBWQARCCA-UHFFFAOYSA-N 421.457 4.661 5 20 HJBD O=C(COc1ccc(Cl)cc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760457215 GUJJKVRJNGYOKP-UHFFFAOYSA-N 423.208 4.679 5 20 HJBD CN1C(=O)N(c2ccc([N+](=O)[O-])cc2Cl)C(=O)[C@@H]1c1ccc(Cl)c(Cl)c1 761031461 VMHHXPMEXFVTQK-AWEZNQCLSA-N 414.632 4.695 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CC=C(c2cccc(Br)c2)CC1 762482190 DKMXOOCVEJHVDZ-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1nc2ccccc2n1C(F)F 762903474 PUYNAZWAEFUDSS-SNVBAGLBSA-N 400.341 4.739 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)CN(C(=O)OC(C)(C)C)C2 768962892 OAFMGBKYXMWDJK-UHFFFAOYSA-N 411.458 4.715 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)Nc3ccc(Br)c([N+](=O)[O-])c3)cc12 770327207 WUDFISMLMMQPNX-UHFFFAOYSA-N 423.292 4.681 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCc3sccc3[C@H]2c2cccs2)c([N+](=O)[O-])c1 774820935 KCPATFIIENNEHH-SFHVURJKSA-N 401.469 4.774 5 20 HJBD O=C(CSc1nc2cc(F)cc(Cl)c2o1)Nc1cc([N+](=O)[O-])ccc1Cl 775235392 SSFUQVMVYGOHGL-UHFFFAOYSA-N 416.217 4.913 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC[C@](C)(O)c1ccccc1 779346934 RFXNCZAVXUOCFO-NRFANRHFSA-N 402.516 4.515 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)nc1Br 779988356 CVHJFPLERDVKIR-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD Cc1cc(C(=O)COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(Cl)cc1F 781268007 JXZRAMZNWQVGTM-UHFFFAOYSA-N 423.827 4.822 5 20 HJBD COc1cc(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)cc([N+](=O)[O-])c1C 790591645 RTJIBOOANJEVKM-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1ccc(Br)c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1 790964402 NVZUUKBHLDIHDO-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD C[C@@H](Nc1ccccc1NS(=O)(=O)c1cccc(F)c1[N+](=O)[O-])c1ccccc1 799929347 UFRFVGSIZXZYKM-CQSZACIVSA-N 415.446 4.708 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccnc2C)n1 811035596 MRVWZITZQCFLSP-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812967034 QNLJHBLTTKTOIR-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 813305755 FQNQTCBVJIEMRS-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD COc1ccc(-c2ccc(CNC(C)(C)c3ccccc3[N+](=O)[O-])o2)c([N+](=O)[O-])c1 813792508 ZZFBRLDQLRRNHN-UHFFFAOYSA-N 411.414 4.797 5 20 HJBD C[C@H](N[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1)c1ccc(N)c([N+](=O)[O-])c1 815574715 AOVRHGUOVFGOET-BTYIYWSLSA-N 419.460 4.758 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1115838361 WDLOAYSIEXUPQG-UHFFFAOYSA-N 412.396 4.715 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1nc(C(C)(C)C)cs1 1318315711 IUFFAGAAHQKDPL-AWEZNQCLSA-N 416.547 4.830 5 20 HJBD CC(C)c1nc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)nn1-c1ccccc1Cl 1327884293 GXGGGEVFBBZQPF-UHFFFAOYSA-N 410.821 4.669 5 20 HJBD CCCCCn1nc(-c2nnc(-c3cccc([N+](=O)[O-])c3C)o2)c2ccccc2c1=O 1328073293 VEQINLYJSVFEKN-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2cccc(F)c2)cs1 25322448 JCDOWHUTNXYTDC-LLVKDONJSA-N 403.460 4.977 5 20 HJBD CCN(CC)C(=O)[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)c1ccccc1 26428782 YNHSXCFYIZQIOZ-QGZVFWFLSA-N 401.513 4.906 5 20 HJBD O=C(Nc1ncc(Cc2ccc(Br)cc2)s1)c1ccc([N+](=O)[O-])s1 28642482 SNKBERKYBXYSFR-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 65090012 MYUDTQSORPDLCZ-CQSZACIVSA-N 424.432 4.953 5 20 HJBD O=C(c1ccccc1F)N1CCC(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 301886818 QHGVLFBJCBTXJK-UHFFFAOYSA-N 420.444 4.513 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(COc3ccccc3Cl)n2C2CC2)cc1 302918608 CHEPOADJUCFMNE-UHFFFAOYSA-N 402.863 4.905 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccccc1CN(C)c1ccccc1 433768480 JTJNRXVXTBELFA-UHFFFAOYSA-N 420.469 4.562 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3)C(C)(C)C2)cc1SC 434090236 RRZHHAIZWVOXLU-INIZCTEOSA-N 400.500 4.591 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2cccc(C)c2)c1[N+](=O)[O-] 435567430 LHNUAJCCNPWMJU-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436334124 SZWAWNCSGQVHHV-UHFFFAOYSA-N 421.456 4.908 5 20 HJBD Cc1cccc(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)c1[N+](=O)[O-] 437023276 SWWCLJHOHSQWGX-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)CCC2 437087346 IVCFDCXZHDGNDG-AWEZNQCLSA-N 413.421 4.782 5 20 HJBD CC(C)CO[C@@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 441080222 NVCIUPLMFZDHGF-MRXNPFEDSA-N 400.500 4.633 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444244690 XHSQYCYJRNTWMU-RDJZCZTQSA-N 400.453 4.839 5 20 HJBD Cc1ccc(-c2nc3cc(F)ccc3n2C)cc1NC(=O)Cc1cccc([N+](=O)[O-])c1 460166298 GFOFIIKQFVEDBY-UHFFFAOYSA-N 418.428 4.777 5 20 HJBD Cc1cc(Sc2nnc(-c3ccco3)n2Cc2ccco2)c(F)cc1[N+](=O)[O-] 461964479 JQZCOHPCYMRUEJ-UHFFFAOYSA-N 400.391 4.686 5 20 HJBD O=C(NCCCCOc1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463368130 NTCCZOHZGQNBPN-UHFFFAOYSA-N 416.783 4.856 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 466952247 RMCIVNSRHKJAAF-DNVCBOLYSA-N 403.866 4.846 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1cccc(CSc2ccccn2)c1 467981470 GTBXPGRPXNBQTD-UHFFFAOYSA-N 408.483 4.646 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCO[C@@H](c2ccc(F)c(Br)c2)C1 481618015 ZEOHESVKWCABCC-KZULUSFZSA-N 409.255 4.631 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCO[C@H](c2ccc(F)c(Br)c2)C1 481618017 ZEOHESVKWCABCC-XIKOKIGWSA-N 409.255 4.631 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1ccc([N+](=O)[O-])cc1Cl 481776125 MJMVJRYCFLOMSU-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](C)Sc3ccccc32)cc1SC 482099895 CVGRLWMUMBYWNO-GFCCVEGCSA-N 404.513 4.856 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)NCc1nc(-c2cc3ccccc3o2)cs1 483205859 VZGGQJWXGSZATJ-UHFFFAOYSA-N 422.466 4.506 5 20 HJBD Cc1cc(Cl)cnc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 485084912 GKOWGJVALTXLBY-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1cccc2c1[C@@H](C)C[C@H]2CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 485858680 AEJDBVYXBUJRJR-ROUUACIJSA-N 408.498 4.954 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497897313 IKXOIFXHIMWTNJ-JOCHJYFZSA-N 423.444 4.698 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1 504704794 ZYFGGJHLSNWBJL-HNNXBMFYSA-N 410.499 4.772 5 20 HJBD CN(CC(F)F)C(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 504895246 RXBPEJSMCGCFCM-UHFFFAOYSA-N 409.439 4.693 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(CC1CCCCC1)c1ccccn1 507950066 NSTVTYZMCQSIFU-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])C(F)(F)F)c1 511722131 PVSPASZBMPBZMA-CQSZACIVSA-N 406.282 4.955 5 20 HJBD CCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 511912820 SLZUWTFUJPZQJL-LBPRGKRZSA-N 408.863 4.766 5 20 HJBD O=C(NCCCOC(F)F)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 512310906 YUELXPUDDPMQAR-UHFFFAOYSA-N 416.833 4.759 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Oc3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 515091531 JYLKTIXDNLBBGN-LLVKDONJSA-N 423.347 4.736 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccc(CO)cc3)cc2)c1 517761261 JXAODHZJRHCPPZ-UHFFFAOYSA-N 408.410 4.530 5 20 HJBD C[C@@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N[C@H]1CCOc2ccccc21 517991637 ISHPMQUNVZURPA-UZLBHIALSA-N 418.449 4.669 5 20 HJBD O=C(NC[C@@H]1CCOc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 518705943 IRJLXBBFDUYXEP-IBGZPJMESA-N 417.465 4.503 5 20 HJBD CC(C)N(C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 518805231 GTKMSLNOHKXEBB-UHFFFAOYSA-N 421.950 4.860 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 521336916 DQBNTBZEZYWKBD-UHFFFAOYSA-N 400.431 4.647 5 20 HJBD COC(=O)c1cc(NC(=O)c2oc3c(ccc4ccccc43)c2C)cc([N+](=O)[O-])c1 522921896 UBJIMCRWRFXANB-UHFFFAOYSA-N 404.378 4.842 5 20 HJBD Cn1c(CCNc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])nc2ccc(F)cc21 524617134 BAXHUDSKGHOWMD-UHFFFAOYSA-N 418.428 4.506 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cnn(-c2ccc(Cl)cc2Cl)c1 531499416 MNIAWJKCNXLTIV-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(F)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 535485780 DKUPJWBATPWXPQ-SFHVURJKSA-N 424.375 4.533 5 20 HJBD CO[C@@H](C)c1nc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cs1 537310174 BUTVGSDCRQALHX-NSHDSACASA-N 407.879 4.962 5 20 HJBD Cc1ccc(Br)cc1NC(=O)CCN[C@H](C)c1cccc([N+](=O)[O-])c1C 537979036 RBWCVNHPXVFBGB-CQSZACIVSA-N 420.307 4.654 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 538050495 XKGCGXXLGPTEJJ-APWZRJJASA-N 406.841 4.573 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cc(Cl)ccc1Br 538653208 NFDBTBGUVYDWTA-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1 539889565 FTMQRTNXUJOWQE-INIZCTEOSA-N 402.516 4.750 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cn(C)nc1-c1ccccc1 541998607 JUFUULXJXRHXKZ-UHFFFAOYSA-N 424.526 4.673 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3cccc([N+](=O)[O-])c23)c1)c1cccc(F)c1 542521925 LJZYXVHWONHEHI-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD C[C@@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 544084996 DBADRWGAJBFLIB-MRXNPFEDSA-N 423.494 4.538 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCc2c1cccc2C(F)(F)F 545358504 CAVNKUIJCYYBQV-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 545436399 AMHDQZRNRQDCDJ-IBGZPJMESA-N 421.419 4.833 5 20 HJBD C[C@@H](c1ccncc1)c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 545799215 CSYKBIKJLXJSKI-HNNXBMFYSA-N 401.426 4.804 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)c1 545853755 KSOLMFVAZGJZAI-OUOZUETKSA-N 413.817 4.636 5 20 HJBD COC(=O)[C@H](C)Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 546266801 MSTMVOFFTGHWMJ-CQSZACIVSA-N 411.483 4.682 5 20 HJBD C[C@H](Cc1cnccn1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 553361625 KXTMZPYLPQIEGS-CYBMUJFWSA-N 416.840 4.690 5 20 HJBD Cc1cc(C(=O)N2C[C@H](C)O[C@@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 553721194 AMTYLNDJJRVJAB-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD CSC[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 554276992 OLCUBYSDRCVFJK-OAHLLOKOSA-N 402.541 4.961 5 20 HJBD Cn1cc(CNCC[C@H](c2ccccc2)C(F)(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 555593456 XVWDKVPGNRPMNB-LJQANCHMSA-N 418.419 4.821 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2ccc(OC)c([N+](=O)[O-])c2)cc1Cl 559998913 JVGKYZGJWNZSMG-UHFFFAOYSA-N 405.882 4.787 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2cccc([N+](=O)[O-])c2OC)n1 564282510 LFGMAOARKKWVAR-OAHLLOKOSA-N 417.849 4.865 5 20 HJBD COc1ccc(CN[C@@H](C2CC2)C(F)(F)F)cc1OCc1ccc([N+](=O)[O-])cc1 565504383 GZSWUFSFSXDUOX-IBGZPJMESA-N 410.392 4.613 5 20 HJBD C[C@H](C(=O)Nc1cccc(-c2nc3ccccc3n2C)c1)c1cccc([N+](=O)[O-])c1 567562382 DIDYXIBRVFXBBD-HNNXBMFYSA-N 400.438 4.891 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2OCC(F)F)c1 575953649 OIIDKYGEEMGAPA-UHFFFAOYSA-N 400.765 4.543 5 20 HJBD CCCc1ccc(-c2nc(NC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)sc2C)cc1 608802102 HJFBDEOANFISHV-UHFFFAOYSA-N 413.503 4.550 5 20 HJBD Cc1csc(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 608836338 LIVNCYBJDIRJJX-UHFFFAOYSA-N 419.510 4.545 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(CO[C@@H]3CCC[C@@H](C)C3)c2)cc1[N+](=O)[O-] 609012335 VOJPEFGEMFJFKY-OXQOHEQNSA-N 412.486 4.880 5 20 HJBD COc1ccc(CNC[C@H]2Cc3ccccc32)cc1OCc1ccc([N+](=O)[O-])cc1 609222347 DNAQLQHSZCTENA-HXUWFJFHSA-N 404.466 4.612 5 20 HJBD CC(C)[C@@H]1c2ccccc2CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610792014 BCZJRYAGJFPRHN-HSZRJFAPSA-N 407.514 4.593 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCc2ccc(F)cc2)CC1 611261920 VFDWWNFYOBQWMX-UHFFFAOYSA-N 418.490 4.667 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)ccc21 726511784 WMRUILBVNQFEGD-IZZDOVSWSA-N 409.442 4.544 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3cccc(CC[C@@H](O)c4ccccc4)c3)cc2N1 727829708 VHLWFVGRYPNJFJ-HSZRJFAPSA-N 417.465 4.889 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)c1 728155401 RFNVMFNENALTGX-NRFANRHFSA-N 422.412 4.888 5 20 HJBD Cn1c(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)nc2cc(F)ccc21 736357733 OKWBNYQHQBDUGP-UHFFFAOYSA-N 424.407 4.842 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccccc1Cl 741604161 RAGZNUXJISVMEW-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD O=[N+]([O-])c1cn(CN2CCCC[C@@H]2c2cc3ccccc3[nH]2)nc1-c1ccncc1 742147624 KEAYJNSIUPSGIU-HXUWFJFHSA-N 402.458 4.519 5 20 HJBD O=C1N[C@H](c2csc(-c3cccc([N+](=O)[O-])c3)n2)Nc2c1sc1ccccc21 742441852 KSNJPLLQXXBNFI-QGZVFWFLSA-N 408.464 4.787 5 20 HJBD O=C1OC(c2cc3ccccc3[nH]2)=N/C1=C\c1csc(-c2cccc([N+](=O)[O-])c2)n1 743279330 KBUCPFMHWCHYFX-ZDLGFXPLSA-N 416.418 4.544 5 20 HJBD CC(C)[C@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745548923 OJSZAODSENKTIC-UGSOOPFHSA-N 404.850 4.558 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COc3cc(C=O)ccc3[N+](=O)[O-])ccc21 748153850 CNMBHAMLPGIECT-UHFFFAOYSA-N 417.421 4.553 5 20 HJBD CC(C)([C@@H](OC(=O)C=C1CCSCC1)c1ccc(Br)cc1)[N+](=O)[O-] 753471156 DKBPNXBSCUEUHP-INIZCTEOSA-N 414.321 4.542 5 20 HJBD O=C(OCc1coc(-c2ccc(C(F)(F)F)cc2)n1)c1ccc([N+](=O)[O-])cc1F 756186181 KHQXONKPOGTAQF-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(C(F)(F)F)cc1 756346325 BPKCADZTEJBEHV-SFHVURJKSA-N 420.343 4.789 5 20 HJBD C[C@H](CCCC(F)(F)F)NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 757647856 QRUCNDSFLHJHNI-SSDOTTSWSA-N 417.609 4.862 5 20 HJBD COc1ccc(Cc2nc(-c3ccc(SC(C)(C)C)cn3)no2)cc1[N+](=O)[O-] 762390309 MYJPRIMVKBCFID-UHFFFAOYSA-N 400.460 4.530 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 762963623 VEGCQHIYVGAMQT-QGZVFWFLSA-N 418.449 4.889 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nc(-c2cccs2)no1 765522407 OADGVIZZFDXJOS-GXTWGEPZSA-N 401.444 4.579 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c(Br)s1 770331662 ZOMXQULEHHPMIY-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD CC(C)COc1ccc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776135040 QJRYENHJWFRTJF-DEOSSOPVSA-N 420.465 4.900 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780429152 YUTGWJVKHLAYLN-UHFFFAOYSA-N 404.495 4.833 5 20 HJBD O=C(c1cc(=O)c2ccc(F)cc2o1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 796838474 JDLHQAZCHTZZRU-UHFFFAOYSA-N 418.380 4.687 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)C(=O)N[C@H](C)c2ccc3ccccc3c2)c1F 803462890 VCITUUHDEALEKM-HUUCEWRRSA-N 424.428 4.618 5 20 HJBD C[C@@H](OC(=O)c1nc2ccccc2cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 811293600 USSDDELTVUSVHD-LLVKDONJSA-N 424.800 4.764 5 20 HJBD C[C@H]1CN(Cc2ccccc2)CC[C@@H]1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813038212 SFYQXIVBRNGACI-UGSOOPFHSA-N 422.312 4.542 5 20 HJBD Cc1c([C@@H](C)N[C@H]2CCN(C(=O)OC(C)(C)C)C3(CCC3)C2)cccc1[N+](=O)[O-] 813881545 LVSZIZZUFWCWCS-SJORKVTESA-N 403.523 4.876 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)N2CCC[C@@H]2c2cccs2)cc1[N+](=O)[O-] 815405810 KICUQMRRSZKSRR-IUODEOHRSA-N 422.890 4.527 5 20 HJBD C[C@@H](Sc1nc2ccccc2s1)C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038778 HOTFCBVCCDSEQZ-GFCCVEGCSA-N 401.513 4.737 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(-c3ccc(Cl)s3)cs2)cc1[N+](=O)[O-] 877840080 LESCHFSYWLJWCZ-UHFFFAOYSA-N 424.847 4.902 5 20 HJBD CCCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCc2ccccc21 914513414 YQPKDJYWRRSGNC-KRWDZBQOSA-N 403.276 4.897 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc(F)cc1F 919191752 BRWCRPXATLKLPU-UHFFFAOYSA-N 413.332 4.705 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N1Cc2ccccc2[C@@H](c2ccccc2)C1 920992390 VYVCYTOPSSUTDJ-HXUWFJFHSA-N 422.868 4.801 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1csc(Cc3ccc(Cl)cc3)n1)CC2 1320594629 UNWVITZREGLTHB-UHFFFAOYSA-N 413.886 4.807 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nnc(-c2cc(F)ccc2Br)o1 1330056218 NOKDYXKLNNHXQS-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD Cc1ccc(Nc2nnc(S[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1 4835642 GACUHARMLBBBCH-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(OC)c1 11549076 XRCIRVFTUHJOPV-QGZVFWFLSA-N 412.467 4.804 5 20 HJBD CCN1CCN(c2ccc(NC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)c(C)c2)CC1 29928299 CRVLNBUNIQNPPU-UHFFFAOYSA-N 424.545 4.595 5 20 HJBD CCOc1ccc(-c2nc(COc3ccc(OC)cc3[N+](=O)[O-])cs2)cc1OC 30618170 WIPWWZONUFMSNH-UHFFFAOYSA-N 416.455 4.713 5 20 HJBD Cc1ccc([C@H](NC(=O)COc2ccc([N+](=O)[O-])cc2Cl)c2cccs2)cc1 45425647 CXFHRDUISDELBH-FQEVSTJZSA-N 416.886 4.903 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(c2nc3ccccc3s2)CC1 48298249 CGYHFXDHTZAJDS-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1csc(-c2ccccc2Cl)n1 61057994 FHFCATCBXWNNMB-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 107624985 JFHWOPJDMBMRTI-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD Cc1ccc(Sc2ccc(NC(=O)CCn3cc([N+](=O)[O-])nc3C)cc2)c(C)c1 115955842 BZNWIAWJCBLDOV-UHFFFAOYSA-N 410.499 4.897 5 20 HJBD Cc1nc(Sc2nnc(-c3ccoc3C)n2Cc2ccccc2)ccc1[N+](=O)[O-] 301618286 CTUQDBKYWMPAMU-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NC[C@@H]2CCCO[C@H]2c2ccccc2)cc1Cl 301655481 HRDWMTOMBNMNDP-LIRRHRJNSA-N 404.850 4.615 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1CCC(c2nc3cc(Cl)ccc3o2)CC1 301998822 VATNPQIOBNUAJU-UHFFFAOYSA-N 401.850 4.920 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 426882049 MJXKYCRPABAFQW-DOTOQJQBSA-N 423.307 4.501 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1C(=O)N(C)C 434166915 HKBVHEAQXCZAKF-UHFFFAOYSA-N 418.453 4.601 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3cccc([N+](=O)[O-])c3O)s2)cc1 436750602 OBIQNXHCMKVQKS-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD COC1CCC(N(C)C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 440466207 AFAMPSNXYHPTGC-UHFFFAOYSA-N 400.500 4.776 5 20 HJBD C[C@H]1COc2ccccc2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443941850 ASFRPRVCYIRIGX-NSHDSACASA-N 412.389 4.520 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 444780858 GGLCNCCULQHTOZ-INIZCTEOSA-N 406.364 4.737 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C\c2ccc(-c3ccccc3Cl)s2)n1 445574829 OEKSVBYYNJFQBR-CLFYSBASSA-N 413.846 4.931 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1 446021276 OXBHMDCPEHIAAM-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(Cl)cc2Nc2ccccc2)c(C)c1[N+](=O)[O-] 447704458 QRZLSNPVHWLVTK-UHFFFAOYSA-N 413.865 4.834 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)c2nc3ccccc3n2C)cc1[N+](=O)[O-] 461284594 RAEWJTIKPNMVIE-UHFFFAOYSA-N 412.515 4.896 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(CN(C(C)=O)C(C)C)cc1 462627114 BSVLDCWOBSOVRA-HXUWFJFHSA-N 411.502 4.559 5 20 HJBD Cc1nc(-c2ccccc2Br)sc1[C@H](C)Nc1c([N+](=O)[O-])ncn1C 466815587 MUEOTQFLVIHJCS-VIFPVBQESA-N 422.308 4.696 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(-c2nc3ccccc3n2C)c1 470830740 IYGGBOSTEICNCW-UHFFFAOYSA-N 416.437 4.800 5 20 HJBD Cc1c(NCc2ccccc2[N+](=O)[O-])cccc1C(=O)N1CCc2sccc2C1 475181269 XTKMRUQCNYVALF-UHFFFAOYSA-N 407.495 4.775 5 20 HJBD COc1c(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cccc1[N+](=O)[O-] 476611742 OLWYTMBJPDZFJD-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)cc1[N+](=O)[O-] 478756752 HIBAQEGURVGMPR-UHFFFAOYSA-N 424.866 4.880 5 20 HJBD Cc1nc2cc(Cl)c(NS(=O)(=O)c3c(C)ccc(C)c3[N+](=O)[O-])cc2s1 479286889 RIVRULZPELWHNE-UHFFFAOYSA-N 411.892 4.584 5 20 HJBD C[C@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C(F)(F)F 479601216 GDZTXVOOAMBWQL-CQSZACIVSA-N 404.392 4.736 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](C)C(=O)Nc3ccccc3)c([N+](=O)[O-])c2)cc1 480476117 DCXLLRWSTFDDEP-INIZCTEOSA-N 418.453 4.595 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCC[C@@H](c2nccs2)C1 484147109 VOJVMQFCQCVBNP-LLVKDONJSA-N 419.304 4.746 5 20 HJBD C[C@H](c1ccc([S@](C)=O)cc1)N(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485402335 IDHKAKGAZXULEE-ONSXPEOJSA-N 413.474 4.731 5 20 HJBD C[C@H](NC(=O)COc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 485565418 ZOTJQGHBJNJUJC-INIZCTEOSA-N 424.428 4.569 5 20 HJBD CSc1cccc(C(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)c1[N+](=O)[O-] 486404110 HESXOTNMOSQJOF-UHFFFAOYSA-N 418.902 4.705 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(NC(=O)c3cccs3)cc2)cc1[N+](=O)[O-] 488008195 LAXRLSOTGLJVTP-AWEZNQCLSA-N 409.467 4.708 5 20 HJBD Cc1cc(C2CCN(C(=O)c3cccc([N+](=O)[O-])c3)CC2)ccc1Br 494134587 FNGYGZLMLYWZQQ-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 498660757 MLBGNYLWIHFNJK-SNVBAGLBSA-N 420.309 4.993 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc21 504685424 VIQIHUNNSAPWNI-UHFFFAOYSA-N 410.477 4.724 5 20 HJBD CN(C(=O)c1cccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c1)c1ccccc1 504997158 XRTJYEIDDKNHFD-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD COc1ccc(CN2CCC(C(F)(F)F)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 506495643 MYMUIRURXGNKMX-UHFFFAOYSA-N 424.419 4.957 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 513169078 ZSZGSHTXAPHOMV-HIFRSBDPSA-N 410.499 4.705 5 20 HJBD CCn1c(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nnc1-c1cccc(C)c1 514214954 PVLROTHIWMKIED-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD Cn1cc(C(=O)Nc2ncc(-c3ccccc3F)s2)c(-c2ccc([N+](=O)[O-])cc2)n1 514743767 YVVFOFOCYHNYAT-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1OC(F)F 515167171 HRMZELYHEFIAEF-UHFFFAOYSA-N 422.428 4.775 5 20 HJBD C[C@@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)N1CCc2sccc2C1 515874184 LZORDSRTYUAMNF-OAHLLOKOSA-N 408.479 4.676 5 20 HJBD O=[N+]([O-])c1cc(CNc2cnn(Cc3ccncc3)c2)ccc1Oc1ccc(F)cc1 520218644 OJEZBJOAHPVUCP-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD CC[C@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CCOCC1 531283026 ZIQJORLSOVWBID-QHCPKHFHSA-N 420.513 4.742 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)CCc3cccc([N+](=O)[O-])c3)cn2)c1 531500938 JJMRKPZPWIJZBY-UHFFFAOYSA-N 405.454 4.648 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N2CCC[C@@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 532625453 SQEQFLBGKRTHRO-HZPDHXFCSA-N 424.526 4.720 5 20 HJBD Cc1ccc([C@H](C)NCc2cn(-c3cccc(Cl)c3Cl)nn2)cc1[N+](=O)[O-] 533639183 XCBXALQJVDZRQD-LBPRGKRZSA-N 406.273 4.642 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@](C)=O)cc1 533741334 YDIUBMWABNTMFA-ZQHDKMAOSA-N 423.538 4.951 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1 534258157 UIPMBIGTDJFRJY-UHFFFAOYSA-N 405.376 4.632 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CC(=O)c1cccs1 537505219 IQBIOCBZJLLAOP-ZDUSSCGKSA-N 406.529 4.940 5 20 HJBD COc1cc(CNc2nnc(C(C)C)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 537507124 RNEMPKZLQAHCNS-UHFFFAOYSA-N 414.487 4.769 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 537577760 NJUYNNNECOGBKU-JTQLQIEISA-N 403.410 4.796 5 20 HJBD COc1ccc(NC(=O)c2cc(NC(=O)CC(C)(C)C)ccc2Cl)cc1[N+](=O)[O-] 542002440 IHZQZGXCQFAHJJ-UHFFFAOYSA-N 419.865 4.884 5 20 HJBD CCCc1c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 543101681 URDYWGUCBSWEKN-HNNXBMFYSA-N 410.499 4.852 5 20 HJBD CCOc1c(Cl)cc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)cc1OC 546949257 SCWHYIBGPPZMKQ-UHFFFAOYSA-N 421.862 4.998 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549334130 DVMMIQOJMCRXHT-UHFFFAOYSA-N 401.344 4.852 5 20 HJBD COc1ccc(CNc2cccc3nc(O)oc23)cc1OCc1ccc([N+](=O)[O-])cc1 563573376 IBZYZHJMNZPLST-UHFFFAOYSA-N 421.409 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1 567032351 OOFMTJACVQTDNU-UHFFFAOYSA-N 412.421 4.903 5 20 HJBD Cn1c(SCC(=O)c2ccc(F)c(Cl)c2)nc2cc3ccccc3cc2c1=O 571200944 FANIDDAZRAEBAK-UHFFFAOYSA-N 412.873 4.854 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc(Cl)c(F)c1)c1ccccc1[N+](=O)[O-] 603696401 HGFISBNZPGLQNU-INIZCTEOSA-N 423.828 4.592 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCCCc1ccc(Br)cc1 603738741 ULNJYNVEQLWSKY-UHFFFAOYSA-N 405.292 4.729 5 20 HJBD CCOc1cc(NC(=O)c2ccc(Oc3ccccc3)nc2)c([N+](=O)[O-])cc1OCC 603753664 LMDTVBCBAMELOU-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)ccc1C 603774518 YHFIUTSHLUBMIL-HUUCEWRRSA-N 424.501 4.596 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@H](c2cc3ccccc3[nH]2)C1 603890291 XXPHGBVXEMYWBO-SFHVURJKSA-N 406.486 4.593 5 20 HJBD Cn1cc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cc3ccccc3)cs2)cn1 603909384 IREKSACEWOPRIN-UHFFFAOYSA-N 406.467 4.622 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(OCc2cccc(F)c2)c1 604010446 KFSXBJDOEHKRCL-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD Cc1ccc(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)cc1[N+](=O)[O-] 604194227 FWAGIZYBURQQNR-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)n1 604255930 RLCBXQISRHPQDQ-UHFFFAOYSA-N 420.472 4.559 5 20 HJBD CCOc1ccc(OC)cc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 609218456 JLEQHNLDHBACEK-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCN(Cc1ccccc1[N+](=O)[O-])C2 609540896 HSTPUWLSTLDHNZ-UHFFFAOYSA-N 420.444 4.936 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)s1 609857924 RZCSNOPHBXYBIU-HNNXBMFYSA-N 402.863 4.894 5 20 HJBD Cc1nn(-c2ccc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cc2)c(C)c1Cl 609860308 ZRXDPKNIGHABLY-UHFFFAOYSA-N 410.861 4.644 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)n2)c(C)o1 610041255 WEFHZINUWGHTAW-UHFFFAOYSA-N 422.260 4.943 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])cc2N1 725789040 XCRDXECHFATPLF-UHFFFAOYSA-N 405.366 4.847 5 20 HJBD CCOc1c(C)cc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 727641264 WPFKHRWAFKMJSD-UHFFFAOYSA-N 415.489 4.914 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@@H]1c1ccc(Br)c([N+](=O)[O-])c1 731496118 BJGGGDYLKFVMGO-HNNXBMFYSA-N 410.655 4.597 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 735905458 UABSPJJBLZAULR-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cccc(Cl)n2)cc1[N+](=O)[O-])c1ccccn1 744842083 IANFUVSGDWSKGC-ZDUSSCGKSA-N 412.833 4.568 5 20 HJBD C[C@@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccccc2)cc1 748015929 YDZZITWXBFRXDY-MRXNPFEDSA-N 420.421 4.530 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)n2)ccc1F 754019433 WWLVLMAFAWJWAS-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Oc1ccc(-c2cscn2)cc1 764277882 BIMGMYKHCGDGIU-UHFFFAOYSA-N 416.480 4.676 5 20 HJBD C[C@H]1C[C@@H](N(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CCN1Cc1ccccc1 770012593 COHGZBSSPVPKHX-YJBOKZPZSA-N 416.909 4.765 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1)C(C)C 771521908 XTTYUUOSCLUFFW-UHFFFAOYSA-N 415.515 4.830 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Oc1ccc(C(=O)Nc2ccccc2)cc1 784101280 KOMZDDJSILYRKH-UHFFFAOYSA-N 408.435 4.545 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 788568162 GUDADMNMFJUFTI-LBPRGKRZSA-N 409.364 4.768 5 20 HJBD C[C@@](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])(c1ccc(F)cc1)C(F)(F)F 790973881 HQZKVKBFBDYLOT-MRXNPFEDSA-N 422.737 4.663 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Br)c3cccnc23)c1F 791072568 IOXSQBNRDUXIRC-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CCOC(=O)/C(=C/c1cc(Br)ccc1Cl)c1ccc([N+](=O)[O-])cn1 794950330 TXFXSHIWSHOTTM-MDWZMJQESA-N 411.639 4.509 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(COc2ccccc2)c1 806222030 CJSXIKPUZKPJKU-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD COc1cccc(OC)c1OC1CCN(c2ccc(C(F)F)cc2[N+](=O)[O-])CC1 809351449 YWXDTNCOBQFMGV-UHFFFAOYSA-N 408.401 4.597 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCc1nc(-c2ccc([N+](=O)[O-])s2)no1 809468394 KQJPYARKERAEAA-UHFFFAOYSA-N 416.459 4.839 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3Cl)n2)cc1 809922400 OTHQRUYKNVEZCT-NSHDSACASA-N 415.837 4.743 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812971254 ZJJSFNQLJNJWNL-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD O=C(Nc1nccs1)c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1 875816807 BSEIIKRMLXTEKK-UHFFFAOYSA-N 422.510 4.763 5 20 HJBD CN(C)C(=O)c1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 915156423 WLYLQNSZKPTAOQ-UHFFFAOYSA-N 422.462 4.667 5 20 HJBD Cc1ccc(/C=C\c2nc(=S)n(CN(Cc3ccc([N+](=O)[O-])cc3)C(C)C)[nH]2)o1 919950398 JSXOZJAVZPMJJL-KHPPLWFESA-N 413.503 4.789 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2Cc2ccccc2)cc([N+](=O)[O-])c1 920906286 VDHYYCONBZBGFZ-KRWDZBQOSA-N 418.449 4.678 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2OC(F)F)cs1 1318499005 HMFSAJSFLFVEST-UHFFFAOYSA-N 420.397 4.614 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc([C@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1318803464 IWSRAXOSAODJID-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1cccc(Cl)c1Cl 10665304 NPSHDPFSPPKSEX-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(N4CCCC4)sc3c2)c1 10986222 SZZTXQGAPDWZBP-UHFFFAOYSA-N 414.512 4.779 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)cc(C(F)(F)F)c1 20899853 NGTSDGAPEBCZPM-UHFFFAOYSA-N 408.254 4.893 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1cccs1)N(Cc1ccccc1[N+](=O)[O-])CC2 24787332 QSROMFSPBILCQJ-QFIPXVFZSA-N 410.495 4.821 5 20 HJBD O=C(CSc1nc2ccccc2n1C(F)F)Nc1ccc(Cl)c([N+](=O)[O-])c1 25979589 KFZLOLVCWOMRJD-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD COc1ccc([C@@H](N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 42637265 WYHBPJUDNDRJHA-SBUREZEXSA-N 419.481 4.618 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)Cc1ccccc1[N+](=O)[O-] 45760928 IEYZMISPAMTOPY-UHFFFAOYSA-N 404.426 4.728 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 50554760 UKZXKZZHHPMLMO-IBGZPJMESA-N 409.467 4.704 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl 56274034 TYWUKEBTPCSQPS-CYBMUJFWSA-N 411.241 4.712 5 20 HJBD O=C(NCCCOCC1CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 61554375 FTUACGWKPFJCJD-UHFFFAOYSA-N 404.850 4.587 5 20 HJBD O=C(CSc1nc(-c2ccco2)nc2ccccc12)Nc1cc([N+](=O)[O-])ccc1F 115400746 GYKYDCFAURIUGF-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(N[C@H](C)c2ccccc2)c(Cl)c1 149686502 NSBJLHNTHUFXGA-CYBMUJFWSA-N 413.865 4.565 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C\c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 207063075 LQDRZLYADJOYJV-JQZHBYGZSA-N 422.462 4.901 5 20 HJBD COc1ccc(CNC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cc1[N+](=O)[O-] 237353459 ZMYZTLTXUCRIMI-UHFFFAOYSA-N 424.328 4.664 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cc(F)ccc3Br)cs2)c1 237713305 YKTHTHNMEUYFQX-UHFFFAOYSA-N 422.279 4.910 5 20 HJBD Cn1cc(CNCc2cccc(COC(C)(C)C)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 237933554 IBULZAAYFFWRKF-UHFFFAOYSA-N 408.502 4.600 5 20 HJBD CCc1ncnc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)c1F 301928263 NKXPDQYXSBNPMN-UHFFFAOYSA-N 407.357 4.652 5 20 HJBD O=C(CCCCC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1 427503246 ZOTMYQMDZYINSH-OAQYLSRUSA-N 416.474 4.751 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC(c2ccncc2)CC1 430425955 MMEPANJJPDSNAF-UHFFFAOYSA-N 416.481 4.622 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 431961280 UFLQBIATRPOSBG-OAHLLOKOSA-N 417.531 4.629 5 20 HJBD O=C(C1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)C1CC1 432318070 OXZUAOFSCLVWFR-UHFFFAOYSA-N 413.905 4.656 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cncc(-c2ccc3c(c2)CCO3)c1 432429536 GFKUCSGCGNJQLH-UHFFFAOYSA-N 417.465 4.643 5 20 HJBD COc1ccc(C)cc1[C@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435825614 IFPVHEASYGVNKT-AWEZNQCLSA-N 404.441 4.628 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437145555 YXFFURLIFQHAAE-LBPRGKRZSA-N 423.391 4.528 5 20 HJBD COc1ccc(OCCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440446846 UZPJLXUJXKRLNL-UHFFFAOYSA-N 424.478 4.563 5 20 HJBD CC[C@]1(C)Oc2ccc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2O1 443559230 NNMPWFKWJWYVPK-XMMPIXPASA-N 418.424 4.970 5 20 HJBD C[C@H]1CCCN(c2ccc(C(=O)Nc3cc(Cl)c(Cl)cn3)cc2[N+](=O)[O-])C1 443974682 VYMYTCVGZJICLB-NSHDSACASA-N 409.273 4.785 5 20 HJBD CCCCCN(Cc1ccc(F)cc1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446901406 XEROPYGINXIGGV-UHFFFAOYSA-N 413.453 4.512 5 20 HJBD CCOC(=O)c1ccnc(Sc2nnc(-c3cccc4ccccc34)o2)c1[N+](=O)[O-] 462851900 BTUCLOCHRCFZGK-UHFFFAOYSA-N 422.422 4.521 5 20 HJBD C[C@@H](Sc1nnc(CN2CCCC2)n1-c1ccccc1)c1ccccc1[N+](=O)[O-] 463042342 JJOXJMKRDSHBOA-MRXNPFEDSA-N 409.515 4.625 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H](C)Oc1cccc(Cl)c1 466178391 KAOOGSVUXLMZTC-HNNXBMFYSA-N 405.882 4.636 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(Br)ccc1NC1CC1 469211178 DKYXLXGBSHLLAL-UHFFFAOYSA-N 404.264 4.503 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 471157135 PIYVRZBGVAOQOJ-MRXNPFEDSA-N 404.470 4.501 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc2nc(C(F)(F)F)cn2c1 472365074 CJBJKCZWLQMAHK-UHFFFAOYSA-N 418.253 4.532 5 20 HJBD CN(Cc1ncc(-c2ccccc2)[nH]1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475189595 HNOIATFCNVPHKZ-UHFFFAOYSA-N 419.363 4.668 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC2(CCN(Cc3ccccc3)C2)CC1 475565484 WJKHPVWIDUVXOT-UHFFFAOYSA-N 422.529 4.732 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)nc2ccccc21 478306031 PGRUDUNYMVJYIH-HXUWFJFHSA-N 417.469 4.984 5 20 HJBD Cn1cc([C@H](N[C@@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cn1 480668194 ATSXCNLKXABDJJ-ODOSVJCGSA-N 408.477 4.558 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2cc(F)cc(Br)c2)C2CC2)c1 484623675 RDOKPXUAJREXJJ-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OCC(C)C 485753104 OZLFTTAGGFEGFS-CQSZACIVSA-N 418.515 4.851 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)ccc1Oc1cccnc1 487770994 PEGLVIMXUUVIJP-UHFFFAOYSA-N 415.352 4.944 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 489297531 SWRQXOCEZYMFKU-UHFFFAOYSA-N 402.819 4.709 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 497895669 LRPBMJHPFNWNDN-UHFFFAOYSA-N 400.394 4.928 5 20 HJBD COc1ccc(-c2cncc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)c2)cc1 498145470 FZWTUDBUIDZLGJ-QFIPXVFZSA-N 421.478 4.553 5 20 HJBD Cc1nc(CCNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c(C)o1 500231215 NAUZNLSDVSSHIA-UHFFFAOYSA-N 401.850 4.978 5 20 HJBD COc1cc(CN(C)Cc2cnc(C)s2)ccc1OCc1ccc([N+](=O)[O-])cc1 510440252 CIVIVUZGZXQXKG-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)c([N+](=O)[O-])cc1OC 512410054 HINYEADSUNRDTA-CJNGLKHVSA-N 414.458 4.523 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)N(Cc1ccc(Cl)cc1)c1ccccc1Cl 520970855 FTOBPZRQTDUDNB-UHFFFAOYSA-N 419.268 4.640 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@@H](C)CC2)cc1[N+](=O)[O-] 521721579 HWALRGNEXJNDEZ-OAHLLOKOSA-N 412.511 4.810 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)nc2ccccc21 522858231 CYDNKIVAYWNLPK-INIZCTEOSA-N 421.501 4.617 5 20 HJBD CCn1nc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1C1CC1 530940024 RTHOXFDGHWSYHQ-UHFFFAOYSA-N 405.458 4.553 5 20 HJBD COc1ccccc1C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)C 531368903 GWXCDHRTOZYYMS-KXBFYZLASA-N 410.474 4.571 5 20 HJBD O=C1CCCCN1c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 532890530 FJFPAVAHEZXZNT-UHFFFAOYSA-N 408.483 4.847 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)Cc3ccccc3C(F)(F)F)co2)cc1 534061719 XVTCCBCCKHQZLI-NDEPHWFRSA-N 410.373 4.718 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CCN(Cc4ccccc4)C3)CC2)c2ccncc12 534978471 AYRBDFMZWMBCKV-UHFFFAOYSA-N 402.498 4.636 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2(c3ccc(F)cc3F)CCCC2)cc1SC 535556379 ZQDHHBVDGVZZKG-UHFFFAOYSA-N 422.453 4.803 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1c[nH]c2cccc([N+](=O)[O-])c12 536508446 LCTCMADWAIRCAU-UHFFFAOYSA-N 407.455 4.538 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1Cl 536944430 SVLVZCMIPIIKJP-UHFFFAOYSA-N 424.240 4.660 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537775524 FXRVRXCHTUJYSO-UHFFFAOYSA-N 404.451 4.539 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)c2ccccc2I)cc1[N+](=O)[O-] 539121510 VCUNBFWCWALCQV-QWHCGFSZSA-N 410.255 4.920 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)c1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 541161448 OCQIOBHOFWDYLC-QMMMGPOBSA-N 409.316 4.564 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 561298201 HUPAWZYMDCZVQQ-LBPRGKRZSA-N 407.442 4.780 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)C1=CCOCC1 561679335 GOOUBUJTLJTRLZ-UHFFFAOYSA-N 407.348 4.642 5 20 HJBD CCn1c(Sc2ncnc3sc([N+](=O)[O-])cc23)nnc1-c1cccc(Cl)c1 581505549 QFMFZGXXHYDPRC-UHFFFAOYSA-N 418.891 4.683 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)Nc1cccc([N+](=O)[O-])c1C 603777933 KCJSWVSCACIGAY-UHFFFAOYSA-N 413.430 4.917 5 20 HJBD C[C@@H](NC(=O)CCOc1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 603957092 ZOYLTMDVQJWQTR-GOSISDBHSA-N 404.466 4.701 5 20 HJBD O=C(Nc1ccc(COc2ccc(Br)cc2)cc1)c1ccc([N+](=O)[O-])[nH]1 604070287 IDVSHDJNJGZJJP-UHFFFAOYSA-N 416.231 4.517 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C 604163215 LXBJMJVPZQPJKL-UHFFFAOYSA-N 423.469 4.589 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)COc2ccc(C(C)(C)C)cc2)c1 604199601 RRBCARCSNFHHQR-AWEZNQCLSA-N 402.516 4.812 5 20 HJBD Cc1c(NC(=O)NCC2(c3cccc(Br)c3)CC2)cccc1[N+](=O)[O-] 609681666 LUPRPBGAMLPQQE-UHFFFAOYSA-N 404.264 4.519 5 20 HJBD CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 609695255 KSEDPWJPNKJROA-NDEPHWFRSA-N 400.456 4.641 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCCOc1ccc2ccccc2c1 609769277 GFPMBVZURBWHGX-UHFFFAOYSA-N 424.478 4.858 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 610041119 UJBPNKMZGBKZQA-INIZCTEOSA-N 414.502 4.937 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCc2cc(Cl)cc(F)c21 610044878 CYYNTRUPISAMRC-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CC1(C)[C@H](NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)[C@H]2CCO[C@H]21 610162140 AMVBOOJZIOOTSI-JSNMRZPZSA-N 402.878 4.944 5 20 HJBD O=C(c1cc(F)c(Cl)cc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610210345 KJUNTBCYMSJNOM-MRXNPFEDSA-N 401.246 4.929 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(OCc3ccccn3)c2)c(Br)c1 610274658 BCHFRPUADHIZCP-UHFFFAOYSA-N 414.259 4.943 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)Nc1c(F)cc(F)cc1Br 617367198 NECJELZDFICZFP-UHFFFAOYSA-N 421.194 4.505 5 20 HJBD CC(C)OC1CCC(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CC1 618679901 YFUVDEYHKOOZGO-UHFFFAOYSA-N 412.486 4.640 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cnc(-c2ccccc2Cl)s1 619772783 BMBHWXYFSMFVJX-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD C[C@H](Cc1ccco1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 726607555 SMAOYRAXFYMEQC-GFCCVEGCSA-N 405.248 4.931 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1OC 727708321 OZCZHRQHFLCLPK-GFCCVEGCSA-N 408.401 4.552 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H]2C[C@H]2c2cccc3ccccc23)cccc1[N+](=O)[O-] 730881406 ZXGARGBPAVEZQS-RYGJVYDSSA-N 418.449 4.730 5 20 HJBD C[C@@H](CSc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732393096 WEKDHDJZGIPEQY-UONOGXRCSA-N 413.455 4.677 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2CCCCC2)cc1 734989736 OVXPHNKRABEZJK-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)COc1ccc(Oc2ccccn2)cc1 735644262 XNNBGYVQDOBOPX-UHFFFAOYSA-N 414.801 4.728 5 20 HJBD CCC(CC)(CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)SC 742842900 RWXPOPGPAJKBRA-HNNXBMFYSA-N 416.547 4.815 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cccc3cnccc23)cc1)c1cccc([N+](=O)[O-])c1 744459318 YRCRASJINWMBBE-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1cc([N+](=O)[O-])ccc1F 744776715 UIOWULCCNFELGX-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1nc(-c2ccccc2)sc1COC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 750886952 HSWPZSWBEAQJGR-UHFFFAOYSA-N 407.451 4.725 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)Nc1ccc(SC(F)F)cc1 750951321 MSBXIUPYUQZPTF-UHFFFAOYSA-N 414.455 4.790 5 20 HJBD O=C(COc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)c1ccccc1 752910214 VSDKBGXEMFTWII-UHFFFAOYSA-N 404.781 4.593 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)c1 758924654 YOBWYQZXVKAUTG-CYBMUJFWSA-N 413.455 4.902 5 20 HJBD CC(C)CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C[C@H](O)c1ccc(F)cc1 760843148 HFGWMWSDODRIKY-NRFANRHFSA-N 413.449 4.581 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761011509 NFACAYZEIUTMAQ-SECBINFHSA-N 408.197 4.870 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@@H]3CCC(=O)c4cccc2c43)CC1 766881659 IEAJNPMFISGAFY-INIZCTEOSA-N 419.481 4.552 5 20 HJBD COc1cccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1F 770068970 OYLALZPRKDMZLT-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 770379165 KUTNIBBPTKUUMJ-RDJZCZTQSA-N 415.490 4.732 5 20 HJBD CC(C)([C@@H](OC(=O)c1ccoc1Cl)c1ccc(Br)cc1)[N+](=O)[O-] 774203080 RAFIPOVTINUXRM-LBPRGKRZSA-N 402.628 4.649 5 20 HJBD COc1cc([C@@H](C)NCc2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccncc1 775018899 XMFYLFVMZOZEHK-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD CCCn1c(SC[C@@H](O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(Cl)cc1 776270628 DVEYNEZCAGNNNX-QGZVFWFLSA-N 418.906 4.742 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2ccccc2n1CC 776752377 LIHBWZZGQMZSKH-UHFFFAOYSA-N 412.515 4.787 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1cccc(OC(F)(F)F)c1 778074781 OODRHQQHSIZALX-UHFFFAOYSA-N 413.351 4.659 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cc(F)ccc1F 779181367 ZPWPFHWACFPCTA-LJQANCHMSA-N 418.421 4.605 5 20 HJBD O=C(OC[C@@H]1CCC2(CCCC2)O1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 784836947 DLMWQHPZCHDXGJ-HNNXBMFYSA-N 413.420 4.608 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1-c1cc(F)c(F)c(F)c1 801915908 QWOIWVURAANBJE-UHFFFAOYSA-N 405.307 4.653 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809972624 AMAMGPHQKGZODD-NWDGAFQWSA-N 418.321 4.637 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 813118868 RXDKIKDEMDEKRU-UHFFFAOYSA-N 410.213 4.615 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)cc1 816873005 VUHLNZQWLFZGRP-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD Cc1sc2nc([C@@H](C)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)nc(N)c2c1C 840994574 LJRXYMJRPMLNPG-SNVBAGLBSA-N 405.911 4.645 5 20 HJBD COCCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H](C)c1cccc(C(F)(F)F)c1 914516389 OABHTIMDBVRLGL-KUCQQTCKSA-N 422.403 4.863 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cc2c(ccc3ccccc32)[nH]1 914881872 FEXACBDHCKNBOF-UHFFFAOYSA-N 414.377 4.636 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H]2CCc3cc(C)ccc32)c([N+](=O)[O-])c1Br 919965006 QMSGNMXWSKUNFC-LBPRGKRZSA-N 422.235 4.682 5 20 HJBD O=C(Cc1cc2ccccc2nc1O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920329358 ISPKQZAZILSBJR-UHFFFAOYSA-N 400.390 4.664 5 20 HJBD Cc1ccc(-c2cc(-c3nnc(-c4c([N+](=O)[O-])cnn4C)o3)c3ccccc3n2)cc1 1117185352 HNRWEAYJYREZRK-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)c(F)c1 1253910747 AUOJNNAQDYWOAJ-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(COc2ccc(Cl)cc2Br)n1 1325442729 WQOQUKDHPAFCAB-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2(Cc3cccc(F)c3)CCOCC2)n1 1326285826 KRUZCOZFOUSWJY-UHFFFAOYSA-N 411.433 4.692 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC(C)(C)c3c(Cl)cccc32)cc1SC 1337141142 ULYNDTIVEFHROC-UHFFFAOYSA-N 406.891 4.917 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)COc2ccc([N+](=O)[O-])cc2)cc1 14794120 AOWFLYWSRSMGGX-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD Cn1c(SCc2ccccc2[N+](=O)[O-])nc2scc(-c3cccs3)c2c1=O 25115701 FFQPGLJZKNQNML-UHFFFAOYSA-N 415.521 4.924 5 20 HJBD CN(CC(=O)Nc1ccccc1Cl)C(=O)c1cc2ccccc2c2cccnc12 42107682 NZEJVJGLYFXUJV-UHFFFAOYSA-N 403.869 4.752 5 20 HJBD CNc1ccc(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)cc1[N+](=O)[O-] 45652887 FPZCJGGKPLWAIA-UHFFFAOYSA-N 402.863 4.590 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 56313057 ZPMGZVFVMGTLSB-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD Cc1cc(C(=O)N(Cc2cccs2)c2ccc3c(c2)OCCO3)ccc1[N+](=O)[O-] 57509686 VGILDSSQTRMRCI-UHFFFAOYSA-N 410.451 4.583 5 20 HJBD COc1ccc(N([C@H](C)C2CC2)S(=O)(=O)c2cc(Cl)c(C)c([N+](=O)[O-])c2)cc1 78776915 ALAKXVKMOAAPOZ-CYBMUJFWSA-N 424.906 4.559 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(O)nc4ccccc34)n2)cc1[N+](=O)[O-] 105349945 QZOGEKIWQYGVAN-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccc(Br)s3)cs2)c1 236686007 VFDNVQRYCHZQSS-UHFFFAOYSA-N 424.345 4.875 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSc3nnc(-c4cccc5ccccc45)o3)c1)OCOC2 303844218 IWHDKVGFHAOGSY-UHFFFAOYSA-N 421.434 4.957 5 20 HJBD Cc1ccc(C[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)cc1 426671946 YDDOZDWOCUMMJC-SFHVURJKSA-N 410.495 4.665 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(C2CCC2)cc1 427546745 MZUOXCFQBMOBRE-UHFFFAOYSA-N 400.500 4.531 5 20 HJBD Cc1cc(OCC(=O)N(Cc2ccccn2)c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 430409089 RMMPMPKKWNFXDA-UHFFFAOYSA-N 411.845 4.564 5 20 HJBD O=C(O)COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1Cl 431810716 PEJBPDJVRHZNDR-UHFFFAOYSA-N 406.825 4.757 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2c(Cl)cccc2[N+](=O)[O-])CC1 432334825 HOYBQHZENQLNGY-UHFFFAOYSA-N 407.923 4.831 5 20 HJBD O=C(NCC[C@H](c1ccccc1)C(F)(F)F)NCc1ccc([N+](=O)[O-])cc1Cl 432361828 ZLRLNNMJJPQPBP-OAHLLOKOSA-N 415.799 4.784 5 20 HJBD CCOc1cc(CS(=O)(=O)Cc2ccc(-c3ccccc3)cc2)ccc1[N+](=O)[O-] 434640631 NFZGTVHPYPZEJA-UHFFFAOYSA-N 411.479 4.776 5 20 HJBD O=C(NCc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1cccc([N+](=O)[O-])c1 437020624 WOKVCXDFLUMKBW-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1 438772998 LTNGLYHFJMVICV-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)c1cccc([N+](=O)[O-])c1 441252372 WBCBJODFLKGLEE-KZULUSFZSA-N 413.865 4.732 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)CC1 463950328 LUKNZYGXNHBUSX-UHFFFAOYSA-N 421.501 4.993 5 20 HJBD Cc1cc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2cccnc2c1C 464469230 RPZXXGQBOFEGCK-UHFFFAOYSA-N 404.470 4.615 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCOCc3ccccc32)cc1[N+](=O)[O-] 470629650 XNZPVUXXWKMBGI-UHFFFAOYSA-N 400.500 4.910 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)C1 473343321 ZPTIWRPFIQCKSG-NRFANRHFSA-N 417.893 4.501 5 20 HJBD Cc1nc(-c2cccc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])c2)oc1C 477474785 WNGJFEVSJOXTGC-UHFFFAOYSA-N 414.849 4.884 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(Oc2ccncc2)c1 482725158 UBXJIYPBYSQCIB-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD CCc1c(NC(=O)Cc2ccccc2[N+](=O)[O-])cnn1-c1cccc(C(F)(F)F)c1 482743194 GXARVNHKEHEWSY-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD Cc1cc([C@@H](NC(=O)c2sc(Br)cc2[N+](=O)[O-])C2CC2)ccc1F 486421420 CSMZHYQEQZPBFU-AWEZNQCLSA-N 413.268 4.747 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)cc1Cl 488395399 XXMLUGQCEMXDBU-UHFFFAOYSA-N 421.906 4.752 5 20 HJBD O=C(NCc1ccc2c(c1)COC2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 493177301 QFZKMUSUQXZRAI-UHFFFAOYSA-N 406.463 4.706 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 507560897 QIRSCESWYVZFJG-LLVKDONJSA-N 403.287 4.592 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@]1(C(F)(F)F)CCN(Cc2ccccc2)C1 508781476 YTRLKFFJNJOAEZ-HXUWFJFHSA-N 421.419 4.605 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)cc1Cl 509088767 FQHLJIUHEALAHR-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(c2ccc(Cl)cc2)CC1 509678097 CNWSIMCRYWJROA-UHFFFAOYSA-N 401.894 4.900 5 20 HJBD COc1cc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)cc(OC)c1 512919235 BOORZCQRRJEBGQ-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 515681491 ZHQPEBCLGIPZHW-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 516756422 BROPANMQHJTWIU-LBPRGKRZSA-N 414.405 4.505 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccs2)C(CC)CC)cc1OC 518460296 YTSZDNXUICEVKV-IBGZPJMESA-N 406.504 4.971 5 20 HJBD CC(=O)Nc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(Cl)c1 520985250 NCEDRTZWZOUPOT-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1Br 522879157 RNWKJBONNIYFJI-SECBINFHSA-N 413.655 4.510 5 20 HJBD COc1ccc(NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1Br 524826401 RWHBRYGHZJTUAF-UHFFFAOYSA-N 422.279 4.510 5 20 HJBD COc1cc(C(=O)N[C@@H](CC(C)C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 525027404 FUCLYIPUGJVFBO-INIZCTEOSA-N 406.866 4.783 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]1c1nc2ccccc2s1 534650605 ARVFLBUDXTUARN-GOSISDBHSA-N 406.467 4.592 5 20 HJBD Cc1ccc(OC2CCN(Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1C 536354656 NMDCUUKWTQKWMB-UHFFFAOYSA-N 407.470 4.910 5 20 HJBD CCC[C@@H](NCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 537060564 IWWUMJQEOWZOOA-MRXNPFEDSA-N 409.408 4.992 5 20 HJBD CC(C)COc1ccc(C(C)(C)NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 537115591 IHIVYLFDTYXWEX-UHFFFAOYSA-N 410.474 4.705 5 20 HJBD C[C@H](NCC(=O)Nc1cc(Cl)c(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 538176598 TTWKADDMOUIVLW-VIFPVBQESA-N 402.665 4.844 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 538345887 UDGWKLZLYLINMU-OAHLLOKOSA-N 406.282 4.576 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)cc1[N+](=O)[O-] 542959641 VTCMCEPJZPLOGG-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD C[C@H](C(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 543467163 BLOSWZIRMFSRFW-LIRRHRJNSA-N 424.432 4.641 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1 543543962 CHUULYFWUNBCGW-AWEZNQCLSA-N 419.441 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2c[nH]c3ccc(Br)cc23)no1 546395286 LIKFWYLHHDHGSG-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(C2CCC(NC(=O)CC3CCCC3)CC2)n1 546397767 KQPSDZZHLMPJBW-UHFFFAOYSA-N 412.490 4.676 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 551698594 JVENXRJKLBJACX-QGZVFWFLSA-N 418.293 4.603 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@H]1c1ccccc1 554209708 ODSCPPZKUMFQOZ-UTKZUKDTSA-N 414.527 4.995 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1c(F)cc(C(F)(F)F)cc1F 555085126 NZRQFVWZMZYJNA-UHFFFAOYSA-N 408.710 4.518 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Cl)cc1F 560100991 VESDCUSXZBGYHH-UHFFFAOYSA-N 401.619 4.735 5 20 HJBD CN(C(=O)c1csc(-c2ccc(Cl)cc2Cl)n1)c1ccc([N+](=O)[O-])nc1 581452682 FVYYDEVKRDNKBN-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD O=C(Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 602232481 RFXPSJKBGPNCRH-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)CCC(C)(F)F 603730490 GCOIUGJCCUBKNG-UHFFFAOYSA-N 412.414 4.874 5 20 HJBD COc1cc(CN(C)[C@H](C)c2cc(F)ccc2F)c([N+](=O)[O-])cc1OC(F)F 603855341 YQUMIWGVNKIVNY-SNVBAGLBSA-N 402.344 4.676 5 20 HJBD CCN(C(c1ccccc1)c1ccccc1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 604127517 WIBPXEYYUKRRBB-UHFFFAOYSA-N 410.495 4.536 5 20 HJBD O=C(NC[C@@H]1OCCc2ccccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 609580776 RSLKTGBRMNJLBC-NRFANRHFSA-N 420.490 4.790 5 20 HJBD CCCOc1cc(NC(=O)N[C@@H](C)c2c(C)noc2C)c([N+](=O)[O-])cc1OCCC 609617022 HMSBZTNKYKHHPY-LBPRGKRZSA-N 420.466 4.660 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3cc(C)cc(C)c3)s2)cc1[N+](=O)[O-] 609648169 IKSBAYMDDIKEKW-UHFFFAOYSA-N 400.485 4.704 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2oc(C3CC3)nc2c1 609722904 DDBDKWQNZIVVLX-UHFFFAOYSA-N 401.806 4.674 5 20 HJBD CC(C)Oc1ncccc1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609772896 IOHWWMOXHKOGLZ-UHFFFAOYSA-N 422.441 4.891 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H](Cc2ccccc2)C1 610204141 FJMVXHXDKTZYFS-NRFANRHFSA-N 415.493 4.912 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@H]2c2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 610304865 UZAOMULQDVKMSC-VFNWGFHPSA-N 420.444 4.889 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)cs1 611997606 WKLOHSYLRBIOQB-ZDUSSCGKSA-N 413.524 4.996 5 20 HJBD C[C@H](c1nc(Cc2nc3cc(F)ccc3s2)no1)c1ccc([N+](=O)[O-])cc1F 612932101 OZRQIFGORKQDBM-VIFPVBQESA-N 402.382 4.608 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 613234723 HRPMQTQKYVZCEY-SFHVURJKSA-N 420.431 4.883 5 20 HJBD O=C(NC[C@H]1OCCc2ccccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619653879 QTNQSSLEQFNVLI-HXUWFJFHSA-N 420.490 4.790 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 727395156 HSISUOVMAPOIPS-INIZCTEOSA-N 415.660 4.769 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 730451563 BHSITLBQNLAGAD-IBGZPJMESA-N 402.328 4.771 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)Nc2nc3ccccc3n2C2CCCC2)ccc1Cl 741136211 KGDOJLLVRAZUNF-UHFFFAOYSA-N 420.878 4.514 5 20 HJBD Cc1c(C(=O)Nc2sccc2C(=O)C(C)(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 741937876 QIZRZJPLUIYRLJ-UHFFFAOYSA-N 405.432 4.662 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)ccc1[N+](=O)[O-] 744484768 LKCAHQLYGMWAPG-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 752416988 QISNRHWJFGSBES-OAHLLOKOSA-N 411.483 4.983 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N(Cc1ccccc1)C[C@H](O)c1ccco1 752619951 KSIYNBCFGJSHOU-SFHVURJKSA-N 415.833 4.609 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 752942328 YINOUZLQSCAXJO-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CC2(CCC2)Oc2ccccc21 757810166 ADKXQSICHIOROK-CJNGLKHVSA-N 416.861 4.578 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c(OC(F)F)c1 760701497 BAUHJBZZTGOGJD-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD C[C@@H](C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 763404080 NUDPWDSNCCHZNS-MRXNPFEDSA-N 404.422 4.694 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])c(Cl)c1 764191918 KWMDWRHGPMEIJD-IUCAKERBSA-N 418.721 4.888 5 20 HJBD CC(C)(C)OC(=O)N1CCC(CSCc2nc3cc([N+](=O)[O-])ccc3o2)CC1 767223015 QMCHLVAAXWUDNZ-UHFFFAOYSA-N 407.492 4.616 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc(Sc2ccccc2Cl)nn1 784173930 YKFYNOPJAKZEGD-UHFFFAOYSA-N 419.821 4.686 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1cc(Cl)ccc1[N+](=O)[O-] 786018694 GZMCFEBIHBUVHT-LBPRGKRZSA-N 413.680 4.588 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 798239758 GPUJUWQEMHVARY-INIZCTEOSA-N 421.331 4.771 5 20 HJBD CC(C)C(=O)Nc1cccc(-c2noc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)n2)c1 809282225 SRQSGAWIUGXANF-UHFFFAOYSA-N 421.457 4.507 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1cc2c(cc1OC(F)F)OCO2 811483765 KPTIDNUUGZXLHP-UHFFFAOYSA-N 421.183 4.522 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(Cc3ccc([N+](=O)[O-])cc3Cl)CC2)cc1 812775761 FWUBLNDPKWVPGI-OAQYLSRUSA-N 404.894 4.593 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)Nc1cc([N+](=O)[O-])c(F)cc1F 825488187 RWNCXCXSBOKLHF-UHFFFAOYSA-N 407.760 4.753 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(Br)s1 914525746 IYNXHIURXKBVGZ-SECBINFHSA-N 402.291 4.643 5 20 HJBD Cc1nc(CC(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)cs1 916827725 UKSWBZIUZDGGEH-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD CC(C)c1sc(NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)nc1-c1ccccc1 917138445 DIBGVELRONPVIF-UHFFFAOYSA-N 421.482 4.830 5 20 HJBD Cc1c(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cccc1-c1nnc2n1CCCCC2 917677810 YGCBQCCWSQZBQV-SDNWHVSQSA-N 417.469 4.540 5 20 HJBD C[C@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nc2ccccc2n1C(F)F 917947034 SGUSADGGBXFDOX-JTQLQIEISA-N 400.341 4.739 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cc1 918593635 DOIXDSZNYOALGQ-SFHVURJKSA-N 422.529 4.822 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921266866 PTGKHWHBTGQOBH-SNVBAGLBSA-N 401.221 4.639 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1116148599 KRORCVSYWKGJJC-UHFFFAOYSA-N 405.410 4.943 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@@H](c4nc5ccccc5[nH]4)C3)co2)cc1 1319543464 GGRLWERJJNETBE-MRXNPFEDSA-N 403.442 4.506 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@H](c2ccc(Cl)s2)C1 1330126481 FMPADLCQSKCKSG-NSHDSACASA-N 421.689 4.830 5 20 HJBD CCCn1c(SCC(=O)Nc2ccccc2[N+](=O)[O-])nc2cc(Cl)ccc21 5919664 UUFNRWKCJUGNKT-UHFFFAOYSA-N 404.879 4.739 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)c2nc(-c3cccc(C(F)(F)F)c3)no2)ccc1[N+](=O)[O-] 10761034 HVKPHIJZTKHVGI-NSHDSACASA-N 421.331 4.890 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)Cc1coc2ccc3ccccc3c12 21152581 QRHGDLJKYNFGQD-UHFFFAOYSA-N 418.405 4.527 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c2ccccc2)cc1 21831089 XRSVMZUJNNXQRL-NRFANRHFSA-N 408.479 4.845 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)C(c2ccccc2)c2ccccc2)cccc1[N+](=O)[O-] 22690296 MSQSOFOHSOSMMI-QGZVFWFLSA-N 418.449 4.606 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)o1 31741084 QRXSGVCHLWEUEI-OXJNMPFZSA-N 423.513 4.719 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccccc1Cl 32317317 KGFWPCNYBIUNSX-LJQANCHMSA-N 421.950 4.783 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 43745410 GDQHJPYSXHVJEW-OAHLLOKOSA-N 406.432 4.653 5 20 HJBD Cc1ccc(C(C)C)c(OCC(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)c1 105529261 YHKQBRHRHYSVSV-UHFFFAOYSA-N 408.458 4.538 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2ncc3n2CCCC3)cc1 195583399 WJYFZOFYWUUWMT-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(NC(=O)C2CC2)cc1 237510688 YOWJDQGDLIMADQ-CQSZACIVSA-N 422.510 4.918 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2c3ccccc3C[C@@H]2O)cc1 238003408 JIGZYRLUUUTGOE-RBBKRZOGSA-N 410.857 4.788 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ncc(C)cc2[N+](=O)[O-])C3)cc1 301469035 ZWYLBBAQUGKUMI-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD CCOc1cc(N2CCC(C(=O)Nc3ccc(F)c(Cl)c3)CC2)ccc1[N+](=O)[O-] 301563729 JCWPEHHAPJTLRT-UHFFFAOYSA-N 421.856 4.641 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCc2c(ncn2CCc2ccccc2)C1 302039497 QXAUIDRJGJGUHX-UHFFFAOYSA-N 416.403 4.616 5 20 HJBD Cc1csc(C2(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)CCCC2)n1 303557367 WMRANNWARDITSA-UHFFFAOYSA-N 414.512 4.683 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 430555210 HTGYNDAIEORPLZ-DLBZAZTESA-N 403.276 4.512 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CC2(CCC2)c2cc(Br)ccc21 433738379 RLZOSQZKKUECJX-UHFFFAOYSA-N 405.223 4.579 5 20 HJBD O=C(c1cnc(Cc2ccc(F)cc2)s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437093546 NWSKHAQQAZUWMR-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD Cc1cc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])nn1[C@H](C)C1CC1 441293755 MLKOWIHLUUANTO-OAHLLOKOSA-N 422.510 5.474 5 20 HJBD Cc1ccc(CN(C)C)cc1NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444111693 NVOAFJJATZXNPN-UHFFFAOYSA-N 421.447 4.582 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1nc2ccccc2n1C(F)F 444664066 MOWSSHROSYSTOS-CYBMUJFWSA-N 404.417 4.978 5 20 HJBD CC(C)[C@](C)(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Br)cc1 444709810 RRIDHEPNYAVHAE-IBGZPJMESA-N 417.307 4.895 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCCCc2cc(-c3cccc(F)c3)n[nH]2)c1 460960527 FTTDKWFFFYTNQT-UHFFFAOYSA-N 424.476 4.917 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(c1cccc(F)c1)C1CCOCC1 462610231 BYVHWSOJDWRCSM-KRWDZBQOSA-N 400.450 4.515 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@@H]2c2cc(F)c(F)c(F)c2)c([N+](=O)[O-])cc1OCC 463639220 AVRYMIPJJVTVNJ-NEPJUHHUSA-N 424.375 4.552 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CCC(C(F)(F)F)CC2)c([N+](=O)[O-])c1 464767395 CNUQQDHILSPUPT-IBGZPJMESA-N 421.419 4.857 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(CCC(F)(F)F)CC(F)(F)F 468548943 SGTZTVWMOODVHT-UHFFFAOYSA-N 416.321 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCCOCC(F)(F)C(F)(F)F)co2)cc1 468625623 RUXYCCZWNAPOQS-UHFFFAOYSA-N 412.336 4.697 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(F)c(-c3nnc4n3CCCCC4)c2)cc1[N+](=O)[O-] 471285560 FSWAIGJKSWMABY-UHFFFAOYSA-N 423.448 4.534 5 20 HJBD CCc1ccc(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 471339264 AIKGQUUBCVSKTJ-INIZCTEOSA-N 405.454 4.622 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@@H](c3ccc(F)cc3)C2)cc1SC 471509289 VNHHKGPGLRXRBM-HIFRSBDPSA-N 404.463 4.531 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(CN(C)Cc3ccccc3)CC2)cc1[N+](=O)[O-] 473694200 STKROGSLMDHZOQ-UHFFFAOYSA-N 409.530 4.702 5 20 HJBD Cc1cc(NC(=O)N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)ccc1[N+](=O)[O-] 473764028 LWSBCYQKYNEFNR-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD O=[N+]([O-])c1cc(CNCc2c(F)cccc2Br)cc(C(F)(F)F)c1 475196848 WRVFTDXYLLNTSI-UHFFFAOYSA-N 407.161 4.805 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)ccc1[N+](=O)[O-] 479955019 HLQCEXGRNWUCCM-IBGZPJMESA-N 408.458 4.511 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(-c3cccc(Cl)c3)n2C)c([N+](=O)[O-])c1 480096718 RIGCVDIIOCHPPN-UHFFFAOYSA-N 402.863 4.788 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CC(F)(F)F)C3CCCC3)cc2[N+](=O)[O-])CC1 485206755 LKBMESFCEKKWOY-UHFFFAOYSA-N 413.440 4.778 5 20 HJBD CSc1cccc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)c1[N+](=O)[O-] 486234719 QPMPUQSJTJWYOW-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc([N+](=O)[O-])cc1Cl 489074253 QLWYFASZIAXSMG-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@H](C)c1ccc(Oc2cccnc2)cc1 489934999 OBPMCKNVQLARNN-DLBZAZTESA-N 420.469 4.850 5 20 HJBD CC[C@@H](NCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-])c1cc(F)ccc1F 505471597 LMLDSLCBLVZDRQ-OAHLLOKOSA-N 416.371 4.766 5 20 HJBD O=C(COc1c(Cl)cncc1[N+](=O)[O-])Nc1ccccc1Sc1ccccc1 506591336 ATWCXSBNDBOFEL-UHFFFAOYSA-N 415.858 4.812 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2OC)cc1 506686566 JOGPHVDNKIQDOD-QFIPXVFZSA-N 421.453 4.832 5 20 HJBD Cn1c(CN(Cc2ccc([N+](=O)[O-])cc2)C2CC2)nc2cc(C(F)(F)F)ccc21 507155558 OMMFCCGWCXXCTR-UHFFFAOYSA-N 404.392 4.665 5 20 HJBD CC(C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2I)cc1 511101662 RVTZKUKWFCQLJP-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cc2)nc1 512834933 SQTMXBNRSQIUPZ-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC(F)(F)F)cc1 516757446 NBJJOZDGNKYGII-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD COc1cc(OCC(=O)N2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)ccc1[N+](=O)[O-] 519023031 PZONWGROOMXFRQ-LJQANCHMSA-N 412.486 4.643 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1NC(=O)c1ccncc1 520745554 PLGKZLAVUGZGKK-UHFFFAOYSA-N 416.393 4.549 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 521768647 UETNHLVPMDASQY-MRXNPFEDSA-N 416.524 4.917 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 522937295 BLXJZSMFYWOSAF-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)NCCc1cccc([N+](=O)[O-])c1 534731868 XPAZZTKXBQDKMN-UHFFFAOYSA-N 423.416 4.515 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534987018 YBABZKNHPJPTED-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 534990741 XQNVFJVEWBOBNF-UHFFFAOYSA-N 413.283 4.531 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1nc(-c2c(F)cccc2F)cs1 536268403 JXLGBKRCZCUWNA-UHFFFAOYSA-N 414.393 4.659 5 20 HJBD COc1ccc(Cl)cc1C1(CNC/C=C\c2ccccc2[N+](=O)[O-])CCOCC1 538370111 MMWBTDNOSMQXPM-XQRVVYSFSA-N 416.905 4.608 5 20 HJBD CCOc1ccc([C@@H](C)NCc2ccc(COC3CCOCC3)cc2)cc1[N+](=O)[O-] 540153401 JPIRKIHDGZYCKG-QGZVFWFLSA-N 414.502 4.540 5 20 HJBD Cc1cc(N2CCC(C(=O)c3cc(F)ccc3F)CC2)c2cc([N+](=O)[O-])ccc2n1 541173822 YBZKVMGCYKKZEG-UHFFFAOYSA-N 411.408 4.829 5 20 HJBD COC(=O)[C@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Cl 543193401 YTZCMMZMURBNOU-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544443343 GTZCHOMLJQCLQZ-OAHLLOKOSA-N 410.449 4.844 5 20 HJBD Cc1cc(Cc2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)nc(C)n1 545701244 LVNHEYLBUZVMEU-CSKARUKUSA-N 419.466 4.874 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 549661971 KSXNDZZLMWDKQM-LJQANCHMSA-N 421.419 4.949 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@@H]3CCOc4c(-c5ccccc5)cccc43)nc(O)c2c1 553050582 VYESKJXHQAHCTP-HXUWFJFHSA-N 414.421 4.846 5 20 HJBD COc1cc(CN2CCC(c3c[nH]c4ccc(F)cc34)CC2)c([N+](=O)[O-])cc1OC 565685691 ZZPIYTNJOWFHBN-UHFFFAOYSA-N 413.449 4.612 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 573386365 JLUXMPHJHANTRQ-DIFFPNOSSA-N 402.800 4.960 5 20 HJBD CCc1nc2cc(CNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)ccc2n1C1CC1 579618362 OVLJBNXQJJSFQE-UHFFFAOYSA-N 424.476 4.575 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 583044149 ABVJYNPZPQMEPW-IBGZPJMESA-N 411.483 4.950 5 20 HJBD Cc1cc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c2cccc([N+](=O)[O-])c2n1 589571920 LJLXGEVEDIZMIR-UHFFFAOYSA-N 415.453 4.885 5 20 HJBD O=C(Nc1ccc(-c2cc(O)n[nH]2)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 603878272 KRTLIFHNXZTLOP-UHFFFAOYSA-N 415.409 4.686 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3cccc(C(=O)N(C)C)c3)c([N+](=O)[O-])c2)cc1 608973065 UWMLZHCBFCASSJ-UHFFFAOYSA-N 419.437 4.650 5 20 HJBD Cc1nc(C)c(CCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)s1 609780961 HRAQOWYWQDSDTK-UHFFFAOYSA-N 412.471 4.825 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2nc3ccccc3s2)CC1 609783811 LVMZWCGZPUZTNS-UHFFFAOYSA-N 415.902 4.807 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cc(Br)ccc2F)C2CC2)c1 610222936 UBWPZJPCXLZOKZ-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD CN(C)CCCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610473649 RQGYURSKGCXAPX-UHFFFAOYSA-N 421.950 4.813 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)n1 615016226 BFWBRFDYVDEBLG-LBPRGKRZSA-N 417.372 4.646 5 20 HJBD C[C@H]1Cc2ccccc2N1Cc1ccoc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 728462429 VGECLCVAYPJTLM-LBPRGKRZSA-N 413.380 4.670 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1 729897527 WJAVLUWNZCNUMR-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cc([N+](=O)[O-])ccc1Cl 730182561 IPOGQIURVMLSMS-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]1c1ccc(F)c(F)c1 732969611 IRVNVIDFJMPWEA-GOSISDBHSA-N 415.421 4.974 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(Cl)c1 740776448 BMBLPJDWLJGENP-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C[C@@H]3CCc4ccccc43)CC2)ccc1[N+](=O)[O-] 744205360 ZIKGXPMXZXGMPE-SFHVURJKSA-N 424.497 4.626 5 20 HJBD CCOc1cc(NC(=O)/C=C\c2cnc(C(C)(C)C)s2)c([N+](=O)[O-])cc1OCC 744356913 JLDRKMDPVILJPC-HJWRWDBZSA-N 419.503 4.798 5 20 HJBD O=C(OCc1ncc(-c2ccccc2Br)o1)c1cc(F)cc([N+](=O)[O-])c1 744999986 SJOLTSAEOIAZEY-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1c(C(=O)N(C)Cc2cc(Cl)cc(Cl)c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748621947 AOSSXMKDEIFOMC-UHFFFAOYSA-N 412.229 4.699 5 20 HJBD CCCc1nc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1ccccc1Cl 748938036 HBDWQRBOBHQDQA-CYBMUJFWSA-N 414.849 4.699 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)OCc1ncc(-c2cccc(Cl)c2)o1 749957339 CBZFPXMJIXWAII-UHFFFAOYSA-N 415.833 4.839 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)c1cc(F)ccc1[N+](=O)[O-] 751077341 NFVIRHCUEQHONY-UHFFFAOYSA-N 413.218 4.686 5 20 HJBD Cc1cccc2sc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)nc12 754631941 OQSORDMDDILDPE-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD COc1ccccc1[C@H](OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccn1 759611444 YMLMQZGMSNZJPI-BTYIYWSLSA-N 424.478 4.812 5 20 HJBD COc1ccc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1[N+](=O)[O-] 760254635 OSPWUAYZDSXHEG-UHFFFAOYSA-N 401.400 4.600 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCCC[C@@H]3C[C@@H](O)c3ccco3)co2)cc1 760847746 PWWNXOBXCFCIIJ-WOJBJXKFSA-N 411.458 4.711 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)C(F)(F)c1ccc([N+](=O)[O-])cc1 767089955 BQUUJCMTCFDBOW-UHFFFAOYSA-N 412.396 4.525 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CC=C(c3ccc(F)cc3)CC2)CC1 768582734 VLYPTUCSHJCFGO-UHFFFAOYSA-N 423.488 4.900 5 20 HJBD C[C@@H](C[C@H](O)c1cccs1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774677147 XNADPSBHRSKUEY-KWQFWETISA-N 411.352 4.630 5 20 HJBD O=C(Nc1c(Cl)ccc2nsnc12)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 776962223 KXPIHKOLVJRAHR-UHFFFAOYSA-N 417.859 4.629 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778166296 NEUVLCOMIQIUDL-ZIAGYGMSSA-N 420.412 4.771 5 20 HJBD COc1cc(CNc2ccc(N3CCCCC3)cc2)c([N+](=O)[O-])cc1OCC(F)F 779031453 VPRYUXGSXQQGSE-UHFFFAOYSA-N 421.444 4.850 5 20 HJBD Cc1cccc([C@H]2CCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1C 781686998 IHYHVPYUQSAQAZ-HXUWFJFHSA-N 411.458 4.806 5 20 HJBD Cc1nnc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 781846899 OKKOSHVMIHWGBU-LLVKDONJSA-N 401.469 4.824 5 20 HJBD CC(C)(NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCOCC1 786343487 YJHKPDFXIMPROY-UHFFFAOYSA-N 418.877 4.976 5 20 HJBD Nc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)c(OCC(F)(F)F)c(Cl)c1 787178172 OUVXVZWZUVNSIM-UHFFFAOYSA-N 424.162 4.677 5 20 HJBD C[C@H](Nc1ccc(C(=O)Oc2ccc3cccnc3c2)cc1[N+](=O)[O-])c1ccccn1 791859816 PSLMCXNUFOODLV-HNNXBMFYSA-N 414.421 4.930 5 20 HJBD CC(C)CN(C(=O)C[C@@H]1CCCOC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 796900482 JGYFKYUMANZNMK-HNNXBMFYSA-N 403.504 4.524 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 805664463 JOHWHOVJVZSDGV-UHFFFAOYSA-N 401.802 4.791 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)Nc3cccc([N+](=O)[O-])c3Cl)co2)cc1 809921698 JATQTZNKMNXZDO-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 809938772 GVWVYACJELYDGI-GFCCVEGCSA-N 418.321 4.638 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CN3CCC(c4noc5cc(F)ccc45)CC3)c2)c1 811782782 JZPRIGGPZIEOBQ-UHFFFAOYSA-N 421.432 4.576 5 20 HJBD COC1(CCOC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CCC1 815173394 OSYUUDUTIFIJCQ-UHFFFAOYSA-N 417.845 4.595 5 20 HJBD COc1ccc(-c2cc(COC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)on2)cc1 815405046 HNFBHUYCELKABR-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3ccccc3o2)cc1 824462966 UXBWUFQRRRWQCS-UHFFFAOYSA-N 402.410 4.721 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)s2)cc1 897555666 VQOTULSVBGYPIF-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CCc1ccc(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc1[N+](=O)[O-] 904506663 SNPAIWNDUPYQCE-UHFFFAOYSA-N 402.410 4.848 5 20 HJBD Cc1cccc(C(=O)OCC(=O)N2CCC[C@H]2c2ccc(C(C)(C)C)cc2)c1[N+](=O)[O-] 918802721 AVQGLDQCQWXTOP-FQEVSTJZSA-N 424.497 4.721 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccccc2C)c1 920279337 ARRZRQOYGNFPHZ-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)OCc1ccc(C(=O)Nc2ccccc2)cc1 6012600 LGZYQSOCLUSPRI-XNTDXEJSSA-N 402.406 4.604 5 20 HJBD CCn1c(SCC(=O)N[C@@H](C)c2ccccc2)nc2cc3ccccc3cc2c1=O 7577788 GKRZVSASXQKMFC-INIZCTEOSA-N 417.534 4.539 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(F)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64919155 VUDLYJKWZUCNIU-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OC 64951565 OAEGMTYEQUZMIZ-UHFFFAOYSA-N 413.257 4.584 5 20 HJBD CCOC1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 65728866 LVNMPUXGYUWGAA-UHFFFAOYSA-N 404.850 4.682 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H]1c1cccs1 105002866 BESPIZSKUIMFMU-AWEZNQCLSA-N 413.421 4.841 5 20 HJBD C[C@@H](CC(C)(C)c1ccccc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 109208682 JWXAOBMPXUAJDA-SFHVURJKSA-N 409.530 4.684 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)n2)s1 109277531 LRKCBSVGRZVPDD-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)NCCc2ccc([N+](=O)[O-])cc2)CC1 195613662 AOWFKSHFOXMGHE-UHFFFAOYSA-N 406.486 4.516 5 20 HJBD O=[N+]([O-])c1ccc(Nc2cccc(C[S@@](=O)Cc3ccccc3)c2)c([N+](=O)[O-])c1 301310998 FQDHKBSBSRMZIR-LJAQVGFWSA-N 411.439 4.696 5 20 HJBD C[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1C(F)(F)F 301941368 VUFOFSKMFNLPAW-ZJUUUORDSA-N 422.450 4.683 5 20 HJBD Cc1ccnc(NCc2ccc([C@H](C)Nc3nccc(C)c3[N+](=O)[O-])cc2)c1[N+](=O)[O-] 302141572 JNAZHKTZJVVCHE-HNNXBMFYSA-N 422.445 4.695 5 20 HJBD CCNC(=O)Nc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1C 433187368 JAIBHVMPENGXCO-UHFFFAOYSA-N 411.487 4.780 5 20 HJBD O=C(c1ccc(F)cc1[N+](=O)[O-])N1CCC(c2ccccc2Br)CC1 439006746 HDBIELMEBXJRNH-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 443876002 KOAKEVDWXNIPAU-QGZVFWFLSA-N 410.417 4.852 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 443889252 OULSLZZCADVNRV-JTQLQIEISA-N 401.410 4.774 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1(c2ccc(Br)cc2)CC1 443947452 LEVSDMYVUWNNGY-UHFFFAOYSA-N 404.264 4.785 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CC2)c1 444083356 PLRWAAWWEPVPBX-UHFFFAOYSA-N 411.505 4.706 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1cc(-c2ccccc2)no1 447670546 MXECQUAPHNOJMG-UHFFFAOYSA-N 414.290 4.911 5 20 HJBD CSCC[C@H](C)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 461519639 MZPKCEIBAWEGPJ-AWEZNQCLSA-N 417.560 4.541 5 20 HJBD Cc1cc(Sc2nnc(-c3cccs3)n2C[C@H]2CCCO2)c(F)cc1[N+](=O)[O-] 461732041 QGPIGSBUFPDWNJ-GFCCVEGCSA-N 420.491 4.693 5 20 HJBD COc1ccc(CSCC(=O)N2C[C@@H](C)C[C@@H]2c2ccccc2F)cc1[N+](=O)[O-] 464213631 VCJXEIXCYGLRTE-KBXCAEBGSA-N 418.490 4.585 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 464663651 NRVWZEIWJATYEQ-UHFFFAOYSA-N 418.169 4.640 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)c(C(=O)N(C)C(C)C)c1 470448688 TYMMGKAESKGKPF-SFHVURJKSA-N 411.502 4.591 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Cl)cc1F 475345654 BYVMLADANXVMIC-UHFFFAOYSA-N 402.756 4.905 5 20 HJBD COc1cc(C(=O)N2CCc3sccc3[C@H]2c2cccs2)cc([N+](=O)[O-])c1C 477872519 CKOILPQNISOJHZ-IBGZPJMESA-N 414.508 4.823 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)NCc1cccc([N+](=O)[O-])c1C 478791014 FNDXEEIAYUJFMU-OAHLLOKOSA-N 410.499 4.896 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 479766071 OYZRDFDYGSOIIF-CQSZACIVSA-N 413.865 4.933 5 20 HJBD O=[N+]([O-])c1cc(Cc2ccccc2)ccc1OCc1csc(-c2ncccn2)n1 481968558 AJRYZQCIYWFGJS-UHFFFAOYSA-N 404.451 4.678 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 482094148 LMLICKPJOVFHSC-MSOLQXFVSA-N 410.518 4.571 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2cccc(C(F)(F)F)c2)cc1SC 483019360 FPKHYHINOXYLHU-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CC(C)(C)Oc2cc(F)ccc21 484880015 FXIRKXHLIBRMHP-SJKOYZFVSA-N 404.463 4.633 5 20 HJBD CCS[C@H](C)c1noc(CN(C)c2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)n1 485399423 CIVWKBWUFHIBHW-GFCCVEGCSA-N 417.466 4.629 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 486279197 CTGVNIBPDBFCCC-UHFFFAOYSA-N 405.657 4.723 5 20 HJBD CSc1cccc(C(=O)Nc2cc(-c3ccc(OC(F)F)cc3)no2)c1[N+](=O)[O-] 486363695 GMSDSVHRGQAOSW-UHFFFAOYSA-N 421.381 4.825 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2F)nc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 487104520 BFMLSDGWMOOHKM-UHFFFAOYSA-N 422.416 4.774 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487123057 QHIQJJYHCIESIE-KRWDZBQOSA-N 411.355 4.712 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1OC 487812542 QXTMVEGTXUZQPA-OAHLLOKOSA-N 415.490 4.688 5 20 HJBD CCOc1cc(C(=O)N(Cc2cccc(F)c2)C2CCCC2)c([N+](=O)[O-])cc1OC 500567190 YCLSQCGCALBPNO-UHFFFAOYSA-N 416.449 4.726 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1noc(-c2ccccc2)n1 503600941 ATINSSMMNVAWEU-ZDUSSCGKSA-N 412.471 4.638 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)ccc1OCC(C)C 512008744 MQNWJLLNHXRTHJ-AWEZNQCLSA-N 411.458 4.611 5 20 HJBD Cc1c(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)oc2ccc(Br)cc12 513014077 DXUSKQKTCVFELY-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD CN(Cc1ccc(-c2ccccc2[N+](=O)[O-])s1)Cc1nccn1CC(F)(F)F 513392189 MOHOZGYHCOYDBR-UHFFFAOYSA-N 410.421 4.714 5 20 HJBD Cn1ccnc1[C@H](NCCCc1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 513712370 BKOAIKOKVAYBKR-LJQANCHMSA-N 418.419 4.659 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@@H](CCC(C)C)c1ccc(Cl)cc1 516054028 QPGLUWVOBJJCTF-SFHVURJKSA-N 417.893 4.789 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cc(F)ccc1F 519671094 ULZKAGXLGGRDLB-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3cccc(OC(F)F)c3)n2)cc1[N+](=O)[O-] 521678760 YRDLXFAKFLLRLT-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 521954677 ZQCMTHZIMVVYFX-CQSZACIVSA-N 415.877 4.824 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccccc1)c1ccccn1 523879601 VAXDTYQHLHSOLU-UHFFFAOYSA-N 411.845 4.646 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCOCC1 532393781 VVXIRKIAJKYNNJ-LBPRGKRZSA-N 409.280 4.522 5 20 HJBD Cc1ccc(-c2csc3ncnc(SCCn4cc([N+](=O)[O-])ccc4=O)c23)cc1 536446670 FOPBCOGFLGYIGD-UHFFFAOYSA-N 424.507 4.529 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 540458232 HZABNVPRKZIDSE-AWEZNQCLSA-N 415.515 4.876 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NC(C)C)cc1 540731612 HTMFRBMUTFZVDZ-UHFFFAOYSA-N 415.515 4.878 5 20 HJBD Cc1nc(COc2cccc(C(=O)Nc3cc(Cl)cc([N+](=O)[O-])c3O)c2)cs1 540847751 DBOUAOHPTXQEEF-UHFFFAOYSA-N 419.846 4.550 5 20 HJBD COc1ccc2c(c1)C[C@@H](C)N(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)[C@@H]2C 541021466 YDDVHBTZLKWORC-HZPDHXFCSA-N 407.470 4.809 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCO[C@@H](c4ccc(F)cc4)C3)cs2)c1 541764358 FTDGMTBFJFMOEQ-FXAWDEMLSA-N 413.474 4.867 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NCC(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1 544485591 KTIIQXZSZNCKTA-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD Cc1noc2ncc(-c3nc(-c4ccc(Oc5cccc([N+](=O)[O-])c5)cc4)no3)cc12 547178978 RAJOFBQNUNHMMY-UHFFFAOYSA-N 415.365 4.949 5 20 HJBD COc1cc(C)c(Br)cc1-c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 565743309 VENBHXKHLMONOO-UHFFFAOYSA-N 418.247 4.624 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2)cn1 571227891 GYDFVONLPPLDER-OAHLLOKOSA-N 406.442 4.857 5 20 HJBD O=C1CC[C@H](C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c2ccccc21 578890683 CQECXXLAVBTDAW-FQEVSTJZSA-N 416.433 4.873 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1C[C@@H]1CCCO[C@H]1c1ccc(C(F)(F)F)cc1 579190198 FCPDWAZKIRCPHM-UGSOOPFHSA-N 422.359 4.689 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 582330737 JEYYTQFGIQEEGZ-UHFFFAOYSA-N 415.877 4.937 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 582643204 SYTJCVRAGIGBTA-CVEARBPZSA-N 412.486 4.906 5 20 HJBD CC(C)(NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 584139340 GCSAKTDRJDNDQX-UHFFFAOYSA-N 408.439 4.525 5 20 HJBD CS(=O)(=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 600848738 IIZMXHOUXWLIJL-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1ccccc1CN1CCSCC1 600853735 CRXMLWBIIFBZEC-UHFFFAOYSA-N 411.449 4.775 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cccc1[N+](=O)[O-] 601065766 JAMNQLSZKGXYTD-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1OCc1cccnc1 603738178 ARHROWHHPQOBPS-UHFFFAOYSA-N 419.393 4.576 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N(Cc1cccnc1)c1ccc(Br)cc1 603990306 MKMLJQZSGKAZTC-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD C[C@H](c1ccccc1Br)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 604501403 JKSGTKKUBKWPLV-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 609216661 PLSISXSFZCWISA-UHFFFAOYSA-N 420.309 4.747 5 20 HJBD CCOc1cc(NC(=O)N2CCc3cc(F)ccc3[C@H]2C)c([N+](=O)[O-])cc1OCC 610013952 JUOAXHKCTQJURC-CYBMUJFWSA-N 417.437 4.683 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)cs1)c1ccc([N+](=O)[O-])cc1Br 610040910 AESDMSGHTNDBMO-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1cc(C(=O)Nc2c(F)cc(F)cc2-c2ccccc2)cc([N+](=O)[O-])c1OC 610172654 SLVGTHFEXNZEJB-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD O=C(Nc1cnc2c(c1)COCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 612488097 POBPUALHBULAKW-UHFFFAOYSA-N 413.499 4.597 5 20 HJBD COC[C@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1cccc(C(F)(F)F)c1 613295139 NYTHVLPBPPIMBP-INIZCTEOSA-N 411.380 4.740 5 20 HJBD COc1cc(CN(C)Cc2ccc(C)cn2)c([N+](=O)[O-])cc1OCc1ccccc1 616152119 SVRBTBLSYVVHSB-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 727399284 ODRBGZLDJQQKKM-QYDWLILUSA-N 407.253 4.515 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@@H]1c1ccc(Cl)c(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 727926069 BJEGQCOILPVDFF-CYZMBNFOSA-N 423.252 4.576 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)ccc1F 733739852 CSMDMVKGNDKSIA-UHFFFAOYSA-N 400.366 4.798 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2ccn(C(C)C)n2)n1 735126673 APWZDGYLCMODKB-UHFFFAOYSA-N 418.500 4.645 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2cccc(C)n2)cc1[N+](=O)[O-] 736736483 VKSDBQHQFUVJSE-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD COc1ccc(COCCC(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 737326388 PMNCLVFMELUFOF-UHFFFAOYSA-N 407.422 4.783 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@H](O)c3ccsc3)cc2[N+](=O)[O-])cc1 740114776 SYWFCVUXKHMPNN-SFHVURJKSA-N 414.508 4.579 5 20 HJBD Cc1ccc2c(c1)OCCN2C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 741723737 NVUVIIPCXHEDHA-UHFFFAOYSA-N 403.438 4.555 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 742763836 KKCUKQHGHNQQAA-UHFFFAOYSA-N 418.471 4.664 5 20 HJBD O=C(Nc1ccccc1SC(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 743076331 FLGCEJGAHQIYPH-UHFFFAOYSA-N 423.441 4.985 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)c3ncccc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748625110 MAYCCATWUJFGNR-UHFFFAOYSA-N 400.778 4.574 5 20 HJBD CC[C@@H](C)Oc1cc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1OC 749452781 NUXYWNKSDHYKPT-XAVKZTDYSA-N 421.453 4.647 5 20 HJBD CCc1ccc([C@H](NCc2cc([N+](=O)[O-])ccc2OC)c2ccc([N+](=O)[O-])cc2)o1 753654197 MIWOGQJCVRWGRX-OAQYLSRUSA-N 411.414 4.546 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1c[nH]c2cccc([N+](=O)[O-])c12 754476769 ARQBOJTXHRQZKR-GFCCVEGCSA-N 406.225 4.637 5 20 HJBD C[C@@H](C(=O)OCc1csc(Cc2ccccc2)n1)c1ccc([N+](=O)[O-])cc1F 755062433 MXMRQNISTUHVMV-CYBMUJFWSA-N 400.431 4.628 5 20 HJBD C[C@@H](C(=O)Nc1cccc(CNC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 755308747 UYWPIJCKBJRNFU-CYBMUJFWSA-N 417.437 4.501 5 20 HJBD CCc1c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cnn1CC(C)C 756619164 UOKRUKHQKUHUFL-UHFFFAOYSA-N 404.898 4.720 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)OC(C)(C)C 757621598 DOTPTGZRLOYVHK-RDJZCZTQSA-N 420.510 4.511 5 20 HJBD CCCc1c(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cnn1-c1cc(C)ccn1 760910134 GGEPDQWLTQUELE-UHFFFAOYSA-N 414.853 4.734 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1Cl 767153365 NLDVJOSHCOJQCM-UHFFFAOYSA-N 414.201 4.769 5 20 HJBD COc1ccc(NC(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767881089 GKAZRMJBJFIRMO-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](C)c1cccc(N2CCOC2=O)c1 768096458 JNYPPARFSTWLRO-DOTOQJQBSA-N 415.515 4.773 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2ccoc2Cl)c2ccccc2)c([N+](=O)[O-])c1 768973399 BVPNVOIBGSERTE-QGZVFWFLSA-N 414.801 4.686 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCC2)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770010140 YYIGUZVJOPHOKI-UHFFFAOYSA-N 416.865 4.699 5 20 HJBD Cc1cc(Cl)ccc1OCC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131219 DRVVBVLVMYWGGP-OAQYLSRUSA-N 412.829 4.663 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)ccc1Br 776251877 GQOSZMLREUMMRP-CRAIPNDOSA-N 405.292 4.615 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 785945815 CPVZKOZZHIBREB-NSHDSACASA-N 419.796 4.593 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)c2ncccc12)c1cc(F)c(F)cc1[N+](=O)[O-] 791950874 CMVZNYRRZPYYFW-UHFFFAOYSA-N 412.396 4.664 5 20 HJBD C[C@@H]1CCC[C@@H]1CNC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 799061692 NQZJJTSJXRXZSR-ZIAGYGMSSA-N 415.877 4.667 5 20 HJBD C[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(F)c2ccccc12 807738505 COCBHDQJQSKKIE-CYBMUJFWSA-N 411.389 4.605 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc2c(c1)CCC=C2 807991593 POFDBMLCVLSNKP-UHFFFAOYSA-N 418.453 4.655 5 20 HJBD O=C(Cc1cccc(Cl)c1F)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 810656984 QJXZKIJUBDXORQ-FQEVSTJZSA-N 400.793 4.658 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2)CC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813423574 HQEGPWQSJPQVLQ-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD CC(C)(NC(=O)c1ccccc1COc1cccc(C=O)c1)c1ccccc1[N+](=O)[O-] 817038268 DLHNQWHULIPWGA-UHFFFAOYSA-N 418.449 4.651 5 20 HJBD CCCCCCn1nc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c2ccccc2c1=O 913419183 YPMCWEUMMBTCEL-UHFFFAOYSA-N 422.485 4.754 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(C(F)(F)F)cs2)CC1 915159189 VZGICWSNIDTASJ-UHFFFAOYSA-N 414.409 4.559 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c1 915873717 PPYXIDQKAGHMDC-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1cccc2ccccc12 919215172 XTCLQPSAJNWUFX-ZDUSSCGKSA-N 404.378 4.678 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])o1 921134370 PSSSFYBCENHLRE-UHFFFAOYSA-N 403.774 4.648 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(OC(F)F)cc2)cs1 1115839857 ICGUVBKZCYMOOV-UHFFFAOYSA-N 420.397 4.614 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1[N+](=O)[O-] 1116135926 PDQUQSRYSOEIJR-UHFFFAOYSA-N 415.471 4.797 5 20 HJBD COc1ccc(CSCc2nnc(COc3ccc(C)c(C)c3)o2)cc1[N+](=O)[O-] 1117173304 HTVRUGLDRNKZLG-UHFFFAOYSA-N 415.471 4.616 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c(Br)c1 1320533730 MTJMMYACMOCABW-CZUORRHYSA-N 411.321 4.507 5 20 HJBD O=C(Nc1cccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1)C1CCCCC1 1323580448 SXKROEMIVZLYOC-UHFFFAOYSA-N 408.458 4.661 5 20 HJBD O=[N+]([O-])c1ccc(OCC(F)(F)F)cc1-c1nnc(-c2ccc3ccccc3n2)o1 1324019478 UXCNNOXAZGERNZ-UHFFFAOYSA-N 416.315 4.801 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cc(Cl)cc([N+](=O)[O-])c3)o2)c1 1328428622 DCOGTKURWHTSII-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)c1 1345741461 XONFYXCUFOIQIS-NSHDSACASA-N 404.220 4.856 5 20 HJBD Cc1ccc(CC(=O)Nc2ccc(C3(NC(=O)CC(C)C)CCC3)cc2)cc1[N+](=O)[O-] 1790526275 MEKLCKGZAFUWSB-UHFFFAOYSA-N 423.513 4.626 5 20 HJBD C/C=C(\C)C(=O)Nc1ccc(C2(NC(=O)Cc3ccc(C)c([N+](=O)[O-])c3)CCC2)cc1 1791218969 VOEDBQTXGIYBNQ-AYSLTRBKSA-N 421.497 4.546 5 20 HJBD Cc1nc(SCC(=O)Nc2c(C)cccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 4799240 MMPPTKLVRMLLKL-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD O=C(Nc1nc2ccc(Br)cc2s1)c1ccc(Cl)c([N+](=O)[O-])c1 13966827 VWIHSYIUQYXIDW-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(Nc3ccccc3)cc2)cc([N+](=O)[O-])c1 21006996 ZWPZNCBZPKCHGC-UHFFFAOYSA-N 405.410 4.767 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCc2c(F)cc(F)cc21 50313771 GXQGGLYSNAUVDC-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD O=C(Nc1ccnn1Cc1cccc(Cl)c1Cl)c1ccc([N+](=O)[O-])cc1F 55068544 FAMPKUHPFBKLRF-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1 71861360 JRNCLXIBCBNOPW-UHFFFAOYSA-N 417.874 4.952 5 20 HJBD COc1cc(CNCc2sc(C)nc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 237136601 YMAFGIACZCRZDI-UHFFFAOYSA-N 413.499 4.546 5 20 HJBD COC1CCN(c2ccc(Nc3ncc([N+](=O)[O-])cc3C)cc2C(F)(F)F)CC1 301966448 VMSLIIDNSBWOEP-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1Br 302742301 BIODPQDHBOCORZ-GFCCVEGCSA-N 417.263 4.600 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)N[C@H](CC)c2cccc([N+](=O)[O-])c2)c(C)c1 429049199 OZAYZHSPXMNKKU-DNVCBOLYSA-N 412.490 4.704 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 429833511 YHFWOCCYLDJPJJ-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1nc(C(C)(C)C)cs1 431457574 JLJBKVIAIKUCCX-SECBINFHSA-N 412.309 4.602 5 20 HJBD COc1ccc2c(c1)[C@@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)CC2 432751348 VNNIERDLTRBIAI-KRWDZBQOSA-N 409.467 4.704 5 20 HJBD O=C(c1ccc(Br)c(Cl)c1F)N1CCCc2c1cccc2[N+](=O)[O-] 435903832 SXPVXMPSXQDLCK-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 435932451 CIFAJCWXQKJGGE-UHFFFAOYSA-N 402.450 4.731 5 20 HJBD COCCCCN(Cc1ccccc1)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436319181 QWSQZXMQWJPRGM-UHFFFAOYSA-N 414.505 4.750 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@H](C(=O)O)c3ccccc32)cc1[N+](=O)[O-] 439707931 RTEFUUVQMTWDSQ-MRXNPFEDSA-N 414.483 4.562 5 20 HJBD O=C1CCCN1c1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440611280 JDOSGYGSXPMRLI-UHFFFAOYSA-N 415.449 4.569 5 20 HJBD CC[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1cccc(F)c1 444246322 PCJBXZZYBNQFCF-OAHLLOKOSA-N 422.431 4.547 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1 444910990 XYJJQEVZLYSKLD-CQSZACIVSA-N 419.865 4.580 5 20 HJBD O=C(Cc1noc(/C=C\c2ccccc2[N+](=O)[O-])n1)Nc1cc(Cl)cc(Cl)c1 445376336 SUKQIRNLNZZSMG-WAYWQWQTSA-N 419.224 4.636 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccccc3Cn3cccn3)n2)cc1[N+](=O)[O-] 462108514 LVESPYPVQAGGSF-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCC[C@H]3C)cc2C)cc([N+](=O)[O-])c1OC 468158781 UFADBPZZXVSMJS-OAHLLOKOSA-N 413.474 4.552 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])nc2)cc1Cl 469883414 VKYUORLEFOLJBS-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD CC[C@@H](NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 474503687 XRINVPHIZWPDIG-MGPQQGTHSA-N 424.320 4.725 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 478555796 YKISTOSXEAHGQX-UAPYVXQJSA-N 413.905 4.527 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)C1 480396961 PJMAYUSXYNBRET-SFHVURJKSA-N 405.564 4.579 5 20 HJBD COc1ccc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 481577816 YCVIRJACDWFVJE-UHFFFAOYSA-N 417.771 4.640 5 20 HJBD CN(Cc1ccncc1)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 481901071 NZGQBJSRVFLMHX-UHFFFAOYSA-N 410.861 4.528 5 20 HJBD COc1ccc(Br)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483059724 MKGRXKQAEIPKTL-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492889621 KQVHPPBVWIPZDA-MOPGFXCFSA-N 415.287 4.652 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@H]1C[C@@H]1c1ccc(F)cc1F 497548460 YLKYUGQESZPQCM-CABCVRRESA-N 401.394 4.739 5 20 HJBD Cc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1NC(=O)C(C)(C)C 498133711 CVZQPCINYQKYKG-UHFFFAOYSA-N 406.442 4.688 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](c3nc4ccc(F)cc4o3)C2)cc1[N+](=O)[O-] 502148561 VZJPJJNTCURPOV-CYBMUJFWSA-N 415.446 4.617 5 20 HJBD CCN(Cc1ccncc1)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 502755661 JWAXIDNHBAHVNB-OAQYLSRUSA-N 424.888 4.845 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 503705171 XANSFESNFZIHSR-SFHVURJKSA-N 412.534 4.644 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2nnc(COc3ccc(Cl)cc3)o2)c(F)c1 505449611 RHLICUZPUCDZTR-UHFFFAOYSA-N 413.789 4.781 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCN3CCC[C@@H]3C2)ccc1Oc1ccccc1Cl 509572299 VVWMIOWSHUCOEA-DLBZAZTESA-N 401.894 4.757 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)n[nH]1 512850567 TXQDXATWVBPJSC-UHFFFAOYSA-N 407.213 4.553 5 20 HJBD COc1cc(CNCc2ccc(Cl)s2)c([N+](=O)[O-])cc1OCC(F)(F)F 520206717 FMDRENNOUIDCCE-UHFFFAOYSA-N 410.801 4.549 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(-c3ccncc3)ccc2C)cc1[N+](=O)[O-] 522387599 WSFJDSZXIIXOEG-UHFFFAOYSA-N 423.494 4.846 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1 524059096 GSVVANAQFGRYRJ-CYBMUJFWSA-N 424.444 4.942 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c1 531460136 XIIBHNBDKCTKTH-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)[C@H](C)c1ccc(-c2cccc(OC)c2)cc1 532104740 VIMACAABQQJZSR-MRXNPFEDSA-N 422.485 4.536 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1COCc1ccccc1 536133689 WHVJOOYPHPOYRG-UHFFFAOYSA-N 415.449 4.974 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3n[nH]c(-c4cc(F)cc(F)c4)n3)co2)cc1 536335910 PCNQZBUGAIZLNM-UHFFFAOYSA-N 415.381 4.605 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](COc3ccccc3)c3ccccc3)o2)cc1 538219092 XRXQXBCCARCPPT-NRFANRHFSA-N 416.437 4.555 5 20 HJBD Cc1coc(-c2cc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)ccc2C)n1 538856918 ARLJJAUSFUCVKX-UHFFFAOYSA-N 403.442 4.879 5 20 HJBD C[C@@H](C(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1F 542527738 MFFDQOCZTGYBGQ-ZUOKHONESA-N 413.836 4.792 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@@H]1c1ccncc1 544198431 FJZXUEQHMXTTGK-MRXNPFEDSA-N 405.907 4.879 5 20 HJBD Cc1c(Cc2noc([C@@H](C)Oc3cccc(Br)c3)n2)cccc1[N+](=O)[O-] 545076930 QDGRBCRSHFYSTR-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccc(-c4cscn4)c3)no2)cc1 547263728 QJIWEFSAHSVSFI-UHFFFAOYSA-N 400.366 4.908 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 573757741 UPPIPSYEVWEWHN-UHFFFAOYSA-N 418.352 4.635 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2cn3cc(Cl)cc(Cl)c3n2)no1 579525359 RFWWSIUGTGLNPF-SNVBAGLBSA-N 418.240 4.675 5 20 HJBD Cc1ccc(-c2nnc(SCc3nc(-c4cccc([N+](=O)[O-])c4)no3)o2)cc1C 603562528 RPDHGFVGDXEBCP-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1ccccc1F)C1CCCC1 603619097 LSDYUOHNNUIYRI-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD COc1ccc(CSc2nc3cc(Cl)ccc3c(=O)n2C(C)C)cc1[N+](=O)[O-] 603722452 NFDFKHDLCFJSLC-UHFFFAOYSA-N 419.890 4.840 5 20 HJBD C[C@@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1cccc([N+](=O)[O-])c1 603938069 KJHKCCDNZJOUPF-SECBINFHSA-N 410.377 4.609 5 20 HJBD C[C@@H](NC(=O)C1(c2ccc(Br)cc2)CCC1)c1cccc([N+](=O)[O-])c1 603966647 FGOGYJJBSVATJN-CYBMUJFWSA-N 403.276 4.656 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)NCc3ccc(OC)c([N+](=O)[O-])c3)cc2)c1 604087782 SZTJWPJKPQGPCQ-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)N[C@@H](C)c4cccc([N+](=O)[O-])c4)CC3)c[nH]c2c1 604126500 BEFYZOURKJRFEC-INIZCTEOSA-N 404.470 4.944 5 20 HJBD Cc1nc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cs1 604248464 FFQJBUPUGHVDGN-UHFFFAOYSA-N 403.847 4.735 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC3CCN(C(C)(C)C)CC3)c([N+](=O)[O-])c2)cc1 608972680 ILZLPVOTSQNOCB-UHFFFAOYSA-N 410.518 4.830 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3ccc(F)c(F)c3)CC2)cc1SC 609183006 HDNPPSMQRHQDRF-UHFFFAOYSA-N 422.453 4.623 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCCc1cccc(C(F)(F)F)c1 609784967 RIVIXAUMJUPMTG-UHFFFAOYSA-N 400.784 4.559 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1ccc([N+](=O)[O-])cc1Br 610045355 XKPDXXMREYJCMR-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1 610189319 WEHUCYWOWWAWJN-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC[C@H](CCO)C1 611136535 RVMHPXFWEFXDTQ-CQSZACIVSA-N 420.918 4.634 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)cs1 611402750 ZVDSUPBJEHQKJX-UHFFFAOYSA-N 410.499 4.761 5 20 HJBD Cc1c(CNC(=O)Nc2ccc(C(F)(F)C(F)(F)F)cc2)cccc1[N+](=O)[O-] 616779898 MXXRTYLBQXUSGF-UHFFFAOYSA-N 403.307 4.879 5 20 HJBD Cc1ccccc1C1(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)CC1 726911754 QEZOLHLLXVHMHG-UHFFFAOYSA-N 416.433 4.793 5 20 HJBD CCCCC[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 728145734 IYNOXCGCOFOFQS-QGZVFWFLSA-N 403.866 4.625 5 20 HJBD Cc1nc2c(s1)[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)CCC2 733066389 FRLBNOJYSMNPLT-GOSISDBHSA-N 401.488 4.584 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1ccc(Br)c([N+](=O)[O-])c1 733767995 RXUGAPWNHCFXJD-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1nc(-c2ccccc2F)sc1[C@@H](C)OC(=O)COc1ccccc1[N+](=O)[O-] 734738779 PFTZYLWNWKAIFQ-CYBMUJFWSA-N 416.430 4.849 5 20 HJBD Cc1ccc(Sc2ccc(CNCC[S@@](=O)C(C)(C)C)cc2[N+](=O)[O-])cc1 735085169 IQVPZASRWGIQPV-HHHXNRCGSA-N 406.573 4.691 5 20 HJBD O=C(Oc1ccc(NC(=O)c2cccs2)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 735990733 GYNMHTYFHKLSAO-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 736867289 TYPFBHWQZSPWMZ-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD CC(C)(C)C[C@H](NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 741704313 QVDHAGBKHDSNRA-KRWDZBQOSA-N 418.877 4.733 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746435970 BJAKOSMRQOTUKQ-OAHLLOKOSA-N 423.265 4.839 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@H]1C[C@H]1c1cccc(Br)c1 747411970 KPEDXJHNFSUXQX-HOTGVXAUSA-N 423.694 4.773 5 20 HJBD O=C(OCc1ccccc1OC(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 747963664 XYRLKEREIGKZIV-UHFFFAOYSA-N 406.366 4.677 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc(Cl)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 750546119 AIFJNRPBFNETMB-IUCAKERBSA-N 417.270 4.637 5 20 HJBD CCc1cc(N2CCC[C@H]2C[C@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 751119561 VGWIZPPGXONQLP-OALUTQOASA-N 424.526 4.768 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OC(F)(F)F)c1 754346820 GCHRXPSJVXIJRB-QWRGUYRKSA-N 400.328 4.613 5 20 HJBD C[C@@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754865451 RFIQRMMNVOGVNQ-CHWSQXEVSA-N 414.849 4.581 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755032084 WSZSDWUMXHQVNZ-UHFFFAOYSA-N 422.279 4.604 5 20 HJBD C[C@H](C(=O)OCc1coc(-c2c(F)cccc2F)n1)c1ccc([N+](=O)[O-])cc1F 755057229 VAOVMZSHQIUGFI-JTQLQIEISA-N 406.316 4.514 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1c1c(C)nn(CC(C)C)c1Cl 760027101 WILGHWXNWPNEAH-QGZVFWFLSA-N 404.898 4.695 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 763004437 WFJOPPUIYARLKW-HSZRJFAPSA-N 404.466 4.832 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)c([N+](=O)[O-])cc1F 763373974 PCDJSDRLPFMCCU-QGZVFWFLSA-N 421.403 4.916 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)C[C@H](O)c3cccs3)o2)c([N+](=O)[O-])c1 763530899 LNJNRQLAPYHCNP-UGSOOPFHSA-N 402.472 4.527 5 20 HJBD CC[C@H](C)n1ncc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1C1CC1 770330345 HZMVKNPPNLJATB-JTQLQIEISA-N 407.268 4.655 5 20 HJBD Cc1cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 770580708 WYIFVNLRIYRPJO-UHFFFAOYSA-N 420.391 4.857 5 20 HJBD C[C@H](NC[C@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 775336599 MWJSSXZTQBAXHP-HJPURHCSSA-N 410.445 4.697 5 20 HJBD Cc1cc(N2CCC([C@H](C)NC(=O)OC(C)(C)C)CC2)c2cccc([N+](=O)[O-])c2n1 776292250 NCGKKSHYYVNQLI-HNNXBMFYSA-N 414.506 4.581 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H]3c3ncc[nH]3)cc2[N+](=O)[O-])cc1 777457661 SKRZWIOCFMROLI-QGZVFWFLSA-N 408.483 4.755 5 20 HJBD CC(C)(C)Sc1cc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)ccn1 778816713 SPYKHHUFTKQGSW-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(N2CCOC2=O)c1 779973281 JZLQIRKZGLBYSP-UHFFFAOYSA-N 415.471 4.614 5 20 HJBD O=C(Nc1ccc(Cl)c(OC(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 780022953 FFPTZVATOJDJOL-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc2c(c1)CCCC2 781268576 OCNCGVOABDKHON-UHFFFAOYSA-N 411.457 4.600 5 20 HJBD CC(C)(C)NC(=O)c1ccccc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 783929324 YZEOWJZODWLSKH-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD O=C1OCCCN1c1ccccc1-c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 784257027 LLZJEUKATYXDNL-UHFFFAOYSA-N 422.422 4.873 5 20 HJBD CC[C@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccccc1OC 786937043 ORUNYNFEPRVYOZ-INIZCTEOSA-N 411.380 4.573 5 20 HJBD COC(=O)COc1ccc(CN[C@H](c2ccccc2)C2CCCCC2)cc1[N+](=O)[O-] 788960157 CNAJBSUOYJVRBQ-HSZRJFAPSA-N 412.486 4.558 5 20 HJBD Cc1cc2cc(CNC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)ccc2[nH]1 804886135 LMBUGBIWACCHBQ-UHFFFAOYSA-N 422.666 4.730 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cc(C(C)(C)C)on2)cc1[N+](=O)[O-])c1ccccn1 810728354 RMAGUPLQYVQWPQ-AWEZNQCLSA-N 424.457 4.805 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2ccc(COc3ccccc3)cc2)no1 904445391 GDXPQHCHFBSBTB-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2[nH]1 918222506 IIICWTLHRHFBLT-UHFFFAOYSA-N 407.474 4.621 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc(Cl)cc2C(F)(F)F)cc1Cl 921197417 CJUKMNYHLDSAPJ-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD CC(C)(C)c1ccc(C2(C(=O)OCc3nc(-c4cccc([N+](=O)[O-])c4)no3)CC2)cc1 1115839872 IYEQQFAOBZGSGB-UHFFFAOYSA-N 421.453 4.717 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(-n3cnc4ccccc43)nc2)c(Br)c1 1116138584 AXCQRVTZUQHZLV-UHFFFAOYSA-N 424.258 4.703 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)CSc2ccccc2[N+](=O)[O-])cs1 1116538281 HMBOBMXFWPTRDN-UHFFFAOYSA-N 411.508 4.708 5 20 HJBD COc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c(OCCC(C)C)c1 1117198779 TVDAWQVDNVNEDO-UHFFFAOYSA-N 413.430 4.657 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)Nc2cccnc2)c(Cl)c1 1318364352 NUQDDJVIYSTSGZ-BJMVGYQFSA-N 422.828 4.547 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1sc(Br)cc1[N+](=O)[O-] 1321029747 GLHCTBUJSUUMOE-UHFFFAOYSA-N 410.224 4.601 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)c(Br)c1 1324150023 ICKFCLHJHLFQCZ-UHFFFAOYSA-N 421.135 4.674 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cc(-c4ccccc4)on3)o2)cc(C(F)(F)F)c1 1326974283 XFVYMKRWEOIRKC-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD CCn1c(SCc2cccc([N+](=O)[O-])c2)nc2sc3c(c2c1=O)CCCC3 6634280 HNVNLHXRQCEPII-UHFFFAOYSA-N 401.513 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nc2cc(Cl)ccc2c(=O)n1C(C)C 24218216 MUDBWGATUIXLBN-UHFFFAOYSA-N 419.890 4.840 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2C(F)(F)F)cc1 55466219 PWNJHCDOKXQWAA-ZDUSSCGKSA-N 418.375 4.599 5 20 HJBD O=C([C@H]1CCC[C@@H](C(F)(F)F)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867336 SNULNUSLZPJCSP-WCQYABFASA-N 412.330 4.854 5 20 HJBD Cn1c(-c2ccc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2)nc2ccccc21 110667997 NKBBTGPUKXNCHL-UHFFFAOYSA-N 411.421 4.882 5 20 HJBD Cc1ccc([C@H](Nc2ccccc2[N+](=O)[O-])c2cccc(S(C)(=O)=O)c2)c(C)c1 301443302 JCQZRCWGNSYOKP-JOCHJYFZSA-N 410.495 4.817 5 20 HJBD CCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](C)Cc1ccsc1 426294560 RRFNKJATCWZWBE-CYBMUJFWSA-N 401.513 4.873 5 20 HJBD COCc1cccc2sc(NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)nc12 429077020 FYUKVUNVHXIUDV-LBPRGKRZSA-N 400.460 4.542 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(C)c(OCc3cccc(Cl)c3)c2)c1[N+](=O)[O-] 429727632 VQRDFWFKQPSLQC-UHFFFAOYSA-N 414.849 4.673 5 20 HJBD O=[N+]([O-])c1cc(F)cc(Oc2nc(-c3cnccn3)nc3sc4c(c23)CCCC4)c1 433422581 RPOMKGUCPMVODX-UHFFFAOYSA-N 423.429 4.867 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435449556 SSFKUGPGOWFXCZ-LLVKDONJSA-N 416.528 4.900 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Br)c(Cl)c2Cl)c1[N+](=O)[O-] 436056675 ZRTCIRNGOKCEAC-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1ncn(C)n1 436399483 DZTZOGJWAHLQQA-HNNXBMFYSA-N 409.490 4.664 5 20 HJBD COCCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@@H](C)c1cccc(C(F)(F)F)c1 438911747 RHWSPFISKBMERP-HNNXBMFYSA-N 424.419 4.701 5 20 HJBD CSc1c(F)cccc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 439142028 VJAAZHXSSTYUDP-UHFFFAOYSA-N 403.460 4.856 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(F)(c2cccc(Cl)c2)CC1 439384207 YRAXEVOQMSTNEJ-UHFFFAOYSA-N 407.829 4.750 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)Cc2ccc([N+](=O)[O-])cc2)n1 439656423 RKXBAQVIHWBJGP-UHFFFAOYSA-N 414.849 4.678 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1C[C@H]2CC[C@H]1C2 468615414 NBBGUUGREJQKMP-HOCLYGCPSA-N 415.877 4.645 5 20 HJBD C[C@H](O)C[C@@H](CNC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 468964166 LBIALSNGPJRENU-PXNSSMCTSA-N 419.481 4.623 5 20 HJBD CC1(C)Cc2cc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])ccc2O1 469441966 BGEMMERHHCUUGO-UHFFFAOYSA-N 410.348 4.502 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1ccc(Br)cc1F 477020593 MKUUKDZVDUVEJP-LLVKDONJSA-N 410.243 4.996 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 478265537 GQAOMOCDRSEXDB-LPHOPBHVSA-N 400.453 4.887 5 20 HJBD COc1cc(-c2nc(-c3cccc(OCc4cccnc4)c3)no2)cc([N+](=O)[O-])c1C 478970967 GFEZKRQWWKCTHE-UHFFFAOYSA-N 418.409 4.603 5 20 HJBD CC1(C)C[C@@H](Nc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c2ccc(F)cc2O1 481840574 WGBUKIIAEGTXLO-GOSISDBHSA-N 413.449 4.684 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cccc(C(F)(F)F)c2)cc1SC 482017756 SLFYXBSJJRXCAA-JTQLQIEISA-N 414.405 4.835 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c(C)c2)cc1SC 482127792 VZBSPFKEDBNWMI-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CSc1cccc(C(=O)N[C@](C)(c2ccc(F)cc2)C(F)(F)F)c1[N+](=O)[O-] 486403205 GHSLMAHBRFWVPS-MRXNPFEDSA-N 402.369 4.663 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(NC(=O)C(C)C)ccc2Cl)cc1[N+](=O)[O-] 487984830 ZOMUEWYXIKYZNI-CYBMUJFWSA-N 403.866 4.642 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc(OCC)c1OCC 487989506 QFIPXVRSFACQGL-OAHLLOKOSA-N 416.474 4.590 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3ccccc3Cl)o2)cccc1[N+](=O)[O-] 488872128 XATCXSCTBYTCPN-NSHDSACASA-N 418.862 4.726 5 20 HJBD COc1ccccc1[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)C1 489511573 RUVJEAUJBLOQNX-MRXNPFEDSA-N 414.527 4.981 5 20 HJBD Cn1c(=O)c(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc2ccccc21 491472387 UJHDWANDIPQCMR-UHFFFAOYSA-N 423.856 4.650 5 20 HJBD COCCn1c(Sc2ccc([N+](=O)[O-])c3cnccc23)nc2cc(Cl)ccc21 497756994 BHSREVODXWFRJF-UHFFFAOYSA-N 414.874 4.944 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccco2)c2cccc(Cl)c2)c([N+](=O)[O-])c1 509599559 GIKXSDIKWDDMIX-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD COc1cccc(CN(C)C(=O)c2cccc([N+](=O)[O-])c2)c1OCc1ccccc1F 513026936 UQJBHRXZCYIXJD-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD C[C@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1ccc([N+](=O)[O-])n1C 514941797 TZHCZCHDIBYYON-CQSZACIVSA-N 417.918 4.922 5 20 HJBD COc1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c([N+](=O)[O-])cc1OC(F)F 515510968 ZTFXYAFEYNYZCN-MRXNPFEDSA-N 410.392 4.551 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)NCCc4ccc([N+](=O)[O-])cc4)CC3)c[nH]c12 518409715 NPQQEENJGBKKJP-UHFFFAOYSA-N 420.513 4.770 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Cc3nc4ccccc4s3)cc2)c1[N+](=O)[O-] 518834478 IGZQYHLWETUZCY-UHFFFAOYSA-N 421.482 4.723 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1cccs1)c1ccc(F)cc1 518996657 VKCJUWUTEOSHTC-UHFFFAOYSA-N 422.441 4.828 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)c1SCC(F)F 522990444 ZOSQIKPUUPIGNJ-UHFFFAOYSA-N 401.822 4.927 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 523139357 PAPSXWZKWDAHJY-HOTGVXAUSA-N 402.878 4.730 5 20 HJBD CCCc1c(C(=O)Nc2cccc([N+](=O)[O-])c2C)nnn1-c1cccc(C(C)C)c1 525520997 XZRIZSDYZYBZMZ-UHFFFAOYSA-N 407.474 4.812 5 20 HJBD Cc1cc(N[C@H](c2ccccc2)c2nccn2C)c(Br)cc1[N+](=O)[O-] 530436804 KNKRKLTWESYTQM-QGZVFWFLSA-N 401.264 4.601 5 20 HJBD COc1cc(CN(Cc2ccccn2)C(C)C)ccc1OCc1ccc([N+](=O)[O-])cc1 534347159 LYAPXYQVAJUHBF-UHFFFAOYSA-N 421.497 4.988 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc2c1CN(c1nccs1)C2 536715143 RNJZUMPHMYIZRR-UHFFFAOYSA-N 408.483 4.749 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1NCCc1nc(-c2ccncc2)cs1 539071056 UIEHMSVXHWJADN-HKUYNNGSSA-N 408.527 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1ccc(SC(C)C)cc1 539301871 SRKRVCYWAJQMPE-OAHLLOKOSA-N 417.531 4.783 5 20 HJBD CSc1c(F)cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1F 541273636 GVDGYGPWALIRSY-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 541701603 UNDQJLNWZXJQBI-KNQAVFIVSA-N 402.466 4.873 5 20 HJBD Cc1nccn1-c1ccc([C@H](C)NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1 541955972 ZVWANLSOGMNZHY-INIZCTEOSA-N 417.469 4.637 5 20 HJBD Cc1csc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)n1 543513835 WKGJMDKDDVZZTO-AWEZNQCLSA-N 410.499 4.505 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCCOc2c(F)cccc21 544059892 PAZUCLOVGNYOKI-CQSZACIVSA-N 410.854 4.510 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 544081619 RYUMBGRPXKZCLG-UHFFFAOYSA-N 408.863 4.544 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2F)ccc1OC1CCCC1 544621106 PFJLONCZECQWSE-ZDUSSCGKSA-N 417.437 4.947 5 20 HJBD COc1cc(CNC[C@@H](C)c2nccs2)c([N+](=O)[O-])cc1OCc1ccccc1 544622099 QNEOOYUEJPTWBP-OAHLLOKOSA-N 413.499 4.532 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1=O 545688925 JDSCRYIDDIFRJB-GOEBONIOSA-N 420.469 4.987 5 20 HJBD CC(C)c1ccc(O[C@@H](C)CN[C@@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)cc1 554372161 JJUPLVQQEFWQQN-JTSKRJEESA-N 408.502 4.598 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC(c4nc5cc(Cl)ccc5o4)CC3)ncnc2s1 557511160 UXQABNNXOUPGBH-UHFFFAOYSA-N 415.862 4.778 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCC[C@H]2Cc2ccccc2Cl)c1 559504296 MGYCVNZWHBPAMI-KRWDZBQOSA-N 402.878 4.559 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 564414930 JKGADSHZZINAMM-UHFFFAOYSA-N 403.438 4.997 5 20 HJBD CCc1nc2cc(CNC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)ccc2n1C1CC1 565847146 LEFOOKMTPXVUNG-UHFFFAOYSA-N 421.501 4.576 5 20 HJBD O=C(NCc1c(Cl)cccc1Cl)c1sc(Br)cc1[N+](=O)[O-] 571608659 CKJCWQMBVSNRTM-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD O=C(NCCCc1nccs1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 571686305 FTQVATFMSZUCLY-UHFFFAOYSA-N 405.545 4.696 5 20 HJBD CCc1ccc([C@H](NC[C@@]2(CO)C[C@H]2c2ccccc2)c2ccc([N+](=O)[O-])cc2)o1 577684908 ZSHLFPQRWJVXSZ-QPTUXGOLSA-N 406.482 4.595 5 20 HJBD O=C(CCNC(=O)c1ccc([N+](=O)[O-])cc1)Nc1ccccc1Sc1ccccc1 590646440 GHPBIYQYUIHDFC-UHFFFAOYSA-N 421.478 4.505 5 20 HJBD O=C(COc1ccccc1-c1ccccc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638845 YGBBAEQDJTXUKC-UHFFFAOYSA-N 414.364 4.881 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCC[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609191854 KHQDFJXQJWXTPQ-SWLSCSKDSA-N 404.850 4.968 5 20 HJBD Cc1cccc(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)c1[N+](=O)[O-] 609430089 MXOXIBRAVKDVQU-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1)Cc1ccccc1F 609470986 YQKKQLAVZOWYQE-UHFFFAOYSA-N 423.444 4.525 5 20 HJBD O=C(Cc1ccc(-n2cccn2)cc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609761364 DOOAPRXSNULIAM-UHFFFAOYSA-N 414.421 4.754 5 20 HJBD COc1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Br)co2)cc1 609961920 LARTYUWJQIWXDZ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc2ccccc2[nH]1 611203148 CHZNOQBWFILWQQ-ZDUSSCGKSA-N 410.499 4.997 5 20 HJBD CN(Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)[C@H]1CCCc2c1cnn2C 611276171 ZBXOHOXFCHFOKT-SFHVURJKSA-N 400.866 4.751 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nnc(/C=C/c2ccco2)s1 612052240 IBNZHHAFZIVYKV-GQCTYLIASA-N 422.875 4.594 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cnn(-c5ccccc5)c4)no3)cc12 685364511 RGNHSSSDEULZHI-UHFFFAOYSA-N 405.417 4.584 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@@H]1c1cccc2ccccc12)Nc1ccc([N+](=O)[O-])cc1Cl 730873480 LSBTZKBCQKTTOX-QZTJIDSGSA-N 424.840 4.687 5 20 HJBD Cc1ccc(Oc2ccc(NS(=O)(=O)c3cc(C)c(C)cc3[N+](=O)[O-])cc2)nc1 733251754 SXVYHTMOLUHPTP-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1cc(Cl)cc2nc(S[C@@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)oc12 735234480 KVICQMMVOCDMJR-VIFPVBQESA-N 409.826 4.956 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567635 OIHNQXGUAKPYDN-GFCCVEGCSA-N 424.375 4.921 5 20 HJBD O=C(Nc1cc(-c2ccoc2)nn1-c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 747685201 SVFINVBDYXAICB-UHFFFAOYSA-N 408.801 4.946 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1cc([N+](=O)[O-])ccc1Br 751108686 SNVJLWTVFGXWIZ-UHFFFAOYSA-N 410.293 4.725 5 20 HJBD CC(C)c1noc(CCC(=O)OCc2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)n1 752218468 SBMGLMQTUUYCOU-UHFFFAOYSA-N 411.414 4.570 5 20 HJBD CC(C)CN(C(=O)c1cccc(F)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 753505035 ITINAQQRFQZBEG-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@H](O)c2ccccc2)cc1 754958310 NRVKYFVEAPFXAH-NRFANRHFSA-N 406.438 4.522 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754987473 LSIKYCABJZWNQB-LLVKDONJSA-N 408.813 4.695 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@H]1c1cccc(F)c1 754989908 PJBZFKTUYCDKEH-AWEZNQCLSA-N 411.202 4.613 5 20 HJBD CC(F)(F)CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 758731649 UBEOUTIVMNPLQQ-INIZCTEOSA-N 412.776 4.517 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(Cn3cnc4ccccc43)cc2)cc1Cl 761520749 FIZNKLHIFKINMZ-UHFFFAOYSA-N 406.873 4.936 5 20 HJBD CN1CC[C@H](CNCc2ccc([N+](=O)[O-])c(Cl)c2)[C@@H]1c1ccc(Cl)c(F)c1 761527312 OGRRELFVZOQZDQ-KUHUBIRLSA-N 412.292 4.823 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764350640 OJARIOJTLAXDEO-AWEZNQCLSA-N 417.893 4.744 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 769377233 XFBDMGYUNHIZRW-UHFFFAOYSA-N 411.458 4.747 5 20 HJBD Cc1ccc(C(=O)CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])s1 776135786 IPWBTWHECBHVDU-NRFANRHFSA-N 410.451 4.655 5 20 HJBD COc1cc(CNc2ccc(OC(F)F)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 779035532 KLNQTWHQQGGXIC-UHFFFAOYSA-N 422.306 4.600 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OC[C@H]1CCC2(CCCCC2)O1 786290170 XXQKHTKYAHQXDH-GOSISDBHSA-N 405.494 4.580 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc(N2CCC(CN(C)C)CC2)cc1 786819771 QUMAWNJIZUBAMF-CYVLTUHYSA-N 408.546 4.888 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Oc2ccc(NC(=O)c3ccc(F)cc3)cc2)c1F 790446134 OIOUUHOUQVPSNR-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)CCc1ccccc1NC(=O)OC(C)(C)C 790774213 SNNYXTYBGJQKHQ-UHFFFAOYSA-N 400.431 4.788 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@@H]1CCCc2cc(NC(=O)OC(C)(C)C)ccc21 795145598 UEBVGEDTFMNTRP-QGZVFWFLSA-N 415.494 4.956 5 20 HJBD CCc1occc1C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 797411561 ZIUCANRUDXVLDH-UHFFFAOYSA-N 414.801 4.875 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cn(-c2ccc(Cl)c(Cl)c2)cn1 800147724 YEZWTLGONWCSMM-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1[N+](=O)[O-] 811217562 UHLSDERSKPPECO-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD COCc1ccccc1/C=C(C)/C=C/c1ccnc(-c2cccc([N+](=O)[O-])c2)[n+]1[O-] 814188754 TZENBSKSKCIUPQ-PKAMWHFSSA-N 403.438 4.553 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2c(C)cccc2C(C)C)cc1[N+](=O)[O-] 815406573 NBEFDFUIYUYZFJ-UHFFFAOYSA-N 404.850 4.784 5 20 HJBD O=C(OCc1nc2ccccc2n1C(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815511870 ZKMQPRVXAZVNBP-UHFFFAOYSA-N 415.274 4.715 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 918198563 MAIWWWCFVOHCPI-JOCHJYFZSA-N 416.433 4.918 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cccc(C(N)=O)c3C)cc2[N+](=O)[O-])cc1 1248636029 IKDSTUPXLRJMRA-UHFFFAOYSA-N 421.478 4.714 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3c(C)cncc3[N+](=O)[O-])CC2)n1 1328583726 AIYDJNGTUJOTRQ-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD O=C(COC(=O)Cc1ccc(-c2ccccc2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 10715612 FIRIIIOWJUTSMC-UHFFFAOYSA-N 424.840 4.640 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048815 LEQJOEGHOIVHGS-CHWSQXEVSA-N 422.890 4.608 5 20 HJBD O=[N+]([O-])c1cccc2nc(/C=C\c3cc4ccccc4nc3N3CCOCC3)ccc12 33466716 SSNBQTPCKNCOHM-HJWRWDBZSA-N 412.449 4.698 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C3CC3)[C@@H](C)C3CC3)cc2[N+](=O)[O-])n1 47448429 LSDLAUZCEJXIMM-LBPRGKRZSA-N 403.529 4.914 5 20 HJBD COCc1ccccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 55995681 FNNQYPOOWJJYBP-OAHLLOKOSA-N 406.442 4.562 5 20 HJBD O=C(NCc1ccc(COc2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58249475 IPKUTXJRMJNYNL-UHFFFAOYSA-N 401.422 4.585 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@@H](C)C2CC2)cc1 58642539 UNBZDLNKGUAHEX-KRWDZBQOSA-N 423.513 4.645 5 20 HJBD O=C(Cc1ccc(OC(F)F)cc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 60949631 WKOPFNAXXQPLFR-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(C(F)(F)F)c2)cc1SC 97546995 HAZYOLXEIUVZSJ-UHFFFAOYSA-N 400.378 4.905 5 20 HJBD CCn1c(CNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)nc2ccccc21 107772492 ZRLGQQRINBHIJH-UHFFFAOYSA-N 416.437 4.687 5 20 HJBD O=C(NCc1ccc(-c2ccc3c(c2)CCO3)cc1)c1ccc([N+](=O)[O-])cc1Cl 109493011 ODDXRZDPXVTUQT-UHFFFAOYSA-N 408.841 4.780 5 20 HJBD Cc1sc(NC(=O)c2cnc(-c3ccccc3)nc2)nc1-c1cccc([N+](=O)[O-])c1 195721383 QHZXCWZGGIBYMD-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD CSc1nnc(CCCNc2ccc([N+](=O)[O-])c3cnccc23)n1C1CCCC1 247553334 WOGLWUDWODTAJC-UHFFFAOYSA-N 412.519 4.616 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H](c4ccn[nH]4)C3)cc2[N+](=O)[O-])cc1 303098316 BFFPRXOJTXHWAQ-QGZVFWFLSA-N 422.510 4.797 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 303150742 RMHARCOZGYQVPZ-UHFFFAOYSA-N 416.886 4.736 5 20 HJBD Cc1c(CNC(=O)c2cc(C(F)(F)F)ccc2Br)cccc1[N+](=O)[O-] 327886075 UMYLICAYUYSYFV-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD CC(C)(NCc1cc(Br)cc2cccnc12)c1ccccc1[N+](=O)[O-] 429230715 MBZPCVILWMNPDO-UHFFFAOYSA-N 400.276 4.930 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccccc1)CC1CCOCC1 443884333 OCYDMAKYYMOVDI-UHFFFAOYSA-N 422.403 4.683 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 446317100 BXDKICNNTWSXGI-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD CC(C)c1ccc([C@H]2CCCN2C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 446904301 KOGQHXYGOUHOMT-HXUWFJFHSA-N 407.474 4.641 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3ccc(Br)cc3)C2)n1 462810076 TUSLEVRKZMAVOR-DKIMLUQUSA-N 419.323 4.573 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccc(COc2ccc(F)cc2)o1 463130624 GXZGRCUSOPHBGY-UHFFFAOYSA-N 407.357 4.706 5 20 HJBD CC(C)c1nnc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)s1 467582540 TWZSKERVSMPBGB-UHFFFAOYSA-N 413.459 4.684 5 20 HJBD CCC[C@H](NC(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469124854 TVROZHGGPHTDNE-INIZCTEOSA-N 408.401 4.552 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCSc3ccc(F)cc32)cc1SC 471239758 YWGPEIZKAZHIEH-UHFFFAOYSA-N 408.476 4.607 5 20 HJBD CCCOc1ccc(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c(OC(F)F)c1 471982964 DUVXYCKGPFNFTR-CYBMUJFWSA-N 423.416 4.545 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 474907278 AVRXJBQBORAIDP-UHFFFAOYSA-N 409.442 4.724 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 474962314 IGWFWLCOZYGCMA-NSHDSACASA-N 415.833 4.711 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482181106 LFIGIUSQAWIOCH-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nc([C@@H]2CCC(F)(F)C2)no1 482814970 CYKQOOFZPLVJLC-SECBINFHSA-N 406.311 4.554 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCN[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 484497028 ZAOMMQMRCDMYBF-AWEZNQCLSA-N 405.882 4.553 5 20 HJBD CSc1cccc(C(=O)NCc2ccc(CN3CCCC[C@@H]3C)cc2)c1[N+](=O)[O-] 486469673 VYNLCLPTMWIFEW-INIZCTEOSA-N 413.543 4.621 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 490181023 CRGWGLJRZYEIOE-UHFFFAOYSA-N 401.806 4.985 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(F)F)c2ccc(F)cc2)cc1[N+](=O)[O-] 490351322 BHJCJYIVVHMXKZ-UHFFFAOYSA-N 417.181 4.717 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc(Cl)c(C(N)=O)c2)cc1[N+](=O)[O-] 491573161 GQXYKQMQPVSWJA-UHFFFAOYSA-N 421.906 4.738 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(OC(F)F)c2ncccc12 492055001 RQYNWKSYNAONCA-UHFFFAOYSA-N 416.384 4.575 5 20 HJBD O=C(Nc1ccc(Oc2ccc(C(F)(F)F)cn2)c(F)c1)c1cc([N+](=O)[O-])c[nH]1 499744464 SLOLQUFHRMKJSK-UHFFFAOYSA-N 410.283 4.520 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(C1CC1)[C@H](C)c1cccc(C(F)(F)F)c1 502858930 FVUVVXYMZYYJSJ-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc3c2CN(CC(F)(F)F)C3)cc1[N+](=O)[O-] 504848900 MXRTVOZYOJSREQ-ZDUSSCGKSA-N 422.407 4.664 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCc2ccc(Cl)cc2)cc1[N+](=O)[O-])c1ccccn1 506113829 VQJGUNJVEIIXHJ-HNNXBMFYSA-N 424.888 4.789 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)c(S(=O)(=O)Nc2cc(-c3cncc(F)c3)ccc2C)c1 509395737 FDAINIRNJCUVSY-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCSc1ccc(Cl)cc1 509406993 YPKUNMQHQQMLND-UHFFFAOYSA-N 407.923 4.961 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(Br)cc2F)c1 510111316 BTIOEXSXOQNQFG-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)c4ccc([N+](=O)[O-])c(OC)c4)CC3)c[nH]c12 511818245 TUIMBTVJMMARJF-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)[C@@H](C)SCc1ccc([N+](=O)[O-])cc1 513815129 NPLXWEKHJBMBNZ-MRXNPFEDSA-N 410.499 4.658 5 20 HJBD C[C@@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(OCC(F)(F)F)cc1 516756421 BROPANMQHJTWIU-GFCCVEGCSA-N 414.405 4.505 5 20 HJBD CCCc1[nH]nc(C(=O)N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)c1[N+](=O)[O-] 517620963 KVGJSAKTDZJJNU-GFCCVEGCSA-N 413.503 4.502 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 523551780 APHXQHMCRHJXJD-IBGZPJMESA-N 412.486 4.548 5 20 HJBD CC(=O)Nc1cccc([C@H](C)N(Cc2ccccc2)C(=O)c2ccc([N+](=O)[O-])o2)c1 530830390 CASQDEQRNCEYIX-HNNXBMFYSA-N 407.426 4.550 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1 536505447 HBIKLVYFWABOGQ-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD CCOc1cc(Sc2nnc(COc3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 536532218 HETYVXOUDZKEPY-UHFFFAOYSA-N 407.835 4.760 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN2CCc3ccc([N+](=O)[O-])cc3C2)cs1 536673229 DEFZMKDNQWVEMQ-UHFFFAOYSA-N 422.510 4.603 5 20 HJBD CCC(CC)c1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)on1 538253680 FTTLDJBTESLXQH-UHFFFAOYSA-N 400.460 4.887 5 20 HJBD CCOc1cc(Sc2nnc(-c3ccc(Br)cc3)o2)ccc1[N+](=O)[O-] 539991917 ZBQJWTHKRLYCHK-UHFFFAOYSA-N 422.260 4.957 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCCC(=O)Nc3cccc([N+](=O)[O-])c3C)oc12 541658327 JEZUMCBWJYAZJX-OAHLLOKOSA-N 411.458 4.728 5 20 HJBD C[C@H](C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 543588765 QPQNTQVDASSAPM-RCDICMHDSA-N 418.428 4.620 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCCC[C@H]3CCCCO3)cc2[N+](=O)[O-])n1 546161774 WSCUNKLMTKLOOZ-OAHLLOKOSA-N 421.544 4.590 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 557982889 JAGLHYJJKVJTFB-UHFFFAOYSA-N 413.474 4.714 5 20 HJBD C[C@H](C(=O)NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cccc([N+](=O)[O-])c1 559361695 ORCROFRYYOZQNP-HNNXBMFYSA-N 400.438 4.558 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](Cc1ccccc1)C(F)(F)F 563923240 VXHGBNQGBOMURE-QZTJIDSGSA-N 408.420 4.701 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1ccc(Oc2cccnc2)cc1 570881835 FFCFVYNCXDINSG-QGZVFWFLSA-N 420.469 4.770 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 571811406 WEENDNIUIFEDME-LJQANCHMSA-N 410.417 4.696 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nc(C2(c3cccc(C(F)(F)F)c3)CC2)no1 579104364 RPVJEKYAMFYBSZ-UHFFFAOYSA-N 404.348 4.689 5 20 HJBD O=C(NCc1cccc(Oc2ncnc3sc([N+](=O)[O-])cc23)c1)Nc1ccccc1 581327893 XBFAOOQDILZUQD-UHFFFAOYSA-N 421.438 4.714 5 20 HJBD CSc1nc(C(C)C)nc(C)c1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 581718570 SUXFLEFPXXTPKK-UHFFFAOYSA-N 414.409 4.810 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)n1 603672339 HKLNXFCHGGNWOW-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD Cc1nc(NC(=O)COc2ccccc2[N+](=O)[O-])sc1Cc1ccccc1Cl 603974996 YHJYZOANPUOYMR-UHFFFAOYSA-N 417.874 4.621 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610044538 CLNVQLWVWHBZJQ-NSHDSACASA-N 409.305 4.960 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ncc(Cl)s3)cc2[N+](=O)[O-])n1 610252048 FYLUTOPFSCDPAZ-UHFFFAOYSA-N 412.905 4.873 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)C[C@H]1CCCO[C@@H]1c1ccccc1 611266741 GJFYFNNZQPTLIJ-OXQOHEQNSA-N 406.429 4.796 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3C)c2C(F)(F)F)c1 619718050 RPBNSDYKQRBZNP-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1ccc2c(CC(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)c[nH]c2c1 726139452 SKLQTIOYYKSENC-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD CC(C)Sc1ccccc1C(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 729896611 POCCIZYSWFATQG-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD O=C(OCc1nc(C2CC2)no1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 733395852 SALIMRYTFCFNFH-UHFFFAOYSA-N 415.789 4.658 5 20 HJBD Cc1c(C(=O)Nc2cc(-c3ccccc3Br)no2)cccc1[N+](=O)[O-] 734359261 UVSXJRXZGXSLAJ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CS(=O)(=O)Cc2csc(C3CCCCC3)n2)c1 735563481 SETDJDOFXPQZDJ-UHFFFAOYSA-N 414.936 4.867 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)s2)cc1 742687603 WTBFREJIGIEXQD-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])CC2)cc1 749927983 YMOQVYZXMXAYJP-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD Cc1ccc(C)c(-n2ccnc2SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)c1 753850410 LCNCKFFUUHYFKH-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2C)c1[N+](=O)[O-] 758428595 DRGMVFNTBWXLBK-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccn1Cc1cccs1 760500822 NRAYBLXSSOEBTI-UHFFFAOYSA-N 409.423 4.518 5 20 HJBD O=c1c2ccccc2nc(SCc2ccc(Cl)c([N+](=O)[O-])c2)n1-c1cccnc1 768521955 NHQNHBDFQPNHAR-UHFFFAOYSA-N 424.869 4.635 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CN(C)C(=O)OC)cc1 779952172 YGTCSLJLBDTRFT-UHFFFAOYSA-N 417.487 4.547 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784530215 JIRFHLMXTJVVGL-LLVKDONJSA-N 400.303 4.522 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(Cl)c1 790496266 JPMOMYRQAGSYSQ-UHFFFAOYSA-N 409.147 4.823 5 20 HJBD C[C@@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1F 791171229 CGSNXIQRECQWLE-LBPRGKRZSA-N 421.266 4.575 5 20 HJBD CC(C)c1[nH]nc(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1[N+](=O)[O-] 796256099 LXSRYUAVMCIOMY-CQSZACIVSA-N 422.441 4.612 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cn1nc(Nc2cccc(F)c2)sc1=S 800866374 ZZZFYWBQPDYFNC-UHFFFAOYSA-N 419.507 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 806087642 HYQNQDIRAQRWPD-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC 809917799 BEDQJRIWJFSFHC-AWEZNQCLSA-N 405.838 4.634 5 20 HJBD CCn1c(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nnc1-c1ccccn1 809959791 OHKFPIYFZUPXGX-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD Cc1cc2c(cc1C)[C@H](C(=O)OCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CO2 811219663 TUWVJWUOLIQMAE-GOSISDBHSA-N 410.451 4.555 5 20 HJBD CC(C)[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc2ccccc2[nH]1 812909250 TUHGNXXZYQMTQA-OAHLLOKOSA-N 407.257 4.905 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 813881210 JZSYUWWVSIAFCE-DLBZAZTESA-N 403.523 4.876 5 20 HJBD COc1ccc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 919679304 UCNUSEZIEQHGMP-OAHLLOKOSA-N 407.426 4.529 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921266864 PTGKHWHBTGQOBH-JTQLQIEISA-N 401.221 4.639 5 20 HJBD COc1ccccc1-c1nnc(COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])o1 1116123675 ZCWPFJBVCUUNPV-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cn1[nH]c(-c3cc(Cl)cc(Cl)c3)nc1=S)C2 1116145764 MDPWLUJSJKGCFC-UHFFFAOYSA-N 422.297 4.796 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccccc1-c1ncc(-c2ccc(F)cc2)o1 1318477889 OAMGGBKORNPTQE-UHFFFAOYSA-N 417.396 4.986 5 20 HJBD O=[N+]([O-])c1cc(CN2CCO[C@@H](c3ccc(Cl)s3)C2)ccc1Br 1322704178 HPLNVQUBNUOVOY-CYBMUJFWSA-N 417.712 4.646 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNc2nncn2Cc2ccccc2)o1 1332719397 XPQBCLYWZNVYMT-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1347433928 BJCUNERVHIEYRW-QHCPKHFHSA-N 417.465 4.613 5 20 HJBD COc1ccc(-n2c(SCc3ccccc3[N+](=O)[O-])nnc2-c2ccncc2)cc1 9924922 BYPWLLSKAMLPDA-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1ccccc1Br 10722926 WCKRIHWMGVKIHR-UHFFFAOYSA-N 422.278 4.661 5 20 HJBD C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(=O)c1ccc(Cl)cc1 10723085 ISRPLYUXUMOIJH-HNNXBMFYSA-N 419.864 4.623 5 20 HJBD COc1cccc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 27661520 GOGZJFMQHFLUNU-JTQLQIEISA-N 400.378 4.742 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1[N+](=O)[O-] 29516582 NFWMZGBCOWNVKI-GASCZTMLSA-N 412.511 4.666 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cccc3cccnc23)cc1[N+](=O)[O-])c1ccccn1 52959569 MQYAHVIZXYEMIQ-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD C[C@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 57744590 LLRKSSSXNWUJBQ-AWEZNQCLSA-N 401.426 4.706 5 20 HJBD COC(=O)c1cc(C(=O)Nc2c(Cl)cc(Cl)cc2Cl)cc([N+](=O)[O-])c1 58902860 GMNKIZLATPLLHV-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccccc1Oc1ccc(Cl)cc1 61194584 IOIROCFJJRCXCH-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cn3ccccc3n2)ccc1Oc1ccccc1Cl 237933591 YFANUZZWFVOIFP-UHFFFAOYSA-N 408.845 4.978 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 303246155 LUOLFYWXASXWST-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCCc2nc(CC)sc21 439868010 CUKNKIQMLCHUMR-OAHLLOKOSA-N 404.492 4.554 5 20 HJBD O=C(Nc1ccc(-n2cccn2)nc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440419321 VFDZSSYCLOHGBD-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@@H](NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12)c1cc2ccccc2s1 443390401 UEQJEDREVKFUNM-CYBMUJFWSA-N 407.451 4.614 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F 446359166 FYJXVSAPPKLPFO-OAHLLOKOSA-N 419.762 4.832 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CN[C@H]1CCCc2ccc([N+](=O)[O-])cc21 447543536 MARLWPNAIMBJLI-DEOSSOPVSA-N 405.498 4.987 5 20 HJBD COCc1c(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)sc2cccc(F)c12 463134572 ZYQIXXDWZBFMQC-UHFFFAOYSA-N 411.414 4.896 5 20 HJBD Cc1nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])nc2c1CCCC2 463235809 FGDXIJJOUWAXMM-UHFFFAOYSA-N 420.494 4.976 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463828456 BNFGNQJWPGZPMH-UHFFFAOYSA-N 402.413 4.863 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@@]2(CCCN(Cc3ccccc3)C2)C1 463973477 MFCHPDRLMNQALW-XMMPIXPASA-N 422.529 4.732 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21 464446481 JSQBIPLLTYYDES-KRWDZBQOSA-N 411.458 4.594 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc2c(c1)CCO2)C1CCCC1)c1cccc([N+](=O)[O-])c1 465640162 QNXZMASJWGOIFX-INIZCTEOSA-N 409.486 4.745 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H](CCO)c2ccco2)ccc1Oc1ccccc1Cl 469553401 CCHQSNLSFVMTDA-MRXNPFEDSA-N 402.834 4.847 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2cccc(F)c2)s1 470833682 UUSFAMWDMSVXJS-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD CCc1ccc(C(=O)Nc2nnc(SCc3ccccc3)s2)cc1[N+](=O)[O-] 471281505 FDBRHQQDVHKIHM-UHFFFAOYSA-N 400.485 4.553 5 20 HJBD Cc1cn(-c2ccccc2)nc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 471729553 XAGCEEUHRXJWKM-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CCc1cc(N(C)[C@@H](C)c2ccc([S@](C)=O)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 474176222 YDKLVJQAGTXLTB-JGVYIQDASA-N 424.526 4.549 5 20 HJBD COc1c(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cccc1[N+](=O)[O-] 474429559 WIMQHSSSUYTDFM-IBGZPJMESA-N 415.449 4.647 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(Br)cn1 477761053 HXKQRIPOHPJTCH-LLVKDONJSA-N 424.320 4.745 5 20 HJBD COc1ccc(-c2nc(COc3cc(C)c([N+](=O)[O-])cc3F)cs2)cc1OC 478908495 KVYKHWPBFPLYCO-UHFFFAOYSA-N 404.419 4.762 5 20 HJBD COc1cc(C(=O)N2CC[C@H](C)[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480156901 CPBHRZOWUJJKNA-STQMWFEESA-N 404.850 4.920 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2csc3ccccc23)cc1SC 482878674 OOEMKQSDGPNKBE-UHFFFAOYSA-N 402.497 4.513 5 20 HJBD Cc1cc(C)c(-c2nnc(SCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c(C)c1 483290733 CLOZBFLLSPYOML-UHFFFAOYSA-N 423.454 4.912 5 20 HJBD CCOc1cc(NC(=O)N2CCc3cc(Cl)ccc3C2)c([N+](=O)[O-])cc1OCC 483500881 HWMUCZSWXMTIHD-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(C(=O)C2CCC2)cc1 486312757 ZFXNNFUUIUWDIO-GFCCVEGCSA-N 402.834 4.637 5 20 HJBD C[C@](NC(=O)c1sc(Br)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 486441135 FGBMFFOGRQSWBX-ZDUSSCGKSA-N 423.210 4.626 5 20 HJBD Cc1cccc(C(=O)Nc2nc(C)c(Cc3c(F)cccc3F)s2)c1[N+](=O)[O-] 487591105 ATTUQEQIYVDCBN-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(NC(=O)CC(C)C)c(F)c2)cc1[N+](=O)[O-] 488038029 YBXBWZJEIFMBPS-AWEZNQCLSA-N 401.438 4.518 5 20 HJBD Cc1cc(NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)ccc1-c1ccccc1 488763581 FNWQEASRNYERQA-UHFFFAOYSA-N 405.458 4.636 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 489290128 GXHOJYOXKILWHY-UHFFFAOYSA-N 404.879 4.627 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccccc1OC(F)F 489806897 KOMLDYQSLCQXDA-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD CN(Cc1ccc(Br)s1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 490985375 BAJACTZSKPRSAR-UHFFFAOYSA-N 411.321 4.989 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C(=O)COc1cccc([N+](=O)[O-])c1)C1CC1 502848124 VCYWTJHQLZXSNZ-ZDUSSCGKSA-N 408.376 4.745 5 20 HJBD Cc1nccn1CCCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 509990473 VIRQHABJAXGPIR-UHFFFAOYSA-N 400.866 4.725 5 20 HJBD O=C(Nc1nncn1-c1ccccc1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 512047963 VTLDAKVZKGITLU-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD CC(C)c1ccc(NC(=O)CSc2ccc(C(N)=O)cc2[N+](=O)[O-])c(C(C)C)c1 512396802 URXCUZPPKIDGPV-UHFFFAOYSA-N 415.515 4.671 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])s1 518894376 AMGCXTUDODAYPI-LBPRGKRZSA-N 402.863 4.894 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](c3ccc4c(c3)OCCO4)C3CC3)o2)cc1 520201692 ACUVRSVJCMJWCP-QHCPKHFHSA-N 406.438 4.867 5 20 HJBD COc1ccccc1[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1 521711502 AIRPTSNUFBCCCK-KSFYIVLOSA-N 422.506 4.990 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N1CCC(c2ccccc2F)CC1 522932065 LQNJPPZRHVPLSV-UHFFFAOYSA-N 406.841 4.562 5 20 HJBD CC1(C)CCCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 525590981 MFJOSUOGJNVCGE-UHFFFAOYSA-N 411.289 4.740 5 20 HJBD CC(C)(C)Oc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 530786382 YTWCZBQEQRURNX-UHFFFAOYSA-N 407.264 4.716 5 20 HJBD Cc1nc(COc2ccc(Cl)cc2)sc1C(=O)Nc1cc([N+](=O)[O-])ccc1O 536312499 DNWMWJKGXMQDDX-UHFFFAOYSA-N 419.846 4.550 5 20 HJBD CC(C)Oc1ccccc1CC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540454661 ZLRLJXXOHVZLQQ-UHFFFAOYSA-N 411.483 4.711 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1ccc(F)cc1 540471614 OCYSLIZQWIQLNT-CYBMUJFWSA-N 404.463 4.626 5 20 HJBD COc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1OC1CCCC1 541296828 BNYYIVAFCJHLAN-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD Cc1nc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2F)oc1-c1ccc(Cl)cc1 542713476 FFCSEMOOHWUDPF-UHFFFAOYSA-N 404.785 4.672 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 544447575 IYBKFHDMEDJRLL-OIJAAMDRSA-N 406.479 4.523 5 20 HJBD Cc1ccc(C(=O)c2c(NC(=O)c3cccc([N+](=O)[O-])c3)sc3c2CCOC3)cc1 546108071 XHDZCGGFRZWXJK-UHFFFAOYSA-N 422.462 4.521 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 548465221 CACIZVZABZQZIX-MRXNPFEDSA-N 420.307 4.520 5 20 HJBD O=C(Nc1c(-c2ccccc2)ncn1C1CC1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 604544127 FJGYQNWJGNMCSF-UHFFFAOYSA-N 403.442 4.620 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2ccc([N+](=O)[O-])c(C)c2)c2ccccc2)cc1OC 608949129 UCUGRWWDNJUCES-HXUWFJFHSA-N 420.465 4.634 5 20 HJBD CCC[C@H](Cc1ccccc1)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 609033732 DHCRHLZJPRCQDO-JOCHJYFZSA-N 420.513 4.598 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@H](c1ccccc1)c1ccc(F)cc1 609491091 PRBBOVGIQPSGOZ-JOCHJYFZSA-N 418.428 4.862 5 20 HJBD C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609832135 QJNCJICDRWECCQ-BZPMIXESSA-N 402.438 4.885 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc([N+](=O)[O-])c(O)c1 610011775 QDWHKGCKDXCFLI-UHFFFAOYSA-N 420.400 4.554 5 20 HJBD O=C(Nc1ccc(CC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Br 610179394 UQOPDEDHNZYGDM-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 610224955 OXIDRFJWKOSSOB-KRWDZBQOSA-N 420.465 4.602 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC(OCc4ccc(F)cc4)CC3)co2)cc1 611259864 SRBNXRFBFUYAGV-UHFFFAOYSA-N 411.433 4.570 5 20 HJBD Cc1ccncc1[C@@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 611939518 QEIPBZGLTVJVFZ-VFNWGFHPSA-N 424.888 4.982 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1ccccc1Br 612616187 ZVFRKBRWMUWLMX-INIZCTEOSA-N 408.227 4.865 5 20 HJBD COc1ccc([C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@H](C)n2cccn2)cc1 734084512 GZYUMTFVNLEWBB-LHSJRXKWSA-N 421.409 4.705 5 20 HJBD O=C(CSc1cccs1)Nc1ccc(C(=O)Oc2cccc([N+](=O)[O-])c2)cc1 735243995 AJJCJGBRBBTFBA-UHFFFAOYSA-N 414.464 4.606 5 20 HJBD COc1ccc(Cl)c(NC(=S)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1 742979302 ONTDYBDTQFTDGS-UHFFFAOYSA-N 400.287 4.739 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3F)c(C)c2)s1 751199667 PMYWNAMETMEUOJ-UHFFFAOYSA-N 404.448 4.606 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1ncc(-c2cccs2)o1 751643253 ZUSNKETXDIGHHE-UHFFFAOYSA-N 410.860 4.800 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccccc1OCC(F)(F)F 757202883 JMWGOESNUPKRNP-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2cccc(NC(=O)OC(C)(C)C)c2)n1 762070659 GFBKGJWDDKYODE-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCc2sccc2[C@H]1c1cccs1 763383749 NRCFKWNBABZCRF-KRWDZBQOSA-N 406.435 4.784 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1ccc([N+](=O)[O-])c(Cl)c1 764179449 BKULRBRMLLAXMU-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD COc1cc2c(cc1NC(=O)COc1c(F)cccc1[N+](=O)[O-])oc1ccccc12 766393351 QBEWTHMTWYRTKG-UHFFFAOYSA-N 410.357 4.659 5 20 HJBD C[C@H](NC(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cccc([N+](=O)[O-])c1 767301492 BBNPWWBXUVEHFD-BBRMVZONSA-N 407.392 4.874 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1ccc(-c2ccccc2)cc1 768555798 JNWPPMGFOLGEOO-OAQYLSRUSA-N 422.506 4.837 5 20 HJBD Cc1occc1-c1nnc(SC[C@H](O)c2ccc([N+](=O)[O-])cc2)n1-c1ccccc1 776271359 LIRNEYMIJFDKQC-IBGZPJMESA-N 422.466 4.570 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cc(Cl)ccc1[N+](=O)[O-] 781200706 SCBNXKJMUKRPRC-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD O=C(Oc1cc(Br)ccc1F)c1ccc2[nH]c3ccccc3c(=O)c2c1 781538086 NLVYOBOHTZIEFB-UHFFFAOYSA-N 412.214 4.802 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2cccc([N+](=O)[O-])c2Br)o1 781676089 MJBBFDLLMQJUNY-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 801090983 KMJRPXGEWYWAGK-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)cnn1-c1ccccc1Cl 801962026 ADGPZRVMWITLFZ-UHFFFAOYSA-N 417.828 4.531 5 20 HJBD O=C1OCc2ccc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc21 809351021 FTFYUPBAGWPNNA-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD Cc1cc(Cc2noc([C@@H]3C[C@@H](C)CCN3C(=O)OC(C)(C)C)n2)ccc1[N+](=O)[O-] 809434176 WXDZORMUKOJBSW-GUYCJALGSA-N 416.478 4.585 5 20 HJBD COc1cccc(C=C2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)c1 809915431 XOGBFMVLDDGHCW-UHFFFAOYSA-N 401.850 4.968 5 20 HJBD COc1cccc(-c2noc([C@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])n2)c1 809976276 BFFMGCLUUBURHN-JTQLQIEISA-N 423.256 4.811 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4snnc4C4CC4)n3)cc2)cc1 810284180 LOKSVNIDISKLAW-UHFFFAOYSA-N 407.411 4.833 5 20 HJBD COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)cc1NC(=O)OC(C)(C)C 816863664 QEGCBNWXPUHNNZ-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CC1(c2cccc(CNc3ccc(C(=O)c4ccccc4)cc3[N+](=O)[O-])c2)OCCO1 864018941 FQUDWCXVVNZUTI-UHFFFAOYSA-N 418.449 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)Cc1csc(-c2ccc(Cl)cc2)n1 916552443 OCDMFLZHQVYAQW-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD COc1cc(C(=O)OCc2nccs2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 920120626 FQKGHZJNNVGXRI-UHFFFAOYSA-N 420.830 4.863 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nnc(-c4ccc(Cl)cc4Cl)o3)cn2)cc1 1117253457 JVBSMRKXZIUGCG-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])cc3OC)ccc2OC)cc1 1320872982 UUAQHQURDUOMCX-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc(OCC(F)(F)F)n3)s2)cc1 1320917409 FBFHVSOOMBFUJO-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD COc1ccc(CCc2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1346602113 SZOOUWGQCGKMNZ-GFCCVEGCSA-N 403.822 4.565 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048818 LEQJOEGHOIVHGS-STQMWFEESA-N 422.890 4.608 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1cc(C(F)(F)F)cc(C(F)(F)F)c1 23515675 RKXKHJKNVGRWTP-UHFFFAOYSA-N 422.281 4.958 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])c1 24456312 NJWBUXBSBCCORN-SNVBAGLBSA-N 411.241 4.521 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC[C@@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 27627445 UFKGQBNHIHGWEZ-JOCHJYFZSA-N 420.513 4.626 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCCC[C@@H]1c1ccncc1 50315123 IIBHUELNTFWZMN-LJQANCHMSA-N 408.483 4.871 5 20 HJBD Cc1ccc(C)c(OCc2nnc(SCCCOc3ccc([N+](=O)[O-])cc3)o2)c1 54913870 QKFIBGBAIVSLAU-UHFFFAOYSA-N 415.471 4.735 5 20 HJBD O=C(NC[C@@H](O)c1ccccc1C(F)(F)F)c1cc2ccccc2c2cccnc12 109227349 NSEWSWUYJQPCRC-HXUWFJFHSA-N 410.395 4.870 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCCC(C)C)c(OC)c2)c1 147139263 RHJLJCZKGWIZLD-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD O=C(Nc1ccc(Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc1)[C@H]1CCCO1 301840006 AARKKQAESNVSHL-LJQANCHMSA-N 404.426 4.518 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cccc(C(=O)Nc3ccncc3)c2)cc1[N+](=O)[O-] 303191972 ZKDLSHYERKYJIA-UHFFFAOYSA-N 418.453 4.792 5 20 HJBD O=C(N[C@@H]1CCCC12CCOCC2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 409814045 SALORPYCPYOKTD-HXUWFJFHSA-N 412.511 4.825 5 20 HJBD C[C@H]1CC[C@](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426641055 XMRZJOSJOLUXPS-OYHNWAKOSA-N 416.449 4.897 5 20 HJBD O=C(Nc1cnccc1-c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 429245914 DWPHXNBSKFGHPP-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD Cc1c(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 431187472 PDBUJOKXGMHVNR-UHFFFAOYSA-N 411.380 4.901 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])s1 433842717 QDOYMXLIDOQCIH-UHFFFAOYSA-N 419.894 4.703 5 20 HJBD O=C(N[C@@H]1CCc2c(F)cccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436147352 CKKVMBYFBQKOBT-LJQANCHMSA-N 408.379 4.516 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1O 436873148 ZFEJVMZBXMWRRK-UHFFFAOYSA-N 406.398 4.505 5 20 HJBD COc1ccc(OCCS[C@@H](C)c2nc(-c3cccs3)no2)c([N+](=O)[O-])c1 437289036 JXYMQUKDUVNCMN-NSHDSACASA-N 407.473 4.588 5 20 HJBD CCCCN1CCC(NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 438492857 NNBFCEQJEATXKJ-UHFFFAOYSA-N 412.490 4.773 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440429593 YGXHOSNNODZDTA-UHFFFAOYSA-N 407.451 4.957 5 20 HJBD CSc1ccc(C(=O)N(Cc2ncc[nH]2)c2cc(C)ccc2Cl)cc1[N+](=O)[O-] 440883389 FGHVQSPJOMSRIX-UHFFFAOYSA-N 416.890 4.849 5 20 HJBD C[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccc(Cl)cc1 444116388 GTFLSCULDSRGBJ-CYBMUJFWSA-N 424.859 4.671 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 444605753 DLOSEOIBJNQGTC-SFHVURJKSA-N 409.408 4.905 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445688573 DUJWLZUKGVHWES-LBPRGKRZSA-N 417.259 4.866 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1 446100711 VEQDCSDYAWPZSG-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD C[C@H](NCCOCC(F)(F)C(F)F)c1ccc(-c2ccccc2[N+](=O)[O-])s1 447597940 UFBYQFIXVZAFDY-NSHDSACASA-N 406.401 4.891 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1 463928672 TVVHAVXOXJXBPN-GOSISDBHSA-N 417.465 4.632 5 20 HJBD CC(C)(C)OC1CCN(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)CC1 469051870 WOLZPOSPOMSANH-UHFFFAOYSA-N 408.296 4.689 5 20 HJBD CN(Cc1cccc(OC(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 470534165 YSFHKLYVEQODSE-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 477490516 NQCOGZDOZVEZBF-CALCHBBNSA-N 411.502 4.752 5 20 HJBD Cc1cc(OCc2nc(-c3cccc(OCc4cccnc4)c3)no2)ccc1[N+](=O)[O-] 485364644 SNYIEODDECFNLW-UHFFFAOYSA-N 418.409 4.506 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3ccc4c(c3)CCO4)cs2)c1[N+](=O)[O-] 485759269 YCBAILXPXUZXIQ-UHFFFAOYSA-N 413.480 4.627 5 20 HJBD C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@H](c2cccc(C(F)(F)F)c2)O1 488703614 XEZTWVSRHVSVPW-MEBBXXQBSA-N 401.772 4.628 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 491184174 WDIXXYMSUUCKKH-NSHDSACASA-N 416.371 4.719 5 20 HJBD O=C(N[C@H]1[C@@H]2c3ccccc3C[C@H]21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 492514398 YXYSFHUTICPEAE-CEMLEFRQSA-N 402.475 4.814 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493078764 LMODRVSDMMPVPV-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD COC[C@H](C)n1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)n1 495158368 QTGBISVPCOVYGS-AWEZNQCLSA-N 400.410 4.542 5 20 HJBD O=C(NC[C@@H](c1cccc(Cl)c1)N1CCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 501034924 DTTNZTVZKYAGAC-SFHVURJKSA-N 423.300 4.860 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccc(OC)cc2)C1 503003662 MEDYCWHAUDRNTM-INIZCTEOSA-N 400.500 4.735 5 20 HJBD Cc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)nc(-c2ccc(Cl)cc2)n1 507711920 XAFMCGGZEGPIDN-UHFFFAOYSA-N 408.845 4.607 5 20 HJBD Cc1csc(Sc2ccc(NS(=O)(=O)c3ccc([N+](=O)[O-])cc3)c(C)c2)n1 508468083 PLKLITFDCSUINK-UHFFFAOYSA-N 421.525 4.620 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1Cl 510808654 GLJVEXPGDILAHY-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD C[C@@H]1CCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 519873474 WWJRJGIZNIXVND-CQSZACIVSA-N 415.877 4.763 5 20 HJBD CCCN(Cc1ccccc1Br)C(=O)NCCc1ccc([N+](=O)[O-])cc1 519888939 OTHDMBYBJIPKAR-UHFFFAOYSA-N 420.307 4.522 5 20 HJBD COc1ccc2sc(C(=O)Nc3cc(C)nn3-c3ccc([N+](=O)[O-])cc3)c(C)c2c1 525652497 BMANSLKAAFBYEY-UHFFFAOYSA-N 422.466 4.873 5 20 HJBD COc1ccc(CNc2cccc3c2OCCO3)cc1OCc1ccc([N+](=O)[O-])cc1 535369695 OYWPYMQQGVKUBN-UHFFFAOYSA-N 422.437 4.566 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(C)n1[C@@H]1CCC[C@H](C)C1 536090322 KHHKBFHKSXXGIH-XJKSGUPXSA-N 417.535 4.675 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cc3ccccc3)ccc2O)c([N+](=O)[O-])cc1OC 536222499 XRCUQAFGWDVGDU-UHFFFAOYSA-N 422.437 4.551 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 538408410 ZRZQQUHCXFIOGI-SKWWBAPZSA-N 402.441 4.704 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ncnc3cccc([N+](=O)[O-])c23)cn1 542520966 PNJNQCLSACCXSO-UHFFFAOYSA-N 417.425 4.736 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c(OCC(F)(F)F)c1 542611316 MBAJOSYVVBPIJJ-NSHDSACASA-N 400.328 4.726 5 20 HJBD Cc1cc(-c2noc([C@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)ccc1F 543546797 VQBYPWCVZJRJNG-ZDUSSCGKSA-N 423.404 4.598 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 545095355 GJMPTQSQZHVMAC-MGPLVRAMSA-N 407.785 4.646 5 20 HJBD CN(C)c1nc2cc(-c3noc(-c4cc([N+](=O)[O-])cc(C(F)(F)F)c4)n3)ccc2o1 547236463 CNKDLYYQZIOEQN-UHFFFAOYSA-N 419.319 4.538 5 20 HJBD CC[C@@H](C)N[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccco1 554632441 MCLKEWSFODLIDO-PBHICJAKSA-N 405.520 4.548 5 20 HJBD CCc1ccc([C@@H](NCCc2nc3cc(F)ccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 565565842 IJZFTYVJMVSIMC-QHCPKHFHSA-N 422.460 4.698 5 20 HJBD COCCC[C@H](CNC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 570833428 XGRMNSSSVALOHM-CRAIPNDOSA-N 404.894 4.678 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCc2nc(-c3ccccc3Cl)sc2C1 580799350 NXDJZRWEUHUOGU-UHFFFAOYSA-N 413.886 4.879 5 20 HJBD CC[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)c1ccc(OC)cc1 594410211 OZOXIEBWEOXYGV-NRFANRHFSA-N 418.518 4.849 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccnc2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 603964103 RYSNYJRQHRYZQI-UHFFFAOYSA-N 411.845 4.889 5 20 HJBD CCSc1ccc(Cl)cc1N[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] 609221704 QVNWIRMECOTTHS-NSHDSACASA-N 409.895 4.808 5 20 HJBD O=C(NCc1cocn1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609343868 OXAMDMIMKCMUSJ-UHFFFAOYSA-N 423.372 4.683 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 609726427 JGIOVYZGXWPNPB-HXUWFJFHSA-N 418.478 4.556 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610231050 GDWXCZMQQZRKOG-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCc2sccc2[C@H]1c1cccs1 610923686 TYENSYUDKFBLLI-IBGZPJMESA-N 409.492 4.987 5 20 HJBD CCc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cs1 611202756 JDWBNBAUEIMPBE-UHFFFAOYSA-N 405.545 4.621 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@](=O)C4CCCCC4)c3)c2c1 611709996 WTZJSKWRKUANAJ-LJAQVGFWSA-N 410.499 4.863 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(-n3cncn3)cn2)ccc1Oc1ccccc1Cl 616206080 XESRBVNSMOMKPR-UHFFFAOYSA-N 422.832 4.628 5 20 HJBD O=C(CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)Nc1cccc2cccnc12 726605764 ILBIFXGBYAWHLZ-UHFFFAOYSA-N 418.478 4.600 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H](OC1CCCC1)c1ccccc1 726913784 QTVCNFPYAZBJBP-SFHVURJKSA-N 406.385 4.835 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 727914922 HVYVTKHQEXHCIU-LBPRGKRZSA-N 416.459 4.526 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@@H]1c1ccccc1C(F)(F)F 728061604 VXIKSZLTYSSNBC-GOSISDBHSA-N 407.392 4.698 5 20 HJBD CCOc1ccccc1[C@@H](CC)Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-] 732027522 VQICSQGTGWTSHN-CQSZACIVSA-N 414.430 4.553 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)CC[C@H]1c1ccccc1 741973382 IECHPXBJKWQYNZ-CZUORRHYSA-N 403.276 4.623 5 20 HJBD CC(C)c1ccc(C(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 744915107 JKGYTWDXDYPXOR-GFCCVEGCSA-N 420.259 4.909 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)c2nc3ccccc3s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607332 AMLJUQWLQBJZQQ-GFCCVEGCSA-N 414.443 4.563 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2cc([N+](=O)[O-])ccc2Br)c1 751080060 SRBIOGIFSDNMBT-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 754436006 ZUCXCKNZRFBWOK-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccsc1)c1cccc([N+](=O)[O-])c1 762023665 KXXNQXYEEPODES-HRAATJIYSA-N 410.495 4.522 5 20 HJBD CCS[C@@H]1CC[C@@H](N(C)Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765038782 QPKNLQPBYPRMRD-IAGOWNOFSA-N 421.592 4.573 5 20 HJBD Cc1c(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)csc1Br 771976466 PQSAXYPDNMYESF-UHFFFAOYSA-N 403.685 4.653 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cc([N+](=O)[O-])ccc1Cl 773155903 XTEOJFTVSXCIQM-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(CSc1ccc(F)c(F)c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135885 TZSZTCISFGZLRL-HXUWFJFHSA-N 416.405 4.693 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(OC4COC4)cc3)o2)c(Cl)c1 780581243 ZUWGHUOJNVSSTK-UHFFFAOYSA-N 400.818 4.898 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])c(N(C)C)cc3F)n2)s1 791198089 XAFJYFLHTAIFQN-UHFFFAOYSA-N 406.464 4.546 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CN2CCC(F)(c3ccccc3C(F)(F)F)CC2)c1 799176222 JMKBRPFTSSAXLG-GOSISDBHSA-N 412.383 4.608 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCc2[nH]c3ccc(Br)cc3c2C1 804344829 FRLOENHBIYFHCZ-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(COCc4ccccn4)n3)cc2)c1 809434893 IXMFGGNEFCYKBN-UHFFFAOYSA-N 404.382 4.549 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cc([N+](=O)[O-])ccc1Cl 916476365 CYAMIZXOIXZHFI-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD O=c1c2ccccc2n(Cc2nnc(-c3cc(-c4ccccc4)on3)o2)c2ccccc12 1325738881 MAXGNZRBXNMABT-UHFFFAOYSA-N 420.428 4.908 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1330234926 PNVFPSSDYBXNSE-NRFANRHFSA-N 421.428 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nc(C)c(C)n1C1CCCCC1 6973312 MKFWQRQGJGAOSY-UHFFFAOYSA-N 402.520 4.953 5 20 HJBD Cc1ccc(NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 15411901 RJBKWQWRYFQURL-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD Cc1ccc(/C=C(\Cl)c2nc(=O)c3c4c(sc3[nH]2)CCCC4)cc1[N+](=O)[O-] 16440187 BHTCSWNYNHUWNW-JYRVWZFOSA-N 401.875 4.817 5 20 HJBD Cc1ccc(F)c(NC(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)c1 26379391 XBUDXLBBRINSRJ-UHFFFAOYSA-N 421.428 4.592 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])n2)cc1 29121058 QVYJAUHXHQPGES-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC[C@H](C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 52960729 RBLHLESKWPMGBY-IRXDYDNUSA-N 404.470 4.696 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(Cl)c1 60190507 QICPADFWXKKRSL-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CCOc1c(OC)cc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1OC 64453313 UDGBESPRSYVCPQ-UHFFFAOYSA-N 416.477 4.734 5 20 HJBD O=C(NCc1cccc(OCC(F)(F)F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 117101019 GKLJKUWFSZHHEU-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(Br)ccc1Cl 248065232 QYSGYONMHJBORJ-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD Cc1cc(Sc2nnc(-c3ccoc3C)n2Cc2ccccc2)ncc1[N+](=O)[O-] 301451203 UCMABRLXPHVTEC-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc([N+](=O)[O-])c(OCC)c2)CC1 432059154 ANVBFFMLEWTKGN-UHFFFAOYSA-N 417.531 4.576 5 20 HJBD COc1ccccc1-c1noc(C2CCN(C/C=C/c3ccccc3[N+](=O)[O-])CC2)n1 433020321 CCRYGBZDVXWOJJ-SOFGYWHQSA-N 420.469 4.546 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)n1 441105975 TXJMQKPSYIHOCU-HNNXBMFYSA-N 407.430 4.677 5 20 HJBD Cc1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(OC(C)C)c1 444252802 WTZPLNALCDGLDZ-UHFFFAOYSA-N 418.468 4.846 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)cc1OC[C@@H]1CCCO1 462478548 CSKRFIMREBKOND-QUCCMNQESA-N 412.486 4.668 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)ccc1OC 462498202 AHPPDCYGSXBRIQ-CYBMUJFWSA-N 407.264 4.573 5 20 HJBD O=C(Nc1n[nH]c(-c2cc(Cl)ccc2Cl)n1)c1cc2cc([N+](=O)[O-])ccc2o1 463158181 CUGCZANVYKXFHJ-UHFFFAOYSA-N 418.196 4.685 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1Cc1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465950388 GXNQTTCSZOEWTE-XXBNENTESA-N 423.513 4.559 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1SCc1cscn1 466290249 UHRCINLTKGEDDB-UHFFFAOYSA-N 419.915 4.927 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cc(Br)ccc3CO)o2)cc1 466385467 FXARYODJSRQQEP-UHFFFAOYSA-N 403.232 4.722 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)NC(C)(C)CC)c(Cl)c2)cc1[N+](=O)[O-] 471349259 VOHKMYWKCQDPIW-UHFFFAOYSA-N 417.893 4.981 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(OC(C)C)cc2Cl)c([N+](=O)[O-])cc1OC 474143294 WBFJRRLHJXKEOP-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD Cc1ccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c(Cl)c1 474635691 BIZPJJPHAJTDFJ-UHFFFAOYSA-N 400.784 4.690 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)Cc2cccs2)cc([N+](=O)[O-])c1C 477836660 XFDLMZWYSCAGGZ-UHFFFAOYSA-N 402.497 4.877 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@@H](Cc3ccccn3)c3ccccc3)o2)c1 481832217 OLZBSVUQMFSJNZ-QFIPXVFZSA-N 414.465 4.761 5 20 HJBD Cc1cc(-c2noc([C@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)ccc1F 483659435 KXCWBBVIPAOBON-NSHDSACASA-N 422.363 4.545 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3OC)o2)cc1 485372612 SWGNTSQTLVOIEJ-UHFFFAOYSA-N 414.487 4.792 5 20 HJBD CSc1cccc(C(=O)N[C@@H](Cc2ccc(C)cc2)c2ccccn2)c1[N+](=O)[O-] 486241476 FCYMQSMKORKLRC-IBGZPJMESA-N 407.495 4.734 5 20 HJBD COc1ccc(CN(C(=O)c2cccc(SC)c2[N+](=O)[O-])c2ccncc2)cc1 486401576 DINLVHDEWNWUAG-UHFFFAOYSA-N 409.467 4.567 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2)[C@H](C)C1 487738424 JCGSZJXSSQGNIW-APWZRJJASA-N 414.527 4.599 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C(F)(F)F 488004263 FOHMMJYBMQAVHX-MRXNPFEDSA-N 417.428 4.515 5 20 HJBD CCOc1cc(CSc2nnc(-c3cccs3)n2C2CC2)ccc1[N+](=O)[O-] 491866579 IJFYWDGUSUOSMM-UHFFFAOYSA-N 402.501 4.941 5 20 HJBD C[C@@H](c1cc(Cl)ccc1Cl)n1nccc1NC(=O)c1ccccc1[N+](=O)[O-] 494173154 OVZTYJATEKLOQB-NSHDSACASA-N 405.241 4.960 5 20 HJBD CCC[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 498901403 MPUKRDRVYFHONM-OAHLLOKOSA-N 416.421 4.970 5 20 HJBD COc1cc(C(=O)N[C@@H]2CCCC[C@@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 499160217 XULPPHOZQHONAI-QWHCGFSZSA-N 400.422 4.540 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c(C(C)=O)c2)cc1OC 506218319 HVHMEQGGJNQDEI-ZDUSSCGKSA-N 404.438 4.982 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccsc2)c2ccccc2)cc1OC 509171486 TVZLFCSFLFTIAM-UHFFFAOYSA-N 412.467 4.911 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1 510518370 ALSNRPMFVRURJS-UHFFFAOYSA-N 417.343 4.982 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 513989022 GSPYIKKKGSDWOK-UHFFFAOYSA-N 401.316 4.528 5 20 HJBD CC(C)C[C@@H](C)n1nccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 515649105 MDYDXCNTTNCOOX-QGZVFWFLSA-N 402.498 4.597 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)ccc2OC(C)C)c1 516318544 QSTKMXFDFBBTKT-UHFFFAOYSA-N 423.263 4.796 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 520762900 BQTONGQLZQCCJD-FQEVSTJZSA-N 414.364 4.640 5 20 HJBD Cc1c(CC(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 533505702 VLSGSBBPTUUFSO-QGZVFWFLSA-N 400.784 4.861 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 534253202 VGYOWTBZHZDMHY-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1cccc(F)c1 535592911 JUXMXGAWGYHWHV-OAQYLSRUSA-N 403.417 4.731 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc([S@@](C)=O)cc1 535888865 PMFOOQSPLCVLIK-MHTXLSKPSA-N 408.479 4.736 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc(-n4ccnc4)c3)o2)c(Cl)c1 537760131 SZIBYLVSGMPZOF-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD CN(C(=O)c1cc(F)c(F)c(F)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539352377 HSPIZKICRVSECZ-UHFFFAOYSA-N 411.336 4.551 5 20 HJBD COc1ccc(-n2c(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)nc3ccccc32)cc1 540376134 CHHOZBUNGFSTDP-INIZCTEOSA-N 402.454 4.793 5 20 HJBD COc1cc(Cc2noc(-c3cc(-c4ccc(Cl)cc4)on3)n2)ccc1[N+](=O)[O-] 545048614 IFGSBIYHQGCJBT-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)cc2)cs1 545228171 PBENNGJVMUSTQL-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(CCc3ccco3)no2)cc1[N+](=O)[O-])c1ccccn1 547195992 LUNRITNVBFBAHS-CQSZACIVSA-N 405.414 4.591 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 558352487 GPLXTHCFFWDCGW-UHFFFAOYSA-N 401.382 4.680 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1 562752083 TVJZPSOTYZMHKT-UHFFFAOYSA-N 410.352 4.747 5 20 HJBD Cn1ccnc1[C@H](NC1CCC(C)(c2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 563691199 CTWCLVYMYLHDFW-DJSMFCCRSA-N 404.514 4.908 5 20 HJBD COc1cccc2c(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c(C)cnc12 576773108 CHOWYYHOCWRVNM-UHFFFAOYSA-N 415.833 4.521 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC2(CCOCC2)[C@@H]1C1CC1 578001874 SBCKHIJIADRXPL-NRFANRHFSA-N 424.522 4.777 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCn2c(cc3ccccc32)C1 578667997 LGCATQVRMOUCNW-UHFFFAOYSA-N 403.360 4.614 5 20 HJBD C[C@H](C(=O)Nc1ccccc1O[C@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 579513985 PZMCDEGOVRBKEJ-WDEREUQCSA-N 400.328 4.806 5 20 HJBD CC(C)c1ccc(-c2nc(CS(=O)(=O)Cc3cccc([N+](=O)[O-])c3)cs2)cc1 603698029 LCAKYLJUTBUINA-UHFFFAOYSA-N 416.524 4.957 5 20 HJBD COCCN(C(=O)C[C@H]1CCCC[C@@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 604446192 ZJQGPAOWHIGDPR-DOTOQJQBSA-N 417.531 4.914 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 609319032 KWQNJJUNMNUCQZ-UHFFFAOYSA-N 401.463 4.833 5 20 HJBD COc1ccc(OC)c(CC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609762029 LUARPTKAMWCWRD-UHFFFAOYSA-N 408.410 4.586 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C3)co2)cc1 611170491 SKWOWVHDXFTUHX-RYUDHWBXSA-N 423.313 4.813 5 20 HJBD Cn1c(C(=O)Nc2sc3c(c2-c2nc4ccccc4[nH]2)CCCC3)ccc1[N+](=O)[O-] 612517457 FNILFFAXZNOZCB-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2cccc(Oc3nccs3)c2)n1 612929821 APQNEVFCWXEJGP-UHFFFAOYSA-N 409.427 4.548 5 20 HJBD Cc1csc(=O)n1CCC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 639774816 MLBJBDGSFLETCU-UHFFFAOYSA-N 416.506 4.510 5 20 HJBD C[C@@H](OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1)c1cccc([N+](=O)[O-])c1 726219945 BAKXKQHNZUYAPO-CYBMUJFWSA-N 407.382 4.628 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1cnc(-c2ccccc2)s1 727289320 DVYWUQGQZREUPO-OQLLNIDSSA-N 411.826 4.716 5 20 HJBD Cc1ccc(C(=O)N[C@H](CC(=O)OCc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 729874609 YOXFUFHVLSGBIV-OAQYLSRUSA-N 418.449 4.508 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1nc(-c2ccccc2)cs1 730553213 WWWGTPIIUCAVTH-HNNXBMFYSA-N 422.510 4.810 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccc(CNC(=O)C2CCCC2)cc1 735821397 CYBAKTODTBJMNN-UHFFFAOYSA-N 415.877 4.615 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c(Br)c1 742395965 FCIDNUMRSHFJEZ-OCAPTIKFSA-N 421.135 4.924 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 746060753 YNIVZEQDGVXETG-KBPBESRZSA-N 413.455 4.738 5 20 HJBD Cc1ccc(C(=O)c2ccccc2C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)cc1 751626509 ABUGXYCKJJNLHZ-UHFFFAOYSA-N 416.389 4.632 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccc(CCC(F)(F)F)cc2)cccc1[N+](=O)[O-] 751888569 VVAVXNUPIQODJW-ZDUSSCGKSA-N 424.375 4.582 5 20 HJBD O=C(OCc1ccc(Cl)cc1Br)c1c(F)ccc([N+](=O)[O-])c1F 752564534 YVHLXNODUNXMIY-UHFFFAOYSA-N 406.566 4.646 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(Cl)nc2ccccc12 760476519 JVCZDGBKRAGHAO-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cncc(-c2ccccc2)c1 760531610 FANCXKFGWNDXQO-UHFFFAOYSA-N 401.378 4.669 5 20 HJBD CN(Cc1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)OC(C)(C)C 762964730 BRNFWQVGIKDFHQ-UHFFFAOYSA-N 424.457 4.695 5 20 HJBD COCc1ccc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)cc1 767737696 JBPHLOCEHPVNHX-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD C[C@@H](C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cn(C)c2ccccc12 772857316 ZIFLBAJHANVJGS-CQSZACIVSA-N 405.410 4.588 5 20 HJBD C[C@H](OC(=O)c1ccccc1CSC1=NCCS1)c1ccccc1[N+](=O)[O-] 781887600 DXALWUQNRMYMSK-ZDUSSCGKSA-N 402.497 4.849 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 792179335 HBCJZFYAQUJPJH-UONOGXRCSA-N 400.866 4.970 5 20 HJBD C[C@@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@H]1OCCc2sccc21 796493601 LIBXONIULDRDKE-JDNHERCYSA-N 416.282 4.956 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H](F)CC1CCCCC1 796529327 BJJBQYNKQRAIHH-GOSISDBHSA-N 418.469 4.690 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H]2C[C@H](O)c2cccs2)nc1-c1ccc(F)cc1 797436047 VGQVSZFMJWVVLG-WMZOPIPTSA-N 413.474 4.950 5 20 HJBD O=C(N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813167063 TWKALHPVYJCDPQ-XJKSGUPXSA-N 413.232 4.691 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2cc(Cl)ccc2F)cc1[N+](=O)[O-] 815406375 FSJLWLLLMPXYJP-SECBINFHSA-N 415.204 4.533 5 20 HJBD COCCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@@H](C)c1cccc(C(F)(F)F)c1 914516399 OABHTIMDBVRLGL-SHQCLWGWSA-N 422.403 4.863 5 20 HJBD CC(C)CN(CCc1ccc(F)cc1)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 914520117 XFPQZEOTAWFIPV-UHFFFAOYSA-N 423.282 4.837 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@@H](C)c3c(F)cccc3F)cc2)c(C)c1[N+](=O)[O-] 917260200 MSNPSTDVDNVPAK-AWEZNQCLSA-N 415.396 4.653 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(COc3ccc4ccccc4c3)o2)ccc1N1CCCC1 1117210331 RWVOWUBGOKDOFL-UHFFFAOYSA-N 416.437 4.977 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1252256735 RQQADRMUNGJZHT-AWEZNQCLSA-N 408.483 4.813 5 20 HJBD O=C(Nc1nc2c(s1)C[C@H](c1ccccc1)CC2)N1Cc2ccc([N+](=O)[O-])cc2C1 1321210687 RSNABNWSCXFMAW-OAHLLOKOSA-N 420.494 4.872 5 20 HJBD Cc1cc(-c2nnc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)o2)c2ccccc2n1 1322070269 XVHSYZJUFBKAMQ-UHFFFAOYSA-N 401.426 4.769 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(C2(c3cccc(C(F)(F)F)c3)CC2)o1 1322399507 OZLBGKPQAZSSCI-UHFFFAOYSA-N 405.332 4.656 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(NCc3ccc(Br)cc3F)c2c1 1335020380 WRHMTFLJOLLEJV-UHFFFAOYSA-N 411.618 4.705 5 20 HJBD COc1ccc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)cc1Br 7133518 QYYWFXQZXZAWFU-GHXNOFRVSA-N 408.639 4.979 5 20 HJBD Cc1[nH]c(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc1Cc1ccccc1 9946852 NVGUWUFRLJALLA-UHFFFAOYSA-N 416.890 4.601 5 20 HJBD CCCCOc1ccc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1OC 10899738 HZXDCULMMMGLJV-UHFFFAOYSA-N 406.866 4.708 5 20 HJBD O=C(Nc1cccc(-c2ccn[nH]2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 24883612 CPOAADXJIHJNOR-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD O=c1c2cc3ccccc3cc2ncn1C[C@@H](O)Cn1c2ccccc2c2ccccc21 25233578 PIJDZQWVWRKRCL-HXUWFJFHSA-N 419.484 4.719 5 20 HJBD C[C@@H](Sc1nccn1-c1ccc(Cl)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 26679302 FZGPBYYOLIIDHX-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD Cc1sc2ncnc(SCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])c2c1C 28422281 HKKLHSHKLJGINS-UHFFFAOYSA-N 408.892 4.601 5 20 HJBD COc1ccc(Cl)cc1NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 28673247 QMNGUAMVAOASGB-VIFPVBQESA-N 423.903 4.986 5 20 HJBD COc1ccc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)cc1Br 43346335 GXQIQVDJWCWAFX-LLVKDONJSA-N 408.252 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)c1 147152607 XWPQBDLVVRVBRD-SFHVURJKSA-N 402.859 4.838 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2c3ccccc3C[C@@H]2O)ccc1Oc1ccccc1Cl 238003442 QTDLMBCMCPIEDP-SIKLNZKXSA-N 410.857 4.788 5 20 HJBD CCOc1cc(NC(=O)C[C@@H](C)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 427400909 XIIALYHWLAGSSO-CQSZACIVSA-N 402.447 4.533 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(NC(=O)C2CCCCC2)c1 427455570 CMJOXJHPEYXDFS-UHFFFAOYSA-N 415.877 4.948 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(OCc3ccccn3)c(F)c2)cc1[N+](=O)[O-] 428846407 BLZPBJBILRZRGF-HNNXBMFYSA-N 424.432 4.899 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 430197365 VBEQCTMNEGXSHP-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)c1[N+](=O)[O-] 433935560 WMBUNUYXDGXWMW-UHFFFAOYSA-N 402.357 4.764 5 20 HJBD Cc1nc(NC(=O)c2ccc(-n3ncc4ccccc43)cc2Cl)ccc1[N+](=O)[O-] 435805205 BSSZJDQMPRVNOY-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD COc1cccc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc(C)c2)c1[N+](=O)[O-] 435859845 CADKRSXUCSGYCF-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD COc1ccc(Cl)cc1[C@@H](CC(C)C)NC(=O)c1cccc(OC)c1[N+](=O)[O-] 435878425 BPQXOIGNVYZBHU-MRXNPFEDSA-N 406.866 4.783 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 436396593 YUJYLGCOPSSJNT-AWEZNQCLSA-N 422.190 4.893 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1c(F)cccc1Br 438457500 SHXWTNSYWFYGTG-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 440458743 COFIFENFULNEDI-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD COc1cc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc(OC)c1 440621783 ZFCUVUXSOHKARY-UHFFFAOYSA-N 420.465 4.778 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccc(-c2ccccc2OC(F)(F)F)o1 440734935 NACMGYAXZADUDS-UHFFFAOYSA-N 420.343 4.726 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1nccn1C 443951991 VMXIZOQQTIMJDY-SFHVURJKSA-N 413.865 4.510 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCn2c(cc3ccc(Cl)cc32)C1 447380772 FBTXXDQWTMXGTI-CYBMUJFWSA-N 423.860 4.830 5 20 HJBD CN(CCc1cccc(Br)c1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 463425143 SAWSMOFPGQZUGP-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3coc(-c4cccc(Cl)c4)n3)CC2)cc1 464781356 YOAYUXNEVMPCHY-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD C[C@@H]1CCCN1[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 464838258 NMIAJNYLJDCMPT-FZKQIMNGSA-N 418.291 4.521 5 20 HJBD C[C@@H](NCCc1cn2c(n1)SCC2)c1ccc(-c2ccccc2[N+](=O)[O-])s1 468043480 WHGQAUBWRZKDIM-CYBMUJFWSA-N 400.529 4.519 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2F)C(C)(C)C)cc1OC 468623386 WEXMGFPWAGSCCY-LJQANCHMSA-N 422.428 4.798 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F 472968918 NPDVLGIZHVWLIR-CYBMUJFWSA-N 418.375 4.980 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N1CCC(=Cc2cc(Cl)ccc2Cl)CC1 478091045 YSYSGQXTHDAFCU-UHFFFAOYSA-N 423.300 4.503 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(C)(C)C)c1O 480053037 BZFHPQPEIDNQQP-UHFFFAOYSA-N 404.488 4.589 5 20 HJBD CCOc1ccc(C2(CN[C@@H](Cc3ccccc3[N+](=O)[O-])C3CC3)CCOCC2)cc1 481560947 IYEWTJZSVWBXGP-QHCPKHFHSA-N 424.541 4.653 5 20 HJBD COc1cc(C(=O)Nc2cnn(-c3ccc(C)cc3)c2C(C)C)cc([N+](=O)[O-])c1C 481883287 IQPLTEBQFZCBJL-UHFFFAOYSA-N 408.458 4.782 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2SCc2occc2C(=O)O)cc1[N+](=O)[O-] 485481843 VOWMYPUJTBVWNJ-UHFFFAOYSA-N 412.423 4.739 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@@H](Oc3ccccc3Cl)C2)c1[N+](=O)[O-] 485523079 ZEKJOTNTYGKIIP-CYBMUJFWSA-N 406.891 4.654 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@@H](C)Cc1ccsc1 485713649 QFLIMBURCMPKIQ-VIFPVBQESA-N 403.323 4.574 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(Cl)cc2OC)cc1OC 485899735 ODCTVDGXHKPYAZ-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cccn2)c(Cl)c1 487032851 WAFGTPPFSNPYFV-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(F)c1 487416399 HOKUBGRKAVTQIR-UHFFFAOYSA-N 406.435 4.521 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCCc2ccc(Br)s2)cc1[N+](=O)[O-] 488062740 OJCHNVGKQCNLAJ-LBPRGKRZSA-N 411.321 4.927 5 20 HJBD CCn1c(S[C@H](C)C(=O)c2cc(C)ccc2C)nnc1-c1ccc([N+](=O)[O-])cc1 489417270 YBPFESRDMDULQE-OAHLLOKOSA-N 410.499 4.854 5 20 HJBD CC(C)(NC(=O)NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccccc1[N+](=O)[O-] 491202545 QAMGMKMOBIQYIY-HNNXBMFYSA-N 409.408 4.550 5 20 HJBD CCCOc1cc(NC(=O)c2sc(CC)nc2C)c([N+](=O)[O-])cc1OCCC 496287751 WZQHVXQTFBBAQT-UHFFFAOYSA-N 407.492 4.752 5 20 HJBD Cc1ccc(-c2c(C)sc3nc(COc4ncc(C)cc4[N+](=O)[O-])nc(O)c23)cc1 502105167 GZPQAFXRWHREMW-UHFFFAOYSA-N 422.466 4.871 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)Cc2cccc(F)c2)cc1[N+](=O)[O-])c1ccccn1 506008920 FVMVABLARSZOQD-HNNXBMFYSA-N 408.433 4.574 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Br)s1 509471795 QEUSSUVBLHMHST-UHFFFAOYSA-N 412.309 4.539 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3c(F)cccc3F)cc2[N+](=O)[O-])C1 511413341 HMMDUDDOSYFVAD-ZIAGYGMSSA-N 403.429 4.632 5 20 HJBD CC(C)c1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2I)c1 511417006 WGZZPTZYXOAZKC-UHFFFAOYSA-N 410.211 4.575 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N1CCC(OCc2ccc(Cl)c(Cl)c2)CC1 514813093 YIAGWXTWLXASOL-UHFFFAOYSA-N 413.305 4.612 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Oc2ccc(Cl)c(C)c2)c([N+](=O)[O-])cc1OCC 515603483 RLJOTINCDCAZBL-CYBMUJFWSA-N 422.865 4.760 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@@H](COc4ccccc4Cl)C3)ncnc2s1 517408503 PEQUIBCAOVGRJT-GFCCVEGCSA-N 404.879 4.548 5 20 HJBD COc1cc(C(=O)Nc2c(C)noc2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 521110236 RKIBTWQGUAGXPD-UHFFFAOYSA-N 417.805 4.906 5 20 HJBD COC(=O)c1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(OC(F)F)c1 521152962 YSRRBYNIEFCKRS-UHFFFAOYSA-N 422.384 4.533 5 20 HJBD O=C(C1CCC1)N(CCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)C1CC1 521337326 RYVZNYJFQXDIJQ-UHFFFAOYSA-N 413.543 4.594 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2cccc3cnccc23)no1 521681073 MNAXZTUISQUCGA-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(-c2ccc(OCc3cccnc3)cc2)no1 521908980 UMHWFAKPFPROHG-FMIVXFBMSA-N 400.394 4.789 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 522640116 UGEBZFHZWPYAJI-CQSZACIVSA-N 406.866 4.682 5 20 HJBD Cc1c(NC(=O)N(CCc2ccccn2)Cc2ccc(F)cc2)cccc1[N+](=O)[O-] 524026887 PKMPLRAEYODPAC-UHFFFAOYSA-N 408.433 4.714 5 20 HJBD COc1cc(CNc2cnn(C(C)(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 534132233 ZQKYBLJJAVSGGP-UHFFFAOYSA-N 410.474 4.746 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 534432661 JRCKUTJHFLCJKO-OAHLLOKOSA-N 416.547 4.917 5 20 HJBD Cc1cn2c(CN(C)C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)c(C)nc2s1 537153193 PUVVPLXYPZTIFU-UHFFFAOYSA-N 418.544 4.694 5 20 HJBD COCCc1ccc2oc(NCc3ccc(-c4ccc(OC)cc4[N+](=O)[O-])o3)nc2c1 539703088 DDNBKQCFJGFNAC-UHFFFAOYSA-N 423.425 4.806 5 20 HJBD C[C@H](NC[C@@H]1CCN(c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 540192254 BICOQEBALWIOCF-GJZGRUSLSA-N 404.308 4.534 5 20 HJBD COc1cc(C(=O)NC2CC(C)(C)CC(C)(C)C2)c([N+](=O)[O-])cc1OC(F)F 540790781 AEZPJCZLUYADDF-UHFFFAOYSA-N 400.422 4.540 5 20 HJBD COc1cccc(-c2nnc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c1OC 544660434 KCJKMXCUBSTIGY-UHFFFAOYSA-N 422.397 4.534 5 20 HJBD Cc1cccc2[nH]cc(Cc3noc(-c4ccc([N+](=O)[O-])cc4Br)n3)c12 546380326 NLMVWGYZHGEWJM-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cc2cc([N+](=O)[O-])ccc2o1 546526086 BLCGBLAZKUYIKE-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD C[C@@H](c1nc(Cc2coc(-c3cccs3)n2)no1)c1ccc([N+](=O)[O-])cc1F 547009132 ITGLLULDVFGBJE-SNVBAGLBSA-N 400.391 4.576 5 20 HJBD Cn1ccnc1Sc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 549170747 MOSWSNJMHLQKAY-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@](F)(c2ccccc2F)C1 565645811 GTKPZBDPACIUMT-DEOSSOPVSA-N 404.416 4.531 5 20 HJBD O=C(Nc1cccc(N[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1)C1CCCCC1 573193877 TYZKFHXYHHJOLA-WOJBJXKFSA-N 411.506 4.906 5 20 HJBD CN(C)c1nc2cc(-c3noc(-c4ccc5[nH]c6ccccc6c(=O)c5c4)n3)ccc2o1 574793736 IKXPVEZFGCFSLM-UHFFFAOYSA-N 423.432 4.606 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cc1 576432953 TXEBQHJQTCDTGT-UHFFFAOYSA-N 405.332 4.656 5 20 HJBD CCOc1ccccc1Nc1nnc(SCc2ccc(OC)c([N+](=O)[O-])c2)s1 603722544 AYIJICPNHQZBQP-UHFFFAOYSA-N 418.500 4.890 5 20 HJBD O=C(N[C@@H](c1ccc(Cl)cc1)c1cccs1)c1cc2c(cc1[N+](=O)[O-])OCO2 603812867 ZEMOAYKDHHTPRO-SFHVURJKSA-N 416.842 4.558 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cccnc1 609577257 GSTDFXUZFVAXAS-OAQYLSRUSA-N 424.888 4.937 5 20 HJBD Cc1cc(S(=O)(=O)Nc2nc3c(Cl)c(Cl)ccc3s2)ccc1[N+](=O)[O-] 609690771 CNUJWWJGCRHDCC-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609832136 QJNCJICDRWECCQ-DYEKYZERSA-N 402.438 4.885 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610046304 AUOZRTGPTLCQHP-HNNXBMFYSA-N 408.420 4.931 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@H](Cc2ccccc2)C1 610178104 VXYLCMGSMZUGTO-OAQYLSRUSA-N 415.493 4.912 5 20 HJBD CC(C)c1ccc(CSc2nnc(SCCn3cc([N+](=O)[O-])cn3)s2)cc1 610208184 PUARFOOJUGOQHU-UHFFFAOYSA-N 421.573 4.851 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCS[C@@H](c2ccccc2)C1 618588320 ZASOFALNROKBGV-CQSZACIVSA-N 419.375 4.938 5 20 HJBD Cc1ccc(CN(c2ccc(F)cc2)S(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])o1 731180331 XQWUTDWHAMIYLV-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD Cc1cc(CN(C(=O)c2ccc(N)c([N+](=O)[O-])c2)C2CCCC2)c2ccccc2n1 732096039 APEBUSXBWCVMOH-UHFFFAOYSA-N 404.470 4.619 5 20 HJBD O=C1O[C@@H](c2ccccc2)Cc2cc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)ccc21 733740230 VDOXLFXBHDDJHC-HXUWFJFHSA-N 413.389 4.766 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@H](c3ccc(Br)cc3)C2)c1 735490043 ARVBNNNISYJJNC-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)O[C@H](C)c2ccncc2)n1 735966953 RRXHQFLGYVFDSN-GFCCVEGCSA-N 401.469 4.824 5 20 HJBD C[C@H](Sc1nnc(-c2ccc(Cl)cc2Cl)n1N)c1ccccc1[N+](=O)[O-] 742445327 QVBLNKPRMLKPLC-VIFPVBQESA-N 410.286 4.727 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 745807055 WFLSMQDSXUKJLK-WQLSENKSSA-N 422.412 4.973 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCOCC1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746898482 JLUUYXKNUWVOIB-GOSISDBHSA-N 408.376 4.511 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 749918163 GGGCKACKCPTNED-LLVKDONJSA-N 411.683 4.762 5 20 HJBD O=C(OCc1nc(C(F)(F)F)cs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 751698667 SJSNDINNCRHFJB-UHFFFAOYSA-N 415.374 4.551 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3ccc([N+](=O)[O-])cc3Cl)o2)cc1C 758910740 NWDVVPIEYHMECX-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD COc1ccccc1[C@@H](CN[C@@H](C)c1ccc([N+](=O)[O-])cc1)NC(=O)OC(C)(C)C 765143034 ZADKHCINEMPECM-HNAYVOBHSA-N 415.490 4.520 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nc(-c2cccs2)no1 765522409 OADGVIZZFDXJOS-OCCSQVGLSA-N 401.444 4.579 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cc1ccc(NC(=O)OC(C)(C)C)nc1 768339397 XJWGCPWTHKKPJT-UHFFFAOYSA-N 400.479 4.747 5 20 HJBD Cn1ccnc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(Cl)cc1 770018419 HZHCBWCOESAJSW-INIZCTEOSA-N 420.256 4.546 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)cc2OC2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 770693975 VINGRYKEPCQKNN-UHFFFAOYSA-N 417.393 4.833 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(NC(=O)OC(C)(C)C)cc2)c1[N+](=O)[O-] 776828404 JDPLWSIEJKEIEI-UHFFFAOYSA-N 403.460 4.916 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1ccc(Cl)c([N+](=O)[O-])c1 786016118 ZYXZVVFNUODXKE-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1ccccc1-n1cnnc1SCc1ccc(Br)c([N+](=O)[O-])c1 788097412 JUZKDYKVUBOFKG-UHFFFAOYSA-N 405.277 4.539 5 20 HJBD COc1ccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c(C)c1OC 808323023 CLXSPKSWHNOXGT-UHFFFAOYSA-N 404.850 4.631 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809918123 FKUVOBRCWNPIBR-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 809972972 QJOMYBNOKVGSMP-SFHVURJKSA-N 409.269 4.914 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2ccc(Cl)nn2)cc1 811837244 MYAURRHURNGLQX-UHFFFAOYSA-N 406.833 4.503 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)c(Cl)c1 812813044 CYMKCCRCNYFGCR-UHFFFAOYSA-N 410.858 4.725 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930065 HOACIUBQKCTZHM-FZKQIMNGSA-N 409.269 4.799 5 20 HJBD COC(=O)c1nc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])sc1C(C)C 813249126 YMNQLWRNHNUWKP-UHFFFAOYSA-N 418.258 4.520 5 20 HJBD Cc1cc(F)cc(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)c1[N+](=O)[O-] 817875324 NZIHBFNQQHTWJZ-QMMMGPOBSA-N 405.735 4.601 5 20 HJBD Cc1c(C(=O)N(Cc2ccco2)c2ccc(F)cc2F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 917790195 BFRLOAPJOJQDDZ-UHFFFAOYSA-N 417.324 4.530 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(NC(=O)N(C)C)cc2Cl)n1 1116613085 WPWCKINKEBFVAD-UHFFFAOYSA-N 415.837 4.676 5 20 HJBD CCn1c(SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)nnc1-c1ccccc1C 1322025670 DNKDFXSRIFUEFB-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD C[C@H](Nc1ccc(-c2nnc(Cc3cccs3)o2)cc1[N+](=O)[O-])c1ccccn1 1341585178 HFMMRGYWAHVUHP-ZDUSSCGKSA-N 407.455 4.865 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1ccccc1 18134621 BPSPNMFPXQLKHB-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nnc(-c3ccccc3)s2)CC1 18703022 LRGLGQBESRRNPI-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCc3sccc3C2)cc1 32922834 PNFSJFQXRZQNLY-UHFFFAOYSA-N 410.451 4.656 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)c1ccccc1[N+](=O)[O-] 46613783 YLXUNRANGVRBFO-UHFFFAOYSA-N 407.426 4.501 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 47865235 VXHHGDIBWMKAHZ-INIZCTEOSA-N 407.373 4.574 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc4c(c3)C(=O)NC4)cc2[N+](=O)[O-])cc1 58747249 OFECISOMDWTDNB-UHFFFAOYSA-N 419.462 4.550 5 20 HJBD CNC(=O)c1ccc(Oc2ccc3cc(Br)ccc3c2)c([N+](=O)[O-])c1 64904514 RDRJAFSYTSHFBV-UHFFFAOYSA-N 401.216 4.662 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)COc3cccc([N+](=O)[O-])c3)cc2)n1 71937936 OERSIZWPKUJDMC-UHFFFAOYSA-N 401.469 4.528 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 109402305 MILRNAZDBHJNMR-IBGZPJMESA-N 420.849 4.733 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 237431879 OKLWYZRYMXOOTP-HXUWFJFHSA-N 422.481 4.694 5 20 HJBD O=C(Nc1ccc(F)cc1)C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 301145937 LNGPXKQHQOOVTC-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCCCC[C@@H]2/C=C/c2ccccc2)s1 302361772 FQNBQEGHDXZJBA-LPQFERQCSA-N 406.529 4.522 5 20 HJBD CN(C)CCC1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 426748924 BDTAWYJRKRAJJM-UHFFFAOYSA-N 413.543 4.550 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(Br)c1 437548646 QBGHUTHAPJXLMV-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1ccc(Cl)c(N(Cc2ncc[nH]2)C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 440882109 QIHJPXDIMZOBPX-CYBMUJFWSA-N 416.840 4.756 5 20 HJBD CCOc1cc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)ccc1OC(F)F 443942776 AIAMRMXQCCHHTP-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](Cc2ccccc2)C1 444071199 FOHIIEPCGVMQBF-KRWDZBQOSA-N 400.453 4.525 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3cccc4ccccc34)no2)cc1[N+](=O)[O-] 445348650 FLRBEHAEANQBOC-UHFFFAOYSA-N 402.410 4.542 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H]3C[C@@H]3c3ccc(C(F)(F)F)cc3)n2)c1 445583837 OVMQZQULXZEVHP-HZPDHXFCSA-N 419.359 4.867 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 446481037 FFRJYKSHNLPFCX-CQSZACIVSA-N 419.306 4.930 5 20 HJBD CCOCc1ccc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447677636 GWNJTPZBJMYXHX-UHFFFAOYSA-N 405.323 4.793 5 20 HJBD CO[C@@](C)(CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CC1 462909011 ZGOXTQHBUHPJLL-SFHVURJKSA-N 409.280 4.522 5 20 HJBD C[C@@H](CC(F)(F)F)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 463707656 PILAGPKKJMCDDK-AWEZNQCLSA-N 404.392 4.878 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)CN(C)c3ccccc3[N+](=O)[O-])s2)cc1 463784820 UPSOYNLCWAYXFD-OAHLLOKOSA-N 424.526 4.649 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2nc(-c3ccccn3)oc2c1 467446163 NEDDJFCMOPSZRJ-UHFFFAOYSA-N 423.816 4.773 5 20 HJBD C[C@@H](CC(=O)Nc1ccccc1C(F)(F)F)NCc1ccc([N+](=O)[O-])cc1Cl 468039705 RLYBUCILHITSIM-NSHDSACASA-N 415.799 4.774 5 20 HJBD CO[C@@H]1CCOc2c(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cccc21 468677743 QWCNDXIKGZUJRK-LJQANCHMSA-N 418.424 4.543 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@@H](O)C[C@H]1c1ccccc1C(F)(F)F 470954025 VMTIDJRDKTWMLP-GTNSWQLSSA-N 400.784 4.575 5 20 HJBD C[C@]1(O)CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 473596411 BSGHKVHFCIABAE-FQEVSTJZSA-N 420.918 4.777 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1C(F)(F)F 477587053 LRBJDATYKJBQLF-UHFFFAOYSA-N 405.735 4.770 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCCn1cnc2ccccc21 478273643 AQHDCSMHYPZKMA-UHFFFAOYSA-N 412.515 4.513 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483064401 ZWNXRVIIUACSRM-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)c1ccccc1 485740895 YYDGXALLSBMUEH-AWEZNQCLSA-N 406.394 4.685 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3noc(C(F)(F)F)n3)c2)cc1[N+](=O)[O-] 490278173 WVRIIAZJNODIRW-UHFFFAOYSA-N 424.360 4.638 5 20 HJBD O=C(Nc1ccnc(-c2ccccc2)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 500441258 YQYKVWCRMSMOFL-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3ccccc3[N+](=O)[O-])cc(-c3ccccc3)nc21 500784219 BAEMDPCGXKORER-UHFFFAOYSA-N 415.453 4.517 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(OCc2ccccc2)c1 501717918 LJHPECXTDMCBHO-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD O=C(N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1c[nH]c2cc([N+](=O)[O-])ccc12 503877351 SFSSWHLRNXVARL-OAQYLSRUSA-N 420.856 4.838 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1coc(-c2c(F)cccc2F)n1 507846911 XTDFGDIFTRESQU-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD CSc1ccc(C(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)cc1[N+](=O)[O-] 509259231 DUJPFPJHHOLCRU-CYBMUJFWSA-N 402.369 4.879 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2OCC(F)F)cc1[N+](=O)[O-] 510489889 GIEDANKEKTZYSQ-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 512958967 RAFNXOVSGXETHA-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cc(Cl)c4c(c3)OCCCO4)o2)cc1 518769734 XOJKZVYWSSKVMP-UHFFFAOYSA-N 414.845 4.959 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(Sc2ccccc2)ns1 520310477 YEEWCIPLQBTMKG-LLVKDONJSA-N 418.525 4.717 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 524052908 ZDHPFNFAKSATBV-INIZCTEOSA-N 415.660 4.769 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 530493174 RZRFRXSOJGFRMF-IBGZPJMESA-N 409.421 4.883 5 20 HJBD CS[C@@H](C)CC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 535294155 NXYMNWOLPCJSIW-NSHDSACASA-N 412.870 4.937 5 20 HJBD CS[C@H](C)CCC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 536926629 ZNTSCJVQPAHNSD-CYBMUJFWSA-N 400.460 4.511 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)n(-c3cccc(Cl)c3)n2)c1 540789491 WYUDFGBQRXTTHW-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2cn3c(n2)CCCC3)cc1)c1ccc([N+](=O)[O-])cc1F 547242212 HWKFKJSLUVYGKS-UHFFFAOYSA-N 422.460 4.850 5 20 HJBD COc1cc(CNC2CC(C(F)(F)F)C2)c([N+](=O)[O-])cc1OCc1ccccc1 554719426 SRSWUQJORATNGF-UHFFFAOYSA-N 410.392 4.613 5 20 HJBD CCOc1cc(COc2ccccc2NC(=O)COc2ccccc2)ccc1[N+](=O)[O-] 566647757 LQIZFMAMMXRBJX-UHFFFAOYSA-N 422.437 4.590 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 575324711 UDKJYJRQZQVAEX-KRWDZBQOSA-N 409.389 4.588 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)c1[N+](=O)[O-] 582942792 OHOMUCZKMXDJQD-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(COc1ccc(Cl)c(Cl)c1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638122 BJNCDXZOFAJLMU-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 608895529 PYMFGDJZLOEIFF-UHFFFAOYSA-N 421.482 4.671 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1F 609483140 ZTEUBUUFGVWCHC-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 609861018 IJMUKUCLUAZYLU-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CC[C@H](n4cncn4)C3)cc2[N+](=O)[O-])c1 614453055 BUIOLEBVVHATPS-IBGZPJMESA-N 421.501 4.857 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)cc1 731458876 YJDJXMTVMBHITF-UHFFFAOYSA-N 408.385 4.538 5 20 HJBD CN(Cc1cccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1)Cc1cscn1 732030877 BRKVUUYBQVLUAU-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C(OCC[C@@H]1CCCCN1C(=O)c1coc2ccccc12)c1cccc([N+](=O)[O-])c1 733254858 XHIOGWIXVKFIPM-KRWDZBQOSA-N 422.437 4.583 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@H](C)c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 738829231 IBLJIGDTEKSLFH-FOIQADDNSA-N 424.478 4.991 5 20 HJBD CC(C)OC(=O)NCc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(C)C)cc1 742261234 WQMNJYAPQHJOPY-UHFFFAOYSA-N 401.463 4.629 5 20 HJBD COc1cc(CN[C@H]2CC[C@@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 742383803 UNQOXKUXKYNMOC-PKOBYXMFSA-N 402.516 4.556 5 20 HJBD O=C(c1cc2ccccc2oc1=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743788848 DBXXGGGRUFFHNN-UHFFFAOYSA-N 400.390 4.548 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618993 MRVVFCJDMPCMAV-MEDUHNTESA-N 413.430 4.641 5 20 HJBD C[C@@H]1C[C@H](c2cccnc2)N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)C1 756319644 KNYKIPZXFDOLAY-QMTHXVAHSA-N 424.682 4.629 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])c(C)c2)s1 760487565 QAISYUJNVQXOJI-UHFFFAOYSA-N 418.475 4.914 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761002213 MKJAFXMBYJLKOL-SSDOTTSWSA-N 414.226 4.931 5 20 HJBD C[C@@H](NC(=O)c1ccoc1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761858260 OAYCODRTKRONKW-CYBMUJFWSA-N 420.381 4.521 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 763400971 ZHESYRLXLURKEV-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)o2)c(C)c1 768350705 DEIIQXVCSHRNPL-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD Cc1nn(CN2CCCC[C@H]2c2cccc(C(F)(F)F)c2)c2ncc([N+](=O)[O-])cc12 768905747 ZXRSYNQJVABWLF-SFHVURJKSA-N 419.407 4.851 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(F)cc1C(F)(F)F 769632707 KHEZOPIGBATDRF-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD COc1cc(CN[C@@H]2CC[C@H](SC)C2)c([N+](=O)[O-])cc1OCc1ccccc1 776699562 GFXPYUKYJXVKSW-MSOLQXFVSA-N 402.516 4.556 5 20 HJBD CC[C@@H]1C[C@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)CCO1 779304705 SIMFULNIORQJEW-ZIAGYGMSSA-N 408.501 4.625 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782479695 GIYKWIQYIRTJSE-GFCCVEGCSA-N 413.371 4.774 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 787986307 QHJWMTRLNHZGIX-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC[C@@H]1CCCCO1 790878154 UWTNBJHYVCLXCK-AWEZNQCLSA-N 422.840 4.516 5 20 HJBD COc1c(C(=O)O[C@H](C)c2ccc(NC(=O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 796673932 SRHURFXXWJYEBI-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1cc(NCc2ccc(Cl)c([N+](=O)[O-])c2)c(C(=O)NCC(F)(F)F)cc1F 811787294 IEERVAUPQVMLKJ-UHFFFAOYSA-N 419.762 4.600 5 20 HJBD O=C(Nc1ccn(-c2ccccc2Cl)n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813069449 HEUSOCYFSVLYSW-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 917331999 VDSJGRNZTGVIDU-UHFFFAOYSA-N 415.405 4.685 5 20 HJBD Cc1ccc(Nc2ccccc2NS(=O)(=O)c2cccc(F)c2[N+](=O)[O-])cc1 918399966 VXXNIOCXELQXAQ-UHFFFAOYSA-N 401.419 4.587 5 20 HJBD O=C(Nc1ccc2oc(=O)ccc2c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 918670578 LLWJGBSVTPGBET-UHFFFAOYSA-N 402.362 4.746 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)CCC(C)(F)F)c2ccccc2)c([N+](=O)[O-])c1 919293192 JUURLXMPJGANPI-GOSISDBHSA-N 406.385 4.562 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1 920902604 FLKAKARAMORLJC-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD O=C(/C=C\c1cc(Cl)cc(Cl)c1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1115819063 FHDIZIJWADUNDQ-BHQIHCQQSA-N 420.208 4.708 5 20 HJBD Cc1cc(C)c(N2C[C@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)CC2=O)c(C)c1 1254859009 CBVAZQXLFJRHSU-QGZVFWFLSA-N 420.469 4.707 5 20 HJBD CCn1c(-c2ccc(Cl)cc2)nn(CN2Cc3ccc([N+](=O)[O-])cc3C2)c1=S 1318764250 GSEKUWOYNMZPHK-UHFFFAOYSA-N 415.906 4.636 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCSC3(CCOCC3)C2)o1 1320643886 GODDOMJQKZTCIU-UHFFFAOYSA-N 408.907 4.606 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c12 1322442185 LMUNUFCSIUHEMD-UHFFFAOYSA-N 418.457 4.997 5 20 HJBD O=C(Nc1nnc(Cc2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1Cl 5768600 QUYWDJXWSJRWOF-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD C[C@@H](OC(=O)c1sc2ccccc2c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 6267116 NXYUSJAYFLGTJP-SNVBAGLBSA-N 404.831 4.647 5 20 HJBD O=C(CSc1ncc(C(F)(F)F)cc1Cl)Nc1ccc(F)c([N+](=O)[O-])c1 9160609 YMPVSKBJOJEHJP-UHFFFAOYSA-N 409.748 4.532 5 20 HJBD COCCN(CC(C)C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 25996252 VAEGFUKGUIIUNJ-UHFFFAOYSA-N 406.866 4.785 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OCC 36758735 UVOFZABIKSAYIS-CABCVRRESA-N 418.515 4.750 5 20 HJBD CCOc1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 54099483 OFYKOUIKWOEBCF-OAHLLOKOSA-N 406.442 4.814 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 63888950 IXWMPJYLSVVYAU-UHFFFAOYSA-N 415.409 4.509 5 20 HJBD CCN(C[C@@H]1CCOC1)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 64319980 CDPLESAYLAVYJA-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD Cc1c(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)oc2ccc(Br)cc12 105265984 YLAROXVKRDCFJW-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD CN(C)C(=O)Cc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 236975787 BJPMGTAZFJQGFD-UHFFFAOYSA-N 413.861 4.751 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Nc2ccc(COCc3ccccc3)cc2)s1 302204121 WFRWKNFSJWREDT-UHFFFAOYSA-N 418.496 4.520 5 20 HJBD Cc1cccc(C(=O)Nc2cc(C(F)(F)F)ccc2Br)c1[N+](=O)[O-] 392529247 NQPABDXVBGLHFA-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@H](c1ccc(Cl)cc1)C(F)(F)F 427368889 BNCCYKMNEMOOEW-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD COc1cc(C(=O)N2CC3(CCCC3)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 434060305 XBLFXEMZLRZLFG-UHFFFAOYSA-N 418.396 4.677 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)c(Cl)c1F)c1cccc([N+](=O)[O-])c1 435539977 NRFZYIAKGSPVNZ-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CN(C)c1ccc(C(F)(F)F)cc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443796842 BSHYEWNPIRMCOU-UHFFFAOYSA-N 421.297 4.951 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)cc1C(F)(F)F 444418674 VRDMRKQRDORUOY-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nc(-c3ccc([N+](=O)[O-])s3)no2)CC1=O 445474437 VWPHCDUQDXCDMT-CHWSQXEVSA-N 412.471 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3sc(-c4ccccc4)nc3C)n2)c1 445584345 QWOOYEMQXGEUHN-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@@H]1c1cccc(N(C)C)c1 448025846 FZTPXGIJLHIPSD-MGPUTAFESA-N 407.474 4.609 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(F)cc1-c1nc(C2CC2)no1 462604716 SVGKSLGURHNLLE-AWEZNQCLSA-N 424.432 4.869 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccccc1CN1CCc2ccccc21 466144142 OGFAUPXPDGDTIH-UHFFFAOYSA-N 416.481 4.522 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)cc(NCC(F)(F)F)c2)c1[N+](=O)[O-] 473251911 BEAYWXUDLLZSKT-UHFFFAOYSA-N 403.357 4.682 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccccc2OC(F)(F)F)o1 473635907 DCCKKRUTYGDFQO-UHFFFAOYSA-N 406.316 4.683 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@H]2Cc2ccccc2)cc1OC 476741039 QHMRNHYBHYAADU-HKUYNNGSSA-N 412.486 4.533 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)c2C2CC2)cc1Cl 477623966 CRDGVCGQYPAJTR-UHFFFAOYSA-N 422.872 4.823 5 20 HJBD COc1c(C(=O)Nc2ccc(-c3csc(C(F)(F)F)n3)cc2)cccc1[N+](=O)[O-] 477699646 XOWXPPLQZFCRJC-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)NCc3cccc([N+](=O)[O-])c3C)cc2)cc1 481474769 HPVVDNUDYIEYQU-UHFFFAOYSA-N 404.422 4.828 5 20 HJBD CC(C)c1c(NC(=O)COc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 482689958 NWRBXAREUDCJID-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD COCCN(C(=O)[C@H](C)c1ccccc1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 483941410 GKEWIWGBPKNGQR-OAHLLOKOSA-N 411.483 4.501 5 20 HJBD CSc1ccc(Cl)c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 486701711 LZQISARXFFPHMJ-UHFFFAOYSA-N 404.797 4.919 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccccc2Br)cc1[N+](=O)[O-] 493007684 ZQEWONOFQPAVLW-CYBMUJFWSA-N 406.280 4.568 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 502483407 UWTNKVBWYJPKLO-OLZOCXBDSA-N 406.280 4.821 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Cl)ccc1-n1cccn1 502744590 QOBORGIFLJHANO-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2ccnc2)c(F)c1 504073860 CJNVWOLVDIKCLD-UHFFFAOYSA-N 400.435 4.674 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(CN(C)C)c1 505658513 JGCSIFRHEGFGTM-UHFFFAOYSA-N 404.470 4.961 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(CCc2ccccc2)Cc2ccncc2)c1 507936052 DXHCEZSDYGOGIS-UHFFFAOYSA-N 407.495 4.597 5 20 HJBD CCOc1c(OC)cc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1OC 508588600 OZRMMKBHPCBUSW-MOSHPQCFSA-N 406.438 4.995 5 20 HJBD COc1cc(CNCc2cc(F)cc(F)c2)c([N+](=O)[O-])cc1OCc1ccccc1 509609059 ONYHPDSSRFBWIU-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD CCc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)c2c(CC(C)(C)C)noc2n1 513034662 ATWYYOKSMOEWFS-UHFFFAOYSA-N 424.501 4.597 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSc2nncn2C(C)(C)C)cc1OC 514113815 YXLDFCJUAWMIIN-CYBMUJFWSA-N 408.524 4.667 5 20 HJBD O=[N+]([O-])c1ccccc1CSCc1nc(-c2cccc(Br)c2)no1 517283956 BNGMEWFRQSFDIU-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD CSc1ccc(C(=O)N2CCCC[C@@H]2c2nc3ccccc3n2C)cc1[N+](=O)[O-] 517659558 SVQHCNXPOUBTQQ-QGZVFWFLSA-N 410.499 4.571 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C2CC2)[C@@H](C)c2cccc(C(F)(F)F)c2)c1 517902330 OWMKAVPDFBSCIH-LBPRGKRZSA-N 408.376 4.988 5 20 HJBD Cc1cc(CN(C)C(=O)c2cc(F)cc([N+](=O)[O-])c2)cc(C)c1OCC(F)(F)F 520222717 XVLQRMCHQRIZSY-UHFFFAOYSA-N 414.355 4.564 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 521633104 SKCOPBDAQCSJCK-NSHDSACASA-N 402.501 4.625 5 20 HJBD CC(C)(NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cccc(Cl)c1 523093190 MPGQCBMQBXYLAQ-UHFFFAOYSA-N 416.783 4.854 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCCc2cc(Br)ccc21 524230293 YEXXCBBWUHTLIG-UHFFFAOYSA-N 409.667 4.533 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Oc3ccc(Cl)cc3)nc2)cc1[N+](=O)[O-] 524246374 OBFCUWFNVFVYLW-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)cc1 525352396 NMYDUSHOPTXQJW-INIZCTEOSA-N 423.494 4.712 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525796812 OQUKATUWZUTSQH-VQTJNVASSA-N 404.470 4.579 5 20 HJBD COc1ccc(CCC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1Br 531256535 MJKPJXCVLJEXPF-UHFFFAOYSA-N 407.264 4.554 5 20 HJBD CC[C@@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](c1ccc(C)cc1)n1cncn1 534424750 ZCNKVKKTUJRSCP-KNQAVFIVSA-N 402.458 4.523 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)c3cc(Cl)ccc3Cl)co2)cc1 535510895 KOKRBXANIOHJPE-UHFFFAOYSA-N 413.238 4.531 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)N(Cc1cccc2ccccc12)c1ccccc1 537337074 PQAUPZFMHIRKMV-UHFFFAOYSA-N 400.438 4.568 5 20 HJBD C[C@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1ccccc1[N+](=O)[O-] 538177592 XQAWBFZAYPRQDE-NWDGAFQWSA-N 407.264 4.540 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC[C@@H]1C1CCOCC1 538601449 PFMDCCUGUOYDAK-OAQYLSRUSA-N 412.511 4.777 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc(OC(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 539226877 WPAPPIFBDSYYTI-ZDUSSCGKSA-N 410.417 4.843 5 20 HJBD CCOc1cc(C(=O)Nc2cc(F)c(OC(C)(C)C)c(F)c2)c([N+](=O)[O-])cc1OC 541124758 WJYSANSZARNFEF-UHFFFAOYSA-N 424.400 4.710 5 20 HJBD Cc1ncsc1CN(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544447763 PESJOTAGOLARTQ-UHFFFAOYSA-N 405.545 4.914 5 20 HJBD COCCC[C@@H](CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 552582094 WTMRGEXAYFPODA-ZBFHGGJFSA-N 422.884 4.817 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCC[C@H](c2ccccc2)C1 558303263 MVFHJISSGJVMMZ-INIZCTEOSA-N 418.443 4.979 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)s1 559489787 MFICUBPKHWXSNI-UHFFFAOYSA-N 413.297 4.673 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@H](c1ccccc1)c1cccc(Cl)c1 604009318 NBLAVEVAWRZDSO-JOCHJYFZSA-N 409.873 4.874 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccco1 604098576 JCWUXLLTFCIMBB-UHFFFAOYSA-N 420.208 4.999 5 20 HJBD O=C(c1cc(-c2ccccc2)n(-c2ccccc2)n1)N1CCc2ccc([N+](=O)[O-])cc21 604136695 GAKHGVQWRMYIAD-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccc(-c2ccccc2)o1 604447217 SULITBOSPDIBNH-ZDUSSCGKSA-N 400.818 4.592 5 20 HJBD O=C(Nc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1cccs1 608831943 HONMTRBQFPQYNX-UHFFFAOYSA-N 421.438 4.571 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@H](C)c2c(C)noc2C)c2ccccc2)c([N+](=O)[O-])c1 609615589 FVLQZFPNKAWANX-SPLOXXLWSA-N 408.458 4.539 5 20 HJBD O=C(CSCc1ccc(Br)cc1)N1CCCc2ccc([N+](=O)[O-])cc21 609859470 LZCUCNOJNWGXBS-UHFFFAOYSA-N 421.316 4.570 5 20 HJBD Cc1cc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c2cnn(C(C)C)c2n1 609859753 CSZKSJUHIOWIBD-UHFFFAOYSA-N 407.474 4.818 5 20 HJBD CC(C)(C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1ccc([N+](=O)[O-])cc1 610043985 GMJNEZGHRGYSCT-UHFFFAOYSA-N 406.404 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1 610661249 ZJUJMJPLTKCJRK-OAHLLOKOSA-N 422.407 4.631 5 20 HJBD CCn1c(SCC(=O)N(C)[C@@H](C)CC(C)C)nc2cc3ccccc3cc2c1=O 613973204 PMYSGQPDGKQTFY-INIZCTEOSA-N 411.571 4.555 5 20 HJBD CC[C@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 729024234 RIMSJBKARIEFDM-CYBMUJFWSA-N 424.375 4.679 5 20 HJBD COc1cccc(C[C@]2(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)C[C@H]3CC[C@H]2C3)c1 735425544 NMKVBCPGCUDVOV-DFFLPILJSA-N 416.424 4.869 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC(c2ccccc2F)CC1 736039653 KEQZQMWVPCBMBT-UHFFFAOYSA-N 411.477 4.744 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cccs2)cc1)c1ccc([N+](=O)[O-])cc1 739474211 KLEGQLNBSYOIQO-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](C(=O)OCc1ccccc1[N+](=O)[O-])c1cccc(Cl)c1 741002520 REJNJRVSKDLWTB-QGZVFWFLSA-N 420.849 4.557 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744359928 HUJGEAXJHNQUFB-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(Cl)cc2)no1 744485697 CTKOOBTVDKVRKN-VKUYVZBCSA-N 413.817 4.540 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc(-c2ccccc2)no1 744895522 TXMVUEIPWSODJD-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)OCc2ccccc2Oc2cccnc2)cc1OC 749414744 WGEZXJJORJUIIV-UHFFFAOYSA-N 424.409 4.546 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(-c2ccccc2Br)no1 755801015 ZTZZCRSUXGRDEA-UHFFFAOYSA-N 416.231 4.584 5 20 HJBD CCOc1ccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c(C(F)(F)F)c1 757207865 GFLYVOXPRJZTSD-UHFFFAOYSA-N 417.771 4.987 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])n2)cc1 758488015 WZSMRTNVKQGSNL-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 762418467 OXJJJDOVDZANKS-UHFFFAOYSA-N 416.449 4.626 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1cccc(Cl)c1Cl 762904359 JDRXTTYBQLLFJZ-SECBINFHSA-N 422.224 4.567 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1C1CC1 763185077 BLIOWEYYCBGWEN-OAHLLOKOSA-N 411.506 4.882 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1cnc2ccccc2c1 764609456 SCRLPMSQOYHYDK-CQSZACIVSA-N 412.467 4.800 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2ccco2)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 767481634 DNHATIUGHCPOBP-SNVBAGLBSA-N 420.830 4.754 5 20 HJBD CC(C)Cn1ccc2c(C(=O)OCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cccc21 773717146 QGVKERXTXZXHDE-UHFFFAOYSA-N 420.425 4.613 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)cc2c1 775487403 GBDOLCXKMYZZLZ-UHFFFAOYSA-N 413.861 4.558 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134047 LJCKKWCMIXETTC-GOSISDBHSA-N 417.343 4.658 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781757767 JMWBBPBYFPYRRS-CYBMUJFWSA-N 420.491 4.544 5 20 HJBD Cc1ccccc1-n1c(SCc2ccc([N+](=O)[O-])c(F)c2)nnc1-c1cccnc1 787488715 VAKDOTOQSFMKHX-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD O=C(OCc1csc(-c2ccc(Br)cc2)n1)c1ccccc1[N+](=O)[O-] 797155804 WAIXMBPVOCTNPR-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 800846675 UMYOCXQPSZWWRG-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1 806452164 RNBJZUSVFOUOPO-QHCPKHFHSA-N 419.481 4.979 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809916418 JOBSYNNUBBFBJE-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CS(=O)(=O)c1cccc(C(F)(F)F)c1 809964608 WAZKYJXMYXUNOU-UHFFFAOYSA-N 414.188 4.894 5 20 HJBD O=C(Cc1cc(-c2ccccc2)on1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215294 RFBCFQDJRUWCGG-UHFFFAOYSA-N 421.434 4.659 5 20 HJBD CO[C@H](c1ccccc1F)[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813147760 ZPNGUQVEKHIYDO-FVMDXXJSSA-N 401.221 4.547 5 20 HJBD O=C(Nc1ccccc1C(=O)OCC1CCCC1)c1ccc([N+](=O)[O-])cc1Cl 918979165 QCQTWBHWPWQMOO-UHFFFAOYSA-N 402.834 4.848 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 919855464 RPTPFFGXLDSBAM-NSHDSACASA-N 411.683 4.762 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2-c2nnc(-c3cccc(C)n3)o2)cc1 1117247728 WHFMRLHLEJONAP-UHFFFAOYSA-N 404.382 4.816 5 20 HJBD Cn1nccc1[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1323301700 FOUTXSXSQGSNOT-CQSZACIVSA-N 400.866 4.621 5 20 HJBD O=C(C1CCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1)C(F)(F)F 1324157523 CGLNSYFYBYNRTM-UHFFFAOYSA-N 416.783 4.852 5 20 HJBD C[C@@H](NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)[C@H](C)c1ccccc1C(F)(F)F 1324659587 PBUUXCNMCJOMJL-QWHCGFSZSA-N 407.392 4.831 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C 11102808 RZHOPBAUYIOEAX-YJYMSZOUSA-N 405.882 4.571 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 11672013 YOEATZDSYWNHFP-TTZKWOQHSA-N 405.819 4.677 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](Nc1cccc(CO)c1)c1ccccc1 25427129 KKMAXMRPXRMHQZ-HXUWFJFHSA-N 411.845 4.532 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1 26759076 BNFMOKFFNDYFAP-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD CCOc1ccc([C@H]2CCCN2Cc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])cc1 29594576 CAKCHHMVAGEQCT-QGZVFWFLSA-N 422.428 4.941 5 20 HJBD CCCNC(=O)c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 236895657 PDRWVNGQPXUFIQ-UHFFFAOYSA-N 409.511 4.753 5 20 HJBD CC1CCN([C@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2cccs2)CC1 247818119 FKVDTZSLRCLSSF-QGZVFWFLSA-N 407.923 4.513 5 20 HJBD O=C(CCCNC(=O)[C@H]1CC=CCC1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 409745040 GGZRZQQBMHUWMA-KRWDZBQOSA-N 423.469 4.578 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437117840 MIVROBXDJDGMPT-JTQLQIEISA-N 409.389 4.584 5 20 HJBD Cc1nc(NC(=O)c2c(-c3ccc(Cl)cc3Cl)noc2C)ccc1[N+](=O)[O-] 439073854 AUJQBRJGRXPSTM-UHFFFAOYSA-N 407.213 4.821 5 20 HJBD COC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CC1 441569104 HVJPJMIVUADAKQ-KRWDZBQOSA-N 406.891 4.554 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 443862546 NRCRDGRPQAKLFL-QGZVFWFLSA-N 408.376 4.990 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCSC(C)(C)CC3)cc2[N+](=O)[O-])n1 447642333 XQERWKXEXMMPPX-UHFFFAOYSA-N 423.585 4.869 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 460502053 ZQVQCCHTBYJVQZ-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD CC[C@@H](C)Oc1cc(C)ccc1CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 465000461 NFYBTECMCIIEIY-CQSZACIVSA-N 418.515 4.741 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3ccccc3s2)cn1 470744335 LBLYXAZGYFPXPG-UHFFFAOYSA-N 420.450 4.918 5 20 HJBD Cn1cc([C@@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccc(F)cc2)cn1 479423754 MMFLQTYDEAKTSL-QFIPXVFZSA-N 406.417 4.607 5 20 HJBD O=[N+]([O-])c1cc(CNCc2ccccc2OCc2cccnc2)cc(C(F)(F)F)c1 487166248 XVTGQWFWIANJAW-UHFFFAOYSA-N 417.387 4.877 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)o1 488820630 SQXSEZIJIJTHNZ-DYVFJYSZSA-N 412.471 4.639 5 20 HJBD CCOC(=O)c1sc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC)nc1C(C)C 489147635 LEBXFDUOKBEUMG-UHFFFAOYSA-N 423.516 4.716 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@H](CC)c2cccc([N+](=O)[O-])c2)cc1OC 489241070 PJUNHXXUEAYIPJ-RDTXWAMCSA-N 401.463 4.514 5 20 HJBD C[C@H](C(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccc([N+](=O)[O-])cc1F 490279828 RSOHLKHSQXEFCC-VIFPVBQESA-N 424.310 4.545 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2 502099842 MURVGIDHKLCBBT-HSZRJFAPSA-N 417.465 4.509 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 504750603 NSIPNYVWHQYSPQ-CYBMUJFWSA-N 400.456 4.571 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F 505434596 NRELKXCCKIQBQH-PSLIRLAXSA-N 420.359 4.773 5 20 HJBD COc1ccc(-c2nc(C)c([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3)s2)cc1 506316851 PRZCPSRAQOYMGR-ZDUSSCGKSA-N 412.471 4.918 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4nccc5ccccc45)no3)cs2)cc1 507706982 IZQFDKQLDJWXDP-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2)o1)N1CCCc2ccc([N+](=O)[O-])cc21 509972355 UIAHQOYADLFMGX-UHFFFAOYSA-N 411.845 4.815 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 509982158 KDQWVTILZLDBRY-UHFFFAOYSA-N 422.828 4.809 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)s1 514465506 DLCDUNISGXGJDE-SNVBAGLBSA-N 420.541 4.911 5 20 HJBD CN(C)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 518029514 DHTTVTRGOBRDDH-INIZCTEOSA-N 419.934 4.566 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)c1ccc([N+](=O)[O-])cc1Br 523492201 FUNSCPUSYMQPKM-UHFFFAOYSA-N 407.268 4.771 5 20 HJBD Cc1ccccc1CC(C)(C)NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 524460488 LBGDBZLXMBXFHZ-UHFFFAOYSA-N 420.513 4.516 5 20 HJBD Cc1ccc(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)cc1[N+](=O)[O-] 537690958 FCOQCAITYVEAGG-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccccc2Cl)C1 539373869 WTKPTOGVNJOHBM-GOSISDBHSA-N 420.918 4.962 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNC(C)(C)c2nc(C)cs2)cc1OC 541057905 HKQAGLCOLVVLPL-ZDUSSCGKSA-N 407.536 4.818 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)CSc3ccccc3[N+](=O)[O-])c(C)c2c1 542213282 COSVVGRDBPRXLN-ZDUSSCGKSA-N 400.456 4.628 5 20 HJBD C[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1ccccc1F 545000370 VVKBCVIQIWIVDE-SNVBAGLBSA-N 406.211 4.622 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)c1 546285658 PZQFLEQAWPPLLC-TWGQIWQCSA-N 414.421 4.713 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1CCN2C(C)=O 547426799 UIVHKMCETBNTOR-UHFFFAOYSA-N 413.499 4.648 5 20 HJBD Cc1csc(Oc2ccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)cc2F)n1 548386772 FWZFGEFKJWTZDJ-UHFFFAOYSA-N 401.419 4.862 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(Cl)cc(F)c1Br 551650611 QVGGDIXFKHTXMY-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD CC(=O)c1cc(NCc2cccc(C(=O)NCc3ccc(C)cc3)c2)ccc1[N+](=O)[O-] 553299438 ARUTWSSLHYJLEI-UHFFFAOYSA-N 417.465 4.648 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CCCOc2ccc(F)cc2)no1 555094732 OWHYXOAILMKPMU-CYBMUJFWSA-N 403.435 4.982 5 20 HJBD CC[C@H](Cc1cccc(Br)c1)C(=O)N1CCc2c1cccc2[N+](=O)[O-] 568447410 QNNZAVGFXHMTLA-CQSZACIVSA-N 403.276 4.515 5 20 HJBD Cc1nc(-c2ccc(Br)cc2)sc1[C@@H](C)Nc1c([N+](=O)[O-])ncn1C 581457734 JNZVMVSGXJGCAM-SECBINFHSA-N 422.308 4.696 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@H]3c3ccccc3Br)ncnc2s1 587994922 HASIMPGUIWCZIV-ZDUSSCGKSA-N 405.277 4.704 5 20 HJBD CCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccc(C)cc1 603638441 OCYZNBRGBBOOHB-UHFFFAOYSA-N 416.384 4.505 5 20 HJBD CC(C)c1ccc([C@@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2)c2cccs2)cc1 603706163 LBRIIORCTXZUTF-HXUWFJFHSA-N 423.494 4.624 5 20 HJBD CCn1c(SCCOc2ccccc2[N+](=O)[O-])nnc1-c1cccc(Cl)c1 603900670 QJILXLPPZREXFX-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD CC(C)c1nc2ccc(NS(=O)(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2s1 604465492 FDDPIFIKEIIEDM-UHFFFAOYSA-N 411.892 4.782 5 20 HJBD CCCN(Cc1ccc(OC)cc1)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 604517390 JERJNBANEOKOGP-UHFFFAOYSA-N 411.483 4.779 5 20 HJBD CC[C@@H](C)c1ccc([C@H](NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)C(C)C)cc1 608802973 RUFRISWJEUAYNV-QVKFZJNVSA-N 400.523 4.825 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)c(Cl)c1 609389177 ZOLOFSLLYKPNSR-SFHVURJKSA-N 419.890 4.645 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880703 IEYDIJUBZVKOFT-SFHVURJKSA-N 414.824 4.755 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 609935575 FTKAUHCXTYKNFC-FOIQADDNSA-N 419.909 4.944 5 20 HJBD COc1cc(CCNC(=O)C[C@@H](C)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC 609986170 XDOGUNFSNNRQMZ-MRXNPFEDSA-N 414.502 4.588 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)NCc1ccnc(Oc2ccccc2)c1 610100244 XJOWHJQQRYIGHQ-UHFFFAOYSA-N 420.469 4.604 5 20 HJBD C[C@H](NC(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1)c1cccc([N+](=O)[O-])c1 610171824 VOVVWHBCNVVRKP-AWEZNQCLSA-N 408.458 4.724 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1 610961833 NSYLGMXEVVVBFY-GFCCVEGCSA-N 404.348 4.672 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(c2nc(-c3ccccc3)no2)CC1 611280343 DTAIJGHUQBRFRJ-UHFFFAOYSA-N 420.469 4.590 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 618374782 AOZWIYDUOIJXTF-UHFFFAOYSA-N 417.425 4.894 5 20 HJBD O=C(CSc1cccc(Br)c1)Nc1cc(Cl)ccc1[N+](=O)[O-] 727978004 KLCBBERVGRJHRE-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@@H](OC(=O)[C@@]1(C(F)(F)F)CCN(Cc2ccccc2)C1)c1cccc([N+](=O)[O-])c1 728167995 YDTOQAYHFZJHMM-FOIQADDNSA-N 422.403 4.654 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc2c(c1)CC(=O)N2C 728286375 CGANTFPHNIOTPR-HNNXBMFYSA-N 415.449 4.518 5 20 HJBD O=C(OCc1csc(Cc2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])c(F)c1 731517969 GMKLBBLRVCOOAI-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD O=C(Nc1ccccc1F)Nc1cccc2c1CCN(c1ccc([N+](=O)[O-])cc1)C2 732050282 QOTCEBMYHOKBRR-UHFFFAOYSA-N 406.417 4.941 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1cc([N+](=O)[O-])ccc1Cl 734780453 SOHQMDXRIYULPR-UHFFFAOYSA-N 400.818 4.969 5 20 HJBD Cc1cccc(-c2nc(CC(=O)OCc3cc([N+](=O)[O-])ccc3Cl)cs2)c1 734782658 ZMGWNWYLQGJSNU-UHFFFAOYSA-N 402.859 4.966 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 747634771 OMSPRWJCLYGZNY-XGICHPGQSA-N 423.450 4.547 5 20 HJBD C[C@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 748017876 AAKQGRQKRWKGHZ-INIZCTEOSA-N 420.421 4.530 5 20 HJBD COc1ccc(C(=O)O[C@@H](C)c2ccccc2NC(=O)c2ccccc2)cc1[N+](=O)[O-] 748021359 KQONPKOOEPKMSA-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD CC(C)Oc1ccc(C(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)cc1 749102701 YBZLBSRPZPVEME-UHFFFAOYSA-N 418.518 4.849 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1cccc(Cl)c1[N+](=O)[O-] 749878638 HPGDGDZPQDJFAG-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@H](O)c1ccncc1 750492067 ZWZBWVTVAIUMPT-DEOSSOPVSA-N 422.484 4.792 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cccc(Cl)c2[N+](=O)[O-])c(Cl)c1 750536068 OXWDBRSSDWHVDZ-LLVKDONJSA-N 411.241 4.702 5 20 HJBD C[C@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccc(Cl)cc2)no1 750540277 HBRKZDCNMOFXNM-JTQLQIEISA-N 422.224 4.793 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cccc21 754013290 AQAXAWNVNAHFRF-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)cc2s1 754972771 CLCSUNSRHLGNND-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD Cc1cc([C@H](NC(=O)c2cccc([N+](=O)[O-])c2Br)C2CC2)ccc1F 758890068 KWERIUKRNYRZSB-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD Cc1nc(-c2cccc(-c3noc(-c4cc([N+](=O)[O-])cc([N+](=O)[O-])c4)n3)c2)cs1 762071207 UIAIOMFCJRUDPU-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(Cn3ccc4cc([N+](=O)[O-])ccc43)n2)nc1 762387927 BJSXOWSDRHBHSZ-UHFFFAOYSA-N 409.471 4.933 5 20 HJBD C[C@H](C[C@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764580092 BOOKPKDINXIBMP-QRWLVFNGSA-N 414.527 4.872 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@H]2c2cccc(C)n2)c1=S 765104296 HLXACROMGPROJP-IBGZPJMESA-N 424.530 4.507 5 20 HJBD CCC(CC)n1ccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)n1 769946338 ROWADIABFXZMIN-UHFFFAOYSA-N 422.441 4.624 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770007978 BQVJPYKFDUXORF-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 770382508 UCBQBWCUZYSURJ-RDJZCZTQSA-N 415.490 4.732 5 20 HJBD CS[C@H](C)CCC(=O)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 773772971 YXUKJSWIZNYZKX-CYBMUJFWSA-N 413.451 4.805 5 20 HJBD Cc1ccc(NC(=O)CS[C@@H](C)C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1 778758432 TUXIDZBEUOUFCG-CVEARBPZSA-N 416.499 4.576 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(NC2CCCCC2)cc1 781685006 SBWCYWYPTCRUOX-UHFFFAOYSA-N 412.446 4.819 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 785952610 FJJMAXOWPPZWEF-NSHDSACASA-N 419.796 4.593 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])c(N(C)C)cc2F)s1 791341446 XRICHZSCWYTZBU-UHFFFAOYSA-N 417.462 4.605 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CCC(C)(c3cccc(Cl)c3)CC2)cc1[N+](=O)[O-] 796248433 AHWYCZCFRVWGSC-UHFFFAOYSA-N 417.849 4.659 5 20 HJBD Cc1ccc(CNC(=O)c2ccccc2NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 798123528 FZSSJMKOOVLJST-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])cc1Br 798398910 DCTNICCNTHDKBL-LBPRGKRZSA-N 420.263 4.511 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N1CCC[C@@](O)(C(F)(F)F)CC1 804814354 SOVKHTUENCREPS-LBPRGKRZSA-N 406.710 4.551 5 20 HJBD C[C@@H]1CN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC[C@H]1NC(=O)OC(C)(C)C 809963684 XRLJHYOICPAUHW-IAQYHMDHSA-N 418.321 4.637 5 20 HJBD CC[C@H](C)n1nc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C 811218918 IRZAEQCEYHZSMN-LBPRGKRZSA-N 400.460 4.551 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(Cl)ncc1Br 813146147 KHLVMRXARZDKOW-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1Sc1ncccn1 864015614 AKRBFZKEAHQPAX-UHFFFAOYSA-N 417.288 4.911 5 20 HJBD Cn1c(-c2ccc(Br)c([N+](=O)[O-])c2)nc2cc(Br)ccc21 916856401 PPDORYLTMCYWTD-UHFFFAOYSA-N 411.053 4.674 5 20 HJBD COc1ccccc1/C=C(\C(=O)NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 919855874 ZEUISGFNXQNMFN-XLNRJJMWSA-N 402.450 4.503 5 20 HJBD Cc1cc(C)cc(-n2ccnc2SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1 1322442189 LUBCEHGWLPPAET-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1325725828 IPDSUBCAPBBAMW-UHFFFAOYSA-N 414.874 4.597 5 20 HJBD CC(C)(c1ccc(Br)cc1)c1nnc(COc2ccccc2[N+](=O)[O-])o1 1325797633 NBOGMKXYVNOCQG-UHFFFAOYSA-N 418.247 4.645 5 20 HJBD O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Oc2ccc(Cl)cn2)cc1 1341228290 ACXIAMPJRCXGDK-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD Cc1cc(C(=O)O[C@@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)ccc1[N+](=O)[O-] 3530946 JAPFJIFQIFUZQV-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 11082308 QEOLPSLLXJLQSJ-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Oc1ccc(-c2ccccc2)cc1 18078288 RUVYOHGQBLHTBO-UHFFFAOYSA-N 418.405 4.556 5 20 HJBD Cc1sc2ncnc(SCCC(=O)Nc3cccc([N+](=O)[O-])c3C)c2c1C 41902961 IGUYRNJQHQULMB-UHFFFAOYSA-N 402.501 4.646 5 20 HJBD O=C(NCCc1nccs1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 50469339 PJZZPULTDXNAHX-UHFFFAOYSA-N 419.915 4.828 5 20 HJBD CC(C)S(=O)(=O)Cc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 52016936 RQUCPLOWHYBEEF-UHFFFAOYSA-N 418.518 4.964 5 20 HJBD C[C@@H](CCc1ccco1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 53028427 LYSCAEZNCHONMS-HOTGVXAUSA-N 408.458 4.507 5 20 HJBD C[C@H](Cc1ccsc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 54140688 MEBUTAKHLBSGLF-HUUCEWRRSA-N 410.499 4.585 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)oc1C 56133255 BSVQLRZKBAPNFD-UHFFFAOYSA-N 417.425 4.519 5 20 HJBD O=C(Nc1cccc(-n2ccnc2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 58383315 LBOXQUMOXNRMAX-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD COc1cccc(SCC(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 59275075 RULXGJXKLOXYCO-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 60709634 OKFAHMJNAVOBRV-MRXNPFEDSA-N 424.284 4.806 5 20 HJBD O=[N+]([O-])c1cccc(CNC2(c3ccc(OCc4ccccc4)cc3)CCOCC2)c1 238004388 RMTXQRYRQBSORO-UHFFFAOYSA-N 418.493 4.969 5 20 HJBD COc1ccc(Nc2ncccc2[N+](=O)[O-])cc1OCc1ccc2ccccc2n1 301172623 VBAAFVXOOONUEN-UHFFFAOYSA-N 402.410 4.869 5 20 HJBD Cc1cc(CNc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])ccc1-c1ccccc1 302427446 NXMXPMBUTGUJOZ-UHFFFAOYSA-N 402.497 4.647 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1ccc([N+](=O)[O-])cc1Cl 302968294 QJJJRXUFHLEXHQ-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD Cc1nc(CCC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)oc1-c1ccccc1 437109152 WMNVAKOXRBAHFZ-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 439259126 OYYUGTZSOHCVHW-UHFFFAOYSA-N 424.844 4.911 5 20 HJBD COC(C)(C)C[C@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439624049 IQKGEAWQNICVKO-IFXJQAMLSA-N 419.909 4.721 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccs1 443655531 PURDPVBFJDHUAK-CYBMUJFWSA-N 400.481 4.749 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446594514 RPZPSENZDMZQSF-UHFFFAOYSA-N 423.494 4.690 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(OCc3ccccc3)CC2)nc1OCc1ccccc1 462424961 DGDDUUYLDAAFAK-UHFFFAOYSA-N 419.481 4.754 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 465602508 OHWFCGRLLRTKHL-ZDUSSCGKSA-N 414.512 4.622 5 20 HJBD C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 468377695 PZAPHDCHFBYDOF-NSHDSACASA-N 415.421 4.756 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](OCc2ccccc2F)C1 471131753 DSWQAHXBCVULNW-HNNXBMFYSA-N 407.829 4.600 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Br)cc1C(F)(F)F 475062230 DDMPCRHPSXHHRS-UHFFFAOYSA-N 403.154 4.557 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 475636071 FJRRHWLMPGLBLE-YLJYHZDGSA-N 401.438 4.735 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)SCc1ccc(C(F)(F)F)cc1 475896166 LBLJHGVYKXHEFB-LLVKDONJSA-N 414.405 4.883 5 20 HJBD C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1c(F)cccc1Cl 477239208 LBOHLSKRDYDCOS-VIFPVBQESA-N 420.746 4.819 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN(C)C1c2ccccc2-c2ccccc21 477714349 FEPHPZQGEZFDSP-UHFFFAOYSA-N 417.465 4.634 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)c1 477966435 LJKZXHJINJBKEK-UHFFFAOYSA-N 423.473 4.589 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1cc(F)cc(Br)c1)C1CC1 481743113 LZFLWPXJJPFYAY-SFHVURJKSA-N 409.255 4.746 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC(OC2CCCC2)CC1 486241519 BYMMKQUEORKOOB-UHFFFAOYSA-N 423.513 4.771 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494212961 QDTDGUQRKCQNDC-UHFFFAOYSA-N 417.849 4.606 5 20 HJBD CCN(CC(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 494441771 CBVISUQNMAICNF-UHFFFAOYSA-N 415.799 4.885 5 20 HJBD O=C(Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 498381004 SONMZCKQKXTRPP-UHFFFAOYSA-N 415.405 4.680 5 20 HJBD CN(C)CCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 498637657 ZYYWIUAQJOFBGU-UHFFFAOYSA-N 413.543 4.550 5 20 HJBD CCCN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)[C@H]1CCCN(Cc2ccccc2)C1 505030253 UCYRPBLYAQVQHB-FQEVSTJZSA-N 420.513 4.593 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H]1CCOc2c(-c3ccccc3)cccc21 505084795 DSVVQDJEPUDUEJ-FQEVSTJZSA-N 418.449 4.671 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1cccs1)N1CCCC1 505495223 MJIJMMDVUFPPQH-KRWDZBQOSA-N 419.572 4.725 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(CC1CCCCC1)c1ccccn1 507952889 VDUUPIIIWXCJSP-UHFFFAOYSA-N 418.291 4.979 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(OC(F)(F)F)cc1 509312778 YJSCIVXEMSBREH-UHFFFAOYSA-N 411.380 4.614 5 20 HJBD Cc1noc(C2CCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)CC2)n1 509355853 FEFKBHAQCWJMDR-UHFFFAOYSA-N 402.838 4.579 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(Cl)c1-n1cccn1 509562712 YUOPHPJAXUTQRU-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)Cc2ccoc2C)cc1[N+](=O)[O-] 509814598 LFVJWNZJSSYAEN-UHFFFAOYSA-N 424.478 4.721 5 20 HJBD CC(C)(C)c1csc(C2CCN(C(=O)CCOc3ccccc3[N+](=O)[O-])CC2)n1 511383931 VWZRJLJTPJVUPA-UHFFFAOYSA-N 417.531 4.524 5 20 HJBD COc1ccc(-c2ccc(CNCc3cn(-c4ccccc4)nc3C)o2)c([N+](=O)[O-])c1 512401327 LULDJDDBNKHQMJ-UHFFFAOYSA-N 418.453 4.647 5 20 HJBD C[C@@H](NC(=O)COc1cc(Cl)c(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 515681352 NBSDLEZZDHQTEN-SECBINFHSA-N 403.649 4.811 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 516362822 SSQPNDXWQGUPCT-LBPRGKRZSA-N 423.391 4.601 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 517506214 DRIUAHZUHJJMHM-UHFFFAOYSA-N 412.405 4.814 5 20 HJBD COCCOc1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 518307968 BBFIEWNYVBKGDR-UHFFFAOYSA-N 407.426 4.616 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 524651763 YZYPVQBRYDCYMN-UHFFFAOYSA-N 409.269 4.833 5 20 HJBD COc1cc(C(=O)Nc2nc3c(ccc4ccccc43)s2)cc([N+](=O)[O-])c1OC 525005176 RZPIXGPMQHGXQF-UHFFFAOYSA-N 409.423 4.627 5 20 HJBD C[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(-c2ccncc2)cc1 537135836 VKWAQUCJXUDMED-OAHLLOKOSA-N 401.426 4.558 5 20 HJBD C[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C[C@H]1n1ccnc1 537726045 MSHBXZIMJXMPDM-AUUYWEPGSA-N 400.866 4.788 5 20 HJBD O=C(Nc1ccc(CCN2CCCC2)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 539414485 WXMIHUCHUWRTRZ-UHFFFAOYSA-N 416.481 4.547 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)[C@@H](C)c4ccc([N+](=O)[O-])cc4F)CC3)c2c1 541682392 ROFRMXYEZGNWKN-AWEZNQCLSA-N 423.444 4.643 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 542669574 NAXGPSDDVAJEDY-UHFFFAOYSA-N 409.442 4.806 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2c(C)n[nH]c2C)cc1OC 543844446 OLCFNYBMQVJCIN-KBXCAEBGSA-N 416.522 4.705 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(CSc2ncnc3sc(C)c(C)c23)n1 546400181 SWQYKBBIUOMORW-UHFFFAOYSA-N 413.484 4.867 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 546539694 SUYRUSOOXPECON-KPZWWZAWSA-N 408.857 4.557 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4csc(-c5ccccc5)n4)n3)c2c1 547266829 ZQPUYMOIQVIBHW-UHFFFAOYSA-N 403.423 4.840 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cccc(OCc2ccccc2)c1 550884765 VIOFJMUZKJRANW-UHFFFAOYSA-N 415.449 4.514 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])oc12 552615159 XRRZBMBXIANATR-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD COCCC[C@@H](CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 553027109 NWPXCOXUDBPSBC-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cc2)n1 554942801 NPDGLNYIWAPVJQ-UHFFFAOYSA-N 406.398 4.691 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(F)cc2)CCCC1)c1cccc([N+](=O)[O-])c1 556875086 NSEPFJJKXBXLML-OAQYLSRUSA-N 408.477 4.658 5 20 HJBD COc1cccc(C2(c3noc(-c4csc(-c5ccc([N+](=O)[O-])cc5)n4)n3)CC2)c1 569391596 UGFAXOMVVIUEMX-UHFFFAOYSA-N 420.450 4.857 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C/c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc21 576006915 YDUHUNFUMLEVTI-SOGBHIHOSA-N 409.467 4.648 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC2(CCOCC2)[C@@H]1C1CC1 580113098 WEVQPUINYDNUDT-NRFANRHFSA-N 424.522 4.777 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCC4)[C@H]3[C@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581044344 CWJSNZFUVPJXAB-FGZHOGPDSA-N 421.497 4.693 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 588821981 UXXYWVFBAXWAHI-DOSUHQCWSA-N 402.535 4.684 5 20 HJBD Cc1c(Br)cccc1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608889089 HPEPEXOKFBTLNV-LLVKDONJSA-N 403.236 4.889 5 20 HJBD C[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 609724008 RNPDRODOXLJDAC-NSHDSACASA-N 411.911 4.649 5 20 HJBD CC(C)(CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1 610043814 PBQWSUSFHTTYBJ-UHFFFAOYSA-N 419.319 4.729 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(OC)c1 611199918 XFKUOTQUMGWVGX-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD Cc1ccc(NCCCNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc1 611207075 SOXHWLLYBJMEAX-UHFFFAOYSA-N 414.531 4.565 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N[C@H]3CSc4ccc(Br)cc43)c2c1 618689897 SPXKMBQLNHUMIZ-AWEZNQCLSA-N 403.261 4.559 5 20 HJBD CC(C)(C)OC(=O)C1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 727434861 JIAIMSGDCFSUAH-UHFFFAOYSA-N 408.804 4.825 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N(Cc1ccccc1Cl)C1CCCC1 729484753 QUNXSWSEQZSHHM-UHFFFAOYSA-N 412.870 4.521 5 20 HJBD O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])Nc1ccc(-c2ccccc2)cc1 730644694 DRRKPZSGZVZPID-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD Cc1ccccc1-n1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cn1 732636847 DKWLPBFWFOFKIL-UHFFFAOYSA-N 410.861 4.799 5 20 HJBD Cc1ccc(OC(=O)c2cc(Br)sc2Br)c([N+](=O)[O-])c1 734993732 JBDPDMAAWBZLLK-UHFFFAOYSA-N 421.066 4.709 5 20 HJBD CC(C)C[C@@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 741048570 CJSRPGKCROMSQP-MRXNPFEDSA-N 404.850 4.560 5 20 HJBD Cc1ccc(OC(=O)CCc2nc3cc(Br)ccc3s2)c([N+](=O)[O-])c1 744037224 QCHIMRLPYOYOIL-UHFFFAOYSA-N 421.272 4.814 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 745358120 CYEKXHJTFUZZCH-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD Cc1noc(C(F)(F)F)c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 746274794 AGECKQYPYAYBIU-UHFFFAOYSA-N 407.304 4.955 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 747258506 TWCRCGGOXAAHTJ-NSHDSACASA-N 416.459 4.625 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCc1nc2ccccc2c2ccccc12 748125314 HNFWSLFHVHIQBB-HNNXBMFYSA-N 418.880 4.903 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)N2CCCCCC2)cc1 748288338 UGAPLAYJHGUOPF-AUWJEWJLSA-N 408.458 4.655 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1)C1CCCCC1 749921617 UCYDFAZWUIVIJV-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CC2(CCC2)Oc2ccccc21 757817562 OXWULOKIEXVRFM-INIZCTEOSA-N 416.861 4.580 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760468642 CQUMYQDAOIAKBT-UHFFFAOYSA-N 419.393 4.581 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1 764197276 IEFVSKVWYMUZCS-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD C[C@H](OC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 772112118 DGARKJNDYMALPI-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD COCCc1nc(C)c([C@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)s1 773924309 VHKCKHYEAUSLRJ-NSHDSACASA-N 406.485 4.681 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1N1CCOC1=O 775493482 UQSWYKXXFHZHBN-UHFFFAOYSA-N 415.471 4.613 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2nc(C)no2)cc1 777687424 BGLUMBFHBHMSMB-OAHLLOKOSA-N 414.487 4.848 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)Cn1c2ccccc2c(=O)c2ccccc21 778767623 AMEVUVCJPOOGGV-INIZCTEOSA-N 416.433 4.676 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cnc(Br)cc1C 779966178 BAESXZDTRTVELY-UHFFFAOYSA-N 410.293 4.815 5 20 HJBD CCC(CC)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)SC 780822353 WXYIQPQXLMJICP-UHFFFAOYSA-N 404.482 4.611 5 20 HJBD C[C@@H](Nc1ccc(C(=O)O[C@H](C)c2ncccc2F)cc1[N+](=O)[O-])c1ccccn1 791803033 HVOLKNUGECJFCT-ZIAGYGMSSA-N 410.405 4.615 5 20 HJBD O=C(Nc1ccc(OC(=O)c2sccc2Cl)cc1)c1cccc([N+](=O)[O-])c1 794845815 FAHYOJPNBKLFDL-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CC(C)(C)OC(=O)N1Cc2ccc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc2C1 813244472 DGDJUWZGWBCMAU-UHFFFAOYSA-N 417.849 4.751 5 20 HJBD CCCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@H](C)c1ccccc1OC 914509293 XLSOJFBNKHZZSS-CYBMUJFWSA-N 421.291 4.979 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1nnc(-c2cccc(Cl)c2)o1 917332034 WWRFMDUZDFKUBP-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 1118071218 RVCFKJVHQLPKAT-GFCCVEGCSA-N 406.354 4.846 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])cc1OC 1123334956 WKEDPYVNBCXVTK-CQSZACIVSA-N 417.462 4.674 5 20 HJBD CC(C)(C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1 1319254737 XPGOTZWLFNKYNZ-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)c(F)c1 1341442146 WHYHXBWFYCEKKE-AWEZNQCLSA-N 408.860 4.825 5 20 HJBD Cc1ccc(CC(=O)NC2(c3ccc(NC(=O)CC(C)C)cc3)CCC2)cc1[N+](=O)[O-] 1787663488 JRPDKUAKXKVPGC-UHFFFAOYSA-N 423.513 4.626 5 20 HJBD CC(=O)c1sc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 7799941 BSQGRKINEIIDOW-UHFFFAOYSA-N 413.480 4.652 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(C3SCCS3)c2)c([N+](=O)[O-])cc1OC 18216690 HUGZLDIMLZLXEG-UHFFFAOYSA-N 420.512 4.733 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccc(Br)c2)C2CC2)cc1[N+](=O)[O-] 25090029 GYKDBLYAOLHTSU-UHFFFAOYSA-N 419.275 4.561 5 20 HJBD O=C(CSc1nc2ccccc2n1C(F)F)Nc1ccc([N+](=O)[O-])cc1Cl 25979613 YVZUTBYOJOOVGQ-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD COc1cc(OC)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 50288532 OYHIJQODKSTQMO-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CN(CCOc1ccccc1Cl)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50295034 APJNMXXRLBFDOU-UHFFFAOYSA-N 417.874 4.523 5 20 HJBD O=C(NC[C@@H]1CCCOC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 55920064 AIGDAIPBAKCILE-ZDUSSCGKSA-N 406.891 4.556 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 58328579 KAJOXZKINRZHRK-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1ccc(OCc2cccs2)c(OC)c1 237200683 ZCCSCNFXTAKQPU-UHFFFAOYSA-N 414.483 4.542 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(Sc2ncc(Br)cc2[N+](=O)[O-])o1 301706380 VPTZEUIDQCUQPA-GFCCVEGCSA-N 421.276 4.828 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1cccnc1Oc1cccc(F)c1 301708557 WPLYJCZEGOHNIW-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCC2(c3ccc(F)cc3)CCOCC2)cc1[N+](=O)[O-] 303001966 NCDZDBXIVZPPCJ-UHFFFAOYSA-N 414.477 4.510 5 20 HJBD CCCc1c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1C 429276074 DBEHPXBQGWICNO-OAHLLOKOSA-N 410.449 4.923 5 20 HJBD O=C(N[C@@H]1CCCc2nc(O)ccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441825200 PFTMIRIEYHPUQU-QGZVFWFLSA-N 421.478 4.654 5 20 HJBD CCCCO[C@H]1C[C@@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(C)C 444103474 BKUKJVCXSILUAO-YADHBBJMSA-N 406.526 4.737 5 20 HJBD Cc1c(NC(=O)N(C)[C@H]2CCOc3ccc(Br)cc32)cccc1[N+](=O)[O-] 446030334 XACACFXXYHIZJG-INIZCTEOSA-N 420.263 4.653 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2)c2cccc(F)c2)c([N+](=O)[O-])cc1OC 446641200 CLJITBPPVPYPIZ-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD O=C(CCSc1ccc([N+](=O)[O-])cn1)Nc1ccc(OCc2ccccc2)cc1 448110284 ZHKJIPMTXXUSBD-UHFFFAOYSA-N 409.467 4.690 5 20 HJBD COc1ccc(-n2c(NC(=O)c3cc(C)ccc3[N+](=O)[O-])nc3ccccc32)cc1 460394873 PSDFKLHYUCGFSF-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD Cc1cc(-n2cncn2)ccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 461046307 PGPPKLJVGOTFEE-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD Cc1cccc(OCCCCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 464667406 XRDSBYKTFHSULW-UHFFFAOYSA-N 412.486 4.522 5 20 HJBD COc1ccc2c(c1)N(C(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCCC2 465214385 FURLFKSCJHEATE-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCC(F)F 465385732 AJBSUYNYNYQNSC-LCYFTJDESA-N 403.410 4.517 5 20 HJBD COCCCCNC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 481552097 OHONXLUDNRQCKP-UHFFFAOYSA-N 417.512 4.512 5 20 HJBD CCc1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481852180 CIAVECCEJIMTTE-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CNC(=O)c1ccc(N2C[C@@H](C)C[C@H]2c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])c1 482093316 IRRYNALIKNZODA-SJCJKPOMSA-N 407.392 4.561 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cc1[N+](=O)[O-] 482945127 NNSBBAUIVXCZOX-IAGOWNOFSA-N 404.470 4.962 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c2ccc(F)cc2O1 484858368 MVEDDDNLYFTYPB-AWEZNQCLSA-N 423.238 4.529 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1OC(F)F 485626840 CWFPDOWVHHOEBT-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CCc1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cnn1-c1ccc(C(F)(F)F)cc1 486059856 FVDGYNAMQKNXQB-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)cc1 486785313 BLENEMLSMQFCOH-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cccc(C)c2[N+](=O)[O-])ccc1Cl)C(C)C 487665304 HRUGHAIKUDZUDL-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1cc([N+](=O)[O-])ccc1OC(F)F 488497326 TZOGFABCUREFFH-UHFFFAOYSA-N 402.357 4.592 5 20 HJBD O=C(Nc1nc(-c2ccccc2)c(Br)s1)c1ccc([N+](=O)[O-])s1 492335109 CBSRNRNDTVXKCE-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CC1(C)CN(C(=O)c2csc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497828360 LFWFIQPQPLNDLL-AWEZNQCLSA-N 414.405 4.667 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1cccc(OC(F)(F)F)c1 498643970 MSEOOPYWBVZDSS-NSHDSACASA-N 405.332 4.533 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 506158983 DCWBYGBYUFVDSB-CVEARBPZSA-N 420.469 4.663 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc2ccccc21 506196760 OUWBUMMWFRSYPH-SFHVURJKSA-N 404.426 4.688 5 20 HJBD Cc1nn(CCC(=O)Nc2cccc(-c3ccc4ccccc4c3)n2)c(C)c1[N+](=O)[O-] 517854828 NBQAQMSAWBIUDD-UHFFFAOYSA-N 415.453 4.652 5 20 HJBD CSc1ccc(C(=O)N2CCCc3cc(Br)cc(C)c32)cc1[N+](=O)[O-] 524461336 SYTBQXVYTZKEFQ-UHFFFAOYSA-N 421.316 4.981 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(OCC3CCCCC3)CC2)cc1SC 525159059 XFSGBIIPNXSAKR-UHFFFAOYSA-N 422.547 4.527 5 20 HJBD CC(=O)c1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1C 534043861 JBROKCJSGZTLOM-UHFFFAOYSA-N 412.389 4.855 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 535464751 RLHCXNXTPSRLDF-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD O=C(N[C@H]1CCCOc2cc(Cl)ccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 538373466 SVFSUSBSPMLKFK-IBGZPJMESA-N 415.877 4.836 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(C(C)(C)C)no1 540754964 DORFSVFPEWIBPJ-GFCCVEGCSA-N 406.508 4.659 5 20 HJBD CCc1cnccc1[C@H](C)N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 541193199 CVUCNRJWYAJXSW-SBUREZEXSA-N 418.497 4.891 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc2nc(-c3ccccn3)oc2c1 542201915 QXWDLMQNOGDKKI-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD COc1ccc(NC(=O)N2CCC(c3nc4ccccc4s3)CC2)cc1[N+](=O)[O-] 542959925 ZAYDQUYPJWYCGJ-UHFFFAOYSA-N 412.471 4.625 5 20 HJBD COc1cc(Cc2noc(-c3cc(-c4ccccc4Cl)on3)n2)ccc1[N+](=O)[O-] 545076585 JQCBHVDADYADQH-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1/C=C\c1nc(-c2ccc(OC3CCOCC3)cc2)no1 547199468 BIPDXQNKFLMHCS-YWEYNIOJSA-N 411.389 4.512 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)ccc1OC(F)F 550660963 FDCUYYCNZKPELT-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC4(CCOCC4)[C@H]3C3CC3)o2)c(Cl)c1 552392615 WBDUKEMYOCAWKJ-HXUWFJFHSA-N 402.878 4.899 5 20 HJBD COc1cc(CNc2cnn(CC(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 557351716 IEIAVRZONLGXIP-UHFFFAOYSA-N 410.474 4.647 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(Br)c2Cl)cc1[N+](=O)[O-] 558816482 WICPPNYREPFZBH-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN(Cc2ccc(-n3cncn3)cc2)C2CC2)o1 559097888 PKISPJLRRJXVAK-UHFFFAOYSA-N 415.453 4.600 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 559951506 XUEZUCRBCUYEIB-SFHVURJKSA-N 423.391 4.903 5 20 HJBD C[C@@H](C(=O)NCc1ccccc1-c1ccc(CN(C)C)cc1)c1cccc([N+](=O)[O-])c1 562388817 MTHDUJOSWNQWNB-GOSISDBHSA-N 417.509 4.743 5 20 HJBD Cc1ccc(C2(c3noc(-c4c[nH]c5cc([N+](=O)[O-])ccc45)n3)CCOCC2)cc1 562900854 ZZLCPOMEVCQBRJ-UHFFFAOYSA-N 404.426 4.531 5 20 HJBD COc1ccc(NC(=O)c2sc3ccccc3c2Br)cc1[N+](=O)[O-] 564509659 HLSOGGVTVMODDG-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)cn1 567922029 LOXOOWWMYFDJCZ-SECBINFHSA-N 404.186 4.622 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3c(-c4ccccc4C)noc3C)n2)c1 569765854 CFPOUXZXMGOWEO-UHFFFAOYSA-N 406.398 4.516 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1 576965558 IYLQQWHGNDTQOB-UHFFFAOYSA-N 422.485 4.548 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccnc(C(F)(F)F)c4)no3)cs2)cc1 589156746 JYDNHWNIOADRAU-UHFFFAOYSA-N 419.344 4.849 5 20 HJBD C[C@H]1CN(c2ncnc3ccc([N+](=O)[O-])cc23)C[C@H](c2cccc(C(F)(F)F)c2)O1 589875581 ZSAUOOCKRHOCGU-KPZWWZAWSA-N 418.375 4.523 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Cl)ccc1Br 603638829 VWKWMSDKUWDOOD-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)CC1 604186878 PWSRRQLRLGSHAR-UHFFFAOYSA-N 418.497 4.979 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1cccnc1 608835494 NNHGNVGSWSUADN-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1 608897223 UCJLVRPUZNFPHK-UHFFFAOYSA-N 417.849 4.640 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Cc2ccncc2)cc1 609723042 WRECKXWIKKAHRT-UHFFFAOYSA-N 411.845 4.642 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(OCC(F)(F)F)c1 609784819 FUWPPOHVLYFJNI-UHFFFAOYSA-N 402.756 4.679 5 20 HJBD CC(C)(C(=O)N1CCC(c2nc3ccccc3s2)CC1)c1ccc([N+](=O)[O-])cc1 610036635 ZWZPFJWBGJYSPQ-UHFFFAOYSA-N 409.511 4.888 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)c2ccc(F)cc2)cc([N+](=O)[O-])c1OC 610172355 UWPLKNGDXNFJSG-UHFFFAOYSA-N 416.430 4.660 5 20 HJBD O=C(Nc1cnn(CC2CC2)c1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610313244 RXBKQXRLDGSMAI-UHFFFAOYSA-N 412.833 4.899 5 20 HJBD CN(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccccc1 611198110 XPFHWVQYDAIIMA-HXUWFJFHSA-N 413.543 4.662 5 20 HJBD CN(C)Cc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611199534 GUEJGYVPFKUABL-UHFFFAOYSA-N 413.543 4.621 5 20 HJBD O=C(OCc1cc(-c2ccc(F)cc2)no1)c1cc([N+](=O)[O-])ccc1Br 725986770 ZUWFHEHJXJZMGZ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)n1 728165663 OEXJHBVDDSIMQJ-UHFFFAOYSA-N 409.273 4.872 5 20 HJBD Cn1c(Sc2nc3ccc([N+](=O)[O-])cc3s2)nnc1-c1ccc(Cl)cc1 731209052 QKGZUOKKNGFPFC-UHFFFAOYSA-N 403.876 4.805 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 731417633 KJDOZKUDZUFSNB-UHFFFAOYSA-N 401.488 4.918 5 20 HJBD CSc1cccc(N(C(=O)c2cc(Cl)ccc2[N+](=O)[O-])C2CCN(C)CC2)c1 732741457 LVFZNJBZCAMKTB-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD C[C@H](OC(=O)[C@H](C)CC1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734056811 UFRAAMPCPZPQKW-NEPJUHHUSA-N 416.396 4.700 5 20 HJBD CC(C)(C)OC(=O)N[C@H](C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1 734898769 VRCSNHHDFQZWNB-KRWDZBQOSA-N 420.849 4.557 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Br)cc1 735398745 LVGMUMZNKWOURV-SNVBAGLBSA-N 417.215 4.529 5 20 HJBD CC(C)C[C@@H](C(=O)OC[C@H]1CCCN1C(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 741116759 WQEAKZIRCRAAEK-TZIWHRDSSA-N 424.497 4.573 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 741199821 HKWDSKHZZPESNC-NYYWCZLTSA-N 415.287 4.561 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)cc1 741638607 BYRXNIAGINAUSM-AWEZNQCLSA-N 421.409 4.670 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)C(F)(F)F 744356809 BPGHSUOMBKTTHT-SNVBAGLBSA-N 402.756 4.964 5 20 HJBD Cc1ccc(-n2c(SC[C@H](O)c3cccc([N+](=O)[O-])c3)nnc2-c2ccco2)cc1 749685525 IENLLJPPKZUDNY-SFHVURJKSA-N 422.466 4.570 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cccc(Cl)c3[N+](=O)[O-])s2)cs1 749880171 XNWJYRMCKDYKQG-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(F)c(Br)c1 749985255 JBFCGHKTSKYNHH-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC(c2ccc(F)cc2)CC1 752457073 RMMMGCWFIVLRHI-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD COc1ccc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1-c1ccccn1 755135649 JLFRHJPQIJXCPB-UHFFFAOYSA-N 411.845 4.591 5 20 HJBD C[C@@H](Cc1cc(Br)ccc1F)NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 755149892 BOPVXZQDNNTZGT-VIFPVBQESA-N 415.646 4.511 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cnc2ccccc2c1C(F)(F)F 756996925 MKMGQMKRNJLOMW-UHFFFAOYSA-N 409.751 4.745 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2cc3ccccc3[nH]2)CC1 758811083 YMNLJWGYAJTPBG-UHFFFAOYSA-N 402.454 4.506 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(Br)cc2C(C)C)cc1[N+](=O)[O-] 760782471 QHHJRTPRPOTDPP-UHFFFAOYSA-N 422.235 4.950 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H](CNC(=O)OC(C)(C)C)c2ccccc2)n1 762073131 XLACBBJUXXKUSJ-GOSISDBHSA-N 424.457 4.610 5 20 HJBD Cc1nc([C@H](C)OC(=O)COc2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 763838177 CRLHEBRVGIYRFF-ZDUSSCGKSA-N 416.817 4.895 5 20 HJBD C[C@@H](OC(=O)[C@@H](CNC(=O)OC(C)(C)C)c1ccccc1)c1ccccc1[N+](=O)[O-] 764589331 ZAMGETXVBISQRR-QAPCUYQASA-N 414.458 4.508 5 20 HJBD COCc1ccc(NCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])cc1 767734970 IKEALZYCNLERBQ-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD O=[N+]([O-])c1cc(CN(Cc2ccccc2)CC2CCOCC2)ccc1Br 769398145 GXQZKCXDBVABTA-UHFFFAOYSA-N 419.319 4.786 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770005345 ANQQJWXANZKBPW-KRWDZBQOSA-N 416.909 4.624 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](c1ccccc1)c1nccs1 770183597 LVKQPIOUAPIIBA-YVEFUNNKSA-N 418.858 4.805 5 20 HJBD COc1ccc(CNc2ccc(N(C)C)cc2)cc1OCc1ccc([N+](=O)[O-])cc1 770505143 WQSDWLFZCJEWCU-UHFFFAOYSA-N 407.470 4.861 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc(OCc2noc(C3CC3)n2)cc1 777689148 ROBCKTROJGREBI-OAHLLOKOSA-N 408.458 4.867 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777984161 YRGHQIWESKILGN-UHFFFAOYSA-N 416.384 4.807 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(=O)Nc1ccc(-c2ccccc2)cc1 783888833 BJFFTRBUGMQSFA-UHFFFAOYSA-N 417.465 4.638 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 787664609 KSJJTHHRUIKPOR-UHFFFAOYSA-N 420.440 4.525 5 20 HJBD C[C@@H](Sc1ccccc1C(=O)OCc1nc2ccccc2c2ccccc12)C(N)=O 801487242 OBLBHGRGQWCAEC-OAHLLOKOSA-N 416.502 4.711 5 20 HJBD C[C@H](Cn1ccc2ccccc21)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 803795608 IFLHMFJYZUNFQP-OAHLLOKOSA-N 405.410 4.584 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)s1 810277945 WDHOFLQEYHVPKA-UHFFFAOYSA-N 402.432 4.745 5 20 HJBD CO[C@@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1Cl 813063969 IQWCKPJUVVPGFQ-AWEZNQCLSA-N 403.649 4.673 5 20 HJBD CC(=O)c1ccc(N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)c([N+](=O)[O-])c1 813613739 QNDNCNWUTNHBLV-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NCc1c(Br)cccc1[N+](=O)[O-] 864018693 QDDXWTJEFAXGNU-UHFFFAOYSA-N 404.264 4.626 5 20 HJBD C[C@@H](c1nc(-c2cccc(CN3CCCCC3)c2)no1)c1ccc([N+](=O)[O-])cc1F 904433276 PVEZHVVXAAOUIA-OAHLLOKOSA-N 410.449 4.922 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(-c2ccccc2)nn1-c1ccccc1 916667016 BUCDARKXIAORQI-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD COc1ccc(CSCC(=O)O[C@@H]2CCSc3ccccc32)cc1[N+](=O)[O-] 1122636951 KYFFRULMEKBWPX-MRXNPFEDSA-N 405.497 4.617 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N(CC(F)(F)F)c1ccc(Cl)cc1 1337873498 VCZIJXABRUJYRG-UHFFFAOYSA-N 423.174 4.876 5 20 HJBD Cc1cc(Br)ccc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 8285911 ZRYMPZOFXZPJIA-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD Cc1nn(CC(=O)Nc2ccccc2Sc2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 8985535 IJWNDUIOHCIJSK-UHFFFAOYSA-N 416.890 4.851 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)cc1 11466436 JVOUXEXAHRRJCE-INIZCTEOSA-N 420.421 4.500 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 12474978 FESCZPXHSZWSGA-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2cccc(Cl)c2)c([N+](=O)[O-])c1Br 14205988 FCNARJMIGCCKJH-UHFFFAOYSA-N 416.615 4.540 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c2ccccc2)cc1 21831090 XRSVMZUJNNXQRL-OAQYLSRUSA-N 408.479 4.845 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(C(=O)Nc3cccnc3)cc2)cc1[N+](=O)[O-] 24906299 QUWMZJWVACZSBZ-UHFFFAOYSA-N 418.453 4.792 5 20 HJBD CCOc1ccc(-c2nc(CN(C)Cc3ccccc3[N+](=O)[O-])cs2)cc1OC 26350492 CFERFXZBMMMFDI-UHFFFAOYSA-N 413.499 4.758 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCO[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-] 30764599 UDAWCHYMJRBDEF-HXUWFJFHSA-N 414.527 4.947 5 20 HJBD CCn1c(S[C@@H](C(=O)NC2CCCC2)C(C)C)nc2cc3ccccc3cc2c1=O 31747557 MQAZBMPBTZECSR-OAQYLSRUSA-N 423.582 4.745 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 47571386 NCYNKPQNMUEYJP-INIZCTEOSA-N 407.474 4.846 5 20 HJBD C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(OCc2cccnc2)cc1 48322721 CLHRBCOREVSYFZ-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD COc1cc(CNCCc2ccccc2F)ccc1OCc1ccc([N+](=O)[O-])cc1 61029303 BJGULCXBBGZBLE-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD COc1cc(C)ccc1CNCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 237104143 QOMUFXSTSYLEBQ-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD C[C@H](Cn1cccn1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 237587756 QLJMQPSXHYVFPI-CYBMUJFWSA-N 404.829 4.554 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCO[C@H](c3ccccc3)C2)nc1OCc1ccccc1 302178705 PRMWNLKVYDCZQB-FPOVZHCZSA-N 405.454 4.901 5 20 HJBD C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc2ccccc2o1 426229494 XSKUYUQDQSEAJP-LLVKDONJSA-N 407.348 4.920 5 20 HJBD COc1cc([C@@H](C)N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc(OC)c1OC 433138116 WODJZGFBNGLBNM-ACJLOTCBSA-N 400.475 4.694 5 20 HJBD O=[N+]([O-])c1ccc(/C(=C\c2cnn(Cc3ccc(F)cc3)c2)c2ccncc2)cc1 435919019 YKCOKUJWFGMXEH-YDZHTSKRSA-N 400.413 4.963 5 20 HJBD COc1ccc(C(C)(C)CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436230269 BLNBBKRXAHDGSB-UHFFFAOYSA-N 418.468 4.537 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC[C@@H]1CCC[C@H](C(F)(F)F)C1 438509383 OVMVHMHHJXVTPE-TUAOUCFPSA-N 408.804 4.500 5 20 HJBD CCN(C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 439263460 XPBGBJGDMWRZPO-MRXNPFEDSA-N 405.454 4.889 5 20 HJBD COC(C)(C)C[C@@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 439623964 IQKGEAWQNICVKO-AUUYWEPGSA-N 419.909 4.721 5 20 HJBD O=C(N[C@H](CCCO)c1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442657020 XFMDQFNSPYFVBI-LJQANCHMSA-N 422.506 4.990 5 20 HJBD Cc1cccc([C@@H]2CCN(C(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)C2)c1 444291475 JPBXWHQFEWKWBK-MRXNPFEDSA-N 418.443 4.898 5 20 HJBD CCOc1cc(C(=O)NCC(C)(C)c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OC 464139466 ILETWYQVQYIPCM-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD Cc1csc(-c2cccc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)c2)n1 464665743 SWKPPTXAPVZVRB-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD COCCc1ccccc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 468185886 DXMNSBYASALVJF-IAGOWNOFSA-N 411.502 4.518 5 20 HJBD C[C@@H](O)C[C@@H](CNC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccccc1 468964215 LBIALSNGPJRENU-XLIONFOSSA-N 419.481 4.623 5 20 HJBD O=C(NCc1coc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475216273 SMYPNEBBIRUZJE-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])NCc1nc(-c2cc3ccccc3o2)cs1 477931100 YGHWJHNGWZWMSK-UHFFFAOYSA-N 423.450 4.550 5 20 HJBD COC(=O)c1cc(F)cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1F 478058452 FAUOEXHQHWPJIZ-UHFFFAOYSA-N 424.425 4.660 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(CC2CCC2)CC2CC2)cc1[N+](=O)[O-])c1ccccn1 478630488 OINSJUPEPXLUAO-INIZCTEOSA-N 408.502 4.815 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480064715 PYDQEELABPPKKL-UHFFFAOYSA-N 414.355 4.727 5 20 HJBD COCCC[C@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 480861475 BLMTYRQTTLNKLY-HNNXBMFYSA-N 405.520 4.770 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 482701709 YUIUDUZTVFFLJT-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)Nc2ccccc2)cc1 485449089 BAZBXMSEKIEPFB-UHFFFAOYSA-N 422.466 4.970 5 20 HJBD Cc1[nH]c2ccccc2c(=NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1C(C)C 485663760 CBTDKFUJPPVGOV-UHFFFAOYSA-N 402.454 4.659 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC[C@H](Oc2ncccc2F)C1 487078753 AGDRDZUPRKDGRA-KRWDZBQOSA-N 401.441 4.606 5 20 HJBD COc1ccc([C@@H](Nc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1Br 488028842 PXAATTUBHDFBFX-IBGZPJMESA-N 414.259 4.962 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nc(O)cc(CC)n2)c1 488611673 RJUYXFTYVYCVTQ-UHFFFAOYSA-N 424.482 4.684 5 20 HJBD CCCN(C(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1)[C@@H](C)C(=O)Nc1ccccc1C 489988997 GRZBPJSSRUSZAK-KRWDZBQOSA-N 411.502 4.906 5 20 HJBD COc1cc(CNc2ccc(-c3cnc(C)o3)cc2)c([N+](=O)[O-])cc1OCC(F)F 490356996 ZUHVIGQWEHUSBZ-UHFFFAOYSA-N 419.384 4.823 5 20 HJBD O=C(N[C@H]1CCOc2c(-c3ccccc3)cccc21)c1c[nH]c2cc([N+](=O)[O-])ccc12 505117021 JOYKPXXGNYNKDE-NRFANRHFSA-N 413.433 4.997 5 20 HJBD CCN(CC)Cc1cccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 509277366 BBMVBYHILHEEJL-UHFFFAOYSA-N 412.534 4.557 5 20 HJBD C[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 514159993 JLTXSMAYHCLWKQ-KRWDZBQOSA-N 420.469 4.727 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 514433108 DBKWXQKXZYPLST-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1F 518788082 KAJWRAHJUWKEJG-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1Cl 519101020 RUMKJEKZVDJECA-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD Cc1nn(CCC(=O)N(C2CC2)[C@H](C)c2ccc3ccccc3c2)c(C)c1[N+](=O)[O-] 523254209 SWJAWEOWFJIDRG-MRXNPFEDSA-N 406.486 4.704 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCOCC1 532393780 VVXIRKIAJKYNNJ-GFCCVEGCSA-N 409.280 4.522 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3ccccc3)CC3CCOCC3)co2)cc1 534854241 ZKHFYOHPAVBYTF-UHFFFAOYSA-N 407.470 4.679 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccccc1OC(C)C 535723575 VAHHTLNFXPNBKP-HNNXBMFYSA-N 418.515 4.612 5 20 HJBD Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Br 535841721 HEZHMQZOMYOKJD-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD Cc1cc(C)cc(-n2nc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2C)c1 537443074 PXUNJAVVZFXQIE-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD CCN(C)C[C@@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 539849399 BMZKQBFFNGFKQX-KRWDZBQOSA-N 403.910 4.814 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc21 540248918 PHYUOEALMIOJFM-UHFFFAOYSA-N 421.375 4.555 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1Br 544055632 ZZTZVORQDZRFEQ-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(CSc4ccc(Cl)cc4)no3)c2c1 546235028 XORFPEUJHDSZKU-UHFFFAOYSA-N 414.830 4.844 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(COc2ccc(Br)cc2Cl)n1 546949109 NSIWCMYRVZHWHZ-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD COc1ccccc1C1(c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)CC1 551147535 WIULLXXQSOVDTH-UHFFFAOYSA-N 409.445 4.820 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Br)c(C(F)(F)F)c1 552532976 RCOIIVWQCWLYOY-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(c4c[nH]nc4C)CC3)c([N+](=O)[O-])c2)cc1 561321598 UNNXVCSGLKSHGA-UHFFFAOYSA-N 419.485 4.571 5 20 HJBD C[C@H](C(=O)Nc1sc2c(c1C(=O)c1ccncc1)CCC2)c1cccc([N+](=O)[O-])c1 562412778 DWHFCCSIUWXSGG-ZDUSSCGKSA-N 421.478 4.513 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cc(O)nc3ccccc23)no1 567519059 LBRZMMFHBKWSIL-SNVBAGLBSA-N 412.789 4.692 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 569325494 LTTVNYYIWHLIIF-UHFFFAOYSA-N 419.485 4.722 5 20 HJBD Cc1ccc(-c2[nH]nc(NC(=O)c3cc([N+](=O)[O-])ccc3SCC(C)C)c2C)o1 572587418 FGMDRZQSHCFVAU-UHFFFAOYSA-N 414.487 5.195 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCC4)[C@@H]3[C@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581044346 CWJSNZFUVPJXAB-YADHBBJMSA-N 421.497 4.693 5 20 HJBD CC(C)n1ccc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)n1 581455942 HXKMYEBWOJLHMS-UHFFFAOYSA-N 414.849 4.748 5 20 HJBD Cc1cc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)ccc1O 584818572 JTEAMCAFHWHEGV-CQSZACIVSA-N 417.425 4.894 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1cccc(-c2n[nH]c(C3CC3)n2)c1 600857738 RJPSAJOKPRNGHK-UHFFFAOYSA-N 403.364 4.888 5 20 HJBD Cc1cc(OCC(=O)N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 603905652 VCBPELIGYUNJFE-SFHVURJKSA-N 409.269 4.953 5 20 HJBD CCOc1cc(NC(=O)N2C[C@H](c3ccccc3)C[C@@H]2C)c([N+](=O)[O-])cc1OCC 609683474 XTUFNICFLZKMDV-DOTOQJQBSA-N 413.474 4.802 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1C(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 610729700 DXTRBTMYVUSRNW-GOSISDBHSA-N 416.547 4.752 5 20 HJBD Cc1cc(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c(N)c([N+](=O)[O-])c1 727765992 ZLGZMHPVKVUHHX-IBGZPJMESA-N 414.465 4.529 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc([N+](=O)[O-])ccc1F 729658852 HTTHFLCZNWGGQG-INIZCTEOSA-N 415.421 4.804 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)/C(=C/c1ccc(F)cc1)c1cccs1 731586520 GGOUQDSBNNMTPG-XMHGGMMESA-N 412.442 4.531 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)OC(C)(C)C)CCC2)no1 731807363 FPMSYTWCDSIUDZ-LBPRGKRZSA-N 420.491 4.735 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 731829612 HHWJWIVPJKZUPN-HXUWFJFHSA-N 414.845 4.580 5 20 HJBD O=C(NC[C@H](O)c1ccc(Cl)cc1Cl)c1cc2cc([N+](=O)[O-])ccc2s1 732302754 UBCXHYMKFFNMOW-AWEZNQCLSA-N 411.266 4.580 5 20 HJBD CCC(CC)(CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@H](C)c1ccccc1 732578214 KCOFKGXNOFNKOK-OAHLLOKOSA-N 403.910 4.888 5 20 HJBD CO[C@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C(F)(F)F 733328761 BLIWLZCKCAHJKG-MRXNPFEDSA-N 404.772 4.707 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1ccc([N+](=O)[O-])cc1Br 740409159 ARIGBCOAFQHJMP-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@]12CCCc1ccccc12 744156225 CTJFDKXVYAEVGW-REWPJTCUSA-N 404.422 4.587 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c2cccnc2c1C 744374586 ZBBXXKDXYMFUMP-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745075091 SAUWCAYGLDDBMH-BEFAXECRSA-N 409.442 4.764 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1OCc1cn2ccccc2n1 746049753 XPFOZLOKTHUDPC-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CCc1ccc(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)cc1[N+](=O)[O-] 747013001 OYGGPTOWAQXHBD-UHFFFAOYSA-N 422.224 4.871 5 20 HJBD O=C(CCOc1ccc(Cl)c(Cl)c1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751649422 XGFXBGJSUHXNQJ-UHFFFAOYSA-N 411.197 4.555 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754994125 MNVFBHVUYYKORP-JTQLQIEISA-N 411.227 4.926 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)NCc1cc([N+](=O)[O-])ccc1Cl 756994317 SYUQRIDQWRDZEX-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD C[C@H](OC(=O)C(C)(C)C1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 757835941 DJGKEZRBPBUPOQ-NSHDSACASA-N 416.396 4.700 5 20 HJBD Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1-c1ncco1 758703074 FLNKSOIXPKCBNP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)n2)cc1 764141811 HBWIGLYSRYZYKV-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(c2cc3ccccc3[nH]2)CC1 765721286 RPIGKUVYDZUFMO-UHFFFAOYSA-N 400.438 4.644 5 20 HJBD O=C1CCc2cc(C(=O)OCc3nc4ccccc4c4ccccc34)c(F)cc2N1 768115376 OBYQOWKOKJRNLX-UHFFFAOYSA-N 400.409 4.769 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H]2CCCN2C(=O)OC(C)(C)C)no1 769889762 RAVQTUICERRMSD-RDJZCZTQSA-N 416.478 4.786 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccncc3)n2Cc2ccccc2)cc1F 789749896 KSCLUENZOBWBRW-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(F)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812907999 ZQXMELAOUOMOGQ-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD CC(C)(C)c1csc(CCNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 812978150 FIWJMNPFXQNXRZ-UHFFFAOYSA-N 402.303 4.628 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])CCC2 812992469 HMSXTSRSMGLUHV-CYBMUJFWSA-N 400.287 4.816 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])CCN1Cc1ccccc1 813043968 WJOJJOMLBMOZNX-CZUORRHYSA-N 422.312 4.685 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)c([N+](=O)[O-])c1 813305511 HKQFXDQMIDWNOO-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD CC1(C)C[C@H](O)c2c(OCc3ccc(Br)c([N+](=O)[O-])c3)cccc2O1 814265452 LBXKPTNLYZZYQH-AWEZNQCLSA-N 408.248 4.531 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(-c4c(C)ccc([N+](=O)[O-])c4C)n3)c2)n1 904524189 OSSBRZXBTZUYAP-UHFFFAOYSA-N 403.442 4.790 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)n2)c(C)c1 915278159 QHZNPAHHBIWYFO-UHFFFAOYSA-N 421.482 4.632 5 20 HJBD CCN1C(=O)c2ccc(Cl)cc2N[C@@H]1c1ccc(Br)c([N+](=O)[O-])c1 917499639 KNTQSGDDVPCGFM-HNNXBMFYSA-N 410.655 4.597 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@@H](c2ccc(Cl)s2)C1 1320637541 YZCDRXYJTBKAJH-GOSISDBHSA-N 424.909 4.610 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)CCc2cccc([N+](=O)[O-])c2)cc1OC 1322457641 QLDBQBISMCCSKW-UHFFFAOYSA-N 420.465 4.778 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(SC)c([N+](=O)[O-])c3)o2)cc1Br 1324204356 ICYSSPRMKNCOAZ-UHFFFAOYSA-N 422.260 4.805 5 20 HJBD COc1ccc(NC(=O)N2CC=C(c3c(C)[nH]c4ccccc34)CC2)c([N+](=O)[O-])c1 1350142260 DTDCNCQPQNHTNQ-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])o1)Nc1ccc(C2(NC(=O)C3CCCC3)CCC2)cc1 1786206271 FNGARGSQBZVIKA-ZRDIBKRKSA-N 423.469 4.525 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H]1c1ccccc1 9896728 DIGIVGXMOGXURA-KRWDZBQOSA-N 407.392 4.779 5 20 HJBD Cc1cc(C)cc(OCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 59253373 ZRLNUXYMKXFOGS-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NC1CCN(Cc2ccccc2)CC1 60486402 LECNPPFCZHRLIP-UHFFFAOYSA-N 424.379 4.598 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1 60992237 LZEQKGFTTHPQKH-NRFANRHFSA-N 411.408 4.656 5 20 HJBD CCOc1c(OC)cc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1OC 64550957 QSNCMZCETMHBMK-UHFFFAOYSA-N 400.353 4.642 5 20 HJBD CC(=O)N(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 65808189 STXFVNWTNCBFFS-UHFFFAOYSA-N 405.410 4.622 5 20 HJBD CN(C(=O)c1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1)C1CCCCC1 72001252 OTYFKFWPNLNBKL-CXUHLZMHSA-N 407.470 4.651 5 20 HJBD O=C(Nc1ccccc1NC(=O)N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2s1 117728913 WYAJEVZJGTWOEI-UHFFFAOYSA-N 410.455 4.690 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccco3)n2Cc2ccco2)c(Cl)c1 301718369 OROCFQABGQPHHY-UHFFFAOYSA-N 402.819 4.892 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)[C@@H](C)c1ccc(Br)cc1 427174160 HBGCVLNMWLSXOP-QWHCGFSZSA-N 412.305 4.903 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCSc2ncccc21 429551656 VGWRNWZKMCTKDO-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD C[C@H]1CCN(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)c2ccccc2S1 431498552 ACKFFGCELRECMP-NSHDSACASA-N 407.289 4.888 5 20 HJBD O=C(Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 442929180 FMNXTYSYTAMVMR-QZTJIDSGSA-N 424.375 4.548 5 20 HJBD CCN1CC[C@H](N(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)C[C@@H]1C 443915330 BAWHCIDFSFCSNE-LPHOPBHVSA-N 412.490 4.724 5 20 HJBD CN(Cc1cc2ccccc2o1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444111749 KHRKJQDXWLKPNZ-UHFFFAOYSA-N 400.409 4.839 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 446430335 UXLZCGXVPHTYGH-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(Cl)ccc2OC(F)(F)F)cc1[N+](=O)[O-] 448549709 GNXIAKOJILAIDZ-UHFFFAOYSA-N 403.744 4.831 5 20 HJBD C[C@H](CC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(F)c(Br)c1 462173012 ZNLDRLSNCRJICL-GFCCVEGCSA-N 421.266 4.575 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 462583880 HXEXLAQCSCAWPN-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccccc2n1C(C)C 462984933 CSNDPJCFTPLPOL-FUHWJXTLSA-N 408.502 4.972 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cc(Cl)ccc2OC(F)F)c1 465288507 HBIYWJPTEPUPRG-UHFFFAOYSA-N 402.806 4.502 5 20 HJBD C[C@@H](O)C[C@@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467791253 RTEQNAFPKMCMIB-CHWSQXEVSA-N 408.907 4.536 5 20 HJBD C[C@H](CNC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)C[C@@H](C)O 467791255 RTEQNAFPKMCMIB-QWHCGFSZSA-N 408.907 4.536 5 20 HJBD CC(C)(CO)CCCNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467916682 VTBJTSZNSWBBGZ-UHFFFAOYSA-N 422.934 4.928 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](C)[C@H](n3ccnc3)C2)cc1[N+](=O)[O-] 469388420 KUPHMBRSGMFJRT-VQIMIIECSA-N 416.547 4.653 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 473518618 KWYMRUOTOHPXFE-SFHVURJKSA-N 403.360 4.740 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc3c2CN(c2nccs2)C3)cc1[N+](=O)[O-] 474259348 ORADNQOWRWVJOJ-UHFFFAOYSA-N 408.483 4.947 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3(C(F)F)CC3)o2)c(Br)c1 475219700 NFSCKUGUZIJWCT-UHFFFAOYSA-N 401.207 4.752 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@@H]2c2ccc(Cl)cc2)c([N+](=O)[O-])c1 480434568 RGVXWPFXNRZZGW-QGZVFWFLSA-N 410.861 4.554 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(F)ccc1Br 483159756 RJTCLQSFKCFDIY-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD O=C(N1CCC(n2ccc([N+](=O)[O-])n2)CC1)C1(c2ccc(Cl)cc2)CCCCC1 487027714 FSGJWFSVQDKQRH-UHFFFAOYSA-N 416.909 4.510 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 487874659 NQEGEMVSDHGFDR-INIZCTEOSA-N 405.241 4.755 5 20 HJBD O=C1CN(Cc2ccccc2)[C@H](c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)N1 489098093 ALJAXBBPGVJIMO-JOCHJYFZSA-N 423.856 4.671 5 20 HJBD CCC(C)(C)OCc1cccc(NCC(=O)N2CCc3cc([N+](=O)[O-])ccc32)c1C 495083333 ZAASWPMELBSMNB-UHFFFAOYSA-N 411.502 4.610 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N(Cc3ccccn3)C3CC3)c([N+](=O)[O-])c2)cc1 498802656 DHMHTOOBZGKAPQ-UHFFFAOYSA-N 402.454 4.720 5 20 HJBD Cc1c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cnn1CC(C)C 501343426 YXKBYIBZIXWCFG-UHFFFAOYSA-N 407.474 4.620 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)ccc1[N+](=O)[O-] 508951624 TUSNEHMYRRCQRM-GFCCVEGCSA-N 408.376 4.988 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2CCN3CCCC[C@@H]23)cc1 509616090 FYQXBEBJFDODEG-MOPGFXCFSA-N 401.894 4.757 5 20 HJBD CNC(=O)c1cccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)c1C 513556257 FOWKBNPEFDVNCD-UHFFFAOYSA-N 404.470 4.643 5 20 HJBD Cn1ccnc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 517806351 MPXKICUALYZUDM-MRXNPFEDSA-N 420.256 4.546 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H]3CCCN(Cc4cccs4)C3)o2)cc1 520213601 HAIDBRUMWXFREY-KRWDZBQOSA-N 411.527 4.918 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)s1 520729071 SRCCWXBYGDHFLH-CYBMUJFWSA-N 402.863 4.894 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cn(-c2ccccc2)nc1-c1cccs1 521728859 BSJFXWQIOQKUCF-UHFFFAOYSA-N 408.414 4.900 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1)c1ccccc1[N+](=O)[O-] 522897514 MHEKCARNZFRRDN-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1ccc(F)cc1)c1cccs1 533888655 WGVYNYDABLENII-NRFANRHFSA-N 409.442 4.725 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 534982660 MHHBPXYELPMKMH-SNVBAGLBSA-N 424.404 4.571 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 537057752 QTUPWDIOFVGFLB-OAQYLSRUSA-N 422.481 4.694 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccccc2)s1 538196462 ILXUXDZAXZCQSN-UHFFFAOYSA-N 400.485 4.866 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccc([N+](=O)[O-])cc2)o1 543411219 RGUQVEVRQGYAMT-QHCPKHFHSA-N 420.444 4.954 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3c[nH]c4ccc(Br)cc34)no2)s1 547105928 UWLBOCDNJYRQDT-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ncccc12 547433658 UKVZXCHTZFYJJP-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD Cn1ccnc1[C@@H](NC1CCC(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 552068120 JOEVYRIKVSIKKY-QVHXHXEWSA-N 408.477 4.873 5 20 HJBD Cc1nc(Cc2nnc(Sc3c(Cl)cc(Cl)cc3[N+](=O)[O-])o2)cs1 552906803 AEFZQFOIDWSOCA-UHFFFAOYSA-N 403.272 4.792 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C(=O)c2ccccc2)c1 554442171 BBYIGGUQHHUGHM-UHFFFAOYSA-N 403.438 4.532 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(F)c(F)cc2Br)cc1[N+](=O)[O-] 560585252 BFKZBPHEIOJBKD-JTQLQIEISA-N 415.234 4.885 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CC=C(c4ccccc4C(F)(F)F)CC3)nc(O)c2c1 567188626 VWYKIFXPLMRBME-UHFFFAOYSA-N 416.359 4.556 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])C(F)(F)F 569765474 MXLGOCXRMWBCKT-CYBMUJFWSA-N 411.380 4.631 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)NCc1cc([N+](=O)[O-])ccc1C(F)(F)F 569945624 XBCCMWAZHJEICH-SECBINFHSA-N 424.345 4.840 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cccc([N+](=O)[O-])c1 574971371 HCRIMTFAPWWMCR-GUYCJALGSA-N 404.850 4.548 5 20 HJBD Cc1cnn([C@H]2CCN(Cc3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)C2)c1 580659684 QYCCWKQTZKRSBY-KRWDZBQOSA-N 412.877 4.992 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1csc([N+](=O)[O-])c1 603755794 ATEBXXBBZMICTL-VXGBXAGGSA-N 421.906 4.965 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCCC3)cs1)c1cc2c(cc1[N+](=O)[O-])OCO2 603941369 HVVJOZZBSAXKBF-UHFFFAOYSA-N 423.450 4.578 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(COc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 604076360 XNKNYWARMNRPSR-QGZVFWFLSA-N 405.454 4.734 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1ccc(OC(C)(C)C)cc1 604443863 VIKNWXKPFLACDG-CYBMUJFWSA-N 406.866 4.509 5 20 HJBD C[C@H](NC(=O)Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)c1ccc(Cl)c(Cl)c1 609150775 QKYSQBRVYDMFSE-LBPRGKRZSA-N 419.268 4.643 5 20 HJBD Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CC[C@H](c3ccco3)C2)c([N+](=O)[O-])c1 609540182 SCLLRDBVRBOUNL-PGRDOPGGSA-N 405.454 4.666 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OC 610226384 FYGVJDQSEQDYIZ-SFHVURJKSA-N 412.486 4.548 5 20 HJBD O=C(Nc1ccc(F)cc1OC1CCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 610912336 ZTCUOVASZOUGHN-UHFFFAOYSA-N 413.449 4.908 5 20 HJBD O=C(NCC1(c2ccccn2)CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 612422364 IIDKRUPVQPKUHR-UHFFFAOYSA-N 423.856 4.897 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@@H]1CCN(c2ccc(Cl)cc2)C1 616118111 KFJFVSYXWHFORS-HNNXBMFYSA-N 402.882 4.513 5 20 HJBD Cc1nn(CCC(=O)O[C@H](CCc2ccccc2)c2ccccc2)c(C)c1[N+](=O)[O-] 726734139 MGPRZDAYLRBJMM-OAQYLSRUSA-N 407.470 4.716 5 20 HJBD CC[C@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Cl)s1 733936380 IJXSHOZLILJSQA-JTQLQIEISA-N 403.685 4.953 5 20 HJBD CC(C)(C)c1cccc(OCCCOC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)c1 735354693 XWAOVMCWVXXJBO-UHFFFAOYSA-N 424.453 4.772 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3ccc(C(F)(F)F)c(Cl)n3)CC2)cc1 742425554 MYQRCSCTPIAASF-UHFFFAOYSA-N 401.772 4.710 5 20 HJBD C[C@H](CCc1cccc(F)c1)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745587449 ZDICCOUYVFDWAA-CHWSQXEVSA-N 422.840 4.526 5 20 HJBD Cc1c(C(=O)N(C)Cc2c(Cl)cccc2Cl)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748620733 SLKNICIWEKDXPN-UHFFFAOYSA-N 412.229 4.699 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2cccc(Cl)c2[N+](=O)[O-])c1C 749927063 NTSZIPQLEBRHOO-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD Cc1c(C(=O)N(C)CCc2cccc3ccccc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 751148240 SVMRFPWRKFIPOR-UHFFFAOYSA-N 407.426 4.588 5 20 HJBD C[C@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1C(=O)c1cc2ccccc2c2cccnc12 752325881 RDUQFBSUUVOKQE-DLBZAZTESA-N 419.525 4.763 5 20 HJBD CCOc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc(OCC)c1OCC 752974670 BTAPOEGQSXESJQ-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)CCNc1ccccc1[N+](=O)[O-] 754216522 ICCIQAKJCLIDSX-UHFFFAOYSA-N 414.462 4.691 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccc(Br)cc1[N+](=O)[O-] 757813933 FTZXHTLXQOVTLZ-OAHLLOKOSA-N 417.259 4.534 5 20 HJBD CCn1c(SCc2ccc(OC(C)=O)cc2)nc2cc3ccccc3cc2c1=O 760384168 LYGSCZXTJNILET-UHFFFAOYSA-N 404.491 4.787 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@H]1c1ccc(F)c(F)c1 760838666 YINDYCHIVDDZPT-AWEZNQCLSA-N 411.202 4.613 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 763995993 FEFNDNHIKRWOLV-CQSZACIVSA-N 400.500 4.613 5 20 HJBD O=C(CCc1ccc2[nH]ccc2c1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137453 IXDMSPMPNJAOOL-HSZRJFAPSA-N 401.422 4.737 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cc(Cl)ccc2OC[C@@H]2CCCCO2)c([N+](=O)[O-])c1 789671496 IBCVHLUQWHZPDC-INIZCTEOSA-N 421.837 4.716 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 791710618 JOMBJFFYOYKRAB-CQSZACIVSA-N 408.376 4.642 5 20 HJBD CCCOc1c(Cl)cc(/C=C(\C(=O)OCC)c2ccc([N+](=O)[O-])cn2)cc1OC 794947913 BAIYOURNJIZAFK-DHDCSXOGSA-N 420.849 4.544 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(OCCCO)cc3)o2)c(Cl)c1 796523927 VBSAOZITTNZJGN-UHFFFAOYSA-N 402.834 4.882 5 20 HJBD COc1cc2c(cc1NC(=O)CN1CCc3cc([N+](=O)[O-])ccc31)oc1ccccc12 797675049 NCFWSEHWVAQQEN-UHFFFAOYSA-N 417.421 4.504 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCCC[C@@H]3c3ncc(-c4ccccc4)[nH]3)cc2N1 798214655 KKMGIUHALMYJAY-LJQANCHMSA-N 417.469 4.601 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1OCC(F)(F)F 864026320 WQVVCLOOVJMREG-UHFFFAOYSA-N 405.170 4.911 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)C(=O)Nc1ccc(Cl)c(Cl)c1 919515107 UOYAGCZZQMPLKZ-VIFPVBQESA-N 422.224 4.567 5 20 HJBD Cn1cc(C(=O)OCc2cc(Cl)cc3cccnc23)c(-c2ccc([N+](=O)[O-])cc2)n1 920551125 UAQQQHQVWGOSKC-UHFFFAOYSA-N 422.828 4.554 5 20 HJBD COc1ccccc1C1(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CCC1 1318544004 HJZMSFYBHPQBNU-UHFFFAOYSA-N 409.467 4.536 5 20 HJBD O=C(c1ccccc1)N1CCC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 1318630476 UHHBIYRMIPHDHT-IBGZPJMESA-N 421.522 4.718 5 20 HJBD O=C(c1ccccc1)N1CCC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 1318630477 UHHBIYRMIPHDHT-LJQANCHMSA-N 421.522 4.718 5 20 HJBD O=C([C@H](Oc1ccc(F)c(F)c1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318741161 RBIKYIYHWVDMDC-OAQYLSRUSA-N 410.376 4.536 5 20 HJBD O=C(Nc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1)c1cccs1 1323965552 WSGDDTRSPSOQNW-UHFFFAOYSA-N 422.422 4.538 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3cc4ccccc4o3)o2)c(Br)c1 1348871451 OMFBQKINLXBPOZ-UHFFFAOYSA-N 400.188 4.744 5 20 HJBD COc1ccc(-c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1Br 1348911195 QFZXGRPCKJWIRP-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1sc2ccccc2c1Cl 5883914 RVMZIVSQCFMULT-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1ccc(Oc2ccc(F)cc2)cc1 16577984 HDGHCEOJKMKRIO-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD CC[C@@H]1CCCCN1C(=O)[C@@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1CC 22178307 AIWZAINCHVFEJG-VQIMIIECSA-N 423.582 4.841 5 20 HJBD CC[C@H]1CCCCN1C(=O)[C@H](C)Sc1nc2cc3ccccc3cc2c(=O)n1CC 22178315 AIWZAINCHVFEJG-LPHOPBHVSA-N 423.582 4.841 5 20 HJBD CC(C)C(=O)Nc1cccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50312583 QVTKQXBLYZRKEI-UHFFFAOYSA-N 410.455 4.565 5 20 HJBD O=C(Nc1cccc(OCC(F)(F)F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64908757 LIFVURQRLGCDLY-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD CCc1cc(Oc2cccnc2Br)nc(-c2ccc([N+](=O)[O-])cc2)n1 64931263 HZGVMMWNCOVCNT-UHFFFAOYSA-N 401.220 4.564 5 20 HJBD Cc1c(C(=O)N[C@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 71988323 AMCIIWVROWRAIN-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD CC[C@@H](C)c1ccccc1OCC(=O)Nc1cc(C)nn1-c1ccc([N+](=O)[O-])cc1 105509088 FXMUGLLIVNLFKS-OAHLLOKOSA-N 408.458 4.620 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3oc4c(c3C)C(=O)CCC4)n2)cc1[N+](=O)[O-] 107784873 HIPDZCSYELUVJT-UHFFFAOYSA-N 411.439 4.699 5 20 HJBD CCCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)[C@@H](C)c1cc2ccccc2o1 146476367 LTNFWRFEXFLPEB-HNNXBMFYSA-N 409.442 4.588 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 195699920 OLEPUSJTLSNERB-HNNXBMFYSA-N 423.494 4.782 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2cccs2)C[C@H]2CCCO2)cc1[N+](=O)[O-] 302634293 GTYRLAYTYNTDER-MRXNPFEDSA-N 402.516 4.775 5 20 HJBD Cc1cccc(C(=O)N2CCC(c3ccc(Br)cc3)CC2)c1[N+](=O)[O-] 410388368 KPOYDAVZTZEYPO-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=C(O)[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)C12CC3CC(CC(C3)C1)C2 426763032 PHXIURBHBQVAIW-KNMWWIEVSA-N 410.470 4.614 5 20 HJBD C[C@@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 428937504 UOAKZJMAKXZJNJ-XIKOKIGWSA-N 421.375 4.717 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CC[C@@H](CSc2ccccc2)C1 430623421 GUPMVVLZVSCYDR-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD O=C(Nc1c(-c2ccncc2)nc2ccccn12)c1cc2cc([N+](=O)[O-])ccc2s1 430662495 RVZBREQJHFBTRC-UHFFFAOYSA-N 415.434 4.772 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cnn1-c1ccc(C)cc1 432547058 QTGJDXSQBWBURF-UHFFFAOYSA-N 415.453 4.842 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)n1 436298888 IGTIJUAAPDFCOP-LEUOFYLZSA-N 408.424 4.830 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1cccc3c(=O)c4cccc(F)c4[nH]c13)[C@H](C)C2 444300557 HYHNXTFPYNPTBR-SZNDQCEHSA-N 400.453 4.792 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC[C@@H](Nc2ccccc2)C1 447678442 ZXBPJUBRHKUUNH-CYBMUJFWSA-N 416.350 4.725 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](Sc1ccc([N+](=O)[O-])cn1)c1ccccc1 448047775 GQTNSACLFFHYJQ-HXUWFJFHSA-N 409.467 4.779 5 20 HJBD CO[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460571465 HUSGUWTXFYTEFG-AZUAARDMSA-N 417.893 4.548 5 20 HJBD C[C@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Br)cc1OCCCO 462972565 ZDMGVPOCVNHMSY-KGLIPLIRSA-N 423.307 4.530 5 20 HJBD C[C@@H](NC(=O)N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cccc([N+](=O)[O-])c1 463322304 WQJBKNYLIKUGSR-SRCQZFHVSA-N 418.291 4.664 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(CC(F)(F)F)c1 464413352 ZBTIQSYQZKEUFE-UHFFFAOYSA-N 410.395 4.898 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1ccc(F)cc1F)C1CCCC1 466142754 JMGDXKVGYSCGBV-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD COC[C@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 466745199 UOZMZWRSYNSLMD-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2c(s1)C[C@H](c1ccccc1)CC2 470870377 VVCMGGIRVNSJMR-OAHLLOKOSA-N 423.494 4.975 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cncs1 473180252 CCGDLCYMFJRRJS-LBPRGKRZSA-N 416.890 4.808 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 475588536 XSERELQQRYWMCI-SFHVURJKSA-N 407.829 4.610 5 20 HJBD COc1c(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cccc1[N+](=O)[O-] 476548251 NGYCOADLYBAJOL-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cccc1[N+](=O)[O-] 480056589 GDJSKLNWYJIQQZ-UHFFFAOYSA-N 419.441 4.869 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CCn4cnnc4[C@H]3C)cc2[N+](=O)[O-])c1 483442801 VXNAYLKPZPPOGL-QGZVFWFLSA-N 421.501 4.987 5 20 HJBD Cc1cc(C)c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1Br 485638351 BUEACBLWNCPTAS-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 487874661 NQEGEMVSDHGFDR-MRXNPFEDSA-N 405.241 4.755 5 20 HJBD C[C@@H]1CN(c2ncc([N+](=O)[O-])cc2Cl)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488703609 XEZTWVSRHVSVPW-BMIGLBTASA-N 401.772 4.628 5 20 HJBD Cc1sc(C(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)cc1[N+](=O)[O-] 489995089 GRVRGWJVLQCCMU-UHFFFAOYSA-N 410.377 4.636 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)Cc2ccc(OC(F)(F)F)cc2)cc1[N+](=O)[O-] 493154463 NDKZKZLOAIJPTG-CYBMUJFWSA-N 411.380 4.704 5 20 HJBD Cc1cc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)s1 494697720 DZKPZYCTRMJNQQ-SNVBAGLBSA-N 401.410 4.852 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@@H]2CSC)c([N+](=O)[O-])cc1OCCC 495128125 MHKMWRQODNWKEH-CQSZACIVSA-N 411.524 4.532 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 497349193 BAZBGCHHYPFGQY-UHFFFAOYSA-N 404.291 4.943 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Br)c1 497799341 FSIIJRMFDCEMET-UHFFFAOYSA-N 415.190 4.625 5 20 HJBD Cc1ccccc1[C@H](C)N(CC(C)C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 503562069 HLZDDTCJGMYUHT-INIZCTEOSA-N 409.490 4.708 5 20 HJBD O=C(c1csc(Cc2cccc(F)c2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 503663357 NTAHEZIJAJFWAA-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD Cc1sc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc1-c1c[nH]c2ncccc12 503901449 NRFQAIXNPCERAK-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2c(F)cccc2F)C(F)(F)F)c1 505433440 HDKKXJYANUDJMS-AWEZNQCLSA-N 406.332 4.628 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)CCCNc2ccc([N+](=O)[O-])cc2)nc2ccccc21 506199392 HYALWOULMKRRPI-OAQYLSRUSA-N 421.501 4.520 5 20 HJBD CCC(CC)(CNC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccc(Cl)cc1 507074094 QBKZPASTUHIIPW-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(/C=C\c2ccco2)s1 507094900 OQYZCUAOTPBKNS-VURMDHGXSA-N 416.484 4.964 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1cccc([N+](=O)[O-])c1C 508154959 PSJVNSWOOCIUAH-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD COc1cc(CN[C@H](c2cccc(C)c2)C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 510485043 YJMWLSQXBBGKTL-MRXNPFEDSA-N 420.334 4.906 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)CC1(C)C 511801731 LGGULHJMSAVCBB-FYYLOGMGSA-N 423.557 4.580 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)ccc1[N+](=O)[O-] 511913663 COCPYAXQIVVPFK-SFHVURJKSA-N 402.859 4.838 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 512123414 PRTRFEJTOMSEAJ-MRXNPFEDSA-N 424.526 4.972 5 20 HJBD CC1CCN(C[C@H]2CCCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)CC1 512177814 GCBLOWZHLUXVDE-GOSISDBHSA-N 414.575 4.662 5 20 HJBD CCNc1ccc(C(=O)NCc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 514567763 USCXPNPCNCQFIC-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)CCOc2ccccc2[N+](=O)[O-])cc1 515638796 RRYYGSCHYDIJDM-UHFFFAOYSA-N 412.467 4.667 5 20 HJBD Cn1nc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1C1CC1 518021409 NCAFBCVOWXAPGV-UHFFFAOYSA-N 400.504 4.740 5 20 HJBD CCNc1ccc(C(=O)Nc2nnc([C@H](CC)c3ccccc3)s2)cc1[N+](=O)[O-] 518040878 JWRVWDCVAOLBCJ-OAHLLOKOSA-N 411.487 4.672 5 20 HJBD Cc1ccc(CN(Cc2ccco2)S(=O)(=O)c2cc(C)c(C)cc2[N+](=O)[O-])s1 519996928 KNRCDSMBFHOIFM-UHFFFAOYSA-N 420.512 4.566 5 20 HJBD O=C(c1csc(-c2c(F)cccc2F)n1)N1CCCc2ccc([N+](=O)[O-])cc21 522872710 JBJWZJBEWZLWBH-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@H]2c2ccc(Cl)cc2)c1 523847229 OYMZDHNRWGGWPM-KRWDZBQOSA-N 408.932 4.900 5 20 HJBD COc1ccc(CNC(=O)c2cccnc2SCC2CCCCC2)cc1[N+](=O)[O-] 524501759 KSPHIAXDBZRDRA-UHFFFAOYSA-N 415.515 4.601 5 20 HJBD C[C@H](c1ccccc1)N(CC1CCC1)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 524850320 HFVBTCFUWSOIJZ-QGZVFWFLSA-N 404.470 4.784 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)cs1 533762267 UYPDSKRZVCWHCD-UHFFFAOYSA-N 422.510 4.901 5 20 HJBD C[C@@H]1CCCCN1CCC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 535557577 UVWRDNFEGSALCJ-GOSISDBHSA-N 412.534 4.726 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 535930702 QZCZTLVLUILLJL-CQSZACIVSA-N 413.503 4.502 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1Br 537592598 OIGXDOVPNGWZQC-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD Cc1ccc([C@@H](C)NCc2csc(COc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 538279054 IOCOOUOMDYUCKY-CQSZACIVSA-N 401.463 4.929 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)NC)c1 539630238 QRKJXOCUSUWSJL-UHFFFAOYSA-N 421.906 4.752 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@@H](C)C1 539858329 FURPMFYHHNWGHD-GHTZIAJQSA-N 409.530 4.645 5 20 HJBD COc1cccc([C@H]2C[C@H](C)CN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 543370161 YZJXZKYQUQIYKR-KBXCAEBGSA-N 418.877 4.635 5 20 HJBD C[C@H]1N(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCC12CCOCC2 543593206 KYKYYDFMIZJCAF-MRXNPFEDSA-N 411.458 4.810 5 20 HJBD COc1ccccc1-n1ncc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1C 543993008 QRINMJJCUXCAOY-UHFFFAOYSA-N 420.469 4.687 5 20 HJBD CCCc1noc2nc(C3CC3)cc(-c3nc(-c4ccc([N+](=O)[O-])cc4C)no3)c12 546400865 JGONOXIYJNZDKF-UHFFFAOYSA-N 405.414 4.986 5 20 HJBD O=C(c1ccc(F)c(Cl)c1F)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 546893648 BLDADLWXTATZNI-UHFFFAOYSA-N 408.710 4.731 5 20 HJBD O=C(CCSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccccc1F 550970537 NWUPQNIYVBWTON-UHFFFAOYSA-N 401.419 4.651 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC=C(c2ccco2)C1 552270161 GISFZAAPYFCPKZ-UHFFFAOYSA-N 404.422 4.696 5 20 HJBD Cc1c(C(=O)N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)cccc1[N+](=O)[O-] 553380161 CNZRNUNSCBDDDY-XIKOKIGWSA-N 408.376 4.524 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(F)c(C(F)(F)F)cc1F 553725190 LQOJFRIWMLWCJR-VIFPVBQESA-N 420.359 4.689 5 20 HJBD O=C(N[C@H]1CCSc2c(F)cccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555136999 WRIFMOSTXVBKQR-AWEZNQCLSA-N 400.353 4.720 5 20 HJBD O=C(CCCc1nc(-c2ccc([N+](=O)[O-])s2)no1)c1ccc(Br)cc1 558370450 QNWSZUMFBARRCU-UHFFFAOYSA-N 422.260 4.674 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@](F)(c2cc(F)cc(F)c2)C1 571811405 WEENDNIUIFEDME-IBGZPJMESA-N 410.417 4.696 5 20 HJBD CC(=O)c1cc(N2CCc3[nH]c4ccc(Br)cc4c3C2)ccc1[N+](=O)[O-] 587142084 LVSMWFKRIQXZDQ-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD Cc1ccc(-c2nsc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)s1 608944606 DJDIDCIZBQVZFS-JTQLQIEISA-N 406.514 4.603 5 20 HJBD COc1cc(CCNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])ccc1O 609631005 QZRRACRUODDCST-UHFFFAOYSA-N 421.453 4.524 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)Cc2cccs2)cc([N+](=O)[O-])c1OC 610169014 MULULYWOXWEQSN-UHFFFAOYSA-N 418.496 4.578 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc(OC)c1 611198301 LWSJJPNSMOITFF-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD C[C@H](Cn1cccn1)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727766740 FVDDLUJZDIFULG-QGZVFWFLSA-N 409.489 4.948 5 20 HJBD C[C@@H](OC(=O)COc1ccccc1OCc1ccccc1)c1cccc([N+](=O)[O-])c1 730745068 ZBCNRXDCGNPNDH-QGZVFWFLSA-N 407.422 4.857 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)CCc3ccccc3F)cc2[N+](=O)[O-])C1 731592908 WDTMFEPTDZHFMO-IAGOWNOFSA-N 413.493 4.531 5 20 HJBD COc1cc(C=O)c([N+](=O)[O-])cc1OCC(=O)Nc1ccc(C(C)C)cc1C(C)C 731606879 NTWUYNZNLHRBES-UHFFFAOYSA-N 414.458 4.680 5 20 HJBD O=C(Cc1csc(-c2ccc(F)c(F)c2)n1)OCc1ccc(Cl)c([N+](=O)[O-])c1 735901689 AXHWERIZMFYDJD-UHFFFAOYSA-N 424.812 4.936 5 20 HJBD COCc1c(C(=O)OCc2ccc([N+](=O)[O-])cc2Br)oc2ccccc12 739580066 LNTNNRGHEMYBJT-UHFFFAOYSA-N 420.215 4.607 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 742024589 YJZVLHDWNFOPCP-INIZCTEOSA-N 405.292 4.759 5 20 HJBD COc1cc(C(=O)OC2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])cc1OCc1cscn1 744213367 SRKXXLJZLOVPQE-STQMWFEESA-N 420.487 4.620 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)o1 744562732 RGJNLUDOIQUPDD-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745299270 CRGZJYFDWUXTKT-GHMZBOCLSA-N 411.241 4.576 5 20 HJBD O=C(NC[C@@H]1CC2c3ccccc3C1c1ccccc12)c1cc(F)ccc1[N+](=O)[O-] 745372472 XDQBQEXKJLMTIF-FUGJCYLZSA-N 402.425 4.761 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1OCC(C)C 749905688 IGNSQZQSLHFFBK-ZDUSSCGKSA-N 406.866 4.783 5 20 HJBD O=C(NCc1cccc(COCC(F)(F)F)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 750264104 YNETXEIPCZAUPG-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 752864775 SSLWELLVZNAHIN-LBPRGKRZSA-N 409.305 4.658 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 758114599 OKCLYYFVEGSPAO-UHFFFAOYSA-N 424.384 4.630 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)c1[N+](=O)[O-] 758472572 IRQBENBTZYDKNN-INIZCTEOSA-N 413.232 4.563 5 20 HJBD CSc1ccc(C(=O)N2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 767189496 HVAMCNUGJZLNAM-AWEZNQCLSA-N 410.417 4.965 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)ccc1OC1CCCC1 769485061 YPVCEVPDJSTASR-LBPRGKRZSA-N 420.412 4.694 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)c2)CC1 769774712 DQTPEKPORUQENO-UHFFFAOYSA-N 401.894 4.775 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)CSc1ccccc1[N+](=O)[O-])C(C)C 771796416 ZNPHWKJIVKVMBV-UHFFFAOYSA-N 415.515 4.586 5 20 HJBD CC(C)c1cccc(C(=O)O[C@@H](c2ccc(Br)cc2)C(C)(C)[N+](=O)[O-])n1 774374211 WKCKRTFSWBGPCB-KRWDZBQOSA-N 421.291 4.921 5 20 HJBD C[C@H](N[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775458204 KMVFFQUZDFJCEI-MNOVXSKESA-N 415.799 4.945 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(OC)c(N3CCCCC3=O)c2)cc1[N+](=O)[O-] 776847258 JKWKTWOJMWEMEE-OAHLLOKOSA-N 413.474 4.692 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)OCc1ccc([N+](=O)[O-])cc1 782189500 LRHHIRLKNXSWSL-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc3c2CCN3C(=O)OC(C)(C)C)c1F 790832134 VHURWGAXIRILGO-UHFFFAOYSA-N 415.421 4.592 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 797833589 GKAFCMAVLMGQOR-CTYIDZIISA-N 421.375 4.928 5 20 HJBD O=C(Nc1cc(F)c(Br)cc1Cl)c1cc(F)c([N+](=O)[O-])cc1F 798531124 LZVFKNJZBTVJKS-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Oc2ccccc2)cn1 799551086 DFEYEAQDHUQNOX-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 801094727 VPSMXLLHIMTPQN-UHFFFAOYSA-N 410.361 4.573 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 808950967 OINNOVBQVBYYES-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD COc1ccc(C(C)(C)C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811217054 PEXOOWDMZNLCQK-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812926715 TYRVLBHQTBZCQU-UHFFFAOYSA-N 402.621 4.766 5 20 HJBD COc1ccc(OC(=O)c2ccccc2SCc2csc(C)n2)c([N+](=O)[O-])c1 841379442 VNNKOSRKLOSDOQ-UHFFFAOYSA-N 416.480 4.880 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cccc(Br)c1 917786045 JGKBFVSDKAYMET-MZEUMTGBSA-N 401.260 4.734 5 20 HJBD CCn1c(-c2cccs2)nn(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1=S 919950947 ZITBJCYCRAODQI-UHFFFAOYSA-N 417.560 4.939 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)NCc3cccc([N+](=O)[O-])c3)cccc2c1=O 921288750 SUNURDQMOVOYMC-UHFFFAOYSA-N 414.417 4.607 5 20 HJBD Cc1cc(C(=O)OCc2ccc(Br)c([N+](=O)[O-])c2)nc2ccccc12 1116804193 FOYHHQAOTGLFPU-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 1321491795 VWTYQVIKVUCKHR-UHFFFAOYSA-N 423.322 4.718 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCCc2nc3ccccc3s2)o1 1341399224 UJMIBSBYLMLLBQ-UHFFFAOYSA-N 410.455 4.732 5 20 HJBD CCn1c(SCc2cn(C)nc2C(F)F)nc2cc3ccccc3cc2c1=O 1342792826 XZBZYQNMCHITSM-UHFFFAOYSA-N 400.454 4.533 5 20 HJBD COc1cc(CNc2c(Br)cccc2[N+](=O)[O-])cc(Cl)c1OC 1516690932 ZIOBNSCCZJKCGX-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])cc1Cl 1984624 DVHGQCZVCYUUMS-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6847736 IDCVBORWLJIKGX-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Br)cc2Cl)cc1[N+](=O)[O-] 7748062 WKQFEHKLPMPZLZ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(Nc1ccc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)c1ccco1 11146654 DIGSAXLVDOKSAY-UHFFFAOYSA-N 421.434 4.585 5 20 HJBD CC(C)c1ccc(N2C(=O)/C(=C\c3cc([N+](=O)[O-])ccc3Cl)NC2=S)cc1 15257266 HSORUBGJKTYNEB-LICLKQGHSA-N 401.875 4.634 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Nc1ccccc1-c1ccccc1 18079535 IBYKGUBYOLGGFJ-UHFFFAOYSA-N 417.421 4.589 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1oc2ccc(Br)cc2c1C 19159626 NDIJQZFQQBMGAO-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nc3ccc([N+](=O)[O-])cc3s2)cccc1[N+](=O)[O-] 23213923 GYUPLBHUAYKUTL-SNVBAGLBSA-N 418.456 4.540 5 20 HJBD Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374942 ZVNVGMYHMWGATL-UONOGXRCSA-N 412.467 4.541 5 20 HJBD CC(=O)N(c1nc(COc2ccc([N+](=O)[O-])cc2F)cs1)c1ccccc1F 42178742 DLJYBWGBWALISU-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(NC(=O)Nc2ccccc2)cc1 47255603 BWVIKGARHMLMIL-INIZCTEOSA-N 418.453 4.659 5 20 HJBD CCOc1cc(F)ccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 55152792 BHNHKMQXDTYZDF-SNVBAGLBSA-N 415.343 4.591 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H](c2nc3ccccc3s2)C1 56139635 AIQARWQWWCKAEP-CQSZACIVSA-N 406.467 4.706 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 62916717 PTXTXEWOXQHVEQ-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2cccc([N+](=O)[O-])c2C)cc1OC 110237284 KRZTYDJWILICNK-SFHVURJKSA-N 412.486 4.548 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2nc(C)cs2)cc1[N+](=O)[O-] 115087585 YAVGLYJXKJUKRA-UHFFFAOYSA-N 413.480 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2 410051765 ZHFCKJPFKLZETN-HSZRJFAPSA-N 402.450 4.748 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431772002 PIFOLYCYFZMLGE-NSHDSACASA-N 403.232 4.937 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(c2ccccc2)CC1 435941144 SZWVICLJVBGKLM-UHFFFAOYSA-N 400.453 4.840 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc2cc(Cl)ccc2s1 435983979 NAJRPZLULPVZIW-UHFFFAOYSA-N 407.854 4.938 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](c3ccccc3)c3ccc(F)cc3)o2)cc1 440414681 KNAARBQSYMBKAC-NRFANRHFSA-N 404.401 4.663 5 20 HJBD O=C(Nc1ccc(-n2ccnn2)cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440461764 BHOGELSWSVBWLX-UHFFFAOYSA-N 417.450 4.579 5 20 HJBD C[C@H](C(=O)Nc1cc2c(cc1Br)CCC2)c1ccc([N+](=O)[O-])cc1F 443867642 JUDRBMUTVMFOPF-JTQLQIEISA-N 407.239 4.727 5 20 HJBD CC[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1ccccc1Cl 444112915 QMUVRYZFLAELBO-INIZCTEOSA-N 420.896 4.922 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)cs1 445637779 PBLWERZEJANDRV-CQSZACIVSA-N 422.510 4.856 5 20 HJBD Cc1nn(C)c2sc(C(=O)Nc3ccc(OCc4ccccc4)cc3[N+](=O)[O-])cc12 446433577 CFPRDKLXCLNYJP-UHFFFAOYSA-N 422.466 4.683 5 20 HJBD C[C@H](c1ccccc1)N(C)C(=O)CSc1ccc(Br)cc1[N+](=O)[O-] 447357283 VOVAPLUIESGOCG-GFCCVEGCSA-N 409.305 4.669 5 20 HJBD C[C@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)[C@H](OC1CCOCC1)c1ccccc1 447588944 VYDDHNFKINONNK-VWMXVWASSA-N 410.514 4.887 5 20 HJBD CCc1cncc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 448340215 AWROWLQPWLKYAO-UHFFFAOYSA-N 410.499 4.979 5 20 HJBD CN(C(=O)Cc1cccc(C(F)F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 448340511 CIMYDPDMLRFVDA-UHFFFAOYSA-N 403.410 4.861 5 20 HJBD CC[C@H](Cc1ccccc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460292708 HIVJMJWNZJJYMV-OAHLLOKOSA-N 410.392 4.629 5 20 HJBD Cc1nc(-c2cccs2)ccc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464625878 IYIOJGRWFJUOTK-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(N2CCCC2=O)cc(C(F)(F)F)c1 464721564 XNGLSQDZIWFDEB-UHFFFAOYSA-N 421.375 4.555 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2cccc(F)c2)C2CCCC2)c([N+](=O)[O-])cc1OC 465521686 IVPVAAUCEPANGS-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 465684443 JSBUYYBTHDCQNW-MRXNPFEDSA-N 405.454 4.831 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)COc2ccc([N+](=O)[O-])cc2)c1 466620760 OAQXARZYVZBFAO-UHFFFAOYSA-N 411.845 4.564 5 20 HJBD CCc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cnn1-c1ccccc1 466653192 FJIDMKCZHGLYEI-UHFFFAOYSA-N 407.474 4.785 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNC1CCN(c2nc3ccccc3s2)CC1 468604107 XEQHRTJZAGCNIB-SSZFMOIBSA-N 408.527 4.866 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)COc1ccccc1[N+](=O)[O-] 468792690 BLANLQVFLNXDOO-OAHLLOKOSA-N 411.483 4.625 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 480286040 HCOZKAROWRFDCE-INIZCTEOSA-N 403.438 4.647 5 20 HJBD CC[C@@H](CNC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])Oc1ccccc1Cl 482600482 VRPTWYGXQJYSLU-LBPRGKRZSA-N 424.906 4.566 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2OC2CCCC2)cc1SC 482990523 ISFACRTUILOUSS-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD COc1ccc(N(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C(C)C)cc1F 483579063 PBCLHJNBBRUHNT-UHFFFAOYSA-N 408.451 4.528 5 20 HJBD C[C@@H](NC1CCN(Cc2ccc(Br)cc2)CC1)c1cccc([N+](=O)[O-])c1 484385072 KPUZITFWLHUUKY-OAHLLOKOSA-N 418.335 4.673 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1sc(Br)cc1[N+](=O)[O-] 485888022 JYZKETACQCGWFR-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD Cc1occc1C(=O)Nc1cc(N=c2c([N+](=O)[O-])c[nH]c3ccccc23)ccc1F 487936034 UJNFCHBKHDGLKX-UHFFFAOYSA-N 406.373 4.601 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 488555713 POZOHODDAIGFNX-MRXNPFEDSA-N 406.529 4.544 5 20 HJBD C[C@H](N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1cccnc1 499063704 CNWIGZOUUPKZPM-VBKZILBWSA-N 410.861 4.674 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)[C@H](C)c1ccc(F)cc1 502495949 PHMPSTDPKOATGD-MRXNPFEDSA-N 424.476 4.819 5 20 HJBD CCOC[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 504147309 ZKGDYXGMQYTKPP-QGZVFWFLSA-N 414.527 4.943 5 20 HJBD CN(Cc1c(F)cc([N+](=O)[O-])cc1F)[C@@H](c1ccc(Cl)cc1)c1cnccn1 509021835 MICKQXWCSSHTQL-IBGZPJMESA-N 404.804 4.538 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 513005251 UJQDHIMQMISZCG-WKCHPHFGSA-N 405.498 4.746 5 20 HJBD COc1ccc(OC)c(NC(=O)[C@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1 518725188 KGISSCWWDZWUBE-HNNXBMFYSA-N 422.437 4.685 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1ccc2nc(C(C)C)sc2c1 520739052 RQZZNPJKLUUDLF-LBPRGKRZSA-N 414.487 4.776 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cc1 520966821 FHYHDNBDWYXCHF-KRWDZBQOSA-N 406.486 4.563 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 524212341 SAGAWSGFFAJHSM-SNVBAGLBSA-N 420.252 4.989 5 20 HJBD CC[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)[C@H](c1ccc(C)cc1)n1cncn1 534424752 ZCNKVKKTUJRSCP-UGKGYDQZSA-N 402.458 4.523 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(C(=O)NCc2ccccc2)c1 536711906 RODXHXQXSUFOPN-UHFFFAOYSA-N 417.465 4.702 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](c2n[nH]c(C3CCCCC3)n2)C1 537542610 AXYYHCLTROGELS-OAHLLOKOSA-N 423.473 4.527 5 20 HJBD COc1ccc([C@@H](CO)N(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 537624017 GXZMSAKUXOJJJO-HXUWFJFHSA-N 416.861 4.682 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)n2)cc1 538745536 FDLMOYTXPGSYNR-UHFFFAOYSA-N 424.482 4.854 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])CCC2 540587225 DYPHLULNHGMSSF-KRWDZBQOSA-N 422.510 4.825 5 20 HJBD CCc1ccc([C@@H](NCc2ccccc2-n2ccnc2)c2ccc([N+](=O)[O-])cc2)o1 544366119 QSGUDBYHRUSWCV-QHCPKHFHSA-N 402.454 4.815 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCCC3)c3ncccc23)c1 548996957 JDLFZFJTKLSCOT-UHFFFAOYSA-N 420.469 4.784 5 20 HJBD COCCc1ccnc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1 553348644 UUDVNJVAIQBHLN-UHFFFAOYSA-N 403.504 4.569 5 20 HJBD Cc1noc([C@@H]2CCCCN2C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)n1 553723359 PDAAAGFIGCEKBQ-IBGZPJMESA-N 424.482 4.805 5 20 HJBD O=[N+]([O-])c1cc2c(Nc3cccc(C[S@](=O)c4ccccc4)c3)ncnc2s1 561227676 HHFIJGQJSWUGHM-NDEPHWFRSA-N 410.480 4.651 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 578077059 RJDVXCHZUAFJBT-HOTGVXAUSA-N 400.475 4.763 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)ccc1[N+](=O)[O-] 583100703 TYYOVONPNIKMLQ-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD C[C@@H](C(=O)N1CC(Cc2cccc(C(F)(F)F)c2)C1)c1ccc([N+](=O)[O-])cc1F 590422788 NJPHDTBNCKDNKA-GFCCVEGCSA-N 410.367 4.557 5 20 HJBD CC(C)(Oc1ccc(Cl)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638711 QPOUOCTWNPASQD-UHFFFAOYSA-N 400.765 4.646 5 20 HJBD Cc1ccc(-n2ncc(C(=O)NCc3cccc([N+](=O)[O-])c3)c2C2CC2)cc1Cl 604471007 QOSRYCQZGRWBTL-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])cc1OC(F)F 609216165 IMZJHIGWKQNWBG-SFHVURJKSA-N 424.375 4.615 5 20 HJBD O=C(Cc1cc(F)cc2c1OCOC2)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762151 ONKGQNFIFROYBB-UHFFFAOYSA-N 424.384 4.574 5 20 HJBD O=C(Nc1cccnn1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609860888 DGEPCDJQEKRPQB-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NC(=O)CCOc1ccccc1[N+](=O)[O-] 609909141 YQQIIBWFYCUHAL-SFHVURJKSA-N 410.495 4.834 5 20 HJBD COc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3Br)CCCC2)cc1 610064199 ZMANBQGXWWXYKC-UHFFFAOYSA-N 419.275 4.565 5 20 HJBD Cn1ccnc1[C@@H](NCCc1ccc(-c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 611560848 OSQJMDMMYIOUKW-DEOSSOPVSA-N 412.493 4.917 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@H]1c1ccccc1C(F)(F)F 728061605 VXIKSZLTYSSNBC-SFHVURJKSA-N 407.392 4.698 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1F 731326677 IIVGBJULULURJQ-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1(c2c(F)cccc2F)CCCC1 742399421 IGJYTXUZDCEFIG-UHFFFAOYSA-N 417.412 4.754 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745074874 WTDOGYRLGSMHTQ-HOTGVXAUSA-N 412.486 4.956 5 20 HJBD CC/C(=C\C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(Cl)c1 745752667 HESUYJHJRCHPOT-SDNWHVSQSA-N 402.834 4.532 5 20 HJBD C[C@@H](Oc1ccccc1Br)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 746077710 YABHNIBDZQHJIA-SNVBAGLBSA-N 414.639 4.521 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c1C 749507408 BGARUAIXDGFLRD-UHFFFAOYSA-N 404.438 4.765 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)on1 752416987 QISNRHWJFGSBES-HNNXBMFYSA-N 411.483 4.983 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1NC(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] 756530137 SLTPCKGPKKGWOF-CQSZACIVSA-N 400.431 4.789 5 20 HJBD Cc1nnc(S[C@H](C)C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n1[C@@H]1CCC[C@H](C)C1 758166399 WEWKYVUHBZJOLG-YWPYICTPSA-N 421.498 4.504 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758730780 NMDXIGWWBHCISY-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1ccc(Br)c([N+](=O)[O-])c1 762523706 MMFFDZRMULUKQA-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](C)CN(C)C(=O)OC(C)(C)C)no1 764914691 HPJOIMVALHVNRO-ZBFHGGJFSA-N 418.494 4.685 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)c(F)c1 769711539 QZDMTACSSCHDBT-GJZGRUSLSA-N 415.465 4.525 5 20 HJBD Cc1ccc(-n2c(SCc3ccc([N+](=O)[O-])c(F)c3)nnc2-c2ccncc2)cc1 787490070 CGQQLYCSXKRQRM-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD O=C(Nc1ccc(Oc2ncc(Cl)cn2)c(Cl)c1)c1cc(F)ccc1[N+](=O)[O-] 790211737 GHDAEPBWHXVDDH-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)c1F 790517015 HQIRWGMDAUTNGU-UHFFFAOYSA-N 402.769 4.530 5 20 HJBD COc1ccc(-c2ocnc2C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811086567 YERIXTZTRDTSEP-UHFFFAOYSA-N 423.208 4.922 5 20 HJBD CC(C)(C)c1ncc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 811220943 VUZSPTHJGQOZLO-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1c(-n2cccc2)sc2c1CCSC2 825487380 BTTQYWIMRVKRRN-UHFFFAOYSA-N 421.450 4.767 5 20 HJBD COc1cc(C(=O)NC[C@@H]2CCCC[C@@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 920038206 JDLALQJZRHGLFC-JSGCOSHPSA-N 414.449 4.787 5 20 HJBD O=C(Nc1ccc(-c2ccc(Cl)s2)nc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1321776062 ULXYNKNZDJERPQ-UHFFFAOYSA-N 400.847 4.919 5 20 HJBD Cc1sc2ncnc(SCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c2c1C 6113588 HQFYICZISVTXRI-UHFFFAOYSA-N 408.892 4.601 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1cc(Br)cs1 44631552 ZTMGQTXETOMJMF-SNVBAGLBSA-N 415.334 4.558 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@@H](C)c1cccc(OC)c1 45508627 LNLFYCGQCCPYFF-SFHVURJKSA-N 414.505 4.773 5 20 HJBD CCOc1cc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(F)F 47345047 ULMIZLCIWNLEPX-UHFFFAOYSA-N 415.780 4.570 5 20 HJBD COc1ccc(OCCS[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 50249832 PKEKWGKUVBIPPV-CYBMUJFWSA-N 401.444 4.527 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1oc2ccccc2c1COc1ccccc1 64776958 VHEZYKCUYILBPV-UHFFFAOYSA-N 416.433 4.893 5 20 HJBD O=C(Nc1cccc(Br)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64860439 PUKWRTAIPPOLHX-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1ccc([N+](=O)[O-])cc1F 97497756 OMQKRFCUORXJCZ-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD C[C@@H]1CCCC[C@@H]1NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 97632529 VVYMJVGHXWUFRV-ACJLOTCBSA-N 415.877 4.809 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CC[C@H](Nc2ccccc2)C1 110548495 OULAORPSAMCZAS-SFHVURJKSA-N 403.438 4.714 5 20 HJBD COc1cc(CNc2cccc(NC(C)=O)c2)c([N+](=O)[O-])cc1OCc1ccccc1 237284178 MAGNUCRYYSCHCG-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD COc1cc(OC)c(OC)cc1CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1 237317201 SONZUMCWSSPXDL-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD COc1cccc(-c2noc([C@@H](C)NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)n2)c1 237577403 WNGBVZTVMVAIMH-CQSZACIVSA-N 420.425 4.764 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1C 427444676 SOKGULDCLGACIC-HNNXBMFYSA-N 408.458 4.902 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(Br)cc1C(F)(F)F 427599574 PHTICXAYWFAGGR-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cn1cc(CN(Cc2ccc(Cl)cc2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cn1 431234183 CNGUGBZJOKXMSS-UHFFFAOYSA-N 424.844 4.571 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cc4c(cc3Br)CCC4)n2)c1 435888238 HNKRIIRIOAUASJ-UHFFFAOYSA-N 415.247 4.508 5 20 HJBD CCCOc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(C)c1 437885291 BBKDRXYOJDPZQI-UHFFFAOYSA-N 413.474 4.767 5 20 HJBD CC1(C)[C@H]2OCCC[C@H]2[C@H]1NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440621343 COAXNWJEIODUOF-BEVDRBHNSA-N 412.511 4.679 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(Br)s1 440871376 VOQFKTQRKAYPOF-WCQYABFASA-N 411.321 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc2c(C)nn(-c3ccccc3)c2n1 444737349 RIEXCHMTNBWNAW-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4ccc(F)c(F)c4)no3)cs2)cc1 445443841 UEFGSRXPSBQOFH-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD Cc1ccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1NC(=O)NC(C)(C)C 445485150 YJPIJLFZJRFDBX-UHFFFAOYSA-N 401.448 4.602 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cn1)N[C@@H](c1ccccc1)c1cccc(Cl)c1 448103616 VFNOFOUWROOZDC-FQEVSTJZSA-N 413.886 4.641 5 20 HJBD CCCc1c(NC(=O)[C@@H](CC)Cc2ccc([N+](=O)[O-])cc2)cnn1-c1cc(C)ccn1 462745136 NUVWDMFBIOFRBZ-SFHVURJKSA-N 421.501 4.644 5 20 HJBD CC[C@@]1(C)CCCN(C(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)C1 464594806 VOVZMVYUGTUGTQ-DEOSSOPVSA-N 423.513 4.544 5 20 HJBD CC(C)(C)Nc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 466511460 AUMXURXPUQXLST-UHFFFAOYSA-N 406.280 4.749 5 20 HJBD C[C@@H](O)C[C@@H](C)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467791642 ZCSCZPJIYDSZJH-CHWSQXEVSA-N 408.907 4.536 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 469045591 PJCDPLXEOPRPKH-MRXNPFEDSA-N 424.526 4.972 5 20 HJBD C[C@@H](NCc1ncnn1-c1ccccc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 479462604 HUUXSMSCRLMVAI-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 479891800 WMQJRTMGGCHWQV-UHFFFAOYSA-N 408.483 4.839 5 20 HJBD O=C(NCc1nccc2ccccc12)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 482025309 MGCUFXFWBLSXOP-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(SCCOC)cc1 484595166 WBERMMPVCDTLRA-AWEZNQCLSA-N 420.556 4.936 5 20 HJBD COc1ccc(Cc2nnc(S[C@@H](C)c3cc([N+](=O)[O-])ccc3C)o2)cc1OC 484690544 PIMNRXKVMHEOPI-ZDUSSCGKSA-N 415.471 4.748 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1cnn(-c2ccc(C)cc2)c1C(C)C 484824434 VGVCTIKQJVBFSQ-UHFFFAOYSA-N 423.473 4.543 5 20 HJBD O=[N+]([O-])c1ccc(N(CCc2ccccn2)Cc2cccnc2)nc1-c1ccccc1 485419715 FVFMUJNLOWYGPA-UHFFFAOYSA-N 411.465 4.696 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(-n3nc(C)cc3C)c(F)c2)c1[N+](=O)[O-] 485752224 WHSGLQNKMWFFOD-UHFFFAOYSA-N 400.435 4.511 5 20 HJBD CCc1c(NC(=O)c2ccccc2[N+](=O)[O-])cnn1-c1ccc(C(F)(F)F)cc1 486064100 PFTHXQWWWWOJQY-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCCC[C@H]2c2ccc(C)o2)c(C)c([N+](=O)[O-])c1 486901859 NEJMVSBJGNUEOZ-KRWDZBQOSA-N 415.446 4.740 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)Cc1cc(C)nn1-c1ccccc1 489365288 RRNQMTDWFURYGN-OAHLLOKOSA-N 424.526 4.562 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498131322 GQPFDQMYKUJCLQ-FQEVSTJZSA-N 411.508 4.535 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1Cl)[C@H](C)CC(=O)Nc1ccccc1 500680806 CERSLBBYIVQBLG-CQSZACIVSA-N 403.866 4.518 5 20 HJBD COc1ccc([C@H](C)NC(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1Br 505572877 OOICNVWCQZWEPY-NSHDSACASA-N 408.252 4.557 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C[C@@H](O)CO[C@H](C)c1ccc(Cl)cc1 513484912 NAIAVDVFNVWPNB-IIBYNOLFSA-N 406.910 4.597 5 20 HJBD Cc1ccc(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)cc1[N+](=O)[O-] 518567923 WKZWEFGQLVYJSG-KRWDZBQOSA-N 413.232 4.563 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 521989877 FPTRJSLXTUNZKI-MRXNPFEDSA-N 400.870 4.505 5 20 HJBD CSc1ccc(C(=O)Nc2ccnn2[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 522247966 JOPLZHAIQRENEA-QGZVFWFLSA-N 408.483 4.691 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 522570846 CBRJEFZIJOKXER-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1ncc(-c2ccc(Br)cc2)o1 525116648 GPFAJBBVWNLERB-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1N1CCC[C@@H](c2nc3ccccc3s2)C1 531882040 MDFSGYZPGHXTQM-LLVKDONJSA-N 419.304 4.746 5 20 HJBD Cc1nc([C@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)sc1C 532510478 WAZJZMDFEJYCIU-KRWDZBQOSA-N 412.515 4.745 5 20 HJBD CCn1c(SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)nnc1-c1cccs1 535511993 VBRGHRVMMOMXNH-UHFFFAOYSA-N 413.484 4.882 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OC(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 538161954 IFJVAKUUNGUSHF-CYBMUJFWSA-N 410.417 4.843 5 20 HJBD C[C@H]1C[C@@H](NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCN1C 539070430 JSWNJSMXLCXRST-ZFWWWQNUSA-N 407.873 4.752 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCCCN(C)C)c1 540391786 UUKWPILDGJRMHQ-UHFFFAOYSA-N 417.531 4.678 5 20 HJBD C[C@H](CSc1ccc(F)cc1)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 546383326 JVOZYAKGCDHUNN-CYBMUJFWSA-N 402.451 4.667 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](c1ccc(F)cc1)c1cccs1 548407727 NVXJIMIHBJZMAR-GOSISDBHSA-N 401.419 4.715 5 20 HJBD CC(C)(C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1ccccc1[N+](=O)[O-] 550177291 SEKGPKFQZLKMTK-UHFFFAOYSA-N 406.404 4.739 5 20 HJBD CSc1cccc(C(=O)Nc2nc3c(Br)cccc3s2)c1[N+](=O)[O-] 553411013 BUHOVAQSMMPUST-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD COc1cc(CNCc2coc3ccccc23)c([N+](=O)[O-])cc1OCC(F)(F)F 555585927 BDNJAHAMDADJOR-UHFFFAOYSA-N 410.348 4.581 5 20 HJBD CCc1csc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 557006731 RVZHUEIIVXJKST-UHFFFAOYSA-N 406.514 4.782 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)[C@@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 564151033 RIFKCWQHTJUSLC-HNNXBMFYSA-N 407.470 4.594 5 20 HJBD O=C(Nc1cccc2nc(O)oc12)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 569657060 YLVAJKGUVDSTQS-UHFFFAOYSA-N 413.455 4.976 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)ccn2)cc1 577437273 VPXVAWHFIBAWRY-MRXNPFEDSA-N 421.453 4.601 5 20 HJBD Cc1ccc(C[C@H]2CCCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 578161984 BXLMONSQQSFFIS-JOCHJYFZSA-N 424.544 4.944 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccccc4Oc4cccnc4)n3)c2c1 589136723 MYZFRQDAPRYQIB-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccnn1Cc1cccc2ccccc12 603759612 FTBRJGMCZZXAQA-UHFFFAOYSA-N 418.478 4.724 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N(Cc1cc(Br)ccc1F)C1CC1 603840016 OFLLSQXZVASJMD-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CC(C)Cc1ccc(C(=O)C2CCN(c3nc4sccn4c3[N+](=O)[O-])CC2)cc1 603857453 SCBFFTTWFJWHJE-UHFFFAOYSA-N 412.515 4.602 5 20 HJBD COc1c(F)cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1F 604016958 VAFXDHGZIWHSHV-BETUJISGSA-N 419.428 4.616 5 20 HJBD Cc1c(CNC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccc(F)cc12 604443660 IAQSARXUVFOXNE-LLVKDONJSA-N 406.797 4.526 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCC[C@H]1Cc1ccccc1 608817344 OGFQONPRCWDIAU-SFHVURJKSA-N 407.495 4.956 5 20 HJBD COCc1cccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1 608972761 FPFJUNTXFIZSQB-UHFFFAOYSA-N 405.454 4.914 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)cs1 609598740 FYIBNBFSBRHJAY-CYBMUJFWSA-N 414.462 4.726 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(-c3nccs3)c2)c([N+](=O)[O-])c1 610093956 ZNCVCLDGFFYLPF-UHFFFAOYSA-N 411.487 4.650 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccccc1Br 610669729 NVDOQIHVSSDEKA-SFHVURJKSA-N 403.276 4.654 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccccc1Cl 611400302 FQCYHJOMKRAOEP-QGZVFWFLSA-N 408.907 4.866 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1-n1ccnc1C 611555423 DMDAMYDREKFPTR-UHFFFAOYSA-N 410.499 4.521 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3F)c2)cs1 613525962 IKCGLDWFEXDCCD-UHFFFAOYSA-N 403.460 4.897 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C\C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 729871769 IGVOQKKNZWPCIK-VSKPTYQZSA-N 423.425 4.579 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@H](O)c2ccc(Cl)cc2Cl)o1 732230258 LEOPDLPHDXSWMO-SFHVURJKSA-N 407.253 4.985 5 20 HJBD COc1cc(-c2nc(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)cs2)ccc1O 732807649 TWLGXBINJODVAU-UHFFFAOYSA-N 419.890 4.718 5 20 HJBD Cc1nc2c(s1)[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)CCC2 733066391 FRLBNOJYSMNPLT-SFHVURJKSA-N 401.488 4.584 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1cccn1Cc1cccs1 740581691 SNCGKISKHDZMNU-UHFFFAOYSA-N 421.272 4.626 5 20 HJBD COc1cccc(-n2c(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)nc3ccccc32)c1 741993406 ZZRUGTWKKCOWCM-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1csc(Cc2ccccc2F)n1 746076835 RFYMXEBKXLCQBF-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Oc3cc([N+](=O)[O-])ccc3OC)cs2)cc1 749783969 AKMLCRVRBREOLI-UHFFFAOYSA-N 414.439 4.735 5 20 HJBD Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 752983692 SPQMVTPMOVHQTH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1cc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 754410812 YBNKSHGNAJMIQE-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD Cc1ccc(C(C)(C)CNC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754996051 ISWHKNOKZNSKQZ-UHFFFAOYSA-N 409.255 4.512 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(F)cc1 755025235 WLXQDYPBSUUOEK-OAHLLOKOSA-N 408.227 4.865 5 20 HJBD Cn1cc(CNc2ccc(N3C[C@H]4CC[C@H]3C4)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 755225381 HPBKPUAMNUANKS-AOMKIAJQSA-N 403.486 4.596 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3)oc2c1)c1cc(F)c(F)cc1[N+](=O)[O-] 757774656 OXJJDWZGCHANAJ-UHFFFAOYSA-N 409.348 4.857 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)CCO2)cc1 763015584 HERZOSFZOPKHAC-PXNSSMCTSA-N 401.434 4.783 5 20 HJBD Cc1cc(F)cc(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)c1[N+](=O)[O-] 763842310 QJQDEAXNMGKRRO-UHFFFAOYSA-N 408.351 4.991 5 20 HJBD CC(C)c1ccc([C@@H]2CCCCN2C(=O)COc2ccc(C=O)cc2[N+](=O)[O-])cc1 765288325 QYPQPWHDSMBYMX-FQEVSTJZSA-N 410.470 4.663 5 20 HJBD Cc1ccc(CN2C(=O)c3ccccc3N[C@@H]2c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 765982521 MUEDUSNFVZINFB-NRFANRHFSA-N 418.409 4.578 5 20 HJBD CCCN(CC(=O)Nc1ccccc1C)Cc1ccc(Br)c([N+](=O)[O-])c1 768170932 ZDKGNTLGGCSRCK-UHFFFAOYSA-N 420.307 4.516 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770328579 WUEUKPMFIJWZRN-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)OCc1ccc(Cl)c([N+](=O)[O-])c1 776315737 WVMHNMYXTMQZTN-UHFFFAOYSA-N 406.822 4.797 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Br 782124965 ZCUZIUHXSXBEQK-WWGRRREGSA-N 403.276 4.764 5 20 HJBD C[C@@H](OC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(=O)NC1CC1 785642041 MQXSRKLLPXYKTD-OAHLLOKOSA-N 413.473 4.757 5 20 HJBD O=C(N[C@H]1CSc2ccc(Br)cc21)c1cccc(Cl)c1[N+](=O)[O-] 786021636 ITFLRZZWCYCPQF-LBPRGKRZSA-N 413.680 4.588 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2N)nc1-c1ccc(Cl)cc1 787111952 BIDNEAHYMKLMMF-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc(F)cc1[N+](=O)[O-] 787738717 KSOMYLMAUJSRRV-IBGZPJMESA-N 419.368 4.730 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1C(F)(F)F 789002041 RNQBLPFWWFTDRR-SECBINFHSA-N 401.724 4.695 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cnccc1-c1cccc(F)c1 790557151 PGDMXDNQYVUUSB-UHFFFAOYSA-N 403.772 4.770 5 20 HJBD CC(C)(C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 796443835 LKUCPEVLEJARMJ-UHFFFAOYSA-N 418.449 4.868 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cc2sc(N3CCCC3)nc2s1 796636351 NKQQDBXHZPNCGG-UHFFFAOYSA-N 408.892 4.772 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2noc(C(F)F)n2)cc1 805636874 QOLSTCSRIDAMMP-UHFFFAOYSA-N 409.732 4.593 5 20 HJBD C[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@@H](O)c1c(Cl)cccc1Cl 809923195 YHYWJYHZZNMNSJ-VXJOIVPMSA-N 418.664 4.799 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCC2=O)cc1 812932011 YANXWOSTKGYTAY-NSHDSACASA-N 422.268 4.519 5 20 HJBD Cc1ccc(-n2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cn2)c(C)c1 813208863 MSMHWDSURFUSLI-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cccc(-n2nccc2C(F)(F)F)c1 813243861 BTIBOTGOLXAJQP-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1ccc(Cl)c([N+](=O)[O-])c1 893100884 AEBSAFYGXXHIAL-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD CCOc1cc(NC(=O)C(F)(F)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OCC 921005368 BSZFNBONZREQFS-UHFFFAOYSA-N 414.792 4.776 5 20 HJBD O=C(Nc1ccc2c(c1)nc1n2CCCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 14910400 BQTWHCFONVVIJG-UHFFFAOYSA-N 419.485 4.523 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2C)c2ccc(OC)cc2)cc1 18704896 VYJBWUNTRMOZNV-JOCHJYFZSA-N 420.465 4.634 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)[C@H]3CCCc4ccccc43)cc2)c(C)c1[N+](=O)[O-] 19681379 ABJBEWIFIOKZGM-QFIPXVFZSA-N 418.497 4.606 5 20 HJBD CN(Cc1nccn1C(F)F)C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 29183725 RWJHGAKRTGOHIW-UHFFFAOYSA-N 418.425 4.610 5 20 HJBD C[C@@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 47285661 IBXABIIALJYSLZ-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2ccc(Oc3ccncc3)cc2)cc1[N+](=O)[O-] 65079857 SUPIDEPXEXOJCT-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)CC(C)(C)c2ccccc2)cc1SC 97547685 HVEHFNKGMFPIRO-AWEZNQCLSA-N 402.516 4.812 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1cc2cccc([N+](=O)[O-])c2[nH]1 109666740 DCHOSAJOTPXOAN-UHFFFAOYSA-N 421.457 4.736 5 20 HJBD Cc1nc2ccccc2cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 195611972 KNEKPTLRXFUKAU-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD CC[C@H](C(=O)N1CCC(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1)c1ccccc1 237077215 ODONJSBWRHFPFF-QFIPXVFZSA-N 423.557 4.993 5 20 HJBD CCn1c(Sc2nc(-c3ccccc3)ns2)nnc1-c1ccc([N+](=O)[O-])cc1 302325218 XPVNBOCCQMYAAI-UHFFFAOYSA-N 410.484 4.543 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCO[C@@H]1CCCc2ccccc21 303556334 HRUJSEGYUPEFQY-HRAATJIYSA-N 414.527 4.676 5 20 HJBD CC1(C)C[C@@H](NCc2ccc(NC(=O)c3cccnc3)cc2)c2cc([N+](=O)[O-])ccc21 433149332 CYGXBSWLXATMAJ-JOCHJYFZSA-N 416.481 4.754 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccc2[nH]c3ccccc3c(=O)c2c1 435832001 XTDRSVKVYADIOZ-UHFFFAOYSA-N 411.230 4.835 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2CN2C[C@@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] 439112213 BKICQXAPXCWEAW-IAGOWNOFSA-N 411.502 4.580 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443842650 MPILUQBEBSGEHD-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC(C)[C@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Nc1ccccc1 444616740 CYZKHYANBZGYLK-NRFANRHFSA-N 417.484 4.687 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc([C@@H]3CSc4ccccc4O3)no2)c1 446012165 NZZKLUQLGPHXKN-ZDUSSCGKSA-N 420.244 4.633 5 20 HJBD C[C@@H](NCc1ccc(Cl)cc1I)c1cccc([N+](=O)[O-])c1 447566400 SPFWSUHSYNIYHE-SNVBAGLBSA-N 416.646 4.704 5 20 HJBD CN(c1ccccc1)c1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cn1 460362843 ZXASRZJDLCEWPV-JOCHJYFZSA-N 420.494 4.646 5 20 HJBD O=C1c2cc(Cl)ccc2N[C@H](/C=C/c2ccccc2[N+](=O)[O-])N1c1ccncc1 463013714 SWHNAQWMFLEGIF-FKIZTMCRSA-N 406.829 4.755 5 20 HJBD C[C@H](Sc1nnc(COc2ccc(Cl)cc2)n1C)c1ccccc1[N+](=O)[O-] 463043202 JMDNZYAUTHJWDR-LBPRGKRZSA-N 404.879 4.809 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N(C)[C@@H](C)c1ccc(Cl)cc1Cl 464043390 RADVIKIKMNSGKE-LBPRGKRZSA-N 424.284 4.677 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc4c(c3)CCC4)c(C)s2)cc1[N+](=O)[O-] 464094635 TUZHSIRFKCTQHL-UHFFFAOYSA-N 409.467 4.776 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc([C@H]3CCOC3)no2)cc1Sc1ccc(Cl)cc1 466989830 PNTXRXVPRYLLRT-LBPRGKRZSA-N 403.847 4.953 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(Cl)cc2-c2ccccc2)ccc1[N+](=O)[O-] 468276159 HIPMLYGOFYXBJG-UHFFFAOYSA-N 412.829 4.941 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 471849053 RLFLEPMHOPDIPV-INIZCTEOSA-N 407.474 4.766 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc2sc(N3CCCC3)nc2s1 474099119 XZPZSRQCINBYJZ-UHFFFAOYSA-N 402.501 4.735 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2ccccc2C(F)(F)F)CC1 475550979 VHFFUWBQNCMWFH-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD CCc1sc(NC(=O)c2cccc([N+](=O)[O-])c2OC)nc1-c1ccc(OC)cc1 475639475 MWLAXCYYFPVPAR-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD Cn1cc(C(=O)N2CC=C(c3cccc(Cl)c3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 477389082 VPVYCBUYZPVULP-UHFFFAOYSA-N 422.872 4.578 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 478906965 LCRIUCSKILTSFU-UHFFFAOYSA-N 413.865 4.775 5 20 HJBD CC(C)N(Cc1ccc(F)cc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480086084 BGPLFOVAPNEMML-UHFFFAOYSA-N 414.355 4.726 5 20 HJBD CC(=O)c1ccc2cc(Oc3ccc(C(=O)c4nccn4C)cc3[N+](=O)[O-])ccc2c1 484827623 BSKGOYYZGKFTNE-UHFFFAOYSA-N 415.405 4.707 5 20 HJBD O=C(CCSc1ccccc1F)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486694594 NVJPFZVOCYKTSE-UHFFFAOYSA-N 402.369 4.551 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cs1 488672450 WPTJNWFEGYYSCA-AWEZNQCLSA-N 410.499 4.717 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1Cl 489016269 QFBLEYJSYACHKR-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc([C@H]4C[C@@H]4C)[nH]n3)cc2[N+](=O)[O-])n1 490239159 DUKSRKHFYURGDZ-CABZTGNLSA-N 415.500 4.610 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(F)cc3Cl)CC2)cc1SC 498331743 GQDOHCZVEYSVNK-UHFFFAOYSA-N 424.881 4.579 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](Oc2ccccc2Cl)C1 503543758 RVAZDJBXQVKQTL-LBPRGKRZSA-N 414.767 4.561 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 503647867 KXTNQUBJUGDVPX-LLVKDONJSA-N 402.248 4.672 5 20 HJBD CCOc1cc(Cl)ccc1CNC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 506589249 SDSSSIJTWMOUEK-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc(Cl)c(F)cc1Cl 508517689 BDJRXPJBLFQTBJ-UHFFFAOYSA-N 411.138 4.990 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 510027186 GYKFVBGSMIYUPK-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(Br)cc1F 510127412 GRWNZNPFQDFSSN-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)oc12 510891202 CASBMXLMJQPTLD-HNNXBMFYSA-N 411.458 4.685 5 20 HJBD CCCOc1cc(NC(=O)c2ccn(-c3ccccc3)n2)c([N+](=O)[O-])cc1OCCC 512246535 XQONOZZIRGVRBH-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD COc1ccc(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)cc1[N+](=O)[O-] 517856285 OLYOAUKZAHHBGR-LLVKDONJSA-N 415.446 4.665 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@H](C)c1ccccc1 520393695 STLACVYGEGEGNB-QAPCUYQASA-N 403.910 4.744 5 20 HJBD CCN(CC)CCOc1ccccc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 520552131 XUXBIXMMHNHELT-UHFFFAOYSA-N 406.870 4.613 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1Br 523484897 PKBPQDLPNLMJDB-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1 537098046 QSZZMCLAWIIJIA-VQTJNVASSA-N 417.893 4.698 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 537639716 HRLWHVCQNRLPPV-UHFFFAOYSA-N 406.486 4.615 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@H](CC)c2cccc([N+](=O)[O-])c2)c1 538627579 KOKSHGPTVIRWLK-IIBYNOLFSA-N 419.547 4.766 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 543121413 MFTKGRDQLBZGJO-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)CSc2ccccc2[N+](=O)[O-])s1 543143549 PSLLZJQQFJHVCY-UHFFFAOYSA-N 414.512 4.503 5 20 HJBD O=C(Nc1nc(Cc2ccccc2Br)cs1)c1ccc([N+](=O)[O-])s1 544067960 VPLHZTUBRCTLOK-UHFFFAOYSA-N 424.301 4.718 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(Cc4ccccc4[N+](=O)[O-])no3)cs2)cc1 545535277 WQYSICFPAOPOLS-UHFFFAOYSA-N 422.466 4.503 5 20 HJBD C[C@H](c1nc(-c2cnc(Oc3ccccc3)cn2)no1)c1ccc([N+](=O)[O-])cc1F 545809099 AZTIVJFGKCHBHH-LBPRGKRZSA-N 407.361 4.518 5 20 HJBD O=[N+]([O-])c1cccc([C@H](NCCc2cc(C(F)(F)F)ccn2)c2ccccc2)c1 550883640 YQYZXDOXDDPASI-HXUWFJFHSA-N 401.388 4.930 5 20 HJBD O=C(NCC1CC(F)(F)C1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 552676963 KRWFDKIOFDMLDL-UHFFFAOYSA-N 409.820 4.635 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3C[C@@H](C)C[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 553337660 RFCNSUXMCYLWCB-AOMKIAJQSA-N 418.497 4.680 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1cc2ccccc2o1 553457805 BAFUTUQMWQZUGJ-HSZRJFAPSA-N 401.422 4.659 5 20 HJBD O=C(c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1)N1CCC[C@H]1CF 557912486 RKSOATZKPWAPMZ-ZDUSSCGKSA-N 417.487 4.930 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3OC(F)F)cc2[N+](=O)[O-])C1 559304528 GVQOEJHJEFMHTJ-OKILXGFUSA-N 419.428 4.931 5 20 HJBD CC(C)c1[nH]nc(-c2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)c1[N+](=O)[O-] 561941941 OYHBWMDSFQRIAD-UHFFFAOYSA-N 407.352 4.590 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cc(F)c(F)cc3N3CCCCC3)n2)c1 562231429 PGCAGCAPNLPRON-UHFFFAOYSA-N 415.400 4.525 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 574894461 YARRZLXQTGQREA-LBPRGKRZSA-N 413.817 4.836 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2ccc(-n3cccn3)cc2)cs1)c1cccc([N+](=O)[O-])c1 581406657 CIZXAIGKOACTCD-CQSZACIVSA-N 419.466 4.646 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 589373174 LFCAVMCHQZIJEZ-QWRGUYRKSA-N 413.318 4.752 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1C[C@@H](CO)Cc2ccccc21 590404944 BBOPUMCYORODRS-INIZCTEOSA-N 420.490 4.557 5 20 HJBD CCNc1ccc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)cc1[N+](=O)[O-] 602231401 MXJMNRRVSSVJDB-UHFFFAOYSA-N 418.425 4.604 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 603619804 KANYEVYAEVPGSG-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD COCCn1c(SCc2ccc(OC)c([N+](=O)[O-])c2)nc2cc(Cl)ccc21 603722247 BPAXCUNIZOGQIM-UHFFFAOYSA-N 407.879 4.545 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603829704 UTPHETGVIDWGGZ-UHFFFAOYSA-N 419.306 4.879 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 603901723 VQVXKXQPUWADHF-UHFFFAOYSA-N 405.385 4.891 5 20 HJBD Cc1cc(C(=O)N2c3ccccc3CC[C@H]2C(=O)Nc2ccccc2)ccc1[N+](=O)[O-] 608799595 VCXHRZIACOCOLN-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 609215363 DDKRYKXGDCXMSC-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccncc1F 610157974 MYOZZGGCUCYMTD-UHFFFAOYSA-N 419.771 4.644 5 20 HJBD CCC[C@H](CCc1ccccc1)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610180479 BIXFMYCZMVSUKA-OAHLLOKOSA-N 405.292 4.889 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)CNc2ccccc2[N+](=O)[O-])cc1 610204353 HFBQXARMROKLPJ-INIZCTEOSA-N 405.454 4.560 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@H](C)C[C@H]1c1ccccc1F 610295716 RXWPIYFRWDNILF-IGCXYCKISA-N 406.841 4.764 5 20 HJBD O=C(CCSc1ccccc1F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 610420772 PFIFKXVHRVDLHF-UHFFFAOYSA-N 403.460 4.978 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H](C)c2cnccc2C)c2ccccc2)c([N+](=O)[O-])c1 611939999 QHNGWAQRVBAKQL-HTAPYJJXSA-N 404.470 4.637 5 20 HJBD CN(Cc1ccsc1)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1cccs1 619853688 TZAIFAPRWCYWBZ-UHFFFAOYSA-N 411.512 4.661 5 20 HJBD Cc1cc(C(=O)OCc2nnc(-c3c(Cl)cccc3Cl)o2)cc([N+](=O)[O-])c1 726639213 SSJDRPNWCBUPHQ-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD Cc1ccc(C2=CCN(C(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])CC2)cc1 734994278 WOVOZRRZWPUFKY-UHFFFAOYSA-N 400.862 4.641 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)ccc1F 736018659 NEKXRQBTKSDBDC-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 736867457 VGIGHWVWLUZEGY-MRXNPFEDSA-N 411.241 4.722 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 738663332 AQDNAMHXWVFCPQ-UHFFFAOYSA-N 414.389 4.842 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)CC[C@@H]1c1ccccc1 741974172 MHYWZXZRLZHXID-BBRMVZONSA-N 403.276 4.623 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CNc1cccc(CS(=O)(=O)C2CCCCC2)c1 742259740 PYOUXMNFIFFSOR-UHFFFAOYSA-N 406.479 4.594 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)CSc2ccccc2[N+](=O)[O-])cc1 742766168 DORBTRIPLACXIX-UHFFFAOYSA-N 418.471 4.664 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 746573476 FCJYPEXCKMZGMP-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1coc(-c2cccs2)n1 751645263 VWBIJPRCZAWCPO-UHFFFAOYSA-N 410.860 4.800 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 757995860 GGMGEPUFQXRPSQ-APWZRJJASA-N 423.282 4.849 5 20 HJBD COc1cc(COC(=O)c2csc(-c3ccccc3C)n2)c([N+](=O)[O-])cc1F 766194517 DWXNWYPPWSCUHN-UHFFFAOYSA-N 402.403 4.531 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 766345277 INQNRGFTNCGBFS-GBXCKJPGSA-N 409.530 4.630 5 20 HJBD CN(Cc1ccccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)C1CCCCC1 770062190 NCAUSHWBZBKOEM-UHFFFAOYSA-N 403.429 4.890 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](c1ccccc1)c1nccs1 770183586 LVKQPIOUAPIIBA-PXAZEXFGSA-N 418.858 4.805 5 20 HJBD COCc1c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)oc2ccccc12 770323124 DXFFMTXPHREELY-UHFFFAOYSA-N 405.204 4.502 5 20 HJBD CC(C)c1ccc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cn1 772019323 CVSXQHLXQADBSS-UHFFFAOYSA-N 405.410 4.585 5 20 HJBD Cc1c(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 774364743 QVMWHSGTEYQWKL-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccccc1NC(=O)c1ccccc1 777303379 YNQFUOJCTGNXNG-MRXNPFEDSA-N 404.422 4.694 5 20 HJBD C[C@@H](CCOC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)OCc1ccccc1 789040699 DAPFQHGYJLFBRJ-HNNXBMFYSA-N 412.467 4.871 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cnn1-c1ccccc1Cl 792525017 NWIBFBAKPGOIPF-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CC(C)(O)[C@@H](Oc1ccccc1)C(=O)OCc1nc2ccccc2c2ccccc12 795765865 XQIBXPCAJPXNCR-QHCPKHFHSA-N 401.462 4.650 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 815197942 KUDBUYWLZJPDHE-UHFFFAOYSA-N 403.744 4.537 5 20 HJBD Cc1cc(COc2ccc(C=O)cc2)oc1C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038763 GSMRVTKJSVNADK-UHFFFAOYSA-N 422.437 4.553 5 20 HJBD CN(Cc1c(Cl)cccc1Cl)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 914502036 PYBINDQFKNZZCN-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD COc1cc(CN(C)Cc2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 916984974 WGEDINVGDKZZLU-UHFFFAOYSA-N 410.445 4.954 5 20 HJBD Cc1cccc(C(=O)OCc2cc(Cl)ccc2OCc2cccnc2)c1[N+](=O)[O-] 918803167 WKEVAXLCDHEZGB-UHFFFAOYSA-N 412.829 4.888 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(Cc2cccc(OCc3cccnc3)c2)n1 1320206535 AJQMUWDRKSIPIQ-UHFFFAOYSA-N 416.437 4.826 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC[C@H](CCO)c2ccccc2)o1 1323117755 VQSAYJZKWPBRRH-INIZCTEOSA-N 400.862 4.764 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)sc2ccccc12 6047505 BCDIPCXGVGAJSF-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1nn(CC(=O)Nc2c(Cl)c(Cl)cc(Cl)c2Cl)c(C)c1[N+](=O)[O-] 8985568 JIJMUFBQMCWYKH-UHFFFAOYSA-N 412.060 4.660 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)NCCCSc1ccccc1 10082985 NBXPYMVOPLGGKY-UHFFFAOYSA-N 419.553 4.595 5 20 HJBD Cc1c(C(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)sc2cccc(F)c12 17150445 ASGVLUNBBUUXFW-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD CCn1c(S[C@@H](C)C(=O)NC2CCC(C)CC2)nc2cc3ccccc3cc2c1=O 26581158 IJEOWWGLWWVIDB-WUJZJPHMSA-N 423.582 4.745 5 20 HJBD CCN1C/C(=C/c2cc([N+](=O)[O-])ccc2Cl)c2nc3ccccc3c(C(=O)O)c2C1 206663588 RXLUWDOGMYQQKV-ZROIWOOFSA-N 423.856 4.871 5 20 HJBD O=[N+]([O-])c1cc(/C=C(/Br)c2ncc(-c3ccc(F)cc3)o2)ccc1O 213724790 BPBUAROYPWUEFJ-NTUHNPAUSA-N 405.179 4.988 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2cc3ccccc3s2)cc1[N+](=O)[O-] 410288990 IEXYBWLLHXEOMO-CYBMUJFWSA-N 416.524 4.929 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)cc1 426858739 XJEONHQXSRJYIH-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)NCCNc2ccc([N+](=O)[O-])cc2)c1C 428763275 BWIJOWMIJHXLJX-UHFFFAOYSA-N 414.506 4.842 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1OCC 429917338 AWUSRJFLRHQJSC-OAHLLOKOSA-N 400.475 4.547 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1O)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 436955721 LZALBIRWPGISJV-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NC(=O)c2cc3ccccc3c3cccnc23)n1 437127512 BGFCPZIXJAJALB-HNNXBMFYSA-N 424.460 4.938 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)ccn2)c1C 437133753 KAZXDQHFLVAKDD-UHFFFAOYSA-N 416.437 4.810 5 20 HJBD CC(C)(Cc1cccc(Cl)c1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 440560110 KFOJRCKLYJNIDN-UHFFFAOYSA-N 416.905 4.887 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N(Cc1ccsc1)c1cccnc1 440731190 SBHPSPGKZXJHSK-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Cc1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1)Nc1c(Cl)cccc1Cl 445374551 VZACZQCNFZGVCI-RMKNXTFCSA-N 419.224 4.636 5 20 HJBD CCn1c(S[C@H](C)c2noc(-c3ccccc3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 446053267 KYQPZCOZQOPLOT-CYBMUJFWSA-N 422.470 4.777 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@@H]4CCC[C@H]43)cc2[N+](=O)[O-])n1 460383456 DSEKBZHRHRNDEQ-DZGCQCFKSA-N 403.529 4.916 5 20 HJBD Cc1ccc([C@H](C)N(C)c2nnc(-c3ccc([N+](=O)[O-])cc3)n2C[C@H]2CCCO2)cc1 461057308 MTQMAZAAHXTNCK-LAUBAEHRSA-N 421.501 4.538 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1c2ccccc2CC12CCOCC2 462529896 RRUQBUAMRIXGDM-JOCHJYFZSA-N 423.513 4.609 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 463960734 ZHVQMRFJBSOALY-LBPRGKRZSA-N 403.389 4.839 5 20 HJBD CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467350274 OSDYVSDLZKYWHS-NDEPHWFRSA-N 423.922 4.617 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)c2ccccc2C(F)(F)F)c(C(F)(F)F)c1 468484129 QBHZVURPDGUWHK-UHFFFAOYSA-N 413.295 4.606 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472255843 IOKYKTORRYVJRF-ZDUSSCGKSA-N 402.419 4.605 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)n2)cc1 472448760 PZMIYUYNRVNEBN-GJZGRUSLSA-N 423.473 4.637 5 20 HJBD COCCCC[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 473530567 OLSKOFJBXXFKHL-JOCHJYFZSA-N 414.505 4.969 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cc(Cl)cc([N+](=O)[O-])c1 478773872 ZWESCWYPRBJJKT-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1oc2ccccc2c1C 481818196 TWXOEDSXZMZFDJ-CQSZACIVSA-N 414.483 4.879 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccncc1OCc1ccccc1 482207874 ISGHICLHEQIUCG-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccccc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486108827 VRGZXOQJHOJHMX-MSOLQXFVSA-N 404.388 4.908 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](OC)c1ccc(Cl)cc1 489292152 LUOZNBOSACLHMZ-KPZWWZAWSA-N 408.907 4.866 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(-n2cccn2)cc1 494707695 DXBBWUONZFFPEC-UHFFFAOYSA-N 422.388 4.530 5 20 HJBD Cc1ccnc([C@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C2CC2)n1 497519539 LUHXFEVLFFJBJN-HXUWFJFHSA-N 420.494 4.726 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC 502668948 NPXUKTQOPBOJQI-LBPRGKRZSA-N 407.854 4.928 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2ccc3c(c2)CCCO3)cc1[N+](=O)[O-])c1ccccn1 505961966 GEQXUPIIQMUPGF-HNNXBMFYSA-N 418.453 4.740 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc2ccccc2n1CC 506209815 LXHIXRRVLJDELE-CQSZACIVSA-N 412.515 4.958 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 506212928 UBKQPVTWSMUADY-UHFFFAOYSA-N 409.339 4.597 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 510141831 DBLZKRWCNIHZAO-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD Cc1cccc([C@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])C(F)(F)F)c1 511821945 DBIQAZPRJFITCG-AWEZNQCLSA-N 417.181 4.699 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(C(=O)OC)c(F)c1 512550920 ONXJZGQGORSGQF-UHFFFAOYSA-N 424.425 4.804 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 516343789 WKUZXRGUDFLRCL-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD Cc1nc2sccn2c1CN(C)C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 519110064 PABTTXQVBLUMSK-UHFFFAOYSA-N 421.482 4.628 5 20 HJBD O=C(NCc1ccccc1C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 519914175 KQKXFWMGVPYAGB-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2C)NC(=O)c2ccccc2[N+](=O)[O-])cc1OC 520746532 GAHVVSWRBKGMJX-HXUWFJFHSA-N 420.465 4.634 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)ccc2SCC(F)(F)F)c1 524241241 XTCDAEZRAKOWKN-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CCCCN(CCOC)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 524625368 YJIVCRSENTYYCS-UHFFFAOYSA-N 406.866 4.929 5 20 HJBD Cc1ccc(C(=O)C2CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)CC2)cc1 532483831 AZKOUZYIFCWMCQ-UHFFFAOYSA-N 419.481 4.696 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(c2nc3ccccc3s2)CC1 534985147 YMLZWDLUEXALQP-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD O=C(Nc1cccc(O)c1F)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 535659023 QHTYOZLEYLLPIO-UHFFFAOYSA-N 415.808 4.957 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 539348527 PLZBWGCNTGYAPP-OAHLLOKOSA-N 423.420 4.685 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@H](Cc3ccccc3)c3cccs3)n2)c1 543454655 GXAXUEOPVYXAJS-GOSISDBHSA-N 406.467 4.780 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544603185 ATSMYNXOHDMISJ-HKUYNNGSSA-N 401.438 4.735 5 20 HJBD CO[C@H](c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1)c1ccccc1Cl 545104146 KGKWXARTHAIHQD-AWEZNQCLSA-N 424.638 4.797 5 20 HJBD Cn1ccc([C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)c2ccc(Cl)cc2)n1 545870194 BOKAPKYDPSTIOJ-QGZVFWFLSA-N 408.792 4.785 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2cn3cccnc3n2)c1 545902896 JGNFSWYUXLESEP-KRWDZBQOSA-N 415.453 4.512 5 20 HJBD COc1cccc(/C=C\c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)c1OC(F)F 546561742 QXEVMEACPDKYEJ-CLFYSBASSA-N 417.368 4.657 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(Cc1ccco1)Cc1ccco1 550646787 PRNVHHYKQQVOMS-UHFFFAOYSA-N 419.231 4.694 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)C1 553482324 AAJCFZAPBASHSM-DIFFPNOSSA-N 418.375 4.850 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 553655936 SWFACTGDEPNABV-UHFFFAOYSA-N 415.381 4.580 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)c1 555422791 HGYNVKSXHVSVDD-ZDUSSCGKSA-N 422.329 4.666 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2F)ccn1 564437505 CDKKZFNPQDMCCS-CQSZACIVSA-N 424.432 4.762 5 20 HJBD CC(C)Oc1ccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c(C(F)(F)F)c1 574817951 LFHAYWBUSMHVDV-UHFFFAOYSA-N 421.375 4.994 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)C(C)(C)C)c(OC)c1 580282846 MMGPZHDVDRKLGS-HNNXBMFYSA-N 400.475 4.543 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 580740283 AOYXOJSPOGYTPD-INIZCTEOSA-N 416.437 4.694 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCC[C@@H]1Cc1ccccc1Br 581332268 DBNZIXDFXAVPEQ-CYBMUJFWSA-N 409.305 4.656 5 20 HJBD COc1cc(CCO)ccc1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 584259157 ZHWNXKNYJMDAGE-UHFFFAOYSA-N 412.417 4.682 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3nc(C)cs3)c(F)c2)c1 590539183 YGSOAWGEOXNYKX-UHFFFAOYSA-N 417.418 4.942 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC 603650945 PDZLOSXYKLRDLK-CYBMUJFWSA-N 405.426 4.756 5 20 HJBD Cc1ccc(CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C2CC2)o1 603922009 SXVFYBLUSZAEGW-UHFFFAOYSA-N 405.454 4.913 5 20 HJBD CCn1ncc2c(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 603966156 BRPYSUDNTJJVNX-OAHLLOKOSA-N 415.453 4.517 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1csc([N+](=O)[O-])c1 604100496 ZHKALAWCZOXTPG-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD C[C@H](NC(=O)N1CCC(c2nc3ccccc3s2)CC1)c1cccc([N+](=O)[O-])c1 604126994 XRXOQHSAUCOPFP-AWEZNQCLSA-N 410.499 4.855 5 20 HJBD CCCO[C@H]1CCCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 609382947 YCNSOMLCJHVLII-FQEVSTJZSA-N 411.502 4.628 5 20 HJBD C[C@@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc(NC(N)=O)cc1 609599095 MELLTZSCULLVKI-MRXNPFEDSA-N 419.485 4.704 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 610209848 JEGWEWXZINVGHD-GOSISDBHSA-N 401.469 4.508 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3ccc4ccccc4n3)cc2[N+](=O)[O-])C1 610228827 VUPRVTQWMMKJLQ-IAGOWNOFSA-N 418.497 4.902 5 20 HJBD COCCN(Cc1nc2cc([N+](=O)[O-])ccc2o1)[C@@H](C)c1cccc(C(F)(F)F)c1 610581540 QVUZIFHYTBILPS-ZDUSSCGKSA-N 423.391 4.964 5 20 HJBD Cc1cccc(C(=O)N2CCCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)c1 613542338 QXMLCOJMKHSDSK-UHFFFAOYSA-N 423.516 4.685 5 20 HJBD CC(C)(C)c1ccc(Oc2ccc(CN3CC[C@H](n4cncn4)C3)cc2[N+](=O)[O-])cc1 614453132 UKPXVKMTBJGHGC-IBGZPJMESA-N 421.501 4.723 5 20 HJBD CC(C)CN(Cc1ccccc1F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 642071222 NCXIRFZAPMPENZ-UHFFFAOYSA-N 416.496 4.979 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)c2cc(C)c(C)cc2C)cc1SC 728655629 FARZVSZJFNFWEM-AWEZNQCLSA-N 417.483 4.679 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1 740390313 CDLFZMIHGFJQLN-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N[C@@H](CO)Cc1c[nH]c2ccccc12 741750226 FPEUCFZATZLQQY-LLVKDONJSA-N 413.783 4.764 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCc1ccon1 744589017 DLNZSKZHRUHKLM-PTNGSMBKSA-N 421.434 4.867 5 20 HJBD O=C(CC1CCC1)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 745570857 LLODMVRDZSJXKU-IBGZPJMESA-N 402.834 4.661 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754996565 OUANERBKBNWOJJ-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(C1CC1)[C@H]1CCc2ccccc21 758119537 QLVWRXDLFDWBAX-INIZCTEOSA-N 401.260 4.649 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 759934043 UPGKIGPZHDYWEH-QWHCGFSZSA-N 418.500 4.625 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3cccc(Cl)n3)cc2o1 760419167 GQDAUDGRFNJXIV-UHFFFAOYSA-N 408.797 4.996 5 20 HJBD C[C@H](OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 762067311 AUDBGMVWXYERBF-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)OCC1CCN(C(=O)C(C)(C)C)CC1 762948241 VOKPCPUIZUDGDC-UHFFFAOYSA-N 422.547 4.538 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764218085 VJHFNCDGSKXBDN-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD C[C@H](C[S@](C)=O)N(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 768446202 DAKQESNCCTUIJA-JTBPQFFMSA-N 419.546 4.772 5 20 HJBD CCn1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc2cc(Br)ccc21 768908835 CYGTVMVYKXHDIX-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1C 778167039 YMODKCOJZVPBQK-CYBMUJFWSA-N 417.368 4.658 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790565747 SOMNSJQVQUVUCH-JTQLQIEISA-N 418.774 4.696 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790744270 AJZZXVGOPZSKTP-UHFFFAOYSA-N 404.781 4.637 5 20 HJBD CCC(=O)c1ccc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)c([N+](=O)[O-])c1 795086571 SSBJOAAWDVQTGV-MRXNPFEDSA-N 424.432 4.761 5 20 HJBD CCOc1cc(NC(=O)c2cc(C3CC3)cnc2Cl)c([N+](=O)[O-])cc1OCC 796546550 MEJGFXIJXRVFNI-UHFFFAOYSA-N 405.838 4.570 5 20 HJBD C[C@H](CNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1nncn1C 797757451 JSVLZMNEFJQVPR-MRXNPFEDSA-N 424.504 4.595 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1F 804790713 CSZRNJLCALXHEI-AWEZNQCLSA-N 409.417 4.652 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)n2)cc1C 916815683 HFJVEXHXEBOPJM-UHFFFAOYSA-N 421.482 4.632 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 919652724 BZPFPGBXTQZYEN-HTQZYQBOSA-N 419.709 4.843 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1115964402 XYQMDOJWJZDGQK-UHFFFAOYSA-N 412.396 4.715 5 20 HJBD CC(C)(C)c1ccc(OCc2nnc(-c3ccn(-c4ccccc4[N+](=O)[O-])n3)o2)cc1 1117208287 QISHSJNWKYXXTO-UHFFFAOYSA-N 419.441 4.707 5 20 HJBD O=C1Nc2ccccc2[C@]12CCN(Cc1ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o1)C2 1320774585 GZIAFIMYHUYDIV-JOCHJYFZSA-N 423.856 4.604 5 20 HJBD CC[C@@H](C)n1c(-c2ccccc2)nn(CN2Cc3ccc([N+](=O)[O-])cc3C2)c1=S 1322139998 AJHGOXDCODLSFN-OAHLLOKOSA-N 409.515 4.934 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(-c3ccc(Cl)cc3OC)o2)cc1SC 1341519758 ONKIHECNLWZPHT-UHFFFAOYSA-N 407.835 4.704 5 20 HJBD O=C(COC(=O)c1ccc(-c2ccccc2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 5610995 UKWTYCOWRIUUSU-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD O=C(Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 9230992 ICHXEHUOXGOZCE-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)cc2s1 19682936 APCFPURXUPDZLO-UHFFFAOYSA-N 421.482 4.627 5 20 HJBD Cc1cccc(Nc2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)s2)c1 21681620 RHIKYONUALMNHJ-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(SCc3ccccc3)s2)c1 24476418 NYIBECOWDDSCKZ-UHFFFAOYSA-N 418.525 4.713 5 20 HJBD CCOc1ccc(C(=O)Nc2ncc(Cc3ccc(C)c(F)c3)s2)cc1[N+](=O)[O-] 31956312 HMCFFJHHJSYQRM-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)nc1-c1cccc(Cl)c1 40925824 KBPCJLNLCJERQT-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(COCc2ccccc2)cc1 46135228 ZOSRHQHEDBAMRP-UHFFFAOYSA-N 419.481 4.653 5 20 HJBD CCN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](C)c1cccc(OC)c1 50312075 GYIXSCOGTQVMGN-AWEZNQCLSA-N 411.483 4.950 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@H]1CCCCO1 56349039 DGWRCGIBWYGMHA-DOTOQJQBSA-N 416.499 4.662 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c2cccs2)cc1 109263544 CVSBGKRYFMJYKJ-OAQYLSRUSA-N 414.458 4.642 5 20 HJBD CCOc1cc(N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)ccc1[N+](=O)[O-] 301808610 YOZOXAMJABQCAA-NSHDSACASA-N 401.410 4.853 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1N1CCC[C@H](c2nnc3n2CCCCC3)C1 429185596 DNDLHRZPIJZETA-LBPRGKRZSA-N 410.305 4.604 5 20 HJBD CCOc1cccc([C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 436244988 ZQWPOQBTLNNHMT-CYBMUJFWSA-N 422.431 4.849 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@H](C)c2cccc(O)c2)c([N+](=O)[O-])cc1OCC 437631107 YVAXGECSUPFZCS-CQSZACIVSA-N 417.462 4.713 5 20 HJBD CC(C)n1c(CCNC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)nc2ccccc21 437662517 NHTJYOYNQHXFPC-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD Cc1ccnc(Oc2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)ccc2C)c1 439619167 FHHFBOTWWXKXJF-MRXNPFEDSA-N 406.442 4.838 5 20 HJBD O=C(Nc1cnc2ccccc2n1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441065632 JIPGYZDZLMWWRY-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD CC(C)COc1ccc(CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444010973 QXUWDXMQBFTEIZ-UHFFFAOYSA-N 414.505 4.689 5 20 HJBD Cc1cccc2c1N(C1CC1)CCN2C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444344952 UEXUKZZYCYBYLH-UHFFFAOYSA-N 409.489 4.619 5 20 HJBD CCCN(C(=O)c1cc(OC)ccc1[N+](=O)[O-])[C@H](c1ccc(F)cc1)c1cccnc1 460113639 KXURGFUCOYFMAJ-JOCHJYFZSA-N 423.444 4.779 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CC)c1nc(C(F)(F)F)cs1 462637038 FEFNNQPYCSBZHY-TZMCWYRMSA-N 415.437 4.906 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(=Cc2cccc(OC)c2)CC1 462770943 AIXZKBQSHCFWPK-OAQYLSRUSA-N 408.498 4.878 5 20 HJBD O=[N+]([O-])c1ccc(Oc2cccc(NS(=O)(=O)c3ccccc3)c2)c(Cl)c1 462862561 NCFWQAGKSLFZFS-UHFFFAOYSA-N 404.831 4.841 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463160023 INYWBGKVPUTNTA-KBXCAEBGSA-N 408.376 4.511 5 20 HJBD CCOc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2ncccc12 464338056 HHHZSOSGMZIWOB-UHFFFAOYSA-N 423.472 4.740 5 20 HJBD CO[C@H](CN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C(C)(C)C 465400719 FLQFUENKACOQFY-RTBURBONSA-N 419.909 4.579 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])c1cnn(C)c1 466427214 WGUFUCRTWHAVAX-LBPRGKRZSA-N 416.890 4.624 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)nc2sccn12 468962035 OEEUOAPCAYNBGE-CQSZACIVSA-N 414.878 4.689 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(CCN2CCCC[C@H]2C)CC1 475556042 ZXFSRNWUEHMSMB-GOSISDBHSA-N 402.539 4.720 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3cc(O)cc([N+](=O)[O-])c3)c(C)c2)n1 475926254 MJHPJAWSIJUNCX-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2ccc(F)cc2)C1 478242313 SHSDOFVQRDKUFE-AWEZNQCLSA-N 422.406 4.728 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 478277293 HLAUYCCSPYQYSG-MLGOLLRUSA-N 405.545 4.630 5 20 HJBD COc1cccc(F)c1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 478653830 MBOBAMKRCXWXCC-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD CC(C)n1ccc(N[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)n1 481741633 VHTNNQSXNMZVOD-IBGZPJMESA-N 413.865 4.818 5 20 HJBD CC(C)c1cccc(OCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 486066479 DQSDLVXZFWEHAW-UHFFFAOYSA-N 410.392 4.688 5 20 HJBD CCCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)[C@H](C)C(=O)Nc1ccccc1C 490015528 IUGHVFFEFFFAOT-OAHLLOKOSA-N 415.515 4.505 5 20 HJBD Cc1[nH]nc(C(F)F)c1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 497891968 SJBVFSJIOYRWNU-UHFFFAOYSA-N 404.398 4.967 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3ccc([N+](=O)[O-])c4cccnc34)s2)cs1 497908733 CPXVAFISAZCRLC-UHFFFAOYSA-N 424.507 4.609 5 20 HJBD CC[C@@H](C)NC(=O)c1cccc(CNC(=O)c2cc3ccccc3c3cccnc23)c1 500000028 MJXMZSDKYGBGEC-QGZVFWFLSA-N 411.505 4.846 5 20 HJBD CCOc1cc(C(=O)N(C2CC2)[C@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OC 500235348 JBRYGJHGOJFXEY-IBGZPJMESA-N 410.470 4.684 5 20 HJBD CCOc1ccc(F)c(NCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])c1F 502639113 TYHDPRDGDMDOAG-UHFFFAOYSA-N 418.343 4.536 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Br)cs1 509800590 YKPXMGBLZXDSCC-UHFFFAOYSA-N 412.309 4.539 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 509815551 VPDULJJKBGKPQE-AWEZNQCLSA-N 422.890 4.780 5 20 HJBD CCN(CC)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(C(F)(F)F)c1 510816859 VYDWFJUCMREXOO-KRWDZBQOSA-N 413.371 4.774 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CC=C(c2ccc(F)cc2)CC1 510835507 ULFHLTVQFDCTTM-UHFFFAOYSA-N 407.348 4.657 5 20 HJBD Cc1ccc(Nc2nnc(SCCC(=O)Nc3ccc([N+](=O)[O-])cc3)s2)cc1 514943104 QYRACLRPPMQBCK-UHFFFAOYSA-N 415.500 4.619 5 20 HJBD O=C(NC[C@@H]1CCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 521701961 NUIGIASNVCOMGE-FDDCHVKYSA-N 418.449 4.895 5 20 HJBD Cc1c(C(=O)NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)cccc1[N+](=O)[O-] 521795933 BBIZGYZNSLVQBD-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)o1 523496305 PSPXJRCGXNWQMZ-LJQANCHMSA-N 408.458 4.693 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(F)cc1Br 523553079 KYBDBSWUXCFKCM-UHFFFAOYSA-N 410.243 4.641 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1c(F)cccc1F 525499426 KINQVFDDOIKGCF-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC(c2c(C)[nH]c3ccccc23)CC1 532483851 ISJONOOWUUOCRP-UHFFFAOYSA-N 406.486 4.511 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1F 533946327 DAILRGRXSZPHPI-UHFFFAOYSA-N 423.400 4.593 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N1c2ccccc2C[C@H]1C 534058198 VRDCOYULFWRJOL-CABCVRRESA-N 423.494 4.849 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1cccc(C(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 536428989 BHGJXWBWMDYNII-IAGOWNOFSA-N 402.450 4.807 5 20 HJBD C[C@@H](NCCOc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 537027463 YAHUABGQGKKZBR-QGZVFWFLSA-N 405.454 4.577 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1 537135538 HKJKGVNPQLHRTH-SJLPKXTDSA-N 406.891 4.620 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](c2nc3ccccc3s2)C1)c1ccc([N+](=O)[O-])cc1F 542635358 YVBTXKRDIHLDSX-KGLIPLIRSA-N 413.474 4.853 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(C(F)(F)F)cc4)no3)c2c1 546296644 VLTXBITYWHOHLN-UHFFFAOYSA-N 402.288 4.584 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)c1ccc([N+](=O)[O-])cc1Br 552736634 UAYMIOJNJREMPZ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(N2CCCC2)c1 560258860 HINAIVJLXXQCPR-ZDUSSCGKSA-N 407.392 4.705 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2ccc(Br)s2)CCC1 560996532 PCFNJJQRUKJWMC-UHFFFAOYSA-N 409.305 4.579 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C(F)F)ccc2Cl)c([N+](=O)[O-])cc1OC 571480778 ZYRVDIDLIGLOKZ-UHFFFAOYSA-N 400.765 4.845 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 580488447 PXGLSRKBRNZFHN-KRWDZBQOSA-N 402.539 4.666 5 20 HJBD Cc1ncc2n1CC[C@H](c1nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no1)C2 580981470 FZYYQVYYYFKYTD-INIZCTEOSA-N 417.425 4.672 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1ccccc1[N+](=O)[O-] 588781247 MNIQNIRTGAFYOR-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD COc1ccc([C@H](Cc2ccccc2)NCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 589290940 LDNHDMZTALWSLA-QHCPKHFHSA-N 420.465 4.544 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2ccc([N+](=O)[O-])cc12 589870425 VOMHQTLKMDKQLJ-KZULUSFZSA-N 418.375 4.523 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@H]1c1ccc(Br)cc1[N+](=O)[O-] 602760982 ZHPKMZPSXMPSHL-OAHLLOKOSA-N 410.655 4.597 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3cc(OC)c(OC)cc3[N+](=O)[O-])cc2)c1 604016086 AZLXFLOXHCGUQE-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 604078218 WNQUFEMWBGLGEN-SJORKVTESA-N 420.469 4.690 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4cccc(F)c4)n3)n2)cc1[N+](=O)[O-] 604430463 BWYRYSZRWLSNCP-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(C1CC1)[C@@H]1CCc2ccccc21 604446235 FTROPXDQQSEKDA-GOSISDBHSA-N 420.387 4.828 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(-c3nc4ccccc4s3)cc2)c(C)c1[N+](=O)[O-] 608802451 ITHXECUBQJHCKE-UHFFFAOYSA-N 421.482 4.714 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H](NC(C)C)C3)cc2[N+](=O)[O-])cc1 609135438 KMYJEMHJVIHGLK-GOSISDBHSA-N 413.543 4.657 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 609192378 VELQFACALZATND-XLKFXECMSA-N 413.318 4.632 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 609304628 PHOYWWLGZGHHHY-IERDGZPVSA-N 416.481 4.889 5 20 HJBD COc1cc(C(=O)N2C[C@H](c3ccccc3C)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 609976424 ODYUWPZQDCEMKT-ZIAGYGMSSA-N 420.412 4.531 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)[C@@H]1c1ccccc1 610666404 AYDJEUMEEJUUFT-GOSISDBHSA-N 403.276 4.510 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCS[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-] 611072812 YCMFVJXLNPLNKZ-QGZVFWFLSA-N 421.316 4.596 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@@H]3c3noc(C4CC4)n3)cs2)c1 611263510 OIPRQYDQRPYDKV-QGZVFWFLSA-N 411.487 4.706 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3ccccc3Cl)no2)ccc1[N+](=O)[O-] 619508052 CMDIKUZOPRIYKM-KRWDZBQOSA-N 412.833 4.584 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=S)N[C@@H](C)c1ccc(Cl)cc1Cl 726434059 DYHNIWLVUNDPAU-VIFPVBQESA-N 400.287 4.958 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1cc(F)ccc1[N+](=O)[O-] 729421466 XUSFORLDZNWOJO-HNNXBMFYSA-N 415.421 4.804 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C\C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 729871910 MOAOUILSGJMCKM-WVUXNXBLSA-N 423.425 4.579 5 20 HJBD O=C(OCc1ncc(-c2cccs2)o1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 731515203 DKXOIZVNVLEFQE-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD C[C@@H](CNC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 734341973 WZAHVRJVZNWRQF-ZDUSSCGKSA-N 415.456 4.628 5 20 HJBD C[C@@H](Oc1ccccc1Cl)C(=O)OCc1ccc([N+](=O)[O-])cc1Br 739578114 JHRQARRNQRLZBF-SNVBAGLBSA-N 414.639 4.521 5 20 HJBD Cc1ccc2c(c1)OCCN2C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 741634547 RWQNWTUNCLXTGX-INIZCTEOSA-N 418.453 4.511 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)c2csc([N+](=O)[O-])c2)cc1 744280707 MRIAPHZHUSNFKA-UHFFFAOYSA-N 416.842 4.806 5 20 HJBD Cc1cccc(C2(C(=O)O[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CCC2)c1 744540655 UGTKXDGLRLRFNA-CQSZACIVSA-N 416.861 4.549 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1cc(F)cc([N+](=O)[O-])c1 744998601 LGPJTIZSSABZQI-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 747518071 XJGCHMFPJCXLIF-MZPVMMEZSA-N 413.817 4.706 5 20 HJBD Cc1ccc(C(=O)[C@H](C)OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C 747962791 JUJJAWCXQXRERF-AWEZNQCLSA-N 410.451 4.763 5 20 HJBD CN(C(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(Br)cc1Cl 749924558 BXNJXLTVNXRONS-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1ccc(Br)cc1[N+](=O)[O-] 750821165 JNLYUMWEZCCGDO-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 752509146 NDJXGRHOPMOMOP-CABCVRRESA-N 413.440 4.684 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl 757199905 ADSIZGNVSSQPNL-UHFFFAOYSA-N 412.671 4.949 5 20 HJBD CCCOc1cc(F)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 760108259 CGWRQYKSRDAFSZ-UHFFFAOYSA-N 415.190 4.677 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc(C(F)(F)F)c2)no1)c1cccc([N+](=O)[O-])c1 763400703 FWBZKKDMJMDBFI-LLVKDONJSA-N 421.331 4.511 5 20 HJBD COc1cc(CN(Cc2ccccc2)C[C@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766797582 SIBZBMYNIZIOPA-IBGZPJMESA-N 416.474 4.540 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CS(=O)(=O)Cc2nc(C3CCCCC3)cs2)c1 766875225 FNEWOSNEPMWRNX-UHFFFAOYSA-N 414.936 4.867 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 769784756 DTNDLXXIVCPNQS-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD C[C@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 773601607 CAPUTIYTEAYBPS-ZETCQYMHSA-N 403.254 4.622 5 20 HJBD Cc1ccc(C(=O)NCC(F)(F)F)cc1N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 774324129 QCRKCNZANIRWFD-QGZVFWFLSA-N 407.392 4.685 5 20 HJBD C[C@H](N[C@@H](C)c1c(F)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548681 IXTQIVBXALMZKQ-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD COCCC[C@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 777901736 FSOAHSPNXKSVOO-AWEZNQCLSA-N 406.866 4.828 5 20 HJBD Cc1cc(N2CCC([C@@H](C)NC(=O)OC(C)(C)C)CC2)c2cc([N+](=O)[O-])ccc2n1 782949346 FGKXUSQKHAOQEO-OAHLLOKOSA-N 414.506 4.581 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1OC(C)C 787629407 APCVNIFLEWLFDC-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cccs2)cc1)c1ccccc1[N+](=O)[O-] 796754851 GBVNNWBKVPCXLB-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(-c4nc(CCC(C)(C)[N+](=O)[O-])no4)cc3)o2)c1 812812718 PMDOJQQIMNACRW-UHFFFAOYSA-N 419.441 4.750 5 20 HJBD CC(C)(C)Oc1ccc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc1 816090927 DIHKJQVKCLCQPV-UHFFFAOYSA-N 413.455 4.648 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(OCc2cccnc2)cc1 918608905 KXCKPMHZGWKYPI-CQSZACIVSA-N 411.845 4.713 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(-c2ccc([N+](=O)[O-])cc2Br)o1 1117203015 LTIRYFUZKFFRTF-VIFPVBQESA-N 408.183 4.686 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1-c1nnc(COc2ccc(Cl)cc2)o1 1322707794 VXUSOVJWCIXNBO-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD C[C@H](Oc1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccc(OCc2ccccc2)cc1 5994317 IVUKWWGOUKFHSM-HNNXBMFYSA-N 410.401 4.719 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11029859 KJSNVYGYYJCHHO-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(Nc1ccc2c(c1)OCCO2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 16019856 UAPMPOPGTNXXMH-UHFFFAOYSA-N 408.435 4.770 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccccn1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50291920 OQZQWRFRKFBRPD-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1ccc(Cl)cc1[N+](=O)[O-] 60880226 FUASIMLQQRQETB-GOSISDBHSA-N 414.820 4.937 5 20 HJBD O=C(COc1ccc2ccccc2c1Br)Nc1ccc(F)c([N+](=O)[O-])c1 68938923 RFEQQVPJVDIREW-UHFFFAOYSA-N 419.206 4.667 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)c(C(F)(F)F)c2)cc1SC 97547782 MTFITDVZCZSZQB-UHFFFAOYSA-N 404.341 4.736 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)[C@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)c(C)c1 110486165 IZOPUZCJYVKVSL-CABCVRRESA-N 415.515 4.551 5 20 HJBD COc1cc([C@@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 237243731 VDMBOMWJZXINJT-SUQGKYDKSA-N 419.481 4.941 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1nc(-c2cccc(F)c2)no1 237426844 PBFCDXDNIPFNCR-CYBMUJFWSA-N 408.389 4.895 5 20 HJBD COc1ccc([C@H](Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c2nccn2C)cc1 301067421 DDXCFUXYEYYGGE-KRWDZBQOSA-N 406.364 4.557 5 20 HJBD O=C(O)[C@H](Cc1ccccc1[N+](=O)[O-])NCc1ccc(Sc2ccccc2)cc1 426813501 XTKMBCNXRSTUKB-FQEVSTJZSA-N 408.479 4.532 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc([N+](=O)[O-])cc2OC)cc1 427597438 UVETZXAGTYWFPT-QHCPKHFHSA-N 419.481 4.813 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429788827 ZNZSUDKNGYXRJK-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1ncccc1F 434326470 MSOQDLMLYCHWRJ-UHFFFAOYSA-N 414.824 4.671 5 20 HJBD CC1(C)Cc2ccc(Cl)c(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)c2O1 435327688 OBXBXHCRKZQXSY-UHFFFAOYSA-N 401.850 4.552 5 20 HJBD CCC(=O)c1ccc(O)c(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436647595 RAPPMFFTBFJIIA-UHFFFAOYSA-N 422.387 4.510 5 20 HJBD CN(c1ccccc1)c1ccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cn1 437490130 KVQPDOUPGSFIHQ-UHFFFAOYSA-N 402.454 4.563 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)Nc1ccc(Oc2ccc(Cl)cn2)cc1 438761993 UFIHRCYVTMGYBE-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cccc1[N+](=O)[O-] 438831537 KCFKAKIRPGDJEE-HXUWFJFHSA-N 424.888 4.853 5 20 HJBD COc1ccc(OC)c(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440440915 QLZKYSNIUVAYSO-UHFFFAOYSA-N 424.478 4.693 5 20 HJBD O=C(c1coc(Br)c1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440618700 WLADFLHMPAHSAN-UHFFFAOYSA-N 401.216 4.797 5 20 HJBD O=C(Nc1ccccc1Nc1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 442585435 JCSMSPOCPBFELH-UHFFFAOYSA-N 400.394 4.845 5 20 HJBD CCOCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1 444013612 VSWFJDQXXXURLU-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444326241 HIDKOBBTHDLYLU-SFHVURJKSA-N 410.395 4.757 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1cccc(-c2cccnc2)c1)C1CC1 444926184 TYZIYDGMWMYJPG-UHFFFAOYSA-N 418.453 4.862 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H]1c1cccc(Br)c1 444931422 POURCAMFKHSUJC-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 445780171 HHMQNMYLXOVIPI-OAHLLOKOSA-N 404.220 4.533 5 20 HJBD Cc1ccc(N(C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1Cl 462139820 NXZCWFMZRPHZSS-UHFFFAOYSA-N 409.678 4.689 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])co2)cc1 463614088 RAZDVEBLPPMDRJ-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD CC(C)n1c([C@H]2CCCN(C(=O)Nc3ccc([N+](=O)[O-])cc3)C2)nc2ccccc21 467226850 CXMOJTGFETYSLS-INIZCTEOSA-N 407.474 4.937 5 20 HJBD COc1cc(C(=O)Nc2ccc(N3CCCC[C@@H]3C)cc2C)cc([N+](=O)[O-])c1OC 468158780 UFADBPZZXVSMJS-HNNXBMFYSA-N 413.474 4.552 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C 468904964 IYPQGXVXUTWFDW-INIZCTEOSA-N 424.526 4.967 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n(C)n1 470192037 ZPJXZHAXTQWWEX-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CC[C@@H](NC(=O)Nc1nn([C@H](C)c2ccccc2)cc1C)c1cccc([N+](=O)[O-])c1 471857530 PGCBXBMLLLNMEO-OXQOHEQNSA-N 407.474 4.982 5 20 HJBD Cc1nc2cc(Cl)c(NS(=O)(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2s1 479286869 RAAIMYVAKFDJEN-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD COc1ccc(NC(=O)c2c(-c3ccc(C(F)(F)F)cc3)noc2C)cc1[N+](=O)[O-] 480561522 XSTBHRGURUVEHL-UHFFFAOYSA-N 421.331 4.838 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1c(F)cccc1F)C1CC1 481139324 KVUSOOYTTZGWSS-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD CC[C@H](N[C@@H](C)c1cc(F)ccc1N1CCN(CC)CC1)c1cccc([N+](=O)[O-])c1 482570012 SGSPRLZLENVSIF-JTSKRJEESA-N 414.525 4.678 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@@H](C)Cc1ccccc1C(F)(F)F 484666193 WHSMNGOMRANMMN-ZDUSSCGKSA-N 410.392 4.716 5 20 HJBD COC(C)(C)CC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 485464715 HFVPDNIOWHACHS-UHFFFAOYSA-N 410.829 4.611 5 20 HJBD Cc1c(NC(=O)C(=O)N(Cc2ccccc2)[C@H](C)c2cccs2)cccc1[N+](=O)[O-] 487036743 WOYHOWXYSWYPNE-MRXNPFEDSA-N 423.494 4.693 5 20 HJBD COCc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)ccc1F 487444152 LNKAJOKZGDRVFK-UHFFFAOYSA-N 406.479 4.558 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 490172957 FPPJZAUBJZZUFR-UHFFFAOYSA-N 407.879 4.718 5 20 HJBD COc1cc(C(=O)N[C@H](C)[C@@H](C)C2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 490858694 MZMKIHXOWIQOKF-VXGBXAGGSA-N 400.422 4.540 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)n(C(C)(C)C)n1 496340728 HGGQQCCIRFYZRB-UHFFFAOYSA-N 410.421 4.698 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C)ccc1OCc1ccccc1 498725185 SWHFMTLJGNKBQP-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD COCCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](C)c1ccccc1 500138288 NDKYCLLUDQQODB-OAHLLOKOSA-N 411.483 4.568 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@@H](c2ccccc2F)C1 502159355 RLVMZUDUXWUWBJ-CYBMUJFWSA-N 422.406 4.728 5 20 HJBD CC1CCC(Oc2cc(CNC(=O)c3ccc([N+](=O)[O-])cc3Cl)ccn2)CC1 505568221 HNPGVDGRHZCXSE-UHFFFAOYSA-N 403.866 4.531 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H](CC(F)(F)F)c1ccc(F)cc1 509265569 WAIWUGCIBGDFLA-QGZVFWFLSA-N 409.339 4.568 5 20 HJBD CN(C(=O)CN[C@@H](c1cccc2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 510452782 HDIDHONMZCIHQN-FQEVSTJZSA-N 417.387 4.604 5 20 HJBD COc1cc(C(=O)Nc2nc(C)c(Cc3ccccc3Cl)s2)ccc1[N+](=O)[O-] 511832598 BKNUGUHLPLHHMY-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)COc1cc(Cl)c(Cl)cc1Cl 514323581 FFFAZIVBDLMKTN-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD Cc1cnn(CC(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)c1 515639167 TZEKOLVXDQGRSR-UHFFFAOYSA-N 419.363 4.501 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 516442483 MALFYGXPBRVYEA-INIZCTEOSA-N 419.437 4.511 5 20 HJBD CC[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(C)noc1C 533874234 SYBXVWMUKMOQBE-GFCCVEGCSA-N 417.409 4.578 5 20 HJBD CC(C)Cn1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(C(F)F)n1 536442326 OXYNWHDEZFXETC-UHFFFAOYSA-N 424.451 4.584 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(-c2ccncc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 539339160 JOMARHRCEABIMQ-HUUCEWRRSA-N 424.888 4.988 5 20 HJBD COc1ccc2oc([C@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3C)c(C)c2c1 542582855 NFZCPEHXBKIJIU-HNNXBMFYSA-N 411.458 4.646 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Cl)cc1OC(F)F 545108297 ZNHBMDLFINTIRH-SECBINFHSA-N 402.806 4.969 5 20 HJBD COc1cc(Cc2noc([C@H](C)c3ccc(Br)s3)n2)ccc1[N+](=O)[O-] 545763556 RFPISUQJEYEPSR-SECBINFHSA-N 424.276 4.553 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@](F)(c2cc(F)cc(F)c2)C1 552788290 VBLXWULBWUJEAN-XMMPIXPASA-N 422.406 4.670 5 20 HJBD C[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 555120396 OARIYOYHMMGOGV-KRWDZBQOSA-N 404.470 4.602 5 20 HJBD Cc1ccccc1OCCCC(=O)Nc1ccc(CNc2ccc([N+](=O)[O-])nc2)cc1 555415460 WHZMWEBBBFREHG-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC=C(c2cccnc2)C1 560541734 GFSCSELUBSLWLP-UHFFFAOYSA-N 401.422 4.712 5 20 HJBD O=C(Nc1cccc2nc(O)oc12)c1ccccc1Sc1ccccc1[N+](=O)[O-] 562225125 AXEHVXDASNVWRE-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 575324712 UDKJYJRQZQVAEX-QGZVFWFLSA-N 409.389 4.588 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 578771488 OQVWUCMCCCNBQD-UHFFFAOYSA-N 423.391 4.560 5 20 HJBD COC(=O)C(C)(C)[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1 590172779 CDLJYPMIDDHONY-QGZVFWFLSA-N 410.392 4.644 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 603964624 YKPNSAYFRWVPAS-IQUTYRLHSA-N 412.515 4.855 5 20 HJBD COc1ccc(C(=O)Nc2nc(C)c(Cc3cccc(Cl)c3)s2)cc1[N+](=O)[O-] 603974030 AGUFRAQRNRLESL-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD CC(C)CCSc1ccc(C(=O)NC2CCN(C(C)(C)C)CC2)cc1[N+](=O)[O-] 604183222 ORMGYYDPWOSASN-UHFFFAOYSA-N 407.580 4.726 5 20 HJBD C[C@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1c(Cl)cccc1Cl 609580070 LQGBCFXFRUQTKZ-NSHDSACASA-N 405.241 4.830 5 20 HJBD COc1ccc(C)cc1NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609764978 DZVMOKVQHYSHGW-UHFFFAOYSA-N 407.426 4.755 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 610746221 VXOYQYIRGJSWSB-LBPRGKRZSA-N 404.348 4.672 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2Cc2nc(C3CCC3)no2)cc1 612897256 LFYAKUHQSDEZSX-UHFFFAOYSA-N 401.426 4.689 5 20 HJBD Cc1nc(-c2ccsc2)ccc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 726158223 ZZTLYQGEVLLMGQ-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc3[nH]ccc3c2)cc1)c1cccc([N+](=O)[O-])c1 730769854 KMNRZJMNVAYEQC-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD CCN(C(=O)/C=C/c1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(C)cc1)c1cccnc1 732960830 BJBBEBDELGRBIG-USXRGHEGSA-N 401.466 4.950 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cccc3c2OCCO3)ccc1Oc1ccc(F)cc1 733331395 FNSKRZGKVFFYAN-UHFFFAOYSA-N 410.401 4.587 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)ccc21 734389275 PCJQGWHBXBEBES-UHFFFAOYSA-N 410.327 4.936 5 20 HJBD Cc1cccc([C@@H](C)C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1 739473483 JLIJALQLDUVJNN-MRXNPFEDSA-N 404.422 4.865 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc([N+](=O)[O-])cc1 739569327 NIAOBSLTJOBHEW-UHFFFAOYSA-N 412.833 4.747 5 20 HJBD CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)C(C)(C)c1ccccc1 741579896 RJFNLODHMMUJRI-KRWDZBQOSA-N 405.292 4.844 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])o2)cc1 742451465 ZOGCMMLPXSYGJM-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD Cc1cc(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)c(N)c([N+](=O)[O-])c1 746291738 KZOPTXYVFOFCQH-UHFFFAOYSA-N 405.907 4.536 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc(Cl)c([N+](=O)[O-])c1 746573474 FBEHTFAFUIMJNJ-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(N3CCCC3=O)c(Cl)c2)cc1[N+](=O)[O-] 752628975 GZIYMEHSHRPLRB-CYBMUJFWSA-N 416.865 4.566 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)CCc3cccc([N+](=O)[O-])c3)CC2)cc1 755225374 JACZVQVQDKHXHH-UHFFFAOYSA-N 409.530 4.610 5 20 HJBD CN(Cn1c(=S)oc2ccc([N+](=O)[O-])cc21)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 758263553 RLLZGSMQYDADTA-KXBFYZLASA-N 417.462 4.821 5 20 HJBD O=C(O[C@H](c1cccnc1)c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 759599674 WHPIWJIBOWQNFK-SFHVURJKSA-N 402.328 4.955 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761859120 TVFJSJJRTJQJTC-CYBMUJFWSA-N 419.441 4.939 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccc(F)cc3C(F)(F)F)no2)C1 763311780 FIXNZJSZISSVGD-OAHLLOKOSA-N 422.294 4.668 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)nc1-c1cccc([N+](=O)[O-])c1 764201046 GWZHGPQPWCYCAI-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD C[C@H](C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1 766328912 HSTOLQSRQGQTDU-NSHDSACASA-N 411.683 4.773 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1C 775949479 WPNUIIUEIWDGGH-MRVPVSSYSA-N 415.675 4.739 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Br)Nc1c(Cl)cccc1Cl 786747274 SHTGZHVQSOFPTK-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(N2CCCC2=O)cc1 786928393 RQNNKMNORKVHNL-ZDUSSCGKSA-N 407.392 4.591 5 20 HJBD COc1cc(COC(=O)c2cc(C)n(C(C)C)c2C)c([N+](=O)[O-])cc1OC(F)F 791389318 NOLULUMUHWEBHI-UHFFFAOYSA-N 412.389 4.561 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])o2)cc1C 796444526 ILPGBHFHNIFZHR-HNNXBMFYSA-N 409.442 4.844 5 20 HJBD Cc1ccc([C@@H](O)[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 804470302 AAUAOLAIMNCKKW-VFNWGFHPSA-N 414.527 4.790 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CS(=O)(=O)c1ccccc1C(F)(F)F 809964510 UBZQZRRVCFULMP-UHFFFAOYSA-N 414.188 4.894 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccc(OCC(F)(F)F)nc1 811473871 PACKVTCGGATIKR-UHFFFAOYSA-N 410.179 4.528 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1cccc(Cl)c1[N+](=O)[O-] 814775022 BUZXTDNYDZJUGO-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)nc1Cl 817869327 AKBHCQRNPKUJFG-IUCAKERBSA-N 419.762 4.782 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1cc(F)cc([N+](=O)[O-])c1Br 818270657 YHWNNUVALDBLAE-UHFFFAOYSA-N 423.263 4.684 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])N1c1ccc(Cl)cc1 877842107 SBJCAYGIDGEQGZ-IBGZPJMESA-N 424.800 4.928 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C(=O)Nc2ccccc2Cl)C(C)C)c1 915374820 YYUYCRTZALLNBM-KRWDZBQOSA-N 422.890 4.790 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@H](c1nc2ccccc2o1)C(F)(F)F 916654540 ZVJMZFPZSZXWGY-OAHLLOKOSA-N 412.345 4.675 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1F 919211933 OYSOFTVOCLSDKH-LLVKDONJSA-N 411.345 4.757 5 20 HJBD CCOc1cc(COC(=O)c2nc(-c3ccccc3)oc2C2CC2)ccc1[N+](=O)[O-] 1255779971 XHWMWUQLSKWJDD-UHFFFAOYSA-N 408.410 4.883 5 20 HJBD Cc1ccc([C@@H](NCc2ccc([N+](=O)[O-])cc2Br)c2ccccn2)cc1 1321460066 DRIFUGFICOHSJR-HXUWFJFHSA-N 412.287 4.940 5 20 HJBD COc1ccc([C@H](Nc2cc(C)c([N+](=O)[O-])cn2)c2ccc(OC)cc2OC)cc1 1330377667 JWCPQKPXIOWNGE-QFIPXVFZSA-N 409.442 4.526 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(COc3ccc(Cl)cc3Br)o2)cc1 22029953 XJHOQNNGGULSKL-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CCCCSc1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)s1 22489729 QQQGVROLSPTGRH-UHFFFAOYSA-N 418.953 4.723 5 20 HJBD Cc1cccc(C(=O)NCC2(c3cccc(Br)c3)CCC2)c1[N+](=O)[O-] 59891518 XYAXIUUTKWWGBU-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD Cc1ccccc1[C@H]1CCCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 108332207 UIDDXHXMJQYUAU-JOCHJYFZSA-N 418.497 4.742 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)Oc2cccc(F)c2)nc1-c1cccc([N+](=O)[O-])c1 195704246 VSKHHCKZDBVMSP-LLVKDONJSA-N 401.419 4.572 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1Br 219698667 OVNAIOLHQCBEJD-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1(c2cccc(C(F)(F)F)c2)CC1 301442009 YFDBPIFUMWGWLA-UHFFFAOYSA-N 421.375 4.714 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)nc1-c1ccccc1 302009402 ACKZUBWFPMPBBY-UHFFFAOYSA-N 417.391 4.714 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 303349415 DTZUBTZAGSIITP-QGZVFWFLSA-N 419.890 4.645 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Oc3ccc(CCOC)cc3)cc2)c1[N+](=O)[O-] 303410866 UVOCGTYBEUEPKJ-UHFFFAOYSA-N 424.457 4.504 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)c(F)c1 431133980 CVYRAFCPOWWMNA-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 431333686 PJCQHGKVAKNOGE-NSHDSACASA-N 416.231 4.503 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])c(O)c2)cc1 439987482 GNRYFNODPHAVHF-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD CCCN(C(=O)c1cccc(C(=O)N(C)CC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 441435688 NHYPKBWGTREIHJ-UHFFFAOYSA-N 411.502 4.770 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)s1 443146026 KOJCZTUQTWNYOG-SSDOTTSWSA-N 404.673 4.955 5 20 HJBD COc1cccc(Oc2ncccc2CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1 443973422 ZYKPEESSNVKGTI-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444029372 IQDJSNZYCSBYPN-GOEBONIOSA-N 418.443 4.978 5 20 HJBD CCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@@H]1C[C@@H](OCC)C1(C)C 444090934 ZXWHWPVJSQVETG-FGZHOGPDSA-N 406.526 4.737 5 20 HJBD CC(C)Oc1cccc(CN(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444239204 LUEAEMNCECQFOJ-UHFFFAOYSA-N 400.478 4.741 5 20 HJBD Cc1oc2ccccc2c1CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444328287 SIGZTQIWFDASOI-UHFFFAOYSA-N 418.399 4.944 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1sc(Br)cc1[N+](=O)[O-] 444828224 RMJRZQDFZRFODE-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD C[C@@H](c1nc(CC(=O)Nc2cccc3ccccc23)no1)c1ccc([N+](=O)[O-])cc1F 445350728 LPMBMJLZSUUXIV-CYBMUJFWSA-N 420.400 4.603 5 20 HJBD Cn1cnc([N+](=O)[O-])c1-c1noc(/C=C/c2ccc(-c3ccccc3Cl)s2)n1 445574830 OEKSVBYYNJFQBR-VQHVLOKHSA-N 413.846 4.931 5 20 HJBD Cc1nnc(-c2ccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)cc2)o1 462104404 KDSWQQIZTHQBLA-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(OC3CCCCC3)CC2)nc1OCc1ccccc1 462488541 WJDLVVBBEUXZOZ-UHFFFAOYSA-N 411.502 4.887 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 462550711 IOLHKGSSOWVRRG-CQSZACIVSA-N 414.458 4.801 5 20 HJBD COc1cc(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 462829111 XAPYXMNZOFUSPN-QGZVFWFLSA-N 401.221 4.627 5 20 HJBD C[C@@H](Sc1nnc(-c2cccnc2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463040038 ZUHVPJQDBFNEIY-CQSZACIVSA-N 407.455 4.743 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463122140 CBZXVJBDLIAOPA-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc21 465145437 AFLWXJLQAMQLCZ-UHFFFAOYSA-N 402.248 4.974 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](c3ccccc3)C[C@@H]2C)cc1SC 466869905 GBLRZAJTTWUUST-HOCLYGCPSA-N 400.500 4.734 5 20 HJBD CCOc1ccccc1NC(=O)[C@@H](NCCc1ccccc1[N+](=O)[O-])c1ccccc1 467974145 SIYKKJMAHREPJJ-QHCPKHFHSA-N 419.481 4.506 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1ccccc1)c1ccc(-n2cncn2)c([N+](=O)[O-])c1 468276157 HHWOOPZEOHCRAU-UHFFFAOYSA-N 419.828 4.748 5 20 HJBD CCCOc1ccccc1[C@H](CC(C)C)NC(=O)c1cc(OC)ccc1[N+](=O)[O-] 470770851 CWPCRNFGICEUNP-IBGZPJMESA-N 400.475 4.909 5 20 HJBD Cn1cc(CCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccc(F)cc21 472043721 KGQBMYWILVDUGI-UHFFFAOYSA-N 410.474 4.681 5 20 HJBD Cc1ccc([C@H](NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c2ccccn2)cc1 472106041 MNJVAOXEXQJRNL-NRFANRHFSA-N 424.888 4.583 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)cc2OC(F)(F)F)cccc1[N+](=O)[O-] 475594459 YHSAKMFWHOFRNZ-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CCOc1cc(NC(=O)c2cccc(OC(F)(F)F)c2)c([N+](=O)[O-])cc1OCC 479075589 JJXNXTGKRYROSD-UHFFFAOYSA-N 414.336 4.543 5 20 HJBD CCC(=O)c1ccc(N[C@H](C)[C@@H](OC2CCOCC2)c2ccccc2)c([N+](=O)[O-])c1 480095116 SQFUYZGIPDDDOO-WAIKUNEKSA-N 412.486 4.925 5 20 HJBD CN(C(=O)[C@@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 480234930 KBSLCXPRWJZRIB-DHIUTWEWSA-N 402.450 4.940 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@H](C)c3ccc([N+](=O)[O-])cc3)c2)cc1 480605672 FUESIEDJINMUBX-GOSISDBHSA-N 403.482 4.684 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCc2nnc(-c3cc4ccccc4o3)o2)cc1[N+](=O)[O-] 487974949 BBBQMXJKDJQNMT-CQSZACIVSA-N 420.425 4.509 5 20 HJBD Cc1nc(CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)sc1C 488508372 SJFSNSZOECDLDG-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2C)c2ccc(OC)cc2)cc1 497416926 MFULYXMHCPLJFV-QHCPKHFHSA-N 420.465 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC1(c2ccc(Br)cc2)CCC1 503041400 DZCNLKUUMSSRRS-UHFFFAOYSA-N 404.264 4.867 5 20 HJBD Cc1sc(NC(=O)c2cc(F)cc3cccnc23)nc1-c1cccc([N+](=O)[O-])c1 505121790 YRIPKYITOUIQTQ-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD CCCCc1nc(-c2cccc(Oc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)c2)no1 507023421 VIGDADQWSATJJN-UHFFFAOYSA-N 408.414 4.664 5 20 HJBD CCN1C/C(=C/C=C\c2ccccc2[N+](=O)[O-])c2nc3ccccc3c(C(=O)O)c2C1 508598978 OLFWYHLXUSEIHT-QIIJVGPBSA-N 415.449 4.774 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(CC(C)C)C2CCN(C)CC2)cc1[N+](=O)[O-] 511027252 KHKRISSAWAHTSC-UHFFFAOYSA-N 421.607 4.925 5 20 HJBD CCn1ncc2c(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cc(-c3ccccc3)nc21 514907212 SVWOFYRTRZDJBW-UHFFFAOYSA-N 415.453 4.896 5 20 HJBD CCC(CC)[C@@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cccs1 516083469 OZEUFKDIURDMDA-MRXNPFEDSA-N 409.895 4.542 5 20 HJBD O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 516800590 DNVNJWBVFOBSCT-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@@H](C)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 517993159 ILIHOEVVIYRCTE-MRXNPFEDSA-N 404.422 4.870 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2c2ccco2)c([N+](=O)[O-])cc1OC(F)F 522959067 OABICLKLAZFFRH-CYBMUJFWSA-N 410.373 4.555 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 525651403 PCODAKVZZKXCOQ-QFIPXVFZSA-N 409.873 4.824 5 20 HJBD Cc1nn(C)c(C(=O)NCc2ccccc2-c2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 532116715 BUCHBFGQGMHPRE-UHFFFAOYSA-N 419.268 4.541 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC1(c2ccc(Cl)cc2)CCOCC1 538744067 JAWZVJVHGTXVSO-UHFFFAOYSA-N 416.905 4.652 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])[C@H](C)CO1 539431693 UNRJESMCYLEZCX-UTKZUKDTSA-N 417.465 4.567 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 539790120 VOBJRULVXLAUOH-UHFFFAOYSA-N 417.497 4.534 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(CN(C)Cc2ccccc2)CC1 539889801 NAYGNWAWYCOLIU-UHFFFAOYSA-N 409.530 4.504 5 20 HJBD CC(C)(C)c1nnc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)s1 540613259 IOFWHOSWJVMLCP-UHFFFAOYSA-N 406.895 4.947 5 20 HJBD C[C@@H](C(=O)N(C)c1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cc1F 543469836 WPJZQKGVETYQKI-SECBINFHSA-N 415.646 4.916 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)c(C)c2c1 543482014 PRCYBPFSFDKCHL-QWHCGFSZSA-N 400.406 4.778 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 545036959 GLWIPKRQMGJZKZ-UHFFFAOYSA-N 424.240 4.568 5 20 HJBD COc1ccc(CSCc2nc(Cc3cccc4cccnc34)no2)cc1[N+](=O)[O-] 545600470 VADYUTQZQRHDAS-UHFFFAOYSA-N 422.466 4.559 5 20 HJBD COc1cccc(/C=C\c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)c1OC(F)F 547108573 HGKAIIQJVZIEFI-TWGQIWQCSA-N 403.341 4.734 5 20 HJBD C[C@H]1CCCN1C(=O)c1ccc(-c2noc(-c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1 547199530 DWIZOBODEJTNAX-LBPRGKRZSA-N 412.833 4.590 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)ccc1Br 549255230 FYNDQMMNJNZCPE-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1sc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)nc1-c1cccc(Cl)c1 551732305 DGQUULSIYBNLMB-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1cccc([N+](=O)[O-])c1 552275188 NYPIKWOEWZSXHG-LJQANCHMSA-N 414.868 4.532 5 20 HJBD C[C@@H]1CCN(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)[C@H]1c1ccc(C(F)(F)F)cc1 557644555 QXWOPFDFGYGPJF-PIGZYNQJSA-N 418.375 4.850 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1Nc1ccc(NC(=O)c2cccc(Cl)c2)c(F)c1 564047671 JBADZRBZADAKJL-UHFFFAOYSA-N 417.828 4.908 5 20 HJBD CN(C(=O)c1cc(NC(=O)CC(C)(C)C)ccc1Cl)c1cccc([N+](=O)[O-])c1 572382127 IVWZZIUJYMJJLA-UHFFFAOYSA-N 403.866 4.900 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H](CC(N)=O)c3ccccc3)cc2[N+](=O)[O-])cc1 577206013 LFBZFYPTPSGHPF-FQEVSTJZSA-N 421.522 4.761 5 20 HJBD Cc1n[nH]cc1C1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 578089552 RRHWOLKSTWHQHP-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC(C)(C)[C@H]3[C@@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581104695 VNFRZWWAGRBENA-LEWJYISDSA-N 409.486 4.549 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1c(F)cncc1F 588080711 RVXRKMQLSQRXNF-NSHDSACASA-N 407.442 4.794 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCC[C@@H](CO)CC1 590531564 GDINXBSCZASTHG-CQSZACIVSA-N 420.918 4.634 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 603867657 XKUMHECQOFELDY-FQEVSTJZSA-N 410.495 4.784 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](C)CCc2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] 603985732 BCZMDUDAPJQRAR-INIZCTEOSA-N 400.475 4.532 5 20 HJBD C[C@H](NC(=O)NCc1ccc(OCc2cccc(F)c2)cc1)c1cccc([N+](=O)[O-])c1 604129876 DQOWNOUPTJTCLX-INIZCTEOSA-N 423.444 4.873 5 20 HJBD COc1ccc(C)cc1CNCc1cc(OC)c(OCc2ccccc2)cc1[N+](=O)[O-] 608782015 DKGNQYJCVXJAOH-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2sc(C)nc2-c2ccccc2)cc1OC 609063424 GYXQHANNZGNEJI-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)cn2)c1C 609666962 UFHPIAKCNCEFIB-UHFFFAOYSA-N 403.398 4.528 5 20 HJBD C[C@@](CO)(NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(C(F)(F)F)c1 609710943 LXGZQYPLSFCWLV-FQEVSTJZSA-N 420.387 4.871 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 609857030 RDGPHUNDNWCOGU-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3[C@@H]4CCO[C@@H]4C3(C)C)cc2[N+](=O)[O-])cc1 610154578 FRXNDAIBVVTVIF-DBVUQKKJSA-N 412.511 4.598 5 20 HJBD CC(C)(C)Cc1nc(-c2ccc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cc2)no1 610175320 URCGTBAXSOGGMX-UHFFFAOYSA-N 420.469 4.826 5 20 HJBD Cc1nc(-c2cccs2)c(Cc2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)s1 612957998 FSWMYGUKMFTOQH-UHFFFAOYSA-N 412.496 4.961 5 20 HJBD O=C(Nc1ccc(-c2nc3c(F)cccc3[nH]2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 730763048 UQZMLDGMGASFAE-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD COc1ccc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc12 735445802 ZZHVUYUEKCAFBM-UHFFFAOYSA-N 404.378 4.769 5 20 HJBD Cc1c(C(=O)Nc2cc(C3CCCCCC3)[nH]n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 736176588 RSKIBWKEQDFBKF-UHFFFAOYSA-N 401.423 4.533 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)sc2n1 746168910 YZNZXZQCWCDZQV-GFCCVEGCSA-N 424.438 4.789 5 20 HJBD Cc1cccc(CN(C)[C@@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)n1 746465512 VLKSNXBRVYBBIE-OAQYLSRUSA-N 424.888 4.763 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 747321143 XGOYISNBHVMKQN-WCQYABFASA-N 405.838 4.729 5 20 HJBD Cc1c(C(=O)Nc2ccccc2COc2ccccc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748625038 AIOWPXKALCDNOM-UHFFFAOYSA-N 421.409 4.951 5 20 HJBD C[C@H](Oc1ccc([N+](=O)[O-])cc1C=O)c1nnc(-c2ccc(Cl)cc2Cl)o1 757612585 JBESFMDOFVMVFE-VIFPVBQESA-N 408.197 4.904 5 20 HJBD Cc1nc(-c2cccc(C(=O)O[C@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)c2)cs1 759950780 QRELGEOETWBIFC-MSOLQXFVSA-N 412.471 4.564 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CC=C(c2c[nH]c3ncccc23)CC1 768234390 HTKQJPPZNHZBGX-UHFFFAOYSA-N 413.275 4.523 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 770010201 ONWGSFUAGRMOFI-CYBMUJFWSA-N 405.813 4.514 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(SC(F)F)nc1 771470448 WLNMKCMTIUELKE-UHFFFAOYSA-N 411.433 4.503 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(C(F)(F)F)nc1Cl 772707967 LEEKXNAVOBIUOC-UHFFFAOYSA-N 408.163 4.588 5 20 HJBD Cc1nn(CN2CCC(Sc3ccc(Cl)cc3)CC2)c2ncc([N+](=O)[O-])cc12 772822820 RHGVENPHULYVOI-UHFFFAOYSA-N 417.922 4.516 5 20 HJBD CC(C)Oc1cccc([C@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 774989017 OTDQFBNXUWOAGS-UONOGXRCSA-N 405.882 4.713 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ncsc1-c1ccccc1 782480118 MPDZRTSTDOGLCH-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2nc3ccccc3n2C2CCCC2)cc1[N+](=O)[O-] 791216893 HIEQSXAATQJDGM-UHFFFAOYSA-N 411.437 4.517 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 798122474 WXTBKDRLVKMQIL-NNUKFRKNSA-N 423.347 4.506 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ncc(-c3ccccn3)s2)CC1 804226673 VVLVUCFWXKLGAO-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])c1 804429750 OTBPBZZKUMTAQN-UHFFFAOYSA-N 407.386 4.759 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](NC(=O)OCc2ccccc2)C(C)C)n1 809403201 CLMUQGGETLJHNK-SFHVURJKSA-N 410.430 4.577 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2cc(Cl)ccc2[N+](=O)[O-])c1=O 809784318 FMQQGLOTZGBKDE-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC([C@H](O)c2ccc(F)cc2)CC1 809943848 DSESPFMHZDEDOE-LJQANCHMSA-N 413.276 4.986 5 20 HJBD COc1cc(-c2nc(CCC(C)(C)[N+](=O)[O-])no2)cc(Cl)c1OCCC(C)C 812811720 GVKGDLUBGKGRCL-UHFFFAOYSA-N 411.886 4.811 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC2(CC1)OCc1ccccc12 813057430 XVBIOQSNIDRDJA-UHFFFAOYSA-N 407.253 4.563 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](NC(=O)OC(C)(C)C)c1ccccc1 813292443 RSHXBQUPEGWFKN-IBGZPJMESA-N 413.474 4.752 5 20 HJBD Nc1ccc(NC(=O)c2ccccc2CSc2nc3ccccc3o2)cc1[N+](=O)[O-] 819899199 JXTLKBIRWXCKPF-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD O=[N+]([O-])c1c(Br)cccc1N1CCC(c2nc3ccccc3[nH]2)CC1 820617093 OWBOHWKAVVUAGW-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD Cc1nc2ccc(NS(=O)(=O)c3c(Cl)ccc([N+](=O)[O-])c3Cl)cc2s1 821128390 XOAQWSZBVZRGRK-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD CCOc1cc(NC(=O)c2c(C)oc3ccc(OC)cc23)c([N+](=O)[O-])cc1OCC 916121810 NRBHTGZAJMWOLY-UHFFFAOYSA-N 414.414 4.708 5 20 HJBD C[C@H](OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2ccccc12 916523162 LXGGHTNNUOSZEF-GJZGRUSLSA-N 424.478 4.799 5 20 HJBD COC(=O)Nc1ccc(OC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 917056695 KUZPMEWYFFMTMZ-UHFFFAOYSA-N 421.409 4.604 5 20 HJBD O=C([C@@H](Oc1ccc(Cl)cc1)c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318796120 ZHOHDMKTPCBTHK-NRFANRHFSA-N 408.841 4.911 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6847701 VDOBKTLKXQIMPS-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCc1ccc(C(=O)N(CCOC)c2nc(-c3ccc([N+](=O)[O-])cc3)cs2)cc1 7756277 NEJRUYXLFLWQHG-UHFFFAOYSA-N 411.483 4.574 5 20 HJBD O=C(CSc1ccc(Cl)cc1)Nc1ccc([N+](=O)[O-])cc1Br 10671120 LXBCNHTXYYBBMR-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)Nc1cccc([N+](=O)[O-])c1 16499884 MWLGAAXHAKEISW-UHFFFAOYSA-N 415.837 4.742 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1OC(F)F 20859137 FNOVSUHSGZNWAI-UHFFFAOYSA-N 422.331 4.946 5 20 HJBD O=C(CSc1nccn1-c1ccc(Cl)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 21462418 SQZBRVNQCYJMBR-UHFFFAOYSA-N 423.281 4.818 5 20 HJBD C[C@@H]1c2ccsc2CCN1C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 21536434 ZKJYULWFZFNSCE-SNVBAGLBSA-N 416.446 4.913 5 20 HJBD Cc1ccc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)cc1Cl 22252838 CUVRSISTEMQAPD-UHFFFAOYSA-N 423.925 4.779 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(NC3CCCCC3)s2)cccc1[N+](=O)[O-] 23379156 RTSTVCYYQRIVHX-GFCCVEGCSA-N 421.548 4.619 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccc(F)cc1F)C1CC1 45197839 DDRKOYUDDLKBKW-UHFFFAOYSA-N 418.443 4.624 5 20 HJBD CCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@H](C)c1cccc(OC)c1 45507477 KJWWWVJDMDIKMT-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD CCOc1ccc(C(=O)N[C@H](C)c2sc(-c3ccccc3)nc2C)cc1[N+](=O)[O-] 72018547 WKHUALAWYSTPOA-CYBMUJFWSA-N 411.483 4.916 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 195589819 FDJULOJXEKUVMM-IAGOWNOFSA-N 423.494 4.579 5 20 HJBD Cc1cc(NCc2ccc(COC3CCOCC3)cc2)c2cccc([N+](=O)[O-])c2n1 301979804 ALZSKGDHFOBJBO-UHFFFAOYSA-N 407.470 4.759 5 20 HJBD COc1ccc([C@@H](NC(=O)c2csc([N+](=O)[O-])c2)c2ccccc2Cl)cc1 409656342 MKVXVBRUWZGNFF-GOSISDBHSA-N 402.859 4.838 5 20 HJBD O=C(Nc1ccc2ccc(Cl)cc2n1)c1ccc(Br)cc1[N+](=O)[O-] 410409323 RSRDNJFTWZDMQZ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cc2ccccc2o1 426233986 XSKUYUQDQSEAJP-NSHDSACASA-N 407.348 4.920 5 20 HJBD C[C@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 433931471 NPHFHCDITIRYCL-CQSZACIVSA-N 410.451 4.730 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 434011060 HHXSSVZPEKYDDU-DLBZAZTESA-N 423.307 4.501 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1 439734263 NZDOUVIHIVZMKK-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cccc(COCc2ccco2)c1 439920725 YGFZOXKINSGESV-UHFFFAOYSA-N 411.414 4.947 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccsc2)c2cccnc2)cc1SC 440727644 KLWSGGKGGVBNBA-UHFFFAOYSA-N 415.496 4.629 5 20 HJBD CCO[C@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C12CCCCC2 444077007 AZQZHIFFVWRATG-UXHICEINSA-N 422.500 4.678 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](C(F)(F)F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236390 ZSJPDVBKBSGJDO-DZGCQCFKSA-N 402.416 4.780 5 20 HJBD O=C(C[C@@H](Cc1ccccc1)c1ccccc1)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444636119 MRTIMFVTBJTGRU-LJQANCHMSA-N 414.465 4.504 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 445789881 JBFKELGELDJEFM-XMMPIXPASA-N 411.461 4.712 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1nc(-c2ccncc2)cs1 446038535 VOTNREDYHRSUSA-NSHDSACASA-N 402.863 4.566 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c1 446831093 AHOQPTMJJXSOBQ-UHFFFAOYSA-N 419.485 4.553 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cn1)c1ccc(Cl)cc1Cl 448111317 XYQOZEBVYJLXPC-VHSXEESVSA-N 400.287 4.655 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC(C(F)(F)F)CC3)c([N+](=O)[O-])c2)cc1 462959873 WRINRJRDMDYQAS-UHFFFAOYSA-N 407.392 4.934 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(C)cc2)c2ccccn2)cc1SC 471406098 JLSPXLPCWMQALT-OAQYLSRUSA-N 423.494 4.548 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccn1 476165497 RWORQKOFAGKOFQ-AWEZNQCLSA-N 408.414 4.673 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3cccc(Cl)c3)CC2)cc1SC 477346622 GHSQYAMZSWZUTL-UHFFFAOYSA-N 418.902 4.908 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2ccccn2)cc1 477561505 OAYCYQWCUHBIKC-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1-c1ncco1 481886894 BOFWKYYRPSHEMF-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cccc(SC)c2[N+](=O)[O-])CC1 485512511 GMKYWDPNMVYAET-UHFFFAOYSA-N 424.526 4.706 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)c1[N+](=O)[O-] 485751039 JJNDFIAXLUEPPJ-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486239096 HOGWNRMUBJSQBA-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD COc1ccc([C@H](Nc2ccc([N+](=O)[O-])cn2)c2ccccc2)cc1Br 488028843 PXAATTUBHDFBFX-LJQANCHMSA-N 414.259 4.962 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ncco2)ccc1C 489061161 HPNZHAQUVGTXAM-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD CCOc1cc(CNc2ccc(C(=O)Nc3ccc(OC)cc3)cc2)ccc1[N+](=O)[O-] 492655687 PQPHWXDBDRIERJ-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD Cc1ccnc([C@@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C2CC2)n1 497519535 LUHXFEVLFFJBJN-FQEVSTJZSA-N 420.494 4.726 5 20 HJBD Cc1cccc([C@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccn2)c1 499365781 UHLJCJVZISAWEJ-IBGZPJMESA-N 415.371 4.836 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(Cl)cc2Cl)c1 509910317 JZSZFCYATLYXQZ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1csc(N(C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2)n1 512139088 OTLSDEVIOAOFBL-UHFFFAOYSA-N 405.439 4.524 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)CC2)cc1 516112572 FEOZBGCISNUTLD-UHFFFAOYSA-N 407.470 4.883 5 20 HJBD O=C(NCCOc1cccc(Cl)c1Cl)Nc1ccc([N+](=O)[O-])cc1Cl 516716950 QNKKKCMQXOOHCO-UHFFFAOYSA-N 404.637 4.756 5 20 HJBD COc1ccc(-c2ccc(CNCC3(c4ccccc4)CCOCC3)o2)c([N+](=O)[O-])c1 517538744 GKLXLBFWJRXXAG-UHFFFAOYSA-N 422.481 4.701 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)cn2)c1 524244974 CDXMIEWTKXVVLQ-UHFFFAOYSA-N 407.426 4.534 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C(C)C)sc3c2)cc([N+](=O)[O-])c1OC 525502997 HZDUROIZVJTAHZ-UHFFFAOYSA-N 401.444 4.597 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cc(Cc2ccccc2)ccc1O 534709374 LSGBJLUVPMIJRE-UHFFFAOYSA-N 401.422 4.554 5 20 HJBD Cc1cc(N2CCC[C@@H]2c2nc3ccccc3n2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 535228583 CJUDEZAELYSQNM-HXUWFJFHSA-N 414.469 4.588 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCn3c(nnc3-c3ccccc3)C2)s1 537660353 BTCALZBWPOBNRE-UHFFFAOYSA-N 417.494 4.598 5 20 HJBD CC(C)CN(C(=O)c1cn2ccccc2n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538252362 VOLZEIWRUVXKHR-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@@H](C)c1nc(-c2ccccc2)no1 539052466 HWFMETMWPMHFKR-NVXWUHKLSA-N 412.515 4.909 5 20 HJBD Cc1cccc(C(=O)Nc2cc(C)n(-c3ccc(Cl)cc3Cl)n2)c1[N+](=O)[O-] 539652752 OBWSJRQBEJIEOT-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD COc1ccc(NC(=O)N2CCSC[C@@H]2c2ccc(Cl)cc2)cc1[N+](=O)[O-] 542030304 UQHRDTFUTWXXJV-MRXNPFEDSA-N 407.879 4.579 5 20 HJBD C[C@H](C(=O)N(C)[C@H](C)c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 543457406 KNJGAXWAMWPSQT-NWDGAFQWSA-N 409.255 4.820 5 20 HJBD Cc1c(CN[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cccc1[N+](=O)[O-] 544409871 LDGVODWUOSLXSI-NRFANRHFSA-N 404.445 4.923 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)c2c(c1)COCO2 549262889 SBAKRQRVUITHFL-GOSISDBHSA-N 408.376 4.721 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(c1ccccn1)C1CCCC1 551298604 IEVRGWOXCZCSPH-UHFFFAOYSA-N 404.264 4.650 5 20 HJBD CN(C)C(=O)c1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 551822759 JWMBCEYKALHODZ-UHFFFAOYSA-N 404.470 4.677 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1 552679816 SJUSPVDHZPFJJX-IBGZPJMESA-N 418.877 4.545 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)c2cccs2)c1 554881683 LHTXVDFFYCUOSK-LJQANCHMSA-N 400.431 4.714 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)[C@@H](C)C2CC2)c(OC)c1 558708831 IMSPCVNVLRLEHZ-CVEARBPZSA-N 412.486 4.543 5 20 HJBD CC(C)(Cc1nc2ccccc2[nH]1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 561477866 XPLVNRCOZQFXAC-UHFFFAOYSA-N 421.482 4.802 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)s1 575376884 OQBKRKUFVPBIRI-UHFFFAOYSA-N 420.491 4.847 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@@H]3CC(=O)N(C(C)C)C3)no2)cc1[N+](=O)[O-] 576177997 SQFQAMNYCPYMFZ-OAHLLOKOSA-N 418.519 4.507 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)cc1F 609491480 ISUHERHKKDNZGE-OAQYLSRUSA-N 411.408 4.910 5 20 HJBD O=C(NC1CC1)[C@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(F)cc1 609769593 TUEXVQKCABDRTQ-JOCHJYFZSA-N 421.428 4.958 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccc([N+](=O)[O-])s2)c2ccc(Cl)cc2)c1 610282183 KDGNVUNGIFERSI-GOSISDBHSA-N 422.915 4.915 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@H]2c2noc(C3CC3)n2)nc1-c1ccc(F)cc1 619527211 VIZRYKHCEAWNOS-KRWDZBQOSA-N 409.421 4.788 5 20 HJBD CCCn1c(Sc2nc3sccn3c2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 619693802 ZTZBRORHZVONPU-UHFFFAOYSA-N 420.907 4.777 5 20 HJBD CCOc1ccc(C2(CNc3nc4ccc([N+](=O)[O-])cc4s3)CCOCC2)cc1 731209548 QQTRNQJOEMIECH-UHFFFAOYSA-N 413.499 4.764 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1OC(F)F 734118604 WCUOJCNFXDTJGI-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD Cc1cc(Cl)cc2c1N(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C)CCC2 735448821 ADZAHVAOIWHWQM-UHFFFAOYSA-N 403.822 4.675 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1 739590241 WIMHQOVMQJWUGL-UHFFFAOYSA-N 413.474 4.695 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c(Br)c1 742395961 FCIDNUMRSHFJEZ-HTQZYQBOSA-N 421.135 4.924 5 20 HJBD C[C@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)cc1 743210989 RPTAHDLWHVXZRT-AWEZNQCLSA-N 424.384 4.710 5 20 HJBD C[C@H](CCc1ccccc1F)C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745574623 VMVPMRJHDZMJHN-CHWSQXEVSA-N 422.840 4.526 5 20 HJBD C[C@H](OC(=O)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 747669719 AHVHXDMJJHDGQY-JTQLQIEISA-N 415.368 4.615 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749926514 KLVFSESSCDMMHA-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD Cc1cccc(NC(=O)Nc2cccc(CNC(=O)c3cc(F)ccc3[N+](=O)[O-])c2)c1 751093768 RCNMUYUGJFYWFD-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD CCCc1c(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cnn1-c1cccc(F)c1 753259404 AYURQUDNDVKFMB-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)c2ccc([N+](=O)[O-])cc2)o1 753700605 RKQUQBFIGVIAIO-ASSNKEHSSA-N 407.426 4.671 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760533253 WJDVTNMDCFXDOY-UHFFFAOYSA-N 405.366 4.570 5 20 HJBD C[C@@H](C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 763952478 JNVADKJOYIUVGT-MRXNPFEDSA-N 404.422 4.694 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C2CCCCC2)C2CC2)c1=S 764889868 CISLZTIMECPOTL-UHFFFAOYSA-N 401.536 4.764 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@H]3[C@@H](O)c3ccccc3)cs2)c1 769236712 CDKMZSVUXDTRAH-SFTDATJTSA-N 409.511 4.806 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769997754 LMWZIURUCPDIRP-KXBFYZLASA-N 403.866 4.880 5 20 HJBD O=C(Nc1ccc(SC(F)F)nc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 771471028 USYFSRQRVCKWAD-UHFFFAOYSA-N 408.430 4.547 5 20 HJBD C[C@H](Nc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ccccc1 773498928 SZGMDJWTIJXHQV-AWEZNQCLSA-N 406.398 4.928 5 20 HJBD CCC1(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCN(C(=O)c2ccccc2)CC1 781109946 FXMCRSPMCMOCAR-UHFFFAOYSA-N 415.877 4.519 5 20 HJBD O=C(Oc1ccc(-c2noc(C3CC3)n2)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781473308 NOJYAVQQSHGWBM-UHFFFAOYSA-N 423.428 4.828 5 20 HJBD C[C@@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1nc2ccccc2o1 781815170 NYEJNNVHTVGFQS-SNVBAGLBSA-N 410.304 4.595 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1cccc([N+](=O)[O-])c1Br 782165629 ATUYUKSQQLWESK-UHFFFAOYSA-N 410.293 4.725 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cn3cc(-c4ccc(Cl)cc4)oc3=S)CC2)n1 782860304 OZHKYNLNUVPSLC-UHFFFAOYSA-N 419.894 4.530 5 20 HJBD Cc1ccc(-c2ncccc2NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)s1 782944556 ANBLWIZWEMFFKF-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c2ccccc2OC)cc1 790881486 FKZRPMFTVMLYPU-JOCHJYFZSA-N 424.428 4.579 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)cc12 813241992 JXVYQQCPGDSVFV-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2ccccc2C(C)C)cc1[N+](=O)[O-] 815406877 YWCJJOFKGCBZJC-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccccc2s1 818133477 MGLYVAWYYYOIBC-UHFFFAOYSA-N 424.404 4.688 5 20 HJBD C[C@H](NC(=O)COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1cccc2ccccc12 917263859 UJTAYNSJSLOJCT-AWEZNQCLSA-N 418.405 4.528 5 20 HJBD O=C(COC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)Nc1ccc(-c2ccccc2)cc1 919212515 BZJDDJIEJZFKSG-UHFFFAOYSA-N 416.389 4.804 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)ccc2s1 1116370719 BFIZRCQBMUGTNZ-UHFFFAOYSA-N 411.439 4.534 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 1121271666 CJJKSZIAUZRRTI-HNNXBMFYSA-N 422.938 4.824 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccn(-c3ccccc3)n2)o1 1251727169 NNLIHNFFAAVNIV-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD COc1cc(-c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)ccc1OC(C)C 1261427310 SZBLQXOJGFLPBK-UHFFFAOYSA-N 403.822 4.685 5 20 HJBD Cc1nn(C)c(-c2nnc(-c3cc(-c4ccccc4)nc4ccccc34)o2)c1[N+](=O)[O-] 1322099387 JGGMVELCWJLPEZ-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1sccc1Br 1346227194 QBLJRMTZSOWMOD-SECBINFHSA-N 402.291 4.643 5 20 HJBD O=C(OCC(=O)N(c1ccccc1)C1CCCCC1)c1ccc([N+](=O)[O-])cc1Cl 7108012 DWJKOOFWNPMVKU-UHFFFAOYSA-N 416.861 4.771 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)Nc1ccc(OC(F)F)cc1 10592436 ZUEZXWKIRLRZLQ-UHFFFAOYSA-N 411.411 4.537 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4ccccc34)CC2)cc1OC 10884332 YKGNXNQNJXEVEA-UHFFFAOYSA-N 423.469 4.503 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 11516828 UFVBSPWFYDPMIN-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD COc1ccc(NC(=O)CNc2ccccc2Oc2cccc(F)c2)c([N+](=O)[O-])c1 60458639 KDLJWTHFPIMAFU-UHFFFAOYSA-N 411.389 4.585 5 20 HJBD Cc1nc2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cc2nc1C 61047561 RETSBSQZPWBUGW-UHFFFAOYSA-N 405.439 4.531 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccncc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64897086 NYVOUUDHIRRGTK-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccccc1)c1ccccn1 64898791 YUNCZQNNILSNJF-UHFFFAOYSA-N 416.462 4.960 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(NC(=O)Nc3ccccc3)CC2)ccc1[N+](=O)[O-] 301986913 MTYGCFFFLCUDHK-UHFFFAOYSA-N 412.490 4.563 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])cc2OC(F)F)cc1)c1ccco1 302158315 NTMAQLVRHUBWPV-UHFFFAOYSA-N 403.341 4.654 5 20 HJBD COc1ccc([C@H](NCc2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])C(C)C)cc1 302913241 SRWMIMMIILYNBN-LJQANCHMSA-N 410.417 4.700 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@@H](C)c1cccc(C(F)(F)F)c1 302957376 FVKHIZWLWYCKNM-NSHDSACASA-N 406.364 4.713 5 20 HJBD CC(C)(C(=O)NCc1ccccc1COCc1ccccc1)c1ccccc1[N+](=O)[O-] 431980170 GQZPBZVXJNLHEI-UHFFFAOYSA-N 418.493 4.906 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3cc(F)ccc3F)C3CCCC3)o2)cc1 434905281 IKBMQGMQBGUEEU-UHFFFAOYSA-N 414.412 4.868 5 20 HJBD O=C(c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)N1CCCCCC1 437251012 LVCOZOTUMLOWKU-UHFFFAOYSA-N 419.428 4.825 5 20 HJBD Cc1c(CC(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)cccc1[N+](=O)[O-] 438988723 XIIRLDFMGYXOAG-UHFFFAOYSA-N 406.486 4.876 5 20 HJBD C[C@H](c1ncc(-c2ccc(Cl)cc2)o1)N1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 443594815 UGKJGCHQVGTGPE-CZUORRHYSA-N 413.865 4.546 5 20 HJBD CCOc1cc(C(=O)N2CC(C)(C)Sc3ccccc32)c([N+](=O)[O-])cc1OC 446441195 SZLVDFAAHLSBKW-UHFFFAOYSA-N 402.472 4.533 5 20 HJBD CCCCN(C)CC1CCN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])CC1 447675929 APRYNDHUDKSGCZ-UHFFFAOYSA-N 424.414 4.592 5 20 HJBD CCC(CC)c1cc(CNC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)on1 460340320 SWZNWGWTKGLOFN-UHFFFAOYSA-N 414.506 4.653 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)NCCc3ccccc3[N+](=O)[O-])ccc21 460493865 SMXNKGKJXZEFJC-UHFFFAOYSA-N 420.391 4.517 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1cccc(C)c1)c1ccccn1 462605329 WGSIIKDNHBOUHV-CVDCTZTESA-N 403.482 4.773 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 462772115 HCLABSDYHWAOOT-OALUTQOASA-N 420.513 4.754 5 20 HJBD Cc1cc(C2CC2)nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 464590881 CGUBYMHBVRTXPQ-UHFFFAOYSA-N 406.467 4.974 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])nc12 465275485 ZAJRGSIYEJGISZ-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 470252842 WORSTTVEUGDQKT-FQEVSTJZSA-N 423.469 4.765 5 20 HJBD COc1c(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 476664369 QYVRLSVHOAESEL-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)c2cn(-c3cccc([N+](=O)[O-])c3)nn2)o1 477890577 VKTJJOLKVGQTJX-UHFFFAOYSA-N 423.816 4.650 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1ccc(-c2cnco2)cc1 478142161 KJWMPRKTALIPEH-UHFFFAOYSA-N 413.389 4.733 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccncc3)ccc2C)cc1SC 483643052 NZPNCNVTXRUMTF-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2)n1 484308465 OKJYSDSGQVHPRS-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N(CCc1ccccn1)Cc1cccnc1 485424204 ZEXMPKYMZLNAOG-UHFFFAOYSA-N 402.376 4.653 5 20 HJBD CCNC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 486394129 AMKVYYDAWUGRIK-UHFFFAOYSA-N 416.503 4.737 5 20 HJBD CSc1cccc(C(=O)N(C)Cc2c(C)nn(-c3ccccc3C)c2C)c1[N+](=O)[O-] 486476011 CIXRFAKDCICVQQ-UHFFFAOYSA-N 424.526 4.700 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CC[C@@H](c2ccccc2)C1 486698812 IYVGXRFIDLHMQW-HXUWFJFHSA-N 401.466 4.837 5 20 HJBD Cc1nc(COc2ccccc2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cs1 488096985 TWLSVRBUCNQCGP-CQSZACIVSA-N 411.483 4.738 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@@H](CC)c2cccc([N+](=O)[O-])c2)cc1OC 489241069 PJUNHXXUEAYIPJ-KSSFIOAISA-N 401.463 4.514 5 20 HJBD CCn1c(SCC(=O)c2cc(C)sc2C)nnc1-c1ccc([N+](=O)[O-])cc1 489414741 QZGQGQFIYWQNHT-UHFFFAOYSA-N 402.501 4.527 5 20 HJBD CC(C)CCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1C(C)C 490195243 TVNMEBBKRYCLAY-UHFFFAOYSA-N 410.421 4.815 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Cl)cc2OCC(F)F)c1 491482751 CVGJXRDLAZLQKN-UHFFFAOYSA-N 414.792 4.608 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)cc([N+](=O)[O-])c1 494210891 CCHZGKMBKLMYGU-QGZVFWFLSA-N 404.850 4.757 5 20 HJBD CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1nc(C)cs1 495129777 DYAHSIPOQVMBQD-SFHVURJKSA-N 410.499 4.853 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(n4ccc(C(F)(F)F)n4)CC3)o2)c1 497213945 HZWKDRKFXJOJND-UHFFFAOYSA-N 420.391 4.907 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1noc(Cc2ccccc2)n1 499139988 FOPYCGVVWQJXIF-NSHDSACASA-N 403.236 4.504 5 20 HJBD CCN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(OC)c1 501443420 ABHCTVOZQJGMFA-UHFFFAOYSA-N 414.405 4.767 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1ccc(-c2csc(C)n2)cc1 504116803 VJFSKUNBVFYBKO-LBPRGKRZSA-N 412.471 4.918 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1-c1nc(-c2ccc([N+](=O)[O-])cc2)no1 505095674 XUBAQCQPEOLEGJ-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD O=[N+]([O-])c1cncc(Cl)c1OCc1nnc(-c2ccc(Cl)cc2Cl)o1 506583197 GGKVIQHYBCACGB-UHFFFAOYSA-N 401.593 4.579 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1ccccc1[N+](=O)[O-] 506791511 VTUSQVWFUKIEKM-CQSZACIVSA-N 409.408 4.853 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1ccc(Cl)cc1F)c1ccccc1[N+](=O)[O-] 515170046 MNYMATSDRKDCDW-INIZCTEOSA-N 423.828 4.592 5 20 HJBD CCN(C(c1ccccc1)c1ccccc1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 521771881 HYXYIVZPFDPUAX-UHFFFAOYSA-N 414.458 4.534 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 524166074 PFDLNJNMECBABG-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CNc1ccc(C(=O)N2CCC[C@@H]2c2nc3ccccc3n2C(C)C)cc1[N+](=O)[O-] 531362075 BOILURVLHUUQAD-LJQANCHMSA-N 407.474 4.544 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2cc([N+](=O)[O-])cn2C)nc1-c1ccc(Cl)cc1 531774995 MPDFBBYSEQXJAT-JTQLQIEISA-N 404.879 4.510 5 20 HJBD CC[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1c(C)noc1C 534538587 ZQZYMIQYUYGSSN-GOSISDBHSA-N 408.458 4.693 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CC=C(c2c[nH]c3cc(F)ccc23)CC1 536159471 BYLFHUPSMJBALF-UHFFFAOYSA-N 418.428 4.555 5 20 HJBD COc1ccc(CC(=O)N[C@H](C)c2ccccc2OCc2ccccc2)cc1[N+](=O)[O-] 536926717 ZUUKXUWILBPFGA-QGZVFWFLSA-N 420.465 4.602 5 20 HJBD CC(C)Oc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 537021619 FLFAIBNGJLXKHJ-KBPBESRZSA-N 405.882 4.713 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 537043959 STZNOWUWMNNYLB-UONOGXRCSA-N 412.421 4.525 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@H]1NCCc1nc2ccccc2n1C(F)F 537982238 QFXKBIJIPCXRDE-DOTOQJQBSA-N 414.456 4.883 5 20 HJBD CC[C@H](CSC)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 540338821 XPVXPBNRWBVCPS-KDOFPFPSSA-N 407.923 4.659 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)c1 542173278 UHGRPCTZOYMTMF-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ncoc1-c1cccc(C(F)(F)F)c1 544056958 WBBANRPAIMAQCM-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)ccc1SCC(F)F)c1ccc([N+](=O)[O-])cc1F 544397882 UQDNTGHPRGDWBR-SECBINFHSA-N 402.369 4.972 5 20 HJBD Cc1sc(NC(=O)[C@H]2CCC(=O)c3ccccc32)nc1-c1cccc([N+](=O)[O-])c1 551518663 IHPXVGCSPPAQTQ-KRWDZBQOSA-N 407.451 4.726 5 20 HJBD COc1ccccc1C1(CN[C@H](c2cccc([N+](=O)[O-])c2)c2nccn2C)CCCC1 552249777 KGFBGAHMELCBIK-JOCHJYFZSA-N 420.513 4.528 5 20 HJBD CS(=O)(=O)c1ccc(CNc2c(Cl)cc(Cl)cc2[N+](=O)[O-])cc1Cl 567188665 XIJAIEWWOAKLGM-UHFFFAOYSA-N 409.678 4.571 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(C(F)(F)F)cccc3s2)c1 568844827 HDUWQCJSAQPKHO-UHFFFAOYSA-N 411.361 4.874 5 20 HJBD CC(C)(C)[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])C(F)(F)F 574892854 NBLMQWWVVSYTOW-SFHVURJKSA-N 410.392 4.881 5 20 HJBD C[C@]1(CCCO)CCCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 579605987 HZSXHNWDLJWXKE-LJQANCHMSA-N 423.307 4.744 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 580029297 XMDGQHAUBADVJW-CQSZACIVSA-N 415.877 4.617 5 20 HJBD COc1cc(C(=O)N2CCC(Oc3ccc(C(C)C)cc3)CC2)cc([N+](=O)[O-])c1C 580263949 MQDHUHDGBLLWSS-UHFFFAOYSA-N 412.486 4.719 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1F 581400819 DINDFWZZNAXCBJ-UWVGGRQHSA-N 413.218 4.616 5 20 HJBD CCCCN([C@H](C)c1ccc(C)o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 604494943 MGUCDBOGNIXHJG-CYBMUJFWSA-N 400.884 4.702 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2cc(F)ccc2F)cc1[N+](=O)[O-])c1ccccn1 608895521 OWJQVYMQZLZHCI-CYBMUJFWSA-N 412.396 4.718 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N1CCCC[C@H]1c1ccccc1C(F)(F)F 608945432 MZACSCQRYKSRAF-INIZCTEOSA-N 408.376 4.746 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)NCCc3ccc([N+](=O)[O-])cc3)cs2)cc1 609516547 QPJXIJUKHQMJCJ-UHFFFAOYSA-N 409.511 4.743 5 20 HJBD CCn1cc(CN(C)C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)cn1 609610765 DSNNHNKCPRAWHE-UHFFFAOYSA-N 410.499 4.543 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 609709794 YMFKXLPCEQJUAE-AWEZNQCLSA-N 402.882 4.595 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccc(Oc2ccccc2F)c(F)c1 610280860 XZDOVAZQRGCDFT-UHFFFAOYSA-N 424.363 4.926 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCc2ccc([C@H](C)O)cc2)cc1[N+](=O)[O-] 726196305 ZXUBALWFGUMXSA-HNNXBMFYSA-N 402.516 4.716 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1cc(F)ccc1[N+](=O)[O-] 728257792 IKFZVRCPMMMZCC-UHFFFAOYSA-N 418.428 4.969 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731840050 RHQKZPZLSHBRFC-AWEZNQCLSA-N 415.471 4.959 5 20 HJBD Nc1nc(Cl)cc(NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)n1 735490151 NTJCOEMBDDHUGI-UHFFFAOYSA-N 424.219 4.817 5 20 HJBD C[C@H](Nc1ccc(F)c(C(F)(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 742667634 SOPHIEGIMAEQEW-QMMMGPOBSA-N 405.735 4.845 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cc(F)ccc1[N+](=O)[O-] 745372017 ZBYALVLLVSWYOE-UHFFFAOYSA-N 400.431 4.647 5 20 HJBD COc1ccccc1-c1nc(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cs1 746071334 AGXVCCBTXPDOBJ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 747289426 PHCAJPBYMLWBMJ-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD Cc1ccn(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(=NC2CCCCC2)c1 747633196 HKWFOUUMBLLTQY-UHFFFAOYSA-N 422.510 4.750 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@H](COc2ccccc2Cl)C1 754126787 USVFOSVXQJXWEA-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)C2CC2)cc1 754633088 UBXMKOSXVYKTEW-QGZVFWFLSA-N 407.239 4.686 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 755024098 KKPUQGUIGZZSJI-NRFANRHFSA-N 416.433 4.918 5 20 HJBD C[C@H](C(=O)OCc1ccccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1F 755064476 KFRBCLKVXJERGD-NSHDSACASA-N 401.312 4.522 5 20 HJBD CCc1ccc(C(=O)N(Cc2ccccc2)C[C@H](O)c2ccsc2)cc1[N+](=O)[O-] 757080257 DNBQOXYZCIHBSI-NRFANRHFSA-N 410.495 4.595 5 20 HJBD O=C(O)/C=C\c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 761666787 QVVRNEWMPHMKGF-GHXNOFRVSA-N 412.467 4.980 5 20 HJBD COCc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cc1 767205558 LVVFKJOSCHMABP-UHFFFAOYSA-N 415.496 4.910 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccccc1)c1nccs1 770193613 UYSWFHFPFPABNX-SUMWQHHRSA-N 400.481 4.865 5 20 HJBD CCc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775092404 YEQPRFQKPPSHEA-CQSZACIVSA-N 416.499 4.842 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])ccc1OC(F)F 779366496 XPKXWRMMRFODNT-OAHLLOKOSA-N 406.366 4.599 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])[C@@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 785883499 BMVISMMXPXTEEQ-ZJPYXAASSA-N 407.344 4.523 5 20 HJBD CCOC(=O)/C(=C/c1csc(-c2ccc(Cl)cc2)n1)c1ccc([N+](=O)[O-])cn1 794943740 OXGSAWMSEDXDLQ-CXUHLZMHSA-N 415.858 4.870 5 20 HJBD Cc1nc([C@H](C)NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)n[nH]1 804814384 TVCDFFQUMSIZNK-AWEZNQCLSA-N 410.477 4.851 5 20 HJBD Cc1sc(NC(=O)c2ccnc(C(F)(F)F)c2)nc1-c1cccc([N+](=O)[O-])c1 808286090 OQUPSSKGUZZRNY-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1C[C@@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 813628110 LHMCOTYWXJYQBV-BLVKFPJESA-N 408.376 4.783 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])s2)c1 814324637 PEVJPSJUXRWFRO-UHFFFAOYSA-N 411.483 4.567 5 20 HJBD CC(C)[C@@H](NC(=O)c1cccs1)C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920330591 ICRKFMVIDKECQQ-HXUWFJFHSA-N 424.478 4.683 5 20 HJBD O=C(/C=C\c1cc(Cl)cc(Cl)c1)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 1251193558 NXYGLNHKGHMHMM-BHQIHCQQSA-N 420.208 4.708 5 20 HJBD Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1319729239 WFAGCBQDVPNAIA-GOSISDBHSA-N 400.866 4.887 5 20 HJBD Cc1nnc(SCc2ccc([N+](=O)[O-])cc2Br)n1-c1ccccc1 1320701263 VWCQKBBZLWTZPJ-UHFFFAOYSA-N 405.277 4.539 5 20 HJBD COC(=O)Nc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)cc(C(F)(F)F)c1 1322282960 FRUDUTHOKXYDLB-UHFFFAOYSA-N 408.292 4.509 5 20 HJBD C[C@@H](c1ccccc1)[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1328549416 RAIJEHDRLNIQMK-LIRRHRJNSA-N 400.862 4.762 5 20 HJBD Cc1c(-c2nnc(CSc3ccc(Cl)cc3)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1331871843 JYUHOGGKQFIAQN-UHFFFAOYSA-N 406.807 4.807 5 20 HJBD Cc1ccc(-c2cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c3c(C)noc3n2)cc1 1339545282 NBDRAUXIWQBPKN-UHFFFAOYSA-N 414.421 4.571 5 20 HJBD CC(C)COc1cccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 7545170 SODYFEMSTDUVND-AWEZNQCLSA-N 411.414 4.598 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(-n3cccn3)cc2C(F)(F)F)cc1[N+](=O)[O-] 31967478 FFPMCODJPUPZGB-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1CCCN(C(=O)c2cccc3ccccc23)C1 52585818 LPYMMCBPHDALKX-SFHVURJKSA-N 417.465 4.547 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 52605602 OJCOXUXLSWDFLE-UHFFFAOYSA-N 403.423 4.705 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCC[C@@H]1c1nc2ccccc2n1C 117493559 HMUNHMVLKXSFAW-FOIQADDNSA-N 424.526 4.716 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(N3CCCC3)n2-c2cccc(Cl)c2)o1 209879455 WMQZUIZENKEYSU-FLIBITNWSA-N 417.878 4.785 5 20 HJBD COc1cccc([C@@H](NCc2ccc(C)c([N+](=O)[O-])c2)c2cc(F)ccc2OC)c1 237294624 FGRMWUCQSCSWMF-HSZRJFAPSA-N 410.445 4.939 5 20 HJBD COc1cc(F)c([C@H](C)Nc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 261488924 XJMNKJGRXVJJLC-ZDUSSCGKSA-N 412.421 4.690 5 20 HJBD Cc1cccc(-c2nnc(Sc3cc(C)c([N+](=O)[O-])cn3)n2Cc2ccco2)c1 301453291 VBSBLVSLBFEUCV-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCOC[C@H]1c1nc2ccccc2s1 409653471 RFEBIRADCMVFIC-HNNXBMFYSA-N 409.389 4.801 5 20 HJBD CCCO[C@@H]1CCCN(C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])CC1 426347999 XAAYGBVYRLZPFA-LJQANCHMSA-N 412.486 4.595 5 20 HJBD CN(Cc1cccc2[nH]ccc12)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 427745921 PDLBZBUSKOFIMT-UHFFFAOYSA-N 414.465 4.960 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CC[C@H](Sc4cccc(Cl)c4)C3)n2)cc1 429172682 KSPVYWHUKNGQHV-KRWDZBQOSA-N 416.890 4.665 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc([S@](C)=O)cc1 447691962 XJMAQAGHBKCWNI-IEMHXGKNSA-N 423.363 4.554 5 20 HJBD Cc1cc(N2CCC(NC(=O)Nc3ccccc3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461781134 QECNSAPBHOSCTH-UHFFFAOYSA-N 422.407 4.713 5 20 HJBD Cc1ccccc1C1CC(N(C2CC2)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 462494907 BFYVPFKQIFYZAN-UHFFFAOYSA-N 420.918 4.656 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1[N+](=O)[O-])c1ccc(Br)cc1OCCCO 462955928 XUVLKXJMYIMVBY-ZIAGYGMSSA-N 423.307 4.530 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 464364915 NHFCAZAKTTZHDK-HNNXBMFYSA-N 400.438 4.971 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(S(=O)(=O)C(C)(C)C)cc2)cc1[N+](=O)[O-] 468079593 NWDFPTUAOIXSIM-UHFFFAOYSA-N 404.488 4.543 5 20 HJBD CSc1ccccc1CN(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 471310187 MDJTYOTWYFZCOL-UHFFFAOYSA-N 402.519 4.535 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1cc(Cl)cc([N+](=O)[O-])c1 472279736 TZIARVSVFDXMNQ-GOSISDBHSA-N 402.237 4.816 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 475862730 BUVXNRBHNUVMJI-QRWLVFNGSA-N 420.868 4.556 5 20 HJBD Cc1nc2ccccc2n1C1CCN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 477366215 QRFKYLJPNHTLGW-UHFFFAOYSA-N 406.486 4.854 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N1CCc2sccc2[C@@H]1c1cccs1 477478117 JHJCRZDQSSIEAF-LJQANCHMSA-N 402.472 4.574 5 20 HJBD Cc1c(CNC(=O)NCc2nc(-c3cc4ccccc4o3)cs2)cccc1[N+](=O)[O-] 479747765 CJSPKZJTRLPDGN-UHFFFAOYSA-N 422.466 4.772 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(OC)c(Cl)c2)cc1SC 483497479 IXBHRFKSXNCZGU-UHFFFAOYSA-N 417.270 4.893 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC[C@@H](c2nccs2)C1 484910354 XQZZPIYVDTXTBI-QGZVFWFLSA-N 422.510 4.683 5 20 HJBD C[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)C(=O)Nc1ccccc1 487776719 NITVILIRYYDIDA-OAHLLOKOSA-N 421.478 4.503 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 488033401 QBEUBWILVWVEEN-KRWDZBQOSA-N 411.502 4.656 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)c2cc(C(=O)OC)cc([N+](=O)[O-])c2)c1C 488777732 QTXHLSUHENTXOW-UHFFFAOYSA-N 414.458 4.647 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)NCC)ccc1C 489670652 YEROOIRVBJXLEJ-UHFFFAOYSA-N 416.503 4.799 5 20 HJBD O=C(Nc1c[nH]nc1-c1ccccc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 492730718 XTGLRVPPFYGPCI-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCCCO2)c1 494259439 KYCXBIWWEAZHPH-OAHLLOKOSA-N 404.850 4.757 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)c(F)c(Cl)c2)cc1OC 494884910 ZWQUNFQUJKYSKJ-UHFFFAOYSA-N 403.193 4.700 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(COCC(F)(F)C(F)F)c1 502160647 YKGHLDOSSBWALC-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD O=C(N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 503858348 OXWNMZIPIMIOAN-IBGZPJMESA-N 420.856 4.838 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccccc2)CC(C)(C)C)c([N+](=O)[O-])cc1OC 504889221 UDXKNOGEAZJADG-UHFFFAOYSA-N 400.475 4.691 5 20 HJBD CN(Cc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)Cc1nccn1C(F)F 507098434 QPGFKIZXQYHALB-UHFFFAOYSA-N 401.417 4.586 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc(C)cc1[N+](=O)[O-] 508031499 YAVKZMDMRATJAC-ZDUSSCGKSA-N 416.421 4.694 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2n[nH]c(C(C)C)c2[N+](=O)[O-])n1 508674302 YTXVQVZKGYAXJL-CQSZACIVSA-N 419.869 4.703 5 20 HJBD O=C(c1ccccc1)N1CC[C@H](COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])C1 509061183 QPVKAQAKACDUGO-SFHVURJKSA-N 402.450 4.803 5 20 HJBD COc1ccc(OC(F)F)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 509563634 HYEBUYYJFUWCSI-UHFFFAOYSA-N 409.389 4.647 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-n2cccn2)c(Cl)c1 509931340 SZVSSEDWZBTXPO-UHFFFAOYSA-N 413.865 4.876 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 509946759 UBCBNIIULWBKCM-TZMCWYRMSA-N 410.396 4.526 5 20 HJBD O=C(c1cc(NCCc2ccc(Cl)cc2Cl)ccc1[N+](=O)[O-])N1CCCC1 510727303 OQGPDQOHXVXEPY-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD CC(C)[C@H](C)N(CC(F)(F)F)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 510832272 SOHYIJAUGHDPMR-NSHDSACASA-N 401.410 4.767 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 517579260 DJNZWMADAFAHMN-SJORKVTESA-N 416.359 4.542 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)NC2CCCCC2)c1 519071614 UEYSDIAWQSEKLF-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1cc2cc([N+](=O)[O-])ccc2o1 522557643 YLQJFKQDIKFOID-UHFFFAOYSA-N 407.407 4.907 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1ccc([N+](=O)[O-])cc1Br 523486687 DPDNZBNKZCPPLR-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)NCCc1ccc([N+](=O)[O-])cc1 523902005 CKWRGJRBDKCZNG-OAHLLOKOSA-N 410.499 4.630 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(F)c(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 525474293 NZXHHCRISAEJFF-LLVKDONJSA-N 416.359 4.833 5 20 HJBD Cc1nnc(SCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n1[C@H]1CCC[C@@H](C)C1 534606265 LDSFFMMLYCTDNH-WBMJQRKESA-N 414.491 4.588 5 20 HJBD O=C(c1cc(Br)cc(C(F)(F)F)c1)N1CCc2cc([N+](=O)[O-])ccc21 535983348 LGXPKKCWFLVVRC-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1c(NC(=O)CCN[C@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 537124600 YJPZPHXMVSBKJZ-OAHLLOKOSA-N 415.465 4.511 5 20 HJBD Cc1ccc(Br)cc1NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 537722200 DRNDOOUSXGOKSN-UHFFFAOYSA-N 401.264 4.678 5 20 HJBD CC[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1OC(F)F 538152484 BNQSMELSTYWHHQ-AWEZNQCLSA-N 413.808 4.529 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1csc(Br)c1 538191562 USIKVQIAGOIMLC-UHFFFAOYSA-N 415.334 4.849 5 20 HJBD COc1cc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc(OC)c1Br 538360616 SKUFNIRYGGKIHW-UHFFFAOYSA-N 423.263 4.552 5 20 HJBD CC(C)[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 541595621 DLCPZTCGLUGIPL-LPHOPBHVSA-N 406.548 4.811 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)cc1[N+](=O)[O-] 542964311 GDFZLAYUBPFUFY-HNNXBMFYSA-N 415.837 4.502 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OC(F)(F)F)c1 545121728 ODHPVBHHRZNRAU-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccccc3-c3ccc(Cn4cccn4)cc3)no2)[nH]1 545621167 BBMVWFKDYZYPSZ-UHFFFAOYSA-N 412.409 4.552 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)[nH]c2c1 546536117 LYTDQXMOVZSTPO-IBGZPJMESA-N 410.449 4.560 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccccc1F 551238653 PYMJTARFMJKLCY-NRFANRHFSA-N 403.417 4.731 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1OCC(F)F)c1ccc([N+](=O)[O-])cc1F 554644207 YYGDFMURRQMDJL-SECBINFHSA-N 402.756 4.773 5 20 HJBD Cn1nc([C@H]2CCCO2)cc1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 556079295 VZXPNVWNNOVIEI-LJQANCHMSA-N 424.482 4.583 5 20 HJBD CC(C)CCOc1ccccc1CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 556871790 NHHUSLBESBYDOT-QFIPXVFZSA-N 408.502 4.632 5 20 HJBD COc1cc(C(=O)N[C@@H]2CC[C@@H](F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 561670585 TVOGUSGKQFNQIO-ZIAGYGMSSA-N 408.813 4.670 5 20 HJBD Cc1nc(CNc2ncnc3sc([N+](=O)[O-])cc23)oc1-c1ccc(Cl)cc1 570875254 IPAOWEVBMOKZFI-UHFFFAOYSA-N 401.835 4.828 5 20 HJBD C[C@H](c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)N(C)CC(F)(F)F 581157836 PCJYWOFDDJGWEN-GFCCVEGCSA-N 422.363 4.992 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3c(Br)sc(C)c3C)n2)c1 582386614 JXKVWEWVZCRXKN-UHFFFAOYSA-N 424.276 4.685 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OCC(F)F 590207368 CTFKNPVLBGRXJC-UHFFFAOYSA-N 404.291 4.818 5 20 HJBD O=C(N[C@H]1C[C@H]1c1c(F)cccc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590268487 IHOMJZVOYKQUFN-YGRLFVJLSA-N 402.731 4.692 5 20 HJBD CCN(CC(F)(F)F)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 603618832 IGKJOZBCFWCKRQ-UHFFFAOYSA-N 415.799 4.885 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3nc(C4CC4)cs3)cc2[N+](=O)[O-])C1 603873011 VQOYAUXQACZNQK-STQMWFEESA-N 400.504 4.663 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 608838111 CTCVCIDJYIKIMI-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(Cc3ccc(OCc4ccc(F)cc4)cc3)n2)cc1 608869667 BKPHPOMAMACSLR-UHFFFAOYSA-N 404.401 4.620 5 20 HJBD CSCCc1ccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 609015404 VRVGXDPDNQYUEL-UHFFFAOYSA-N 424.526 4.614 5 20 HJBD O=C(Nc1cc(F)ccc1SCC(F)F)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609993424 XJTHOLDWNHHYAC-UHFFFAOYSA-N 423.372 4.597 5 20 HJBD C[C@@H](CNC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Cc1cccs1 610191151 IBYUJMVESHCICI-LLVKDONJSA-N 418.462 4.762 5 20 HJBD COc1ccc(C[C@H]2CCCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)cc1 610215674 SCDJJEXIEVGOHL-LJQANCHMSA-N 421.497 4.756 5 20 HJBD O=C(CCSc1ccccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 610305487 GBNPTFHKZBATQQ-UHFFFAOYSA-N 420.918 4.800 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 610340596 ADSOOZKEEMPHDD-UHFFFAOYSA-N 424.463 4.800 5 20 HJBD COCc1ccc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 610480470 MITAXHIIUOZHOA-NSHDSACASA-N 414.405 4.879 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN(Cc3cc(Br)ccc3F)C3CC3)nc2c1 610580934 FEXPTWXCVWUTCM-UHFFFAOYSA-N 420.238 4.802 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@](=O)Cc4ccccc4)c3)c2c1 611706831 MFSIQVCHGQLBQS-SSEXGKCCSA-N 418.478 4.731 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 729215142 DSFXVFQFDSZJIT-UHFFFAOYSA-N 421.434 4.918 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ccc(N(C)C)cc2)cc1[N+](=O)[O-])c1ccccn1 729809933 PQPGQVHYJRHXLU-MRXNPFEDSA-N 420.469 4.586 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 730981119 CXDWBMNVTWIGIH-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CC(C)(CCCc1ccccc1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 733028699 XJYWAHRAORDBCZ-UHFFFAOYSA-N 409.442 4.737 5 20 HJBD Cc1cc(C)cc(C2=N/C(=C/c3csc(-c4cccc([N+](=O)[O-])c4)n3)C(=O)O2)c1 733086376 JLOCRQSCEQUYNY-VCHYOVAHSA-N 405.435 4.680 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735308006 QLRAJDILMMEMQB-UHFFFAOYSA-N 422.397 4.654 5 20 HJBD C[C@H](OC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 741326965 XKXZRCOXFCQEFL-ZDUSSCGKSA-N 416.817 4.977 5 20 HJBD Cc1ccc(N(Cc2ccco2)S(=O)(=O)c2cc([N+](=O)[O-])c(C)cc2F)cc1C 742028605 WDPNWYUBIGIWCQ-UHFFFAOYSA-N 418.446 4.648 5 20 HJBD C[C@H](OC(=O)CCNc1ncc(C(F)(F)F)cc1Cl)c1cccc([N+](=O)[O-])c1 744069102 HNJBRZAPOWDURU-JTQLQIEISA-N 417.771 4.768 5 20 HJBD O=C(OCc1ncc(-c2ccccc2F)o1)c1cc([N+](=O)[O-])ccc1Br 744915883 UBTJIXISZUQUTN-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(COc3cccc([N+](=O)[O-])c3)n2)c1 747863360 NDZLMFASKIUTBF-UHFFFAOYSA-N 412.402 4.571 5 20 HJBD C[C@@H](Oc1cc(Cl)cc(Cl)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751660883 SWXBSWGBNKZWPM-SECBINFHSA-N 411.197 4.554 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3nccn3C(C)C)cc2[N+](=O)[O-])n1 752063870 CROADEIHGKMDNX-UHFFFAOYSA-N 418.500 4.645 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC(c2cccc(F)c2)CC1 752399754 ADJYWBJIBIBYQT-UHFFFAOYSA-N 411.477 4.744 5 20 HJBD O=C(NC1CCC(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1Br 759092814 SVZQPSOPLWYPBP-UHFFFAOYSA-N 421.266 4.953 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1cc([N+](=O)[O-])ccc1Br 769279123 ROSLTRGPHGNKSV-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(NCc1cccc(NC(=O)c2ccccc2)c1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770011206 NLAUZWMMCNUMQN-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CC(OCc2ccccc2)C1 776134605 MPUOWTRMWWEEJW-XPJNUEMQSA-N 418.449 4.618 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@H](O)c1cccs1 777424678 MDVRYFFMKOFSHY-BBRMVZONSA-N 408.545 4.791 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1 778821106 XOZPYMCKIJFXOI-CYBMUJFWSA-N 415.833 4.844 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cs1 781259636 OKSIJUOHZSMNED-CYBMUJFWSA-N 408.479 4.592 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)c1F 790275343 XMYSMPXWFKZDFM-UHFFFAOYSA-N 418.318 4.712 5 20 HJBD O=C(CCc1cnc2ccccc2c1)OCc1ccc(Br)c([N+](=O)[O-])c1 795799756 DSMJTCSCZMZYHP-UHFFFAOYSA-N 415.243 4.582 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811376620 PYIVKUHYWPLLPR-UHFFFAOYSA-N 411.241 4.821 5 20 HJBD C[C@@H](C(=O)O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 816065040 VXXKLRRLBVQWJO-RFAUZJTJSA-N 412.295 4.825 5 20 HJBD CC(=O)N(c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@H]1C[C@H]1C 864038382 NEUCMLVIFAEWMG-XIKOKIGWSA-N 407.392 4.987 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(C(F)(F)F)c2)no1 877032620 MMIICQOKTKKICV-JTQLQIEISA-N 407.304 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 916402161 CXDSEGNFBVSFSE-UHFFFAOYSA-N 401.806 4.535 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 916654026 UGNYEEIYHXQWQU-CYBMUJFWSA-N 400.696 4.850 5 20 HJBD O=C(OCCCOc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)oc1C1CC1 1329543585 BWOSUOHZHUISSD-UHFFFAOYSA-N 408.410 4.753 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCO[C@@H](c2ccc(Cl)s2)C1 1337058055 SYAQBQYYBXGYRO-GFCCVEGCSA-N 402.259 4.569 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc2c(s1)CCCCC2 14809851 WTLGHACHEQQNDY-UHFFFAOYSA-N 403.507 4.519 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 21789925 WZEMMZQLJKFNFI-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2ncnc3sc4c(c23)CCC4)cccc1[N+](=O)[O-] 23405575 FLDFJECUWCJZCK-NSHDSACASA-N 414.512 4.516 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1c[nH]c2cc([N+](=O)[O-])ccc12 56274492 QFWRXAFFZGPKBX-CQSZACIVSA-N 415.833 4.540 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 60383315 DZRHLCPUDLUUML-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCSc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 64690499 JKCXTUQOUAYOBM-HNNXBMFYSA-N 420.494 4.915 5 20 HJBD CCCc1ccc(-c2nc(NC(=O)c3n[nH]c(CCC)c3[N+](=O)[O-])sc2C)cc1 78773047 KGKODCHMZMPGGI-UHFFFAOYSA-N 413.503 4.907 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c(Cl)c1 301284563 QAQXLYILUQXZDF-GFCCVEGCSA-N 420.256 4.731 5 20 HJBD C[C@H](Nc1ncc([N+](=O)[O-])cc1Br)c1noc(-c2ccc(Cl)cc2)n1 301537173 MEMPICSDEHLMFI-QMMMGPOBSA-N 424.642 4.629 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC(F)(c2ccccc2OC(F)(F)F)CC1 410166322 CGFDHLMMRWVJMR-UHFFFAOYSA-N 418.368 4.656 5 20 HJBD C[C@H]1CSc2ccccc2N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 430633903 CJPKJDUFTFSTLR-NSHDSACASA-N 412.389 4.677 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc4c(c3)CCCN4C(C)=O)o2)c([N+](=O)[O-])c1 432636385 XMFTYEFIMPOLJV-UHFFFAOYSA-N 421.453 4.775 5 20 HJBD CS[C@H]1CCCCN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 435296100 DGTWNMXUSLFODC-RDJZCZTQSA-N 414.531 4.521 5 20 HJBD COc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Br 444015266 SQKQRMJEQBVTDS-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444296103 ZKKAVYXLCYNUJB-NRFANRHFSA-N 424.422 4.975 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)cs1 445637778 PBLWERZEJANDRV-AWEZNQCLSA-N 422.510 4.856 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2ccc([N+](=O)[O-])cc2Br)n1 445780170 HHMQNMYLXOVIPI-HNNXBMFYSA-N 404.220 4.533 5 20 HJBD COc1cc(C(=O)Nc2ccccc2CN(C)c2ccccc2)c([N+](=O)[O-])cc1OC 448500431 ZOLNZNVYDRUOQP-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CC2(CCC2)[C@H]1[C@H]1CCCO1 461325216 LUAFYYKVCXMRKF-NHCUHLMSSA-N 407.470 4.512 5 20 HJBD CCOC(=O)c1ccnc(NCc2ccnc(Oc3cccc(C)c3C)c2)c1[N+](=O)[O-] 463023105 GQKFNJVKIGWVOE-UHFFFAOYSA-N 422.441 4.583 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccc(Br)cc1 470130742 FBIRWBLUYJMSII-MRXNPFEDSA-N 408.227 4.865 5 20 HJBD Cc1cc(Sc2nnc([C@H](C)N3CCCCC3)n2-c2ccccc2)ncc1[N+](=O)[O-] 470861812 ZQGAWEQSPMNHTP-INIZCTEOSA-N 424.530 4.577 5 20 HJBD Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1-c1ccc(Cl)cc1 473587561 MEOWAQIWQXGWAX-UHFFFAOYSA-N 403.225 4.798 5 20 HJBD COCC1=CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 479796791 MBBWWGUYZLNGQE-UHFFFAOYSA-N 418.902 4.818 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC3CCC3)c(F)c2)cc1[N+](=O)[O-] 480960955 MQFXIERNCDRTRN-UHFFFAOYSA-N 420.462 4.546 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2nc(C)ccc2[N+](=O)[O-])C3)cc1 481998034 HMJUNUCEWFEWOD-UHFFFAOYSA-N 417.469 4.813 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(F)cc2-c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 485704948 HAIZPCSBXZGRCW-UHFFFAOYSA-N 416.840 4.896 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cc(Br)cc(C(F)(F)F)c1 485921402 DUVQFWKWVZSYNU-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2NC(=O)C[C@@H]2C=CCC2)c1[N+](=O)[O-] 486255297 WFIKXMGIWJWEFP-CQSZACIVSA-N 411.483 4.864 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)Cc2cccc3ccccc23)c(C)c([N+](=O)[O-])c1 486882210 HQZMYRIQZXHIBN-UHFFFAOYSA-N 407.426 4.507 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(N3CCO[C@@H](c4ccc(Cl)c(Cl)c4)C3)n2)cc1 486966582 LXJCYCLTQSWNTK-MRXNPFEDSA-N 421.240 4.530 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CN(C(C)=O)C(C)C)cc1 489227219 WADSDSKGRJRMMN-UHFFFAOYSA-N 415.515 4.716 5 20 HJBD C[C@@H](Cc1ccc(O)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491881619 QOTAVUAFTVDIDG-HNNXBMFYSA-N 408.479 4.813 5 20 HJBD CC(C)NC(=O)Nc1ccc([C@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 494447886 CPMXWRBUOQSYSN-ZDUSSCGKSA-N 424.423 4.994 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@H]1c1ccncc1 498467958 YHQPRIOXIRKZHM-SFHVURJKSA-N 402.882 4.513 5 20 HJBD Cn1cc(CN2CC=C(c3c[nH]c4ccccc34)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 499245392 AHFPRGCZKXOFOO-UHFFFAOYSA-N 413.481 4.766 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 504934685 JCOYFXNUSCHDAR-HXUWFJFHSA-N 424.888 4.769 5 20 HJBD COc1ccc(C[C@H](NCc2c(F)cccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 505613818 WETWJURPNUWOEU-QFIPXVFZSA-N 410.445 4.825 5 20 HJBD O=C(NCc1ccc(C(F)(F)F)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510839955 ZNRPUXWEGSFGFT-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD CC(C)(C)NC(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 512600560 XEFXKIKVRIWPEQ-UHFFFAOYSA-N 410.499 4.859 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1csc(-c2ccc(Cl)s2)n1 513030935 IWUDUXHIIOVONC-UHFFFAOYSA-N 407.904 4.748 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 520156025 KYWUFRVQBAZBCL-VIFPVBQESA-N 423.705 4.937 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(/C=C/c4cccc([N+](=O)[O-])c4)n3)c2)n1 520296187 PRVGJVRWOSSNLE-MDZDMXLPSA-N 401.426 4.677 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nc(-c4cccc(Cl)c4)no3)o2)cc1 522282135 GWNAREDLXFHNQT-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD CCOc1cc(NC(=O)c2ccnc(Oc3ccccc3)c2)c([N+](=O)[O-])cc1OCC 523583367 KHMCDSORWWICGN-UHFFFAOYSA-N 423.425 4.832 5 20 HJBD CC[C@@H](COC)NC(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 524110571 BRKIYUUQNFIYML-ZDUSSCGKSA-N 417.512 4.511 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@H](c1cccnc1)c1ccc(F)cc1F 525150396 OEPZWONWMICRHA-LJQANCHMSA-N 401.369 4.503 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](C)c1ccc(OCc2cccnc2)cc1 525849136 GACMGLKXACGCQJ-INIZCTEOSA-N 406.442 4.760 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3cccc(CN4CCCC4)c3)cs2)c1 537508870 CPHZBBZFAYHHJJ-UHFFFAOYSA-N 408.527 4.604 5 20 HJBD CCOc1cc(Sc2nnc(COc3ccc(C)cc3)n2CC)ccc1[N+](=O)[O-] 537833378 KDMHSIRKZKODCJ-UHFFFAOYSA-N 414.487 4.644 5 20 HJBD COCCC[C@@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(Br)cc1 537948537 QTBGQJYKKIXAAA-LJQANCHMSA-N 407.308 4.657 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2ccc(COc3ccccc3)cc2)cccc1[N+](=O)[O-] 539095732 VVNMROWRXXJZCT-KRWDZBQOSA-N 405.454 4.921 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 541997307 YXUJSDPIZBCSEO-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(C[S@](=O)c4ccccc4)c3)c12 544395917 YCUGXMNTAQWKFN-LJAQVGFWSA-N 404.451 4.589 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1ccc([N+](=O)[O-])cc1F 544424977 WTRIIGZJNSLDNL-GFCCVEGCSA-N 423.897 4.683 5 20 HJBD O=C(Nc1ccc2c(c1)nc1n2CCCCC1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549514146 UMOZOYXFBWOFFV-UHFFFAOYSA-N 418.375 4.942 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc(-c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 551091958 JOKFEMKVAQBPCK-HNNXBMFYSA-N 420.347 4.545 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2ccc([N+](=O)[O-])c(C(C)=O)c2)cn1 557502215 PTCPNPKQKGOQIG-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 560539656 MUQOBPNUKRTSOL-ZQYQINFJSA-N 422.485 4.647 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cn(-c4ccccc4)nc3-c3ccccc3)[nH]c2c1 572825290 PAXVVASEPQFZAO-UHFFFAOYSA-N 424.464 4.614 5 20 HJBD Cc1ccc(-c2noc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)n2)cc1N1CCCC1 574595835 OONRJMUHUAPLBW-UHFFFAOYSA-N 403.442 4.626 5 20 HJBD NC(=O)c1ccnc(N[C@H](CCCCc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 579658174 HRNOAKRPWZFGEK-HXUWFJFHSA-N 404.470 4.655 5 20 HJBD CSc1cccc(C(=O)NC[C@H](OCC2CC2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 583950921 DJNDSPFTXBVEMP-KRWDZBQOSA-N 420.918 4.868 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN3CC[C@H](CC(N)=O)C3)cc2[N+](=O)[O-])c1 589209768 SNMHKPAORRZYTP-GOSISDBHSA-N 411.502 4.516 5 20 HJBD Cc1cc(C(=O)Nc2ccc(C(=O)N3CCCC[C@@H]3C)c(Cl)c2)ccc1[N+](=O)[O-] 603988018 WYOKRHJUSOOAES-AWEZNQCLSA-N 415.877 4.824 5 20 HJBD Cc1ccc(NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)c(OCc2ccccc2)c1 604010417 GDOHUCBTSIQTCH-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD CCCCCN(Cc1ccc(Cl)cc1)C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C 604160435 JFBXGLXUCHWXIQ-UHFFFAOYSA-N 417.893 4.714 5 20 HJBD Cc1nn(C)c2nc(C3CC3)cc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)c12 609482916 LJALLUWLDKPANR-UHFFFAOYSA-N 419.485 4.652 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccc(COc2ccc(F)cc2)o1 610047053 BANHAWNIODYXLS-UHFFFAOYSA-N 412.417 4.659 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)cc1 610169692 XXYGVTDWKRMLGM-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H](CC(F)(F)F)c1ccccc1 615053141 XYZRWBGNQAVCST-IBGZPJMESA-N 424.422 4.965 5 20 HJBD NC(=O)c1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1N1CCCCC1 619845919 LCFCONZZQBMGHK-UHFFFAOYSA-N 420.469 4.556 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 727712514 XQHCQTHUWHDPOF-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD Cc1nc2c(s1)[C@@H](OC(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 733066645 HZVVWIYJKDHJOF-HNNXBMFYSA-N 401.469 4.718 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2ccc(Cl)nn2)cc1 733243627 RVWBGKIZUBOJOV-UHFFFAOYSA-N 416.846 4.561 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 735147164 BCFRSGVGSUOBTH-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 735491550 MGJZSHRDZJHXFM-DLBZAZTESA-N 401.677 4.645 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC([C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 743842853 NCTYLHAWQMTGJH-APWZRJJASA-N 403.523 4.767 5 20 HJBD COc1ccc(COC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1SC(F)(F)F 744910938 UIZQQVUWDKEVJN-UHFFFAOYSA-N 423.315 4.851 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])c1 746076580 DZJOWFHYVPPCFM-UHFFFAOYSA-N 408.813 4.729 5 20 HJBD CC(C)Oc1cc(Br)cc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c1 751915060 RFTZHVLNCYTBKN-UHFFFAOYSA-N 410.293 4.953 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)c1[N+](=O)[O-] 753453434 DHSWSZBHYDOUDQ-LJAQVGFWSA-N 418.490 4.876 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCCCC[C@H]2C[C@H](O)c2ccco2)c1Br 754061728 MYAGQYPHRFBCJN-ZFWWWQNUSA-N 424.295 4.526 5 20 HJBD C[C@@H]1OCC[C@H]1S[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754719332 JMZJGMGCTKZXGK-RZAIGCCYSA-N 406.891 4.839 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c(F)c1 754995298 YIMMZOKHNISFLA-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1F 755067340 JHTAAWFLBKWARJ-NEPJUHHUSA-N 417.368 4.639 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 756775450 RWUPZNRULDUORS-RXVVDRJESA-N 423.516 4.782 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 757675690 AVUYSXLJJXCXJE-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD C[C@H](C[C@H](O)c1ccco1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 763522826 BADCNCRVWNWLKU-KUHUBIRLSA-N 400.406 4.721 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763720174 AYDQVBATGGUVEV-GFCCVEGCSA-N 404.850 4.557 5 20 HJBD Cc1c(C(=O)OCc2nc3ccccc3c3ccccc23)cnn1C1CCOCC1 766992961 WTDFNZOOMDVTBF-UHFFFAOYSA-N 401.466 4.601 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2ccccc2)C[C@@H](O)c2ccco2)cc1OC(F)F 771244564 MHMDGWUSVJZGQO-QGZVFWFLSA-N 404.369 4.529 5 20 HJBD C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132021 HCDIINOTQOYEPP-WAIKUNEKSA-N 418.449 4.579 5 20 HJBD Cc1noc(COc2ccc(N[C@@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])cc2)n1 777688976 JAGANGXVLJTWRB-OXJNMPFZSA-N 408.458 4.689 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CCCc1nc(-c2cccs2)no1 778774320 ZKWVJKLQLOEQTI-CYBMUJFWSA-N 401.444 4.642 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1cc(Cl)ccc1[N+](=O)[O-] 789312241 HNPDLPYXHBRCIW-LBPRGKRZSA-N 414.676 4.564 5 20 HJBD Cc1cnc(COC(=O)c2cc(-c3ccncc3)nc3ccccc23)c(C)c1[N+](=O)[O-] 789437481 FPWKCXNTEGIJMR-UHFFFAOYSA-N 414.421 4.574 5 20 HJBD C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(Br)cc1 790464603 ARPRHCSPJJTQMC-SECBINFHSA-N 415.646 4.570 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1C 792594976 WKIRRLJYGCKMFG-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1cc(OCC(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)ccc1[N+](=O)[O-] 797736026 GJBZIEFKKLSADH-QHCPKHFHSA-N 420.465 4.587 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(Oc2ccc(F)cc2F)CC1 809914737 ISYMBBIAYXURNE-UHFFFAOYSA-N 411.792 4.602 5 20 HJBD O=C(NCc1cccc(OCC(F)(F)F)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812914100 FAHJRSCEYXTYMT-UHFFFAOYSA-N 423.174 4.773 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)CSc1ccccc1[N+](=O)[O-] 819017781 MZIUAZOYENRNEQ-CABCVRRESA-N 416.499 4.771 5 20 HJBD Cc1ccccc1[C@@H](CC(=O)OCc1nc2ccccc2c2ccccc12)NC(N)=O 914641706 FFKYHNVVZUSNEK-JOCHJYFZSA-N 413.477 4.539 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cn2)c1 1116141974 OIMOADNQUMNAJQ-UHFFFAOYSA-N 404.426 4.892 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)ccc1F 1323134319 KMMKDZUQLNXFGD-UHFFFAOYSA-N 414.393 4.815 5 20 HJBD COc1ccc(OCCCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 14376653 FZFKUIAKYJUKJW-UHFFFAOYSA-N 413.455 4.525 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 14596403 RIXRWBFQEGIQKN-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD COc1ccc(C[C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 18182091 CJFLZHPISPPJBF-JOCHJYFZSA-N 421.453 4.718 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1ccc(Cl)cc1[N+](=O)[O-] 29818857 FPQKBLWVXYUYKX-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD Cc1ccc(C(=O)N(Cc2cccs2)c2ccc3c(c2)OCCO3)cc1[N+](=O)[O-] 32479901 ZPCZZMNZQTYIEP-UHFFFAOYSA-N 410.451 4.583 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)c(NC(=O)C2CCCCC2)c1 44540171 OUPIGLFLRNDLHQ-UHFFFAOYSA-N 415.421 4.514 5 20 HJBD CCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)[C@@H](C)c1ccc(F)cc1 46645641 FEIVCXGOXSYBFY-KRWDZBQOSA-N 402.469 4.904 5 20 HJBD Cc1cc(CNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 53183292 IUBUWJZEIFKFBQ-OAHLLOKOSA-N 408.433 4.540 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC2(c3ccccc3)CC2)cc1[N+](=O)[O-])c1ccccn1 53186224 IRHLWSMLGROTKZ-KRWDZBQOSA-N 416.481 4.625 5 20 HJBD C[C@@H](Nc1ccc(Cl)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 56173931 ZVHCJLNOCUCWPZ-MRVPVSSYSA-N 405.735 4.845 5 20 HJBD CCOc1cc(NC(=O)COc2cccc(C(C)C)c2)c([N+](=O)[O-])cc1OCC 61140529 FYVYXQXHVQSQFY-UHFFFAOYSA-N 402.447 4.533 5 20 HJBD CC(C)Oc1cccc([C@@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])c1 64113339 JFSXHDAIVYERFI-OAHLLOKOSA-N 408.458 4.520 5 20 HJBD C[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccccc1Cl 301148749 CDPKRRGOLXFRKV-LLVKDONJSA-N 405.241 4.621 5 20 HJBD Cc1cc([C@@H](C)Nc2cnn(-c3ccc([N+](=O)[O-])cc3)c(=O)c2Cl)c(C)s1 301557628 XDBAPDIHEUECDQ-LLVKDONJSA-N 404.879 4.646 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(NC[C@H]2CCCO[C@H]2c2ccccc2)cc1Cl 301655479 HRDWMTOMBNMNDP-KUHUBIRLSA-N 404.850 4.615 5 20 HJBD Cc1cc([N+](=O)[O-])cnc1NCc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])cc2C)cc1 302186926 UPFNOLMHZWYORI-HNNXBMFYSA-N 422.445 4.695 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1cccs1)c1ccc(F)cc1 302956745 LFVQOTDHIJCJTQ-UHFFFAOYSA-N 422.441 4.828 5 20 HJBD CCN(C(=O)CCn1nc(C)c([N+](=O)[O-])c1C)[C@H](c1ccccc1)c1ccc(F)cc1 303256229 CAGUCMCVZQQNDG-HSZRJFAPSA-N 424.476 4.575 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCCC(=O)Nc2ccccc2[N+](=O)[O-])o1 410048397 UEUGECCYNVWLJH-OAHLLOKOSA-N 412.471 4.641 5 20 HJBD COC(=O)COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1Cl 433590319 ILEPIUZAUSMVMG-UHFFFAOYSA-N 420.852 4.846 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@@H]2CC(=O)c2ccco2)cc1[N+](=O)[O-] 434256699 OWAJXGHBBUMAME-OAHLLOKOSA-N 402.472 4.568 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Cl 435939150 WOLGQENYHDVKON-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD O=C(N[C@@H]1CCc2c(Cl)cccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436160100 XGWOHVJTZOMADE-LJQANCHMSA-N 406.844 4.891 5 20 HJBD Cc1cc(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc([N+](=O)[O-])c1 437026932 XOQAHZHRJUPLGH-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437968625 FACOAQKYLILOIL-UHFFFAOYSA-N 421.400 4.580 5 20 HJBD Cc1ccc(NC(=O)Nc2cccc3c2CCN(c2ncc([N+](=O)[O-])s2)C3)cc1 443509573 MBKNOZRNSRWJQE-UHFFFAOYSA-N 409.471 4.566 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Br)c1 443911878 VXVFJEYNQSVQDV-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(NCC1(c2cccc(Cl)c2)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444080809 BJXGFCVKNZJNFK-UHFFFAOYSA-N 402.881 4.796 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](c2c(F)cccc2F)C1 444339179 OXMMJLSDYDPXAZ-ZDUSSCGKSA-N 422.406 4.728 5 20 HJBD CCOc1cc(-c2noc(-c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc(Cl)c1O 445422106 KFSGWILMRLVJPZ-UHFFFAOYSA-N 400.778 4.551 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 445787218 MQWUXEYKNNOYAJ-SJCJKPOMSA-N 403.822 4.897 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccc(C(=O)Nc2ccc(F)cc2)cc1 446490554 NRQOJVNAWXZPAW-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1nnc(-c2ccc(Cl)cc2)o1 461402857 AOKYRSXCZTVOBP-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1cc(=NC(=O)c2cc(-c3ccccn3)ccc2Cl)c2cc([N+](=O)[O-])ccc2[nH]1 462599153 QLXOZWAOTJTWIV-UHFFFAOYSA-N 418.840 4.841 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)Nc3cccc([N+](=O)[O-])c3)C2)nc2ccccc21 467232424 WYNWPUDAILSYPA-MRXNPFEDSA-N 407.474 4.937 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 470961302 SJBNAORHTPXGGO-FQEVSTJZSA-N 424.888 4.935 5 20 HJBD Cc1cccc(CN(CCC(=O)Nc2ccc([N+](=O)[O-])cc2C)Cc2ccccn2)c1 471184420 CAGDTKWQTWMNPR-UHFFFAOYSA-N 418.497 4.638 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 482384419 JZUWXDUCJYGGRO-UHFFFAOYSA-N 405.292 4.684 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cccc(OC(F)F)c32)cc1SC 483325454 SRLPYZFDHUPXSD-UHFFFAOYSA-N 424.425 4.520 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc2c(Cl)cc(C(F)(F)F)cn12 485348396 PTYFVMLKZOESDQ-UHFFFAOYSA-N 418.784 4.611 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])sc2ccccc12 485727882 CUFYDOKJCIELGE-UHFFFAOYSA-N 416.480 4.960 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3C[C@H](c4ccccc4)[C@@H]4CCCC[C@@H]43)o2)cc1 485913498 NBMZSGXYYYQQLB-HBMCJLEFSA-N 404.470 4.803 5 20 HJBD C[C@@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 487102175 XSZOBYRMRUEADM-CYBMUJFWSA-N 414.849 4.506 5 20 HJBD Cc1csc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c1Br 489871591 BYGJJCXQHMIHIX-OAHLLOKOSA-N 413.318 4.615 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cnn1-c1cccc(Cl)c1 490363028 HHVYHEGBLRUTCD-UHFFFAOYSA-N 410.861 4.547 5 20 HJBD O=C(c1cc(Oc2ccccc2)ccn1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498132088 MNACYLLUINVXPB-OAQYLSRUSA-N 407.451 4.670 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)c(C)c1 498477217 YKOZTHRPPNOBEN-ZDUSSCGKSA-N 402.472 4.817 5 20 HJBD COc1cc(C(=O)N(Cc2cccc(C)c2)CC(C)C)c([N+](=O)[O-])cc1OC(F)F 500537330 KSJXWWMZJGSDMI-UHFFFAOYSA-N 422.428 4.812 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccon2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 502006585 KUNGNRBPHBSHPH-NSHDSACASA-N 417.805 4.528 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3nc(-c4ccco4)oc3C)n2)cc1[N+](=O)[O-] 503279512 FQGANUHADLJSAC-UHFFFAOYSA-N 424.438 4.764 5 20 HJBD Cc1n[nH]cc1CCCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 504062888 MPHKSIRSCLCUME-UHFFFAOYSA-N 400.866 4.794 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCN(CCCc2ccccc2)CC1 507097689 QSKAQXQMIZOZLX-UHFFFAOYSA-N 423.538 4.590 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)n2)cc1C 514659623 JHGNCLCCFBBOPM-UHFFFAOYSA-N 411.439 4.625 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OCc1nc(COc2ccc(F)cc2)no1 516783753 BHFVKFXNNJSJRD-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(CCN2CCCCC2)cc1 517098552 QKMAHDZGPLKRDA-KRWDZBQOSA-N 413.543 4.743 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)c2cn(-c3cccc([N+](=O)[O-])c3)nn2)c(C)c1 520229904 XEJHTUUYMQSIFU-AWEZNQCLSA-N 411.487 4.627 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)[C@@H]1c1ccccc1 523491580 YNFFTJLSQFIPFT-SJCJKPOMSA-N 421.316 4.676 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2cc([N+](=O)[O-])ccc2C)cc1OC 523562332 GQPXYPBPYVYSNW-SFHVURJKSA-N 412.486 4.548 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)c1ccccc1 523882704 VTFQJIQFVNMCCZ-UHFFFAOYSA-N 400.818 4.844 5 20 HJBD COc1ccc(-c2ccc(CNC[C@H](C[C@H](C)O)c3ccccc3)o2)c([N+](=O)[O-])c1 532346624 TUYZRSMFPAYDRR-WMZOPIPTSA-N 410.470 4.508 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)Cc2ccc(C(N)=O)cc2[N+](=O)[O-])cc1 533300691 LAJXZAQVWQYICV-MRXNPFEDSA-N 419.481 4.562 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 535677237 VZISUXQZMRGNKS-UHFFFAOYSA-N 400.438 4.622 5 20 HJBD O=C(c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1)N1CCCCC1 536101212 OBEDSJUPPLWIDT-UHFFFAOYSA-N 422.510 4.957 5 20 HJBD CSc1cc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccn1 536817817 XGWMDNODCNCDJC-UHFFFAOYSA-N 410.455 4.826 5 20 HJBD Cc1ccc([C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)N2CCCC2)o1 537586581 MLRSQKSCLWMLDI-GOSISDBHSA-N 417.531 4.564 5 20 HJBD CN(C(=O)Cc1cccc(C(F)(F)F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539353953 YFFOBHPDNIUEQV-UHFFFAOYSA-N 421.400 4.943 5 20 HJBD CC[C@H](NCc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cc(F)ccc1F 540444402 GFNQQTZOUNGRAC-AWEZNQCLSA-N 402.344 4.724 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 540447076 YDDODZVPUSJWQD-QAPCUYQASA-N 402.859 4.723 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540566948 JCTVJSZXPRVTTQ-GHTZIAJQSA-N 423.856 4.570 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 543443507 BRFXAILUGYLXRI-SNVBAGLBSA-N 421.400 4.802 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c(Cl)c2Cl)c1 544459676 RCZOHYPQERTLDD-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)s2)cc1 544485442 CUDBHJYQXUHUDB-HNNXBMFYSA-N 424.526 4.649 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3ccc(C(F)(F)F)c(F)c3)no2)c1 546409732 YYTFPQMAGDKWMC-UHFFFAOYSA-N 406.295 4.806 5 20 HJBD CNC(=O)[C@@H]1CCCc2sc(/C=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc21 550004606 XBDZTCMKJDXUDL-YBHKSSGVSA-N 409.467 4.648 5 20 HJBD CC(C)c1scnc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 589126589 UZQZNWHZRJYUQM-ZIAGYGMSSA-N 402.520 4.909 5 20 HJBD CCOc1ccc([C@@H](NC(=O)CSc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 603613082 OFZRSZTXZLGVIK-QHCPKHFHSA-N 422.506 4.991 5 20 HJBD CC(=O)c1ccc(NCc2cccc(C(=O)NCc3ccc(C)cc3)c2)c([N+](=O)[O-])c1 603861309 PPJYELLQWXIHQY-UHFFFAOYSA-N 417.465 4.648 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](CCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 604078729 DBJULTXHVIGKPP-NRFANRHFSA-N 419.481 4.777 5 20 HJBD Cc1cc(N(C)C[C@H]2CCCO[C@H]2c2ccccc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 604520503 YKWKIDOSUCLZJN-OFNKIYASSA-N 418.497 4.964 5 20 HJBD CCc1ccc(-c2csc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 609490053 NFPMOAKBATYQCB-UHFFFAOYSA-N 420.450 4.787 5 20 HJBD CCN(C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CC1 609816938 OZRRDIBAWJZCNZ-UHFFFAOYSA-N 407.829 4.874 5 20 HJBD CC(C)OC1CCC(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CC1 611052219 YOENXZRVPBMWKX-UHFFFAOYSA-N 411.502 4.673 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 726763787 MGVWGMSNSQZYCK-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)c1cc(F)c(F)cc1[N+](=O)[O-] 727707056 BOAQWJXSVAEEAZ-UHFFFAOYSA-N 415.377 4.673 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 727708317 ODSSQYBCGWBYTI-JTQLQIEISA-N 403.410 4.796 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@@H]3c3ccccc3C(F)(F)F)cc2N1 728052052 AKLSPZNWSRWVEC-MRXNPFEDSA-N 405.376 4.840 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccc(F)cc1 728456704 OWPPVTTVRCBPPJ-UHFFFAOYSA-N 422.412 4.869 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cc1ccccc1 731529379 RFOXWCMXTRFKOO-HNNXBMFYSA-N 422.412 4.509 5 20 HJBD CCc1ccc(OC(=O)C[C@H](NC(=O)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 734736587 CNWCTKOOWDHVKC-FQEVSTJZSA-N 418.449 4.624 5 20 HJBD CCc1ccc(OC(=O)CCc2c(C)nc3c4ccccc4nn3c2C)c([N+](=O)[O-])c1 734739289 FKTOACCLSJUTGR-UHFFFAOYSA-N 418.453 4.508 5 20 HJBD C[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2s1 735013956 ZDZDMKMUPDLIEO-LLVKDONJSA-N 405.863 4.504 5 20 HJBD Cc1nc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)nn1-c1ccccc1 735250772 KHEBCVQFKYTOKY-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)ccc21 736012554 PHAOUURJNIPUGJ-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1 742892294 MTGJRTBSUPSBBU-ZDUSSCGKSA-N 424.457 4.914 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)Cc3cccc([N+](=O)[O-])c3C)cc2)cc1 749067577 YKBXQYVHEJVMTF-UHFFFAOYSA-N 418.449 4.636 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CNC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)c1 749643529 CIQNWGIMUSAJEE-URXFXBBRSA-N 401.466 4.531 5 20 HJBD Cc1ccc(Cl)cc1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 753042343 SZLSOZZKAQMUNJ-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2ccc(-c3nc4ccccc4s3)o2)c1[N+](=O)[O-] 753104764 XXPRELGUFXBFHA-UHFFFAOYSA-N 411.414 4.842 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cccc21 753777910 XKNJZAOWSBWRRG-XFXZXTDPSA-N 409.442 4.544 5 20 HJBD C[C@@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 756538753 YUHSDOGLGKIZGG-QGZVFWFLSA-N 418.449 4.765 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1Cl 758428719 GGVKCXCDBCAAQD-UHFFFAOYSA-N 421.856 4.866 5 20 HJBD Cc1cc([C@@H](NC(=O)c2cccc([N+](=O)[O-])c2Br)C2CC2)ccc1F 758890066 KWERIUKRNYRZSB-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD C[C@H](Oc1cccnc1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857364 HUQTZGOJYJNQET-AWEZNQCLSA-N 404.382 4.972 5 20 HJBD CC(C)(C)Sc1ccc(-c2noc(-c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)n2)nc1 762388239 RPLUQYSTQKMPHY-UHFFFAOYSA-N 401.404 4.506 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(c2cccc(C(F)(F)F)c2)C(C)C)cc1[N+](=O)[O-] 764305451 JTJCRNJRXBCSAL-UHFFFAOYSA-N 411.336 4.885 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@@H]2CCCN2C(=O)OC(C)(C)C)no1 769889765 RAVQTUICERRMSD-WBVHZDCISA-N 416.478 4.786 5 20 HJBD COc1cc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cc1F 774909411 WTLDGBNXHGRMBO-UHFFFAOYSA-N 401.438 4.540 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(C)c(N2CCOC2=O)c1 777582651 LMWWEZRUMZEQMH-OAHLLOKOSA-N 401.488 4.843 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1SC(F)F 783907394 BYZSRVZPFHZFQI-UHFFFAOYSA-N 422.331 4.946 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1cc([N+](=O)[O-])cc(C)c1F)C(C)C 790866886 LSPKSNYAEQZPBR-UHFFFAOYSA-N 401.438 4.555 5 20 HJBD Cc1c(CNC(=O)c2ccc(NC(=O)OC(C)(C)C)cc2Cl)cccc1[N+](=O)[O-] 791018108 MKWJGMLNHFUTEI-UHFFFAOYSA-N 419.865 4.834 5 20 HJBD C[C@@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(C(F)(F)F)cn1 798667004 KIWGLAQSVPOSGE-CYBMUJFWSA-N 423.391 4.529 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CS(=O)(=O)c1ccc(C(F)(F)F)cc1 809966594 HOSGFEKTRTZYND-UHFFFAOYSA-N 414.188 4.894 5 20 HJBD COc1ccccc1[C@@H](C)CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811215543 TYSSYNOHRUUYJI-AWEZNQCLSA-N 412.467 4.964 5 20 HJBD O=C(OCc1nc(C(F)(F)F)cs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373563 XNBJAJCOQLVHQZ-UHFFFAOYSA-N 401.149 4.734 5 20 HJBD COc1ccc(Cn2cc(NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cn2)cc1 811504969 ZBLGWLFNNCDXSZ-UHFFFAOYSA-N 407.257 4.767 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1 812874808 KKRUSBZPLFJRRN-UHFFFAOYSA-N 424.284 4.538 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Cl)c1cccc(NC(=O)c2ccccc2)c1 816870433 ZQPMKZTYOJVSNH-CQSZACIVSA-N 423.856 4.992 5 20 HJBD COc1ccc(-c2nnc(S/C=C\c3ccc([N+](=O)[O-])o3)n2CC(C)C)cc1 825212329 OQKGCMRKOZMQDG-KHPPLWFESA-N 400.460 4.874 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cc1C 892730511 RPDCPKGLIVBUAJ-GJZGRUSLSA-N 424.501 4.596 5 20 HJBD CC(C)C[C@H](NC(=O)c1ccc(Cl)cc1)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 904333354 MRFDQDBSRJLCCW-KRWDZBQOSA-N 414.849 4.815 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3ccc(Br)cn3)no2)cc1[N+](=O)[O-] 904849510 PCUNSNZOAWCBKC-UHFFFAOYSA-N 403.236 4.767 5 20 HJBD CCOC(=O)c1sc2nc(C)nc(Oc3cc(Cl)ccc3[N+](=O)[O-])c2c1C 921060472 NSKHOEBZZPYHRK-UHFFFAOYSA-N 407.835 4.839 5 20 HJBD CCCOc1ccc(-c2nnc(-c3cc([N+](=O)[O-])ccc3OC(F)F)o2)cc1OC 1261568632 CKPIBDAKLPFZME-UHFFFAOYSA-N 421.356 4.711 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(F)cc1OC(F)F 1320466800 QFKHGPOCCFUWFP-LBPRGKRZSA-N 423.391 4.771 5 20 HJBD COc1ccccc1SCc1nnc(-c2ccc([N+](=O)[O-])cc2Br)o1 1324227649 CUJCRTVOGWYXEX-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD CCCCCn1nc(-c2nnc(-c3ccc([N+](=O)[O-])c(C)c3)o2)c2ccccc2c1=O 1326042194 GZJGMUPLBVKRFM-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD O=c1c2ccccc2nc(SCc2ccccc2[N+](=O)[O-])n1Cc1ccccc1 8192847 CSLYBHWYLKFDMR-UHFFFAOYSA-N 403.463 4.645 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2c1C 17725503 CUFICVGFOHHKBB-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD CCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)C12CC3CC(CC(C3)C1)C2 24006094 WQAPPWGBJDWSAD-UHFFFAOYSA-N 411.546 4.629 5 20 HJBD CC(C)(C)c1ccc(C(=O)NC[C@@H](c2cccs2)N2CCCC2)cc1[N+](=O)[O-] 32762533 GHIGQPKANUYFOG-SFHVURJKSA-N 401.532 4.521 5 20 HJBD COc1ccc(Oc2cc(CNc3ccc([N+](=O)[O-])c4cnccc34)ccn2)cc1 52427210 HATAGVCJQTYLSJ-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(COCc3ccco3)c2)c1 58994530 FWYHFHKETDNOFR-UHFFFAOYSA-N 410.426 4.621 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 59410556 CGSLVWDNUZQEFD-IYBDPMFKSA-N 415.921 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccc(CN3CCCCCC3)c2)c1 61503709 CTXXIGQPMUGYPK-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccc(F)cc1F)C1CC1 64907289 QQWQZSVMGWFWBL-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@@H]1CCc1ccccc1 65110410 FQGIOVIPHUVUKJ-GOSISDBHSA-N 407.495 4.956 5 20 HJBD CCCCc1c(C)nc2nc(Sc3ccc([N+](=O)[O-])c4cnccc34)nn2c1C 195669244 IHMIGDAKZWGWOS-UHFFFAOYSA-N 408.487 4.691 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccc(OCC(F)(F)F)nc2)s1 237683853 WDXZOMMPLSXKBU-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD O=C(CCSc1ncnc2sc3c(c12)CCCC3)Nc1ccccc1[N+](=O)[O-] 409849031 LYKHYXZYXRVCDR-UHFFFAOYSA-N 414.512 4.599 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1cccs1)C[C@H]1CCCO1 426990265 HIBDNSKGFDNYEE-QGZVFWFLSA-N 418.518 4.564 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCSc4ccc(Cl)cc43)o2)cc1 430916776 BHRYGYPJNDTNCJ-UHFFFAOYSA-N 402.863 4.801 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(OCc3ccccc3Cl)cc2)c1[N+](=O)[O-] 433994759 UXZKDNOEVQTZCR-UHFFFAOYSA-N 414.849 4.926 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])sc2C)cc1 435668232 WJONAFCUBHJQEI-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 441330034 GIWAFHKXPJAQGW-QGZVFWFLSA-N 421.444 4.608 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cn1 441396865 DKYDKUYKMWDIKV-UHFFFAOYSA-N 405.458 4.524 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)s1 443740420 VEQJDGRWRHBWFQ-SNVBAGLBSA-N 412.309 4.714 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1 443860215 OHAOXMGOHWVNBN-UHFFFAOYSA-N 422.347 4.842 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC(Cc2ccccc2Cl)C1 444231079 CEKGHLXHEUAXAB-UHFFFAOYSA-N 420.871 4.789 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc([C@@H]3CSc4ccccc4O3)no2)c(Br)c1 446016892 WASRSNZFHIOWED-ZDUSSCGKSA-N 420.244 4.633 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCN(c2cccc3cnccc23)C[C@@H]1C 446174809 KAJDCOPXIQWDDJ-KRWDZBQOSA-N 419.485 4.502 5 20 HJBD O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 462172940 ANGBLLAZBREATN-UZLBHIALSA-N 416.861 4.728 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 462924336 KEYIMBROYPJWDJ-LJQANCHMSA-N 420.513 4.645 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 463181497 PPWQMLTVQVRSSA-UHFFFAOYSA-N 424.829 4.965 5 20 HJBD COc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1OC(C)C 464460884 XHDNJZROVQBCGZ-UHFFFAOYSA-N 416.477 4.589 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(N5CCCCC5)cc4)no3)c2c1 467250909 MNEQSDMXAIJASM-UHFFFAOYSA-N 417.425 4.556 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)s1 468175388 YTYDSNCRRDSTIM-SSDOTTSWSA-N 421.219 4.511 5 20 HJBD CC[C@@H](Cc1ccccc1)N(CC)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 469711279 ZEEFWOJDRVTCJZ-INIZCTEOSA-N 402.516 4.809 5 20 HJBD Cc1ccc([C@@H](NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccn2)cc1 471063636 SUCRZAJMFVGROR-JOCHJYFZSA-N 400.438 4.832 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 471185528 JGQONHKKZWSXLO-NSHDSACASA-N 410.879 4.636 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1 476894411 PTRLKUBWUKHXJA-NRFANRHFSA-N 415.453 4.834 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2NCCCc2ccccc2)c1[N+](=O)[O-] 480799366 PUOYCVKWHXRGNW-UHFFFAOYSA-N 407.474 4.738 5 20 HJBD CCc1c(NC(=O)c2csc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482707374 OINUIACVDPEWED-UHFFFAOYSA-N 410.377 4.676 5 20 HJBD Cc1c([C@@H](C)N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cccc1[N+](=O)[O-] 482947604 QGRYXFBJTTZSBJ-IAGOWNOFSA-N 404.470 4.962 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 485174016 UPCWSDXZMMGIJE-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485491959 NYGXAVWRRSEKHN-MWLCHTKSSA-N 413.268 4.576 5 20 HJBD COc1cc(CNc2ccc(C(=O)C3CCC3)cc2)c([N+](=O)[O-])cc1OCC(F)F 486210740 CWJRLEGPWQCFDB-UHFFFAOYSA-N 420.412 4.842 5 20 HJBD CSc1cccc(C(=O)N2CCC(Oc3cc(C)ccc3C)CC2)c1[N+](=O)[O-] 486231552 NDGHOMMMUOUQTE-UHFFFAOYSA-N 400.500 4.617 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)ccc1OCC1CC1 486834425 NKZRWROMIXVKCP-UHFFFAOYSA-N 420.462 4.514 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCc2nc(-c3ccc(C(C)C)cc3)no2)cc1[N+](=O)[O-] 488084116 CNURALDMLNOHOC-MRXNPFEDSA-N 422.485 4.887 5 20 HJBD O=C(NC[C@@H](CCO)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 488170917 RFRLOCXSPJJVNA-LJQANCHMSA-N 422.506 4.642 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)C(C)(C)C)cc1 489899088 JZNLQLWVVIBAOB-UHFFFAOYSA-N 416.499 4.814 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n1 494268471 OWHCRCCEPOMBBG-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@@H]3CCO[C@@H]3c3ccc(Cl)c(F)c3)co2)cc1 494480467 ZLUSBKCNJANZMM-RTBURBONSA-N 417.824 4.662 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@@H]1CCCOC1 504861038 GZTALXDEYIHWHO-UONOGXRCSA-N 420.918 4.944 5 20 HJBD CSc1ccccc1NC(=O)CN(C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 506806411 NIFHXYJLTZFXOM-UHFFFAOYSA-N 411.483 4.647 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)Cc1cc([N+](=O)[O-])cc2c1OCOC2 507169180 UGFKLBYLUSVIIH-UHFFFAOYSA-N 421.291 4.634 5 20 HJBD COc1cc(CNc2ccc3c(c2)COC3)c([N+](=O)[O-])cc1OCc1ccccc1 507791254 ZNNDKTYXYSAKBX-UHFFFAOYSA-N 406.438 4.825 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OC[C@@H]2CCCO2)c1 509249737 UYHHYBGXOXLXOX-NRFANRHFSA-N 413.474 4.595 5 20 HJBD Cc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cccc1C(=O)N1CCCC1 509967223 DGAQPSDAXNUSRT-UHFFFAOYSA-N 424.501 4.582 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3cccc(F)c3F)CC2)cc1SC 516828490 AQMBYVHBPPQNOK-UHFFFAOYSA-N 422.453 4.623 5 20 HJBD CCc1nc([C@@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cs1 517692902 USIJRUVXZMLQNL-CQSZACIVSA-N 410.499 4.717 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCCC[C@H]2c2nc3ccccc3n2C)c1 517749539 FZVVKGWKUVUJQM-IBGZPJMESA-N 410.499 4.571 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1Cc2ccccc2[C@@H](c2ccccc2)C1 520528409 LKRJWSBRDAZOHW-HXUWFJFHSA-N 403.438 4.783 5 20 HJBD O=C(Nc1ccnn1Cc1cccc2ccccc12)c1cc(Cl)cc([N+](=O)[O-])c1 522894732 XHYGQELALKMZJW-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1cc(Cl)ccc1SCC(F)(F)F 524853168 QOYBEUDDXMRWCD-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD CCCN(C(=O)COc1ccccc1[N+](=O)[O-])[C@H](c1ccc(F)cc1)c1cccnc1 525352174 CQELNMUVGCOXPY-HSZRJFAPSA-N 423.444 4.536 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1ccc([N+](=O)[O-])cc1 533889485 FVONUUWKTDDCGZ-UHFFFAOYSA-N 405.126 4.508 5 20 HJBD COc1cccc(COc2cccc(NC(=O)COc3cccc([N+](=O)[O-])c3)c2C)c1 534467295 IBXJATRJJXUMDH-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1cc(-c2c(OC)ccc3ccccc23)no1 538661539 JDAXJJZCCLYNLD-UHFFFAOYSA-N 406.394 4.999 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H]1c1ccccc1 539659545 PPHLRNPKRKJRJU-QUCCMNQESA-N 400.500 4.747 5 20 HJBD O=C(NCCC[C@@H]1CCCCO1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 545454332 OHAFZDBCOFTAFU-SFHVURJKSA-N 400.500 4.825 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(Cc3ccc(OCc4ccncc4)cc3)no2)cc1 547032549 IRELCLVBFSBTBN-YHYXMXQVSA-N 414.421 4.713 5 20 HJBD CC1CCN(c2ccc(-c3nc([C@@H]4OCCc5ccccc54)no3)cc2[N+](=O)[O-])CC1 547135894 CZHYYPVSACWILO-OAQYLSRUSA-N 420.469 4.543 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 554852330 WIIXVCAPOVMTRP-LLVKDONJSA-N 408.813 4.695 5 20 HJBD C[C@H]1CCN(C(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)c2cc(Cl)ccc2S1 556936894 SGHSWMRARBKWMP-JTQLQIEISA-N 402.863 4.656 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 560540163 QZJUEDSJNNTLAA-BVYCBKJFSA-N 421.497 4.733 5 20 HJBD CCOc1ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1 562121772 PIGDDESBHCYASB-UHFFFAOYSA-N 411.380 4.719 5 20 HJBD O=C(O)C1(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCCC1 562370128 UPCOGTUUEBNTJW-UHFFFAOYSA-N 404.850 4.775 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c(C)c1OC 567952415 HYEDIZORCWVWHG-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H]3CCCSC3)o2)c(Br)c1 574599989 DWTKIFCLIQWFDB-LBPRGKRZSA-N 411.321 4.850 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)ccc1N1CCCC1)c1cccc([N+](=O)[O-])c1 580270651 LQABGJFCTVYIJM-CYBMUJFWSA-N 418.291 4.700 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(C(F)(F)F)ccc1F 590234147 KZZSXAQYAXQEBG-UHFFFAOYSA-N 414.358 4.951 5 20 HJBD CC(C)(C)c1ccc(OCCNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 603943238 HAZZDEPHZXTESH-UHFFFAOYSA-N 411.502 4.619 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 608808253 WKUNSGZTTZLQBS-UHFFFAOYSA-N 420.290 4.698 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)NCc3cccc([N+](=O)[O-])c3)s2)cc1 609356304 RDKBHDVQZLCFNT-OAHLLOKOSA-N 410.499 4.896 5 20 HJBD COc1ccc([C@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccccc2)cc1 609600014 JQIDWLAUERQPFL-JOCHJYFZSA-N 401.422 4.604 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1 726152060 LCGPEXUGDTZRCK-UHFFFAOYSA-N 421.291 4.602 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 734790645 XJQOUJOYXKBYKK-AVWDPNAHSA-N 420.490 4.696 5 20 HJBD O=C(OCc1ccc(-c2ccccc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735353331 GCKQQOJBWJPYCF-UHFFFAOYSA-N 400.390 4.873 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ncc(-c2cccc(Cl)c2)o1 735440984 PSONFBAQRCPAHA-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1nc(CC(C)C)sc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442137 FYNVSUWBYLHBME-UHFFFAOYSA-N 401.444 4.570 5 20 HJBD Cc1ccc(N(C)C(C)C)c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 745122198 SBLHXWZSBNKEAU-HNNXBMFYSA-N 424.457 4.716 5 20 HJBD Cc1cc2cc(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)oc2cc1C 745584791 VTLPWSNHWFCGAI-ZDUSSCGKSA-N 407.382 4.926 5 20 HJBD O=C(N[C@H]1CCc2ccc(F)cc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 750865467 STYALMUWOSVEGE-ZDUSSCGKSA-N 413.630 4.567 5 20 HJBD CC(C)[C@H](OC(=O)c1cc([N+](=O)[O-])c(Br)cc1F)C(=O)c1ccccc1 751691288 TZGZGONMCIQRHE-KRWDZBQOSA-N 424.222 4.561 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(c2cccc(F)c2)CC1 752406170 RHMPEROIKORPJW-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD CCc1ccc(C(=O)N(Cc2ccccc2)C[C@H](O)c2cccs2)cc1[N+](=O)[O-] 755766143 ZPKJCSYYOVKRLD-FQEVSTJZSA-N 410.495 4.595 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)[C@H]1CC12CCC2 759983486 RWOWYOFBMXZMBP-CRAIPNDOSA-N 414.845 4.661 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1nnc(-c2cccs2)o1 765529150 VURDWYARLUIQOA-JSGCOSHPSA-N 401.444 4.579 5 20 HJBD CC1(O)CCC(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 767247821 KTQYJPVUZHDDOH-UHFFFAOYSA-N 420.918 4.823 5 20 HJBD CC(C)C[C@H](NC(=O)OCc1ccccc1)c1nc(-c2cccc([N+](=O)[O-])c2)no1 767955249 IOYAIUVAYUTGIK-SFHVURJKSA-N 410.430 4.658 5 20 HJBD O=C(Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1)c1cc(F)c([N+](=O)[O-])cc1F 769491880 SOSACWMXJSNFTF-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD Cc1cccnc1COC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 771865797 PLCGAAXDKIMAFP-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD Cc1cccc2[nH]cc(CC(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c12 776136598 WAUVYSSUCGVJHT-QHCPKHFHSA-N 401.422 4.655 5 20 HJBD Cc1ncsc1COC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 776380747 QTGVLYQIVXCXNL-UHFFFAOYSA-N 416.842 4.601 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1cccc(Cl)c1 784836726 BKFRFJKKWUQOBP-ZDUSSCGKSA-N 405.837 4.763 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(F)c1Cl 789068956 JEYZESJCYRERBD-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccc2CN(C)C(=O)OC(C)(C)C)c1F 790368463 GEROTURLYJTURS-UHFFFAOYSA-N 417.437 4.662 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)Nc1ccc([N+](=O)[O-])cc1F 790888494 GQPFWMUQOUAFCR-UHFFFAOYSA-N 403.410 4.652 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)s1 803253147 NHADGFATQWICJR-UHFFFAOYSA-N 410.423 4.652 5 20 HJBD O=C(Nc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@H]1CCCO1 811469787 OIDSUNZKYLJHLE-MRXNPFEDSA-N 410.257 4.631 5 20 HJBD Cc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1C(=O)N1CCCC1 812913758 ZCYIEZTTYBUAQR-UHFFFAOYSA-N 422.268 4.698 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])s2)cc1 812986015 NSOBJZWZGYKSPD-UHFFFAOYSA-N 423.281 4.905 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 815405920 NXHNGGFOEHMGJC-LLVKDONJSA-N 411.241 4.702 5 20 HJBD COc1cccc(-c2nc(-c3ccccc3OCc3ccccc3)no2)c1[N+](=O)[O-] 904465130 QLVMHGBPSIOBKJ-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N[C@@H](c1ccccc1)C1CCCCC1 915784921 ZZWZDEKAVMWEDA-IBGZPJMESA-N 415.877 4.625 5 20 HJBD COc1ccc2oc(C)c(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)c2c1 916159675 XMZNCLKFDRRGSG-UHFFFAOYSA-N 422.359 4.863 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 917479370 XVODXZYPONPWTP-OAHLLOKOSA-N 408.241 4.604 5 20 HJBD C[C@H](OC(=O)Cc1csc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 919365258 BLWCMWPHILWNKC-LBPRGKRZSA-N 409.423 4.706 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc(-n4cccn4)c(F)c3)n2)cc1[N+](=O)[O-] 1251640680 KDGLDTMVZPAEHM-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1conc1NC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 1319417159 WUEANYYJQDBBDP-UHFFFAOYSA-N 420.784 4.798 5 20 HJBD O=C(Nc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1)C1CC1 1321649956 MQWKGRHQBBWVQK-UHFFFAOYSA-N 407.495 4.962 5 20 HJBD Cc1cc(-c2nnc(COc3ccccc3-c3ccccc3)o2)c(N)c([N+](=O)[O-])c1 1322120749 CUXJWIKGJLOZPZ-UHFFFAOYSA-N 402.410 4.781 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)CC(c1ccccc1)c1ccccc1 5779822 WDGYPWYRASZMRH-UHFFFAOYSA-N 418.449 4.607 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1OC 18826904 ZZODGJUULGFRNV-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)c3cc(SC)ccc3[N+](=O)[O-])oc12 53288990 UOEWTPHXRCUNBL-LBPRGKRZSA-N 400.456 4.953 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccc([N+](=O)[O-])cc2)n1 58981072 SBVBTTHRKZTBQO-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1ccc(CSCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 107797864 WVOIQTQAJKTLGQ-UHFFFAOYSA-N 412.433 4.728 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 110698231 RLEGDYSTDZISDF-UHFFFAOYSA-N 404.426 4.858 5 20 HJBD Cc1ccc(NC(=O)c2cc(Br)sc2Br)cc1[N+](=O)[O-] 227266088 DPZCCKFXCPEKDR-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD Cc1cccnc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237754673 UAXOSYBGCBMHOP-UHFFFAOYSA-N 402.248 4.611 5 20 HJBD COC1CCN(c2ccc(Nc3nccc(C)c3[N+](=O)[O-])cc2C(F)(F)F)CC1 301309484 XQHGAPNKRKHADJ-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD O=C(c1ccc(COc2ccc(F)cc2)o1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427589883 UZNHBBHDYUCECU-UHFFFAOYSA-N 410.401 4.711 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(-c2ncc3n2CCCC3)c1 436161636 OYSKENNNBSABDP-UHFFFAOYSA-N 410.861 4.629 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNc2c([N+](=O)[O-])ccc3c2CCN3)cc1 436391257 YEWYIJLUNYCGCE-UHFFFAOYSA-N 406.417 4.566 5 20 HJBD CC1(C)[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])[C@H]2CCCO[C@H]21 440621345 COAXNWJEIODUOF-CDHQVMDDSA-N 412.511 4.679 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@@H]([C@H]2CCOC2)C1 441541939 FGQQDBOOSITREI-CVEARBPZSA-N 416.861 4.539 5 20 HJBD CO[C@@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)[C@H](C)C1 443069016 OBXSRLGOZJJJIF-TZMCWYRMSA-N 409.280 4.617 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])[C@@H](C)CO1 443888089 XWMRNOBPNADDQH-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD CCCCOc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cn1 444328568 ISEDERJSLIAKNP-UHFFFAOYSA-N 405.429 4.647 5 20 HJBD CN(CC1(c2ccccc2)CC1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444382767 ORYMXEMQDCLSAM-UHFFFAOYSA-N 400.453 4.624 5 20 HJBD Cc1c(NC(=O)NC2(c3cccc(C(F)(F)F)c3)CCOCC2)cccc1[N+](=O)[O-] 444839321 RGDRUVIJOMSRDB-UHFFFAOYSA-N 423.391 4.749 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 446022850 ZQUWAACXSHGJCE-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2nncc3ccccc23)cc1 446285676 XKFUGJMLNNXAKE-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD Cc1ccccc1[C@H](OC[C@@H](O)CSc1ccc([N+](=O)[O-])cn1)c1ccccc1 448040373 WWECVSXMNFSETB-DENIHFKCSA-N 410.495 4.557 5 20 HJBD CCOc1cc(C(=O)N(c2cnc3ccccc3c2)C(C)C)c([N+](=O)[O-])cc1OC 448459721 QTLFSLBQULIJCM-UHFFFAOYSA-N 409.442 4.606 5 20 HJBD CC[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 461404107 FZWMDNXPMLFNOP-HNNXBMFYSA-N 422.403 4.604 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463166174 XZSKEMLWTJHNLB-CYBMUJFWSA-N 400.740 4.521 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCc1c(C)nc2c3ccccc3nn2c1C 464709489 JHPGUMDQOZVTAB-UHFFFAOYSA-N 417.469 4.541 5 20 HJBD O=C(NC[C@H]1CCCCO1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466230035 WNXMYXXQGRSYCX-CQSZACIVSA-N 406.891 4.698 5 20 HJBD CC[C@@H](C)N(C(=O)c1cc(OC)c(OCc2cscn2)cc1[N+](=O)[O-])[C@H](C)CC 467207154 NGFVWJCJJSXGRO-ZIAGYGMSSA-N 421.519 4.678 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)Nc3ccc(OC)cc3)cc2)cc1[N+](=O)[O-] 471285000 BZOBYYGRRLWDDH-UHFFFAOYSA-N 419.437 4.670 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 476441370 SWQVLASUKZRAEH-UHFFFAOYSA-N 419.441 4.923 5 20 HJBD C[C@@H](Nc1cc(F)ccc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 478218720 RXHKFKRXPOUUHS-LLVKDONJSA-N 407.829 4.615 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 479231742 ZZFASBUXESCRMC-UHFFFAOYSA-N 407.264 4.672 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccnc3OCC(F)F)s2)cc1 480015701 VSTLQVZHYNZGRT-UHFFFAOYSA-N 405.426 4.652 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486092646 FXQKVIKZJXIERO-MOPGFXCFSA-N 404.388 4.908 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCSCC2)cc1C 486673959 HYIKRSGOAWKOIV-UHFFFAOYSA-N 417.556 4.821 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)CC)ccc1Cl 488527606 JFRBRRMOYVFPLM-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD Cc1cc(C)cc(-c2nnc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)s2)c1 489408135 MTHUNHBRPXCFTB-UHFFFAOYSA-N 420.397 4.584 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489780485 UOFXMFIHLDBZNL-GOSISDBHSA-N 401.463 4.645 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2ccc(Br)s2)cc1[N+](=O)[O-] 493034699 RPBCYMQRBDVFBS-NSHDSACASA-N 412.309 4.630 5 20 HJBD Cc1ccc(C(=O)CCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 495743672 WOLFHNATZZRNND-UHFFFAOYSA-N 401.469 4.690 5 20 HJBD CCc1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)cc1 498413058 ZUMFWZRLDALNKJ-UHFFFAOYSA-N 407.514 4.565 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1cccc(Br)c1 502006088 IGUBRTPMUBTVFT-UHFFFAOYSA-N 405.292 4.727 5 20 HJBD Cc1nc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)nn1-c1c(Cl)cccc1Cl 505002509 IGIXODNZUPUDCP-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD COc1ccc(C[C@@H](NCc2c(F)cccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 505613815 WETWJURPNUWOEU-JOCHJYFZSA-N 410.445 4.825 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@H]2c2cccnc2)cc1[N+](=O)[O-])c1ccccn1 506068563 ACQZKWUIBBNAMZ-IERDGZPVSA-N 417.469 4.535 5 20 HJBD CC(=O)NC1CCC(NCc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 508045234 FSAZQKOOVPSRIF-UHFFFAOYSA-N 413.543 4.591 5 20 HJBD COc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc(OC)c1C(C)C 510188293 YXQDMUKACSSQJX-UHFFFAOYSA-N 400.475 4.786 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccc2F)n1C 511107780 PCRLXBDMXZNIIO-UHFFFAOYSA-N 402.451 4.609 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 513332314 ZLDWWNCYLORGRR-HNNXBMFYSA-N 414.405 4.883 5 20 HJBD O=C(NCC1CCC(c2ccccc2)CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 521714029 YJIWRSRNVRLINV-UHFFFAOYSA-N 421.541 4.901 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2ccccc2OC)cc1 522070755 IMCKFDXNPVGJAS-UHFFFAOYSA-N 423.425 4.726 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCC1(c2cccc(Cl)c2)CC1 523496359 SFSJUFFTBQHKGA-LBPRGKRZSA-N 409.269 4.517 5 20 HJBD Cc1cnccc1CCNC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 535884482 DMQRANDAGVCSKF-UHFFFAOYSA-N 424.888 4.536 5 20 HJBD CC(C)[C@@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(C(F)(F)F)c1 538159005 NXFIYVNGVXWTPE-GOSISDBHSA-N 410.392 4.967 5 20 HJBD CO[C@H](c1ccc(F)cc1)c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 545805984 ORMOTJGXFIOTAQ-MRXNPFEDSA-N 412.402 4.643 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(COc3ccccc3Cl)n2)c(Br)c1 546449479 RVTPIVJTANXBMH-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546529571 BRBWZEHHIPTBHY-UWVGGRQHSA-N 424.866 4.526 5 20 HJBD O=C(c1scnc1C1CCCC1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 546770736 HSUBWDYPZAEUGG-UHFFFAOYSA-N 413.421 4.914 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 547169392 WQKSQEIKAZIPJQ-UHFFFAOYSA-N 415.789 4.737 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(Oc2ccc(C(C)C)cc2)CC1 548080803 BYYCKZYXLANLCL-UHFFFAOYSA-N 413.474 4.802 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](c3ccccc3)[C@@H]3COCC[C@@H]32)nc1-c1ccccc1 553264406 LKKIIAQSEBGZDR-HKBOAZHASA-N 401.466 4.666 5 20 HJBD CCOC(=O)C(C)(C)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 558412628 HGAAUYRMGFJMDA-UHFFFAOYSA-N 417.531 4.520 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 563486249 YFTFAURWGCRHHN-GOSISDBHSA-N 407.829 4.555 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@@H](Oc2ccc(Cl)cc2)C1 563562811 ZGBYDJSHKUMRAH-CQSZACIVSA-N 414.767 4.561 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3csc([C@H]4CCCO4)n3)n2)cc1[N+](=O)[O-] 572225585 WGEQZXOSQRMMRE-OAHLLOKOSA-N 416.484 4.587 5 20 HJBD O=C(NCc1ccc2sccc2c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 572926400 XBYXSFYASLTSAJ-UHFFFAOYSA-N 410.373 4.681 5 20 HJBD CCN1CCC(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 581840845 FIGYXIXCTUJTQN-UHFFFAOYSA-N 403.910 4.862 5 20 HJBD CCCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)[C@@H](C)c1ccccc1OC 603528440 OTAHEJUYMIFICA-KRWDZBQOSA-N 411.502 4.817 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCCOCC(F)(F)F)c([N+](=O)[O-])c2)cc1 608972954 MPZMHSBRMNESGE-UHFFFAOYSA-N 411.380 4.536 5 20 HJBD COc1ccc(CC(=O)N(Cc2cccs2)c2ccc(F)cc2)cc1[N+](=O)[O-] 609011093 YXNUTWFEEDOGDZ-UHFFFAOYSA-N 400.431 4.580 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609191857 KHQDFJXQJWXTPQ-WFASDCNBSA-N 404.850 4.968 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccc(F)cc3)[C@H]3CCCO3)cs2)c1 609598637 FXGIOBXUHPDKIE-WOJBJXKFSA-N 413.474 4.867 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)s1 609785362 ANKTWBUANSIGIU-UHFFFAOYSA-N 416.890 4.607 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1ccco1)Cc1cccs1 610035779 FKGLRUGFCORAEZ-UHFFFAOYSA-N 421.272 4.854 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610879059 ZDCDXNLLKHYBFU-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)s2)cc1 727711427 XCADQVBOJDLFIP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1nc(-c2ccc3ccccc3c2)n(CC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n1 731938452 QMHIJTDJMVEQOU-UHFFFAOYSA-N 421.844 4.607 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCNC(=O)c1ccc(C(C)(C)C)cc1 735802923 NNBITXOVVBCCOK-UHFFFAOYSA-N 417.893 4.613 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)c2cc([N+](=O)[O-])ccc2Br)C1 737607897 BIANIKZGQPQQEJ-ZIAGYGMSSA-N 403.276 4.684 5 20 HJBD COc1cc(N2CCC(OC(=O)c3occc3-c3ccccc3)CC2)ccc1[N+](=O)[O-] 739379593 HZYYZMQLFANUTA-UHFFFAOYSA-N 422.437 4.689 5 20 HJBD C[C@H](OC(=O)c1ccc(Oc2ccccc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748908871 APIXEEMOTBYIKP-ZDUSSCGKSA-N 421.365 4.948 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1[N+](=O)[O-] 750172612 XZGNVFFGYBAVLV-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1cccc(Cl)c1[N+](=O)[O-] 750186107 KAHXJJUJBDDQGJ-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD CCc1ccc([C@@H](NC[C@H](O)c2ccc(Cl)cc2)c2ccc([N+](=O)[O-])cc2)o1 753614717 WFCZWXHQRZSFQS-FPOVZHCZSA-N 400.862 4.816 5 20 HJBD COc1cccc([C@@H](OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccncc2)c1 754465738 QPWPLVUEAZLJDS-SZNDQCEHSA-N 410.401 4.574 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2ccccc2CCC(F)(F)F)cccc1[N+](=O)[O-] 758924849 FIJSHDSLUQQBGS-ZDUSSCGKSA-N 424.375 4.582 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)c2ccncc12 764913958 PWLLFMXHXSETEM-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD O=c1ccc(-c2cccc([N+](=O)[O-])c2)nn1CN1CCC[C@@H]1CCC1CCCCC1 767761303 MRRBWDKAMPECJJ-HXUWFJFHSA-N 410.518 4.601 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OC[C@@H]1CCC2(CCCCC2)O1 786290171 XXQKHTKYAHQXDH-SFHVURJKSA-N 405.494 4.580 5 20 HJBD COc1cc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)cc([N+](=O)[O-])c1C 790816579 FOFRMMBWMIXXNT-UHFFFAOYSA-N 415.446 4.820 5 20 HJBD CCOC(=O)/C(=C\c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cn1 791428300 OANWXOAQTGJAOM-STZFKDTASA-N 404.422 4.673 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cscc1Br 797226021 GYUWWEQPEIUCBF-MRXNPFEDSA-N 419.256 4.760 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)[C@H](F)c1ccc(C(F)(F)F)cc1 801655614 FTACEGVKEFOQDP-OAHLLOKOSA-N 404.747 4.936 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCn2c(cc3ccc(Cl)cc32)C1 809918296 WXIPPQWRJKUPBV-UHFFFAOYSA-N 405.241 4.904 5 20 HJBD CN(C)C(=O)c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 812907339 LMVMLRIAJDVXMF-UHFFFAOYSA-N 416.648 4.509 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(-n2cccn2)cc1 813031749 HHXKJPLLQUCKAM-NSHDSACASA-N 405.241 4.578 5 20 HJBD CC1CCN(C2CCC(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CC2)CC1 813086031 KVGQFFOCJMVNHW-UHFFFAOYSA-N 414.333 4.675 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)o1 813246230 DBFPJEWQCLBYMX-UHFFFAOYSA-N 402.790 4.681 5 20 HJBD Cc1nn(-c2ccc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cc2)c(C)c1Cl 825487368 BNADSESNXFNNTA-UHFFFAOYSA-N 406.776 4.581 5 20 HJBD COc1cc(/C=C2/N=C(C(C)(C)C)OC2=O)ccc1OCc1ccc([N+](=O)[O-])cc1 834713999 KOFOLXVDDPCLMT-GZTJUZNOSA-N 410.426 4.525 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1ccc(-c2nc3ccccc3s2)o1 912754691 RMPOHUPPULKZJM-LFIBNONCSA-N 417.402 4.809 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2ccccc2[N+](=O)[O-])c2ccccc2)ccc1O 919170591 RVLCHNBGZQUBNW-UYRXBGFRSA-N 405.406 4.593 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 921008388 LNDJRDPOCPNLEI-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD CS[C@@H]1CC[C@H](N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 1116839584 NWTSUUXCASPWJD-FUHWJXTLSA-N 400.500 4.530 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(COc3ccccc3)cc2)o1 1117207560 DMKRGBZLLBZSJM-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD C[C@@]1(CO)CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 1324005152 SPGZOBJCIKRAHO-HXUWFJFHSA-N 420.918 4.634 5 20 HJBD O=C(Nc1ccc(C2(NC(=O)c3ccc([N+](=O)[O-])cc3F)CCC2)cc1)C1=CCCC1 1787623091 JTPNGZQDYAGWLH-UHFFFAOYSA-N 423.444 4.592 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccc([N+](=O)[O-])s1 3495125 KAKPHKAFVLVSCO-FQEVSTJZSA-N 406.419 4.919 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 5656062 GCQQDUDUZYFEDA-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD COc1ccc(NC(=O)c2cc3c(C)nn(Cc4ccccc4)c3s2)c([N+](=O)[O-])c1 19160136 OEADUUMBYAGBAS-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD Cc1ccccc1CN1CCCN(C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)CC1 27389827 PTGBABGOHZGVKY-UHFFFAOYSA-N 409.530 4.549 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C)C)cc1C(F)(F)F 33177051 RMIKHJRHNGTHLZ-LLVKDONJSA-N 413.421 4.799 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2c3ccccc3C[C@H]2C)cc1[N+](=O)[O-])c1ccccn1 52916634 LRXPOKHNOBCQPD-HZPDHXFCSA-N 402.454 4.754 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2ccccc2C)cc1[N+](=O)[O-] 55781140 JHRPGCXCDDPBFT-GOSISDBHSA-N 400.500 4.509 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)n2)c(C)o1 59852520 AZBHNVPQSBJCDS-UHFFFAOYSA-N 412.471 4.781 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 65498227 UYFWXGFDWAEDIT-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)NCCc1ccc([N+](=O)[O-])cc1 66027087 VTNVUBBMQRMGOG-UHFFFAOYSA-N 407.904 4.714 5 20 HJBD Cc1sc2nc(SCCOc3ccccc3[N+](=O)[O-])n(C(C)C)c(=O)c2c1C 71960099 CHRTZXZFOXWNQO-UHFFFAOYSA-N 419.528 4.735 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc([N+](=O)[O-])cc1Cl 72061923 NFLKRSHYLDMKQE-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCC[C@H]2[C@@H](CC)c2ccccc2)cc([N+](=O)[O-])c1 116159936 YVCSZXZRSFTAJQ-SFTDATJTSA-N 410.470 4.570 5 20 HJBD CCCN(C(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 146474132 UQBULUAZQPHWNM-OAHLLOKOSA-N 409.442 4.588 5 20 HJBD Cc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c(Br)c1 150168204 AFCPUFCQJMWUBP-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1cc(CNCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])ccc1C 237236619 LWISRZRACDFBOA-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ncc([N+](=O)[O-])cc3Cl)cc2)cc1 301267476 IIRZAZRMOAHCQP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c2cnccc12)c1ccc(NC(=O)c2ccncc2)cc1 301291293 QIKRDSZENPHYOA-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD Cc1cc(NC2CCN(C(=O)c3ccccc3C(F)(F)F)CC2)ccc1[N+](=O)[O-] 302444088 RQUCMSUKAPAGMN-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD COc1ccc(OCCSc2nnc(-c3cccc4ccccc34)o2)c([N+](=O)[O-])c1 303870643 HTYNUQJZQRLSSJ-UHFFFAOYSA-N 423.450 4.978 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426026316 YRHVWPOVVCTAHD-GOSISDBHSA-N 401.463 4.645 5 20 HJBD Cc1cccc(C[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C(=O)O)c1 426675737 VRXBMUSJJSESFC-SFHVURJKSA-N 410.495 4.665 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)COc2ccc([N+](=O)[O-])c(C)c2)c1C 430805446 ITJRRBFAUIMXTK-UHFFFAOYSA-N 400.475 4.934 5 20 HJBD Cc1c(NC(=O)[C@H]2CCCC[C@@H]2C(F)(F)F)cc(Br)cc1[N+](=O)[O-] 431184276 ZSKMYLGHSFVXSA-QWRGUYRKSA-N 409.202 4.973 5 20 HJBD O=[N+]([O-])c1cccc2c(N3CCC[C@@H](c4nc(-c5ccc(F)cc5)no4)C3)ccnc12 432324066 SQZSJYULMKDOIV-OAHLLOKOSA-N 419.416 4.716 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]2c2ccc(F)cc2)cc1[N+](=O)[O-])c1ccccn1 433974549 OUKJSLYROATAAA-DHUIEDIVSA-N 420.444 4.588 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1ccc3[nH]c4ccccc4c(=O)c3c1)O[C@H](C)C2 435934243 HBOQSBNOMCDGAQ-CQSZACIVSA-N 414.461 4.656 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437148770 IUXFMHMGCXRDKP-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccc(Oc2ccccc2)nc1 439914794 YVRYWDMXPBXGTP-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 440695328 UEOSLCHIDAATPY-UHFFFAOYSA-N 421.419 4.945 5 20 HJBD CCOc1cc(NC(=O)Nc2cc(C)c(O)c(C)c2C)c([N+](=O)[O-])cc1OCC 443556948 GWFUWHCEPOKXLV-UHFFFAOYSA-N 403.435 4.667 5 20 HJBD COc1ccccc1C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(C)C 444098456 AFQMICBSEVEVCX-HSZRJFAPSA-N 414.505 4.687 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(-c3cc(-c4ccccc4)nc4ccccc34)n2)n1C 445614162 VOGQRUVSBNLMJA-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccc([S@@](C)=O)cc1 447691963 XJMAQAGHBKCWNI-KTBRBRGUSA-N 423.363 4.554 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463135812 ACMINDUBLUIIPR-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 470226055 JMARDBZQFOYOHA-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD CC(C)c1cccc2c1CCN(C(=O)C1CCN(c3ccccc3[N+](=O)[O-])CC1)C2 475022754 KXTBUBUOBOYNCE-UHFFFAOYSA-N 407.514 4.520 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 475569902 YBUYJSGIIHVHFQ-UHFFFAOYSA-N 415.877 4.992 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1cccc(OC)c1 477467196 WJTMFKCLLUHBOU-IAGOWNOFSA-N 411.502 4.752 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cccc(Cl)c2n1C 478442056 ABSBMZXOYLVXDE-UHFFFAOYSA-N 418.906 4.565 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2ccnc3cc(Cl)ccc23)c([N+](=O)[O-])c1 480327049 LXQOXLHUSOAKMQ-NSHDSACASA-N 417.874 4.924 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 481059259 NJDWUVBNQHMFMU-QGZVFWFLSA-N 407.829 4.610 5 20 HJBD CC[C@H](N[C@H](C)c1cc(F)ccc1N1CCN(CC)CC1)c1cccc([N+](=O)[O-])c1 482570093 SGSPRLZLENVSIF-VGSWGCGISA-N 414.525 4.678 5 20 HJBD C[C@H](NCc1c([N+](=O)[O-])ncn1C)c1ccc(-c2ccc(C(F)(F)F)cc2)s1 484807158 WLZZGQIYIORRCA-NSHDSACASA-N 410.421 4.926 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 486189490 NHZMIWUZUJOOPS-NSHDSACASA-N 415.231 4.660 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C2(c3cccc(Br)c3)CC2)cc1[N+](=O)[O-] 488089282 JECFHAXUUSEVMK-ZDUSSCGKSA-N 403.276 4.575 5 20 HJBD Cc1cccc([C@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)c1 489940345 RVGLHEVZKAYVCY-NRFANRHFSA-N 404.470 4.622 5 20 HJBD C[C@H](N[C@H](C)c1nc(C(F)(F)F)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 490046027 PWCQJDLXGTYLTL-DTWKUNHWSA-N 413.381 4.532 5 20 HJBD CN(C)c1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(C(F)(F)F)c1 494231732 VCQSWCDHDHOJKA-UHFFFAOYSA-N 422.407 4.876 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCOC2)cc1[N+](=O)[O-] 494768385 DVXYZWUZZOVCOP-GFCCVEGCSA-N 422.890 4.638 5 20 HJBD C[C@@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCOC1 497926677 COZYZLQUPYWASZ-IBGZPJMESA-N 406.891 4.556 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cnc1N1CCCC[C@H]1C 498645003 VQDYDSFENILYMF-CYBMUJFWSA-N 422.407 4.948 5 20 HJBD Cc1cccc(C(C)(C)CNC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 498864298 SJZDUBUCYPXIEA-UHFFFAOYSA-N 410.392 4.552 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3C)c(C)c2)s1 505873464 XMJHWLRGQVKDPN-UHFFFAOYSA-N 400.485 4.775 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2ccsc2)O[C@H](C)C1 507278308 IEYLBHRXPSGALS-CXAGYDPISA-N 406.529 4.761 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(Br)ccc1F 509829362 VHUXIPYTCANBLF-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)ccc1[N+](=O)[O-] 509886644 VUDPXGBRBDOUIU-GOSISDBHSA-N 406.486 4.854 5 20 HJBD Cc1cccc([C@H](NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C(F)(F)F)c1 510465972 WXWFODJELVPNGZ-IBGZPJMESA-N 405.376 4.994 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 511386297 FATCYLVVYITLJJ-FQEVSTJZSA-N 413.522 4.584 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(-c4cc(F)ccc4F)[nH]c3c2)ccc1[N+](=O)[O-] 512256380 IMGADIJAMVTLSA-UHFFFAOYSA-N 424.363 4.677 5 20 HJBD CO[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 516545421 LDJCIRSNYWQSTE-FQEVSTJZSA-N 408.479 4.864 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 520147802 IFKRAKXLCVFKOK-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD COc1ccc(CNC(=O)NC[C@@H](C)c2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 523540119 DWIYOKCUZUQSDD-LLVKDONJSA-N 412.273 4.513 5 20 HJBD CC(C)c1ccc(CCCNC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 524256262 UADQTUPWTNSZBA-UHFFFAOYSA-N 405.292 4.843 5 20 HJBD COCCC1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCC1 532374027 QNBAXTUNXYPETH-UHFFFAOYSA-N 423.307 4.914 5 20 HJBD C[C@H]1CCCC[C@@H]1N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534794468 DFZKTXPDVONKFQ-KSSFIOAISA-N 403.504 4.520 5 20 HJBD Cc1sc(NC(=O)c2cnn(C)c2-c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 538258382 WEXXJHWVOZCWJA-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CC(C)CN(C(=O)CCc1nccs1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539356521 CIMSXQQTUYYMHT-UHFFFAOYSA-N 416.528 4.797 5 20 HJBD O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542527521 JQMXJTTWROZABF-DBVUQKKJSA-N 416.543 4.565 5 20 HJBD CCOC(=O)COc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 544182910 FIKZFYXQGZNKBK-UHFFFAOYSA-N 400.434 4.582 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 544641752 NNFSNZHECBNBCY-UHFFFAOYSA-N 412.895 4.754 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2cc(Cl)ccc2O[C@H]2CCOC2)no1 545146305 ZNVNAHPXGVGWIE-LBPRGKRZSA-N 422.224 4.786 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1cc(F)cc(Br)c1 546636750 PZRQIGFRLJFLGL-KRWDZBQOSA-N 422.254 4.543 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(C(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 552257547 LDLSQLKXXDXGSB-INIZCTEOSA-N 403.438 4.647 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1F 570867786 ZPWIHCMDNUPHEX-NSHDSACASA-N 409.255 4.651 5 20 HJBD C[C@@H](C(=O)NCc1ccc(-c2nc3ccccc3s2)o1)c1cccc([N+](=O)[O-])c1 574826458 VMONIVUHFUEPMR-CYBMUJFWSA-N 407.451 4.884 5 20 HJBD C[C@@H](C(=O)Nc1c(C(=O)c2ccco2)oc2ccccc12)c1cccc([N+](=O)[O-])c1 579909017 CNNUCMICUMLUNY-CYBMUJFWSA-N 404.378 4.907 5 20 HJBD Cn1cc(-c2nc(-c3cccc(C(F)(F)F)c3)no2)c(-c2ccc([N+](=O)[O-])cc2)n1 580373318 PUSLJHLADFFKHQ-UHFFFAOYSA-N 415.331 4.731 5 20 HJBD COc1ccc2c(c1)C1(CC1)CN(Cc1csc(-c3cccc([N+](=O)[O-])c3)n1)C2 580537435 OTUFUMUTDDKMSS-UHFFFAOYSA-N 407.495 4.774 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)Cc1c(C)[nH]c2ccc(Br)cc12 603616507 XTJOWSYMMAQQLO-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD CCCc1ccccc1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603656066 ZWOZAPGBFWFDDD-IBGZPJMESA-N 413.474 4.752 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OCc1ccccc1 603933790 UOIACRNFTPLIDQ-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCc2cc(Br)ccc21 604016060 GPABRRPXMMLXRM-UHFFFAOYSA-N 401.216 4.697 5 20 HJBD Cc1sc(NC(=O)C(=O)c2ccc(Cl)cc2)nc1-c1cccc([N+](=O)[O-])c1 608896235 QYTPHPXSKWCLHQ-UHFFFAOYSA-N 401.831 4.502 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(N[C@H](C)c3ccccc3)cc2)cc1[N+](=O)[O-] 609044125 BBIPIURTLKJCAC-MRXNPFEDSA-N 405.454 4.958 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 609976510 TVQJXEQZSKFJDL-GFCCVEGCSA-N 414.405 4.964 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2ccccc2)cc1C 610427231 YTRDVGNVXOIYPJ-GOSISDBHSA-N 423.473 4.567 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1CC(F)(F)F 726872128 WSCDFKXPYCQFBX-SFHVURJKSA-N 417.343 4.984 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2ccccc2)[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732389100 ORZZEXOHLNHYLB-CYBMUJFWSA-N 404.382 4.558 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OS(=O)(=O)Cc1cccc2cccnc12 735499492 YQLGFPMOEUKBMF-UHFFFAOYSA-N 420.446 4.719 5 20 HJBD O=C(Nc1sccc1C(=O)OCc1cc([N+](=O)[O-])ccc1Cl)c1ccco1 735535300 FFVDSFNOEGVJTN-UHFFFAOYSA-N 406.803 4.512 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)OCc1csc(COc2ccc(Cl)cc2)n1 745334055 BUKNIRWWQTWPHG-UHFFFAOYSA-N 418.858 4.570 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)Cn1cnc(-c2cccc([N+](=O)[O-])c2)n1 746037758 LZSMBEYPRQHNFL-UHFFFAOYSA-N 403.845 4.516 5 20 HJBD CC[C@@H]1CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)CC[C@@H]1c1ccccc1 746336826 IDUITSXOCUWVHA-AEFFLSMTSA-N 411.458 4.776 5 20 HJBD C/C(=C\C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(C(F)(F)F)cc1 748387756 OTVQVVQTZHEUBB-UKTHLTGXSA-N 422.359 4.507 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc(F)c3)cs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748613227 IHVVIOCLAWWMBN-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2ccccc2C(F)(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748629680 HPFCINBYNFDDJS-NSHDSACASA-N 411.336 4.630 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(C1CC1)[C@H]1CCc2ccccc21 751098955 TVFJGMWRMNANEZ-SFHVURJKSA-N 401.260 4.649 5 20 HJBD CC[C@@H](C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 752581804 RBKHVQHCRIAULW-OAHLLOKOSA-N 424.375 4.598 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(-n3ccnc3C)nc2)c2ccc([N+](=O)[O-])cc2)o1 753622712 CUWBZFPCDILSJT-QHCPKHFHSA-N 417.469 4.519 5 20 HJBD COc1cccc([C@@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccncc2)c1 754465731 QPWPLVUEAZLJDS-QKKBWIMNSA-N 410.401 4.574 5 20 HJBD CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 755406067 QREGERSQYPXIKK-QAPCUYQASA-N 407.829 4.771 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 755453933 DYJREAJAZSZJFG-KKSFZXQISA-N 406.486 4.780 5 20 HJBD C[C@H](C(=O)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755520717 ODNQUHZLEPSGQU-CECAUBDESA-N 414.477 4.732 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 756462734 SIFPMNJONYUHLJ-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3cc(F)ccc3C(F)(F)F)no2)C1 761632533 GZWIPFRRJMGUTR-OAHLLOKOSA-N 422.294 4.668 5 20 HJBD C[C@@H](NC(=O)C(C)(C)C)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857890 UPQKJDIWELCNMN-CYBMUJFWSA-N 410.430 4.660 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H](C)c2nc3ccccc3n2C(F)F)cc1Cl 765457642 MHLAVNZMJFVYRP-SECBINFHSA-N 424.791 4.953 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)OCc2ccc(Br)c([N+](=O)[O-])c2)cc1 773433181 MUINPEZJJXYEHH-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc(S[C@@H](C)C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776140265 UZFLYVICCQPNQP-BTYIYWSLSA-N 424.478 4.812 5 20 HJBD O=C(Cc1ccc(F)cc1Cl)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776217106 FMRWRLLNJHFGOM-FQEVSTJZSA-N 400.793 4.658 5 20 HJBD CCC1(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])CCN(C(=O)c2ccccc2)CC1 781451005 ALRUZKNEMIKLOH-KRWDZBQOSA-N 410.470 4.532 5 20 HJBD O=C(COC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1-c1ccccc1 785937251 SMXPTPLZOFTECS-UHFFFAOYSA-N 424.840 4.640 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 789919246 AEHAUDPEWZYZRA-HNNXBMFYSA-N 400.416 4.720 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1 814486765 LPZUGHXXEOCRIN-GJYPPUQNSA-N 414.845 4.517 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C(=O)Nc2ccccc2F)C(C)C)cc1[N+](=O)[O-] 815406451 IPZQDDFOFONZBS-QGZVFWFLSA-N 408.813 4.516 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1OC 916026053 WAXXGLBFOKPMOP-AWEZNQCLSA-N 403.504 4.830 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(N(C)C(=O)C(C)(C)C)cc1 916553602 YUBVYYGDXILKGV-CQSZACIVSA-N 416.499 4.690 5 20 HJBD CCNc1ccc(C(=O)O[C@@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 917366837 SHOHJNAGFXJFEF-NSHDSACASA-N 404.373 4.689 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(Cn1c(=O)oc2ccc([N+](=O)[O-])cc21)C1CC1 920306204 UUWQMBLQTPVNRT-HNNXBMFYSA-N 403.438 4.839 5 20 HJBD Cc1cc(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)ccc1[N+](=O)[O-] 1318485687 CNIXQKKXLJJSOW-KRWDZBQOSA-N 410.430 4.567 5 20 HJBD Cc1ccc(SCc2nnc(-c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)o2)cc1 1322907280 MLDDOOCKMWAMFP-UHFFFAOYSA-N 407.455 4.646 5 20 HJBD C[C@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)c1ccc(F)cc1F 1325492103 WNVRJGOFEUMKOY-VIFPVBQESA-N 424.201 4.761 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(SC(F)F)cc1 16987421 LNEZZJMCBTYTLC-SECBINFHSA-N 402.806 4.969 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2c(c1)nc1n2CCCCC1 23125365 NYOLUBNDRJWLNC-CQSZACIVSA-N 410.499 4.790 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1Cl 23388905 RPVPTICDARAFEL-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2sc3cccc(F)c3c2C)cccc1[N+](=O)[O-] 26766313 CTFBWSCJENLBMS-LBPRGKRZSA-N 416.430 4.749 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2C(=O)N2CCCCC2)cc1[N+](=O)[O-] 27971651 TYBDCNCENIHSQI-UHFFFAOYSA-N 409.486 4.771 5 20 HJBD CC(C)COc1cccc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 107797676 MPVHEEHEACRUMN-UHFFFAOYSA-N 410.392 4.834 5 20 HJBD CCCN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)[C@@H]1CCc2ccccc2C1 108917323 NFZFEOCDTTUTKA-OAQYLSRUSA-N 407.514 4.605 5 20 HJBD CCCCCCNC(=O)[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 109671510 CPKAGZXWQJERHG-HXUWFJFHSA-N 417.553 4.937 5 20 HJBD CCOc1cc(/C=C(\C(=O)OCc2ccccc2[N+](=O)[O-])c2ccccc2)ccc1O 212682865 ZVSRRVRPCDUFKH-ZHZULCJRSA-N 419.433 4.983 5 20 HJBD CC(=O)Nc1ccc(CCNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 236598011 RLRQTEXUASRMQI-UHFFFAOYSA-N 423.444 4.817 5 20 HJBD O=C1Cc2ccc(Cl)cc2N1Cc1ccc(NCc2ccc([N+](=O)[O-])cc2)cc1 237788203 HKQUJNWAROSXME-UHFFFAOYSA-N 407.857 4.950 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2c3ccccc3C[C@@H]2O)ccc1Oc1ccccc1Cl 238002200 QTDLMBCMCPIEDP-UGKGYDQZSA-N 410.857 4.788 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2ccc(Cl)cc2)C2CCC2)s1 302174883 MQNVCPCWQUHFHN-OAHLLOKOSA-N 400.909 4.667 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccc(-c2ccco2)cc1F 428082885 GZUYATCOGOSPBR-UHFFFAOYSA-N 407.357 4.560 5 20 HJBD CC(C)c1ccc(Cn2nccc2NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 429548624 NJJQLRIVOMURSL-UHFFFAOYSA-N 407.474 4.627 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccc(Br)cc2[N+](=O)[O-])c2ccccc2S1 429936520 AJELQFNAEJRIFK-LLVKDONJSA-N 407.289 4.888 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1O)[C@@H](c1ccc(Cl)cc1)c1ccccn1 436977798 GNPJAXXFCIZRBT-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 439657872 HUSSUVHMQKCIOS-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H]3SCCc4ccccc43)n2)cc1[N+](=O)[O-] 443842192 PLXPQYNKZNMQRJ-SFHVURJKSA-N 411.508 4.996 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3coc(-c4ccccc4Cl)n3)n2)c1 445573394 HBEXYEAACJMZGW-UHFFFAOYSA-N 412.789 4.553 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2)c1 446824509 FVALABSWNNSNCY-UHFFFAOYSA-N 419.437 4.986 5 20 HJBD C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 461308735 VLSDYEVMEUOTJC-ZHRRBRCNSA-N 411.477 4.560 5 20 HJBD C[C@H](c1ccsc1)N1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 463053351 KQZOMSLYGJMFDA-OAHLLOKOSA-N 414.556 4.659 5 20 HJBD CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463113667 BQBYGDYPVLOTAL-LBPRGKRZSA-N 423.391 4.528 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1Cl 464643590 OSCWWTZTVRWMSO-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC(C)C)cc1OC 464672455 MJGJFTOKSSMLLM-UHFFFAOYSA-N 408.838 4.695 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3cc(Br)ccc3F)CC2)cc1 464778187 RPDLQNRZNBDGRG-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(CCc1cccc(F)c1)C1CCCC1 465090267 BESYNSFZDXURCV-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 466849493 QKVKUHKTRMJCBK-SHTZXODSSA-N 408.285 4.989 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(F)c1Br 468273640 AVBWCZFKTOHGIF-LLVKDONJSA-N 413.218 4.843 5 20 HJBD CCN(C(=O)c1cc(O)cc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 476120785 CUIBLABQIRIWRN-FQEVSTJZSA-N 411.845 4.601 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3cnccn3)n2-c2ccc(Cl)cc2)cc1 480003397 XAODKYUBEYQGRK-UHFFFAOYSA-N 424.873 4.578 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccccc2F)C1)c1ccc2[nH]c3ccccc3c(=O)c2c1 482869692 CSOVDAYPLDNTPQ-RDJZCZTQSA-N 400.453 4.887 5 20 HJBD O=C1CCCc2cc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)ccc2N1 484002827 FDGJFDQLTCOIJH-UHFFFAOYSA-N 416.437 4.866 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1csc(Cc2ccccc2)n1 485385828 OLKQGVAGFXQQBS-HNNXBMFYSA-N 416.524 4.627 5 20 HJBD C[C@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 485558290 BCTRKXPZBYWAKV-INIZCTEOSA-N 424.428 4.569 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1OCC(F)F 488941522 LSXQJKOFCJICFD-UHFFFAOYSA-N 419.306 4.579 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 493254041 KGIWDMDAUAMAHW-AWEZNQCLSA-N 423.872 4.615 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1 498187268 JGARYWPWWVBMAV-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc(C(C)C)n(-c2ccccc2Cl)n1 498312125 SLYFKRQNTRILLO-UHFFFAOYSA-N 413.865 4.821 5 20 HJBD O=C(NCc1ccnc(OCc2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 499913896 QIQZRGZQUHWSKX-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2OC)C1 503132066 OUFKHAYPKZSPPJ-OAHLLOKOSA-N 400.500 4.735 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C 505589836 BOBQYQKBJSKRIO-QRWLVFNGSA-N 401.532 4.517 5 20 HJBD COc1ccc(Cc2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)cc1 511052675 QKSSAMHRVPYDNB-UHFFFAOYSA-N 415.471 4.657 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2C1 511412820 GNKHVVODABXBGI-UHFFFAOYSA-N 417.893 4.627 5 20 HJBD COc1cc(CN2CCCC[C@@H]2c2ncc[nH]2)c([N+](=O)[O-])cc1OCc1ccccc1 512547325 LYYHXXIHEHOIHB-LJQANCHMSA-N 422.485 4.633 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCc1ccc(COC2CCOCC2)cc1 514217844 GAVCQPVPLCPIGY-UHFFFAOYSA-N 410.392 4.921 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 515862418 RVZOJQYHEVQGLP-LBPRGKRZSA-N 416.528 4.691 5 20 HJBD O=C(NCc1ncc(-c2ccccc2)o1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 518469620 CYIWDVLAJQDMMP-UHFFFAOYSA-N 415.405 4.972 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](c2c[nH]c3ccccc23)C(F)(F)F)cc1[N+](=O)[O-] 520209457 YEOOWWYLNQSTIN-KRWDZBQOSA-N 407.348 4.508 5 20 HJBD O=C(c1cc(C(F)(F)F)cc(C(F)(F)F)c1)N1CCc2c1cccc2[N+](=O)[O-] 523129320 UJUBCKJPYXZLOW-UHFFFAOYSA-N 404.266 4.835 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 524185470 PFKMJQJURXACHI-UHFFFAOYSA-N 404.806 4.662 5 20 HJBD Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1OCC(F)F 524209197 HHPOLFQQVODYHH-UHFFFAOYSA-N 422.431 4.746 5 20 HJBD C[C@@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 524279187 XYTVGWCKNAADGQ-MRVPVSSYSA-N 421.183 4.909 5 20 HJBD C[C@H](NC(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1)c1cccc([N+](=O)[O-])c1 525044713 ZLHAZVNZJAWDTK-AWEZNQCLSA-N 408.458 4.724 5 20 HJBD C[C@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc(Cl)cn1 526929067 GFIRSUJCRRNYNF-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnc(O)c2ccccc12 527295464 HVTVZDMLIKVNIY-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD CCc1ccc(C(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)cc1[N+](=O)[O-] 530830149 QIHMCWGQUYGYPX-MRXNPFEDSA-N 405.454 4.622 5 20 HJBD COc1cccc(COc2cccc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)c2C)c1 533770568 PREOWNVURCZDBP-UHFFFAOYSA-N 420.465 4.980 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cccc(COc2ccccc2)c1 535367209 ILAVHPKFSYSHEU-UHFFFAOYSA-N 415.449 4.514 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N(c1ccccc1)c1ncccc1Br 535880635 UPUPXNGSONZPLZ-UHFFFAOYSA-N 412.243 4.660 5 20 HJBD O=C1[C@@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCCN1c1ccccc1 536303724 DYBMBBMWZGZQEC-IBGZPJMESA-N 409.467 4.679 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3ccc(F)c(F)c3)nc2C)cc1[N+](=O)[O-] 542000779 OLHNAVRLKZVONV-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2Cl)nnc1-c1ccccc1OC 543863095 IRVIWMSGBHUPLU-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD C[C@H](c1nc(Cc2c[nH]c3ccc(Cl)cc23)no1)c1ccc([N+](=O)[O-])cc1F 545746552 KQOQQWCQAOODTG-JTQLQIEISA-N 400.797 4.994 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 545815442 LNFRGBUBCJDYIB-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CC(=O)NCCN(Cc1ccsc1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 549406655 JQIMNQHXNCHPRU-UHFFFAOYSA-N 415.540 4.523 5 20 HJBD CC1(C)CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)[C@H]1c1cccnc1 552744851 CUQGOHXJQWPGFE-QFIPXVFZSA-N 416.481 4.825 5 20 HJBD COc1ccccc1[C@H]1CC[C@@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 553226104 ZSVDOQUWZYPNEO-DNVCBOLYSA-N 400.500 4.695 5 20 HJBD CCc1ccc([C@H]2CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)cc1 554408710 FKOMCTOYRQJSES-NRFANRHFSA-N 410.517 4.733 5 20 HJBD COc1c(-c2nc(Cc3nc4cc(Cl)ccc4s3)no2)cccc1[N+](=O)[O-] 565682889 RHDAWSUBPZKBCU-UHFFFAOYSA-N 402.819 4.507 5 20 HJBD COc1ccccc1COC1CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC1 577521107 NRHYSKAPKWQJNJ-UHFFFAOYSA-N 414.502 4.966 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)C(C)(C)C)cc1OC 579397587 XVUZUDNTZCCJJP-LJQANCHMSA-N 404.438 4.658 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4cccc5cccnc45)no3)cc12 581096207 JEEZISUGJXUWNC-UHFFFAOYSA-N 404.429 4.870 5 20 HJBD CCCc1noc2nc(CC)cc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)c12 582512584 MDAMIAGWIMGASO-UHFFFAOYSA-N 407.430 4.595 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(Sc2nc3ccccc3[nH]2)cc1 603469416 DNAQAGPBSHXQCP-UHFFFAOYSA-N 404.451 4.804 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)n1 609856822 QKLQPQIQLIRYBN-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)NC(=O)CCOc1ccccc1[N+](=O)[O-] 609909140 YQQIIBWFYCUHAL-GOSISDBHSA-N 410.495 4.834 5 20 HJBD CCOc1cc(NC(=O)N2CCc3cc(F)ccc3[C@@H]2C)c([N+](=O)[O-])cc1OCC 610013953 JUOAXHKCTQJURC-ZDUSSCGKSA-N 417.437 4.683 5 20 HJBD Cc1cc2c(o1)CC(C)(C)C[C@H]2NC(=O)c1ccc([N+](=O)[O-])cc1Br 610039842 CRTJGAHDRGAQQI-OAHLLOKOSA-N 407.264 4.702 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1cccc(OCc2ccccn2)c1 610041374 JHQJJZQFIHUASZ-KRWDZBQOSA-N 419.481 4.724 5 20 HJBD COc1cc(CN2CCS[C@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OCC(F)F 611518424 CZVJOGUAQLYOHB-IBGZPJMESA-N 424.469 4.537 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828472 IYSAPLYHMLBCOR-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2F)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709384 OZVNWUZAUIORBK-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD COc1ccc(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 727762829 IDUHVFIJMLWCHO-NRFANRHFSA-N 406.438 4.522 5 20 HJBD O=C(CCCOc1ccccc1F)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728164997 FYPLXGKMOLRCDL-UHFFFAOYSA-N 406.841 4.990 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1nn(-c2ccc(F)cc2)c2c1CCC2 735821687 UGTGHRRAHCILBK-UHFFFAOYSA-N 414.824 4.622 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](C)N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 737609968 RXPDWBBASPGJDL-ZFWWWQNUSA-N 403.276 4.684 5 20 HJBD CCOC(=O)c1cc([N+](=O)[O-])cc(F)c1N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 740867940 KHDGVRSHBKBWGN-HRAATJIYSA-N 416.449 4.515 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 742560494 FWOFJCWINLCFOT-UHFFFAOYSA-N 415.318 4.744 5 20 HJBD C[C@@H](NC(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc([N+](=O)[O-])c1 753101836 KZIWARNBCMNFJY-BMIGLBTASA-N 410.257 4.786 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1 756998257 YPVUBUNOEWIVLM-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3nc4c(F)cccc4[nH]3)cc2)c1[N+](=O)[O-] 758485184 HWLQUEDYRQVIHP-UHFFFAOYSA-N 408.364 4.977 5 20 HJBD C[C@H](Oc1ccc(F)cc1Cl)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760521165 GEKLFDBHEBIXSZ-NSHDSACASA-N 420.780 4.553 5 20 HJBD Cn1c([C@H]2CCCN2Cc2c(Br)cccc2[N+](=O)[O-])nc2ccccc21 768919612 UKZWKCLQVNSAMD-GOSISDBHSA-N 415.291 4.581 5 20 HJBD O=C(Nc1cc(F)c(N2CCCC2)c(F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 768972980 PULHFYWJBSTRMQ-UHFFFAOYSA-N 416.428 4.670 5 20 HJBD O=C(OCc1coc(-c2cccc(F)c2)n1)c1cc(Br)cc([N+](=O)[O-])c1 770158565 NMCBLLDWDAEMHU-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cn1cc(CNc2ccc(N3CCCC3)c(Cl)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 770543434 HOOGIOSWFJAYBT-UHFFFAOYSA-N 411.893 4.861 5 20 HJBD C[C@H](C(=O)OCc1ccc(OCCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 774569963 QVWKOPBGCHIZTC-LBPRGKRZSA-N 415.339 4.912 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccccn2)c2ccc(N(C)C)cc2)c1 776386185 MXYMYDUJVPSTLV-UHFFFAOYSA-N 422.510 4.625 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C)[C@H](C1)C2(Cl)Cl 791251814 JGQUMLYWVLSDRP-HOCLYGCPSA-N 403.331 4.753 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 796557000 AEHJLIYDHZLPPS-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD C[C@@H]1C[C@H](N(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCN1Cc1ccccc1 809919817 HNIHKWKPEYHHBD-NVXWUHKLSA-N 416.909 4.765 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(F)c1 811374272 DSNRONSSGAHDFR-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H](NC(C)(C)CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1 813439158 JVKNPDPXWHGCAF-GFCCVEGCSA-N 410.301 4.761 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(COCCOc2ccccc2)c1 918622904 CNRBYBNBOPUUNT-SDNWHVSQSA-N 418.449 4.842 5 20 HJBD CC(=O)[C@@H](OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 920543740 AIIWVOBTGNNONW-IVZQSRNASA-N 419.437 4.650 5 20 HJBD O=C(COc1ccc(C2SCCCS2)cc1)OCc1cc(F)ccc1[N+](=O)[O-] 921147418 OHZZXFOLDPGMJC-UHFFFAOYSA-N 423.487 4.725 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2ccc([N+](=O)[O-])c(F)c2)cs1 921266354 CZHJBOFIKVICLZ-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD CCc1cccnc1NC(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 1116370052 LTNFKLMXALAHHJ-UHFFFAOYSA-N 421.478 4.757 5 20 HJBD O=C(Nc1ccnn1Cc1ccsc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 1318237737 ZQWZKFATRSIBLH-UHFFFAOYSA-N 419.466 4.897 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2(CNC(=O)OC(C)(C)C)CCCC2)n1 1320497124 ILENGFSIZBJORJ-UHFFFAOYSA-N 416.478 4.598 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5853043 FYVMFRPKEMPVQN-STQMWFEESA-N 404.850 4.946 5 20 HJBD CN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 15990463 JOHCYGZRBLHMOM-UHFFFAOYSA-N 418.074 4.936 5 20 HJBD COc1cccc([C@H](CNc2ccc([N+](=O)[O-])cn2)c2c[nH]c3ccccc23)c1OC 25370485 WSNPGDHIBVGTGA-IBGZPJMESA-N 418.453 4.732 5 20 HJBD O=C(/C=C\c1ccccc1[N+](=O)[O-])OCCCC(=O)c1ccc(Br)cc1 34828299 YJWUENBOZFXPEQ-XFXZXTDPSA-N 418.243 4.577 5 20 HJBD O=c1c2sccc2nc(SCc2cccc([N+](=O)[O-])c2)n1Cc1ccccc1 34858000 KSQYGQPUCJMTGH-UHFFFAOYSA-N 409.492 4.707 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC=C(c4c[nH]c5cc(F)ccc45)CC3)o2)cc1 52823617 CFSPIBFIRAQNEP-UHFFFAOYSA-N 419.416 4.555 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(NC(=O)Nc2ccccc2)cc1 71958902 MGZUONAMMSMSEA-ZDUSSCGKSA-N 410.455 4.791 5 20 HJBD Cc1cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])n(C(C)(C)C)n1 107648245 UCKVZZBUYPHEOJ-UHFFFAOYSA-N 416.425 4.604 5 20 HJBD COc1ccc([C@@H](NC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c2ccc(F)cc2)cc1 214009015 YPJMMRHEQJIECA-IECRGOGOSA-N 406.413 4.662 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCCc3nc4c(s3)CCCC4)cs2)c1 238006421 VEKYDCGUAQIQNT-UHFFFAOYSA-N 414.556 4.776 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1nc(C(C)(C)C)cs1 301172423 DYPKHFPYSZHQFP-CYBMUJFWSA-N 402.520 4.758 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(Cc2ccc(Cl)c(Cl)c2)CC1 303378502 VELFCPWVGYJUSX-UHFFFAOYSA-N 422.312 4.604 5 20 HJBD COc1cc(CNc2ccc(F)cc2OCCC(F)(F)F)c([N+](=O)[O-])cc1F 409844829 NPXLTGHSHJWBBH-UHFFFAOYSA-N 406.307 4.825 5 20 HJBD O=C(O)[C@@H](CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)Cc1ccccc1 426659806 IVGUPMFYPYUXLX-SFHVURJKSA-N 410.495 4.747 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCSc3ccc(Cl)cc32)cc1OC 430483684 YWLFLMQXQPPHCO-UHFFFAOYSA-N 422.890 4.798 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CSCC(=O)O)c1 431663861 WJRXLXIMLIZLCX-UHFFFAOYSA-N 420.512 4.665 5 20 HJBD CN(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1cccc(OC(F)(F)F)c1 431943650 UWKHQNJGHVUYKV-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD O=C(Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 437541783 AFVCDYVSGSDSIP-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C[C@H]1n1ccnc1 442637025 KDPCGBWAJQGNCR-VQIMIIECSA-N 422.510 4.666 5 20 HJBD O=C(Nc1nc(C2CCC2)cs1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444530913 GASSSSMUJBZMBB-UHFFFAOYSA-N 411.433 4.936 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)CCc2cccc([N+](=O)[O-])c2)n1 448457891 VLGDRRNXAHBASN-UHFFFAOYSA-N 420.347 4.543 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2ccccc2Cl)s1 470726330 HXEZCGPEPFOYHN-UHFFFAOYSA-N 417.874 4.947 5 20 HJBD COc1cccc([C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2cc(F)ccc2OC)c1 471457014 FPQMJJRIQDPXRW-QFIPXVFZSA-N 424.428 4.579 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 471872521 QDRREQKKZBRAMX-KRWDZBQOSA-N 419.485 4.906 5 20 HJBD C[C@H](NC1CCN(Cc2ccc(Br)cc2)CC1)c1ccc([N+](=O)[O-])cc1 474416562 ORHREIFWPXECJZ-HNNXBMFYSA-N 418.335 4.673 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@@H](CO)c3c(Cl)cccc3Cl)o2)c1 475642639 VDTXNXIIRPOBBA-KRWDZBQOSA-N 407.253 4.985 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2ccc(Cl)cc2Cl)nc1)n1cc([N+](=O)[O-])cn1 475781817 OEYUUCAJPQHGFB-UHFFFAOYSA-N 420.256 4.534 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1cn(C)c2ccccc12 476152822 PFHWWTLODKOMCR-AWEZNQCLSA-N 419.403 4.781 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)N1CCc2ccc([N+](=O)[O-])cc2C1 478752724 GYXWANIJANFBHM-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1ccc(OCc2ncc(-c3cccc(Br)c3)o2)cc1[N+](=O)[O-] 479423749 MMEGAGZDLHCGQB-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(C(=O)OC(C)(C)C)cc1F)c1cccc([N+](=O)[O-])c1 480719350 GJAAGHHMJAROAQ-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc3c[nH]nc3cc2C)cc1 481345591 YOVNSDQYJXAWKK-UHFFFAOYSA-N 418.409 4.833 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)CCOCC1 481577546 LATVJUZQTUKZIF-OAHLLOKOSA-N 404.894 4.656 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2CCC(c3ccccc3)CC2)cc1SC 482634613 LJMDBALKLZBLLA-UHFFFAOYSA-N 400.500 4.782 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCc2c(ncn2-c2ccccc2)C1 482662400 VPYBVSUPMFMRSB-UHFFFAOYSA-N 404.473 4.772 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1cccc(Cl)c1 482744043 RILDSXJIWUAZFK-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccccc2)[C@@H](C)C1 487738426 JCGSZJXSSQGNIW-QFBILLFUSA-N 414.527 4.599 5 20 HJBD CCOc1cc(NC(=O)C2(c3cccc(Cl)c3)CC2)c([N+](=O)[O-])cc1OCC 490536093 AYXXKIMNMKLUOH-UHFFFAOYSA-N 404.850 4.716 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 491371929 CXVPJRPXDSBASG-NFBKMPQASA-N 423.513 4.745 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccco1)c1ccccn1 495498990 BGEVSNGAZLFZNP-UHFFFAOYSA-N 406.423 4.553 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 497921411 GXKCGDWUEORLEN-OAHLLOKOSA-N 414.767 4.911 5 20 HJBD CC(C)CN(CCc1ccccc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 499568423 BJMZFGWEROQAOV-UHFFFAOYSA-N 412.533 4.882 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 501964148 NMQWNYUKLIMECZ-YJBOKZPZSA-N 402.520 4.559 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)Oc1ccc(Br)cc1 501992561 YAXBXXBCBQVKQO-AWEZNQCLSA-N 421.291 4.562 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccco1)N1CCCCC1 502532503 SOVRBPUBZNHPTE-GOSISDBHSA-N 417.531 4.647 5 20 HJBD CCOc1ccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1Cl 502630869 PCBNOAOIHIHFCR-UHFFFAOYSA-N 410.879 4.527 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)CCc1cccc([N+](=O)[O-])c1 505475326 AQVBERODIBAQAK-UHFFFAOYSA-N 416.437 4.718 5 20 HJBD C[C@@H]1C[C@@]1(NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 505743380 GTGLPCZUCOICFX-SHACYNPGSA-N 402.881 5.000 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCCCOc1ccc(F)cc1 509413622 AGVNSQKKQNKRSK-UHFFFAOYSA-N 403.454 4.513 5 20 HJBD Cc1c(C[S@@](C)=O)cccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 522644312 PNCWMIPWTBDWIN-SSEXGKCCSA-N 423.494 4.778 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(C3CCCCCC3)[nH]n2)cc1[N+](=O)[O-] 522858937 IWRQQJMOWQIVSH-UHFFFAOYSA-N 418.519 4.636 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 523097882 SVMBBLFEIFURSK-LSDHHAIUSA-N 422.403 4.863 5 20 HJBD CC(C)(C(=O)NCc1cccnc1Oc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1 523495463 ABWBNUKNIPIMQQ-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@H]1c1ncc(-c2ccccc2)[nH]1)c1cccc([N+](=O)[O-])c1 526011736 HZYVZXCZFTXCBF-IERDGZPVSA-N 404.470 4.842 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1 531495188 AIEFSSLPSGNKNV-UHFFFAOYSA-N 401.369 4.716 5 20 HJBD C[C@H]1C[C@@H](CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 536403223 TYLFVCYHBYKXLL-STQMWFEESA-N 409.280 4.522 5 20 HJBD CCN(CCC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1)CC1CC1 537820991 YTDHRUJWSFEICO-UHFFFAOYSA-N 415.559 4.784 5 20 HJBD CCOc1ccc([C@H](C)NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1OC 539265426 DHQMMCSDQPGSPJ-HNNXBMFYSA-N 411.458 4.550 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1)[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 542178927 LYLZNQYYFBXFHA-CQSZACIVSA-N 406.282 4.989 5 20 HJBD O=C(CCc1cc(F)ccc1Br)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 542225856 DDCXDSDVKIBTSU-UHFFFAOYSA-N 421.266 4.620 5 20 HJBD O=[N+]([O-])c1ccccc1CS(=O)(=O)N[C@H](c1ccc(Cl)cc1)c1ccsc1 544746711 VSZGEDFAUYUTQC-GOSISDBHSA-N 422.915 4.519 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)c1 549010546 VLWSNOJBNNSJIL-OAHLLOKOSA-N 423.494 4.975 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1Br 551035062 CKQTWVYRJPGBKP-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)NCC[C@H](c1ccccc1)C(F)(F)F 551240249 GZVFMZHCPLDXCC-KBXCAEBGSA-N 409.408 4.638 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(-n2cccn2)c(F)c1 555591637 SNJDRFYWLWAWQC-GFCCVEGCSA-N 408.355 4.789 5 20 HJBD CCOc1ccc([C@H](NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)C(C)C)cc1OCC 557309874 ITJZJLGUTOENFH-JOCHJYFZSA-N 412.490 4.755 5 20 HJBD O=C(N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1csc([N+](=O)[O-])c1 560814437 NYFBCAZYUHYXMJ-MRXNPFEDSA-N 414.405 4.573 5 20 HJBD CC(C)(CO)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CC1 562211423 BQQANWARKKGQAP-SFHVURJKSA-N 423.307 4.504 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3ccc4ccccc4n3)no2)c1 590267075 OKXJPFIMEZLGHG-UHFFFAOYSA-N 400.316 4.803 5 20 HJBD COc1ccc(-c2csc3ncnc(NCc4ccc(OC)c([N+](=O)[O-])c4)c23)cc1 603932418 HCFNXUBCFHATKF-UHFFFAOYSA-N 422.466 4.896 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 604432241 MQEUSUWUPUOIIK-UHFFFAOYSA-N 423.705 4.766 5 20 HJBD CCCc1ccc([C@@H](NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c2cccs2)cc1 604518777 ABIXZPPOXHZGKJ-OAQYLSRUSA-N 424.478 4.857 5 20 HJBD CCN(C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 609722888 CXQQKYCPSHSFTH-AWEZNQCLSA-N 406.866 4.635 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)ccc1[N+](=O)[O-] 609789418 TVTRSVCAJCAUTD-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC(C)n1c(CNC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 609885510 GZTZJQOELWCWNY-UHFFFAOYSA-N 421.482 4.579 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C1 610010932 WENTZZMRSVKWRI-CYBMUJFWSA-N 405.813 4.514 5 20 HJBD CC(C)(C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc([N+](=O)[O-])cc1 610038210 KMZCDXVSEVLMKG-UHFFFAOYSA-N 417.437 4.837 5 20 HJBD COc1ccc(NC(=O)c2cc(NC(=O)CC(C)(C)C)ccc2Cl)c([N+](=O)[O-])c1 610220890 GBJQVTNDQNSLMF-UHFFFAOYSA-N 419.865 4.884 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(c2nc(-c3ccccc3)no2)CC1 610661388 LMDOXXLIQBJQPW-UHFFFAOYSA-N 421.457 4.673 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCC2(CCO2)CC1 619732264 AWSOIXVCHDICEX-UHFFFAOYSA-N 418.902 4.795 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCc1cccc(Cl)c1Cl 642007187 IDGLVUDUNVUXER-UHFFFAOYSA-N 411.288 4.778 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)ccc21 726511497 PBHLUSNFALUWBZ-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@@H]2CCCc3ccccc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748620276 RTQJYWAQAVMQLY-LJQANCHMSA-N 409.442 4.802 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 752678169 SELDLCIFWZEQNH-UHFFFAOYSA-N 414.462 4.999 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(N3CCCC3)cc2)cc1[N+](=O)[O-] 752997140 PZOYVCMDCUJSQG-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD Cn1c([C@@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c2ccccc2)nc2ccccc21 764218558 ZONZTYIRHHFXMR-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1c(Cl)cccc1[N+](=O)[O-] 767337777 SEWGEAHKNIOVNY-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 770325609 ORILZYUHGZJCKV-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1ccccc1Cl 777414004 FSNQPYHYYOCOHN-QGZVFWFLSA-N 408.907 4.604 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCSc3cc(C)ccc32)cc1SC 778280655 PRELGXKDNJMYKP-UHFFFAOYSA-N 404.513 4.776 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Br)c1Cl 779928899 HJPUTZUWMPLHDF-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD CC[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781618116 FQISTVKLRWYXTR-PMACEKPBSA-N 411.458 4.620 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Oc2ccc(NC(=O)OC(C)(C)C)cc2)c1 798329210 NXJPCZCXLGTGSC-UHFFFAOYSA-N 416.430 4.625 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 804345160 UZTNRKQHNPSEII-BRPSZJMVSA-N 401.263 4.824 5 20 HJBD C[C@H]1CN(Cc2ccccc2)C[C@H](C)C1NC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809921477 GZFLQESDSGUNER-GJZGRUSLSA-N 416.909 4.526 5 20 HJBD O=C(Cc1csc(-c2ccccn2)n1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811037801 ZXXNSNNDEHCJHQ-UHFFFAOYSA-N 424.265 4.706 5 20 HJBD O=[N+]([O-])c1cccc(NS(=O)(=O)c2ccc(Cl)c(C(F)(F)F)c2)c1Cl 811832293 OZVYGJDZKTZBDK-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1cc2c(cc1Cl)OCCCO2 864029499 AFROJTVETTXBNU-UHFFFAOYSA-N 413.655 4.784 5 20 HJBD COc1ccc2oc(C)c(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2c1 919411850 JDLQPJZFEIKGSW-LBPRGKRZSA-N 423.381 4.626 5 20 HJBD C[C@@H](Oc1cccnc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1116664563 RUNKMJLDRMYCIC-OAHLLOKOSA-N 404.426 4.678 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318739632 GAPIOADYOLAPBJ-UHFFFAOYSA-N 405.888 4.518 5 20 HJBD O=C(N[C@@H](Cc1cccc(F)c1)c1cccc(F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318962040 CLLNANAWZRDHPA-QFIPXVFZSA-N 423.419 4.882 5 20 HJBD COc1ccc(C(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 1321640047 TZAYOOFPXMDAQK-FZSIALSZSA-N 418.449 4.531 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc(N3CCCC3)nc2)o1 1323473636 WUQVWRLFJVTLPA-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD O=C(N[C@@H](c1ccc(F)c(Cl)c1)C(F)(F)F)N1Cc2ccc([N+](=O)[O-])cc2C1 1339236280 ILBGZHOXQFAYDU-HNNXBMFYSA-N 417.746 4.716 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(O)c1)N1CCC(c2nc3cc(Cl)ccc3s2)CC1 10236085 QORBOBDGVDCPFE-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 10904209 XXVWIUJLUYMQTL-UHFFFAOYSA-N 420.494 4.601 5 20 HJBD O=C(CNc1cccc([N+](=O)[O-])c1)N1N=C(c2cccs2)C[C@H]1c1cccs1 15288943 VUQIFQUQDKWJMD-INIZCTEOSA-N 412.496 4.508 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NC(=O)c3cccc(C)c3[N+](=O)[O-])cc2)cc1 15313680 YMFIMOUVHCNFMV-UHFFFAOYSA-N 419.437 4.807 5 20 HJBD CCCCSc1nnc(SCCC(=O)Nc2ccc([N+](=O)[O-])cc2C)s1 26409657 PVVQCZIDSWZLBR-UHFFFAOYSA-N 412.562 4.768 5 20 HJBD Cc1ccc(C(=O)Nc2cc(Br)ccc2Br)cc1[N+](=O)[O-] 31950680 XQOPHEYFWDIKDL-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(C1CC1)[C@H]1CCCc2ccccc21 58713213 CAORBNIONCDGEU-NRFANRHFSA-N 405.498 4.877 5 20 HJBD O=C(Nc1ncccc1OCC1CCCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 72056741 VZKCHXROFRVDHT-UHFFFAOYSA-N 424.501 4.801 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCCc3cc(Cl)cc(C)c32)cc1SC 112646887 WXWKAZYODFNPRP-UHFFFAOYSA-N 406.891 4.880 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1)c1ccncc1 301130889 RLAUKDSGKXSDCH-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD CCn1c(Sc2nc(C)nc3scc(C)c23)nnc1-c1ccc([N+](=O)[O-])cc1 302330354 ZMPODKKYSNVGFU-UHFFFAOYSA-N 412.500 4.646 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1C 303160804 VCIPBMALXSJGGU-KRWDZBQOSA-N 419.275 4.652 5 20 HJBD O=C(O)[C@@H](Cc1cccc(Cl)c1)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426742856 LTBWZDUKXJMKLM-QGZVFWFLSA-N 416.886 4.762 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@@](C)(OC)CC2)c([N+](=O)[O-])cc1OCCC 431583046 PJECVKWQKZPNPV-OAQYLSRUSA-N 423.510 4.595 5 20 HJBD COc1cccc(C(=O)Nc2cccc(COCCc3ccccc3)c2)c1[N+](=O)[O-] 435523464 WAAQGTKJNXQXQH-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD O=C1CCCC[C@@H]1[C@H]1CCCCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438188800 JHBSERUOLMHQGE-IAGOWNOFSA-N 412.408 4.758 5 20 HJBD O=[N+]([O-])c1ccc2c(c1)CN(Cc1nc(O)c3cc(-c4ccccc4)sc3n1)CC2 438515264 HLFSATDGJBYFJP-UHFFFAOYSA-N 418.478 4.530 5 20 HJBD C[C@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C[C@H]1n1ccnc1 442637024 KDPCGBWAJQGNCR-QFBILLFUSA-N 422.510 4.666 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(S(C)(=O)=O)cc1 443147298 OWZMSENJSUSHJD-CYBMUJFWSA-N 418.496 4.648 5 20 HJBD O=C(NC1(Cc2cccc(F)c2)CCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444332993 VZNPPWZFOFZILF-UHFFFAOYSA-N 400.453 4.716 5 20 HJBD Cc1c(NC(=O)CCCC(=O)c2ccc(Br)cc2)cccc1[N+](=O)[O-] 445247911 ILSILZDSZUOOFW-UHFFFAOYSA-N 405.248 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(Cc3csc(-c4ccc(C)cc4)n3)n2)c1 445580894 UYYRDYKFAQNWTL-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 445774007 FVPMZINCUQCHOH-KRWDZBQOSA-N 408.439 4.894 5 20 HJBD C[C@@H](O)C[C@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccccc1 447672249 PDIKTWXTKYTGDN-DGCLKSJQSA-N 419.350 4.611 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC[C@H](Nc2ccccc2)C1 447678443 ZXBPJUBRHKUUNH-ZDUSSCGKSA-N 416.350 4.725 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 462580468 RPKLCXSXOGMFOR-UHFFFAOYSA-N 413.655 4.509 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2cccc(F)c2)C2CCCC2)c([N+](=O)[O-])cc1OC 465521683 IVPVAAUCEPANGS-NRFANRHFSA-N 416.449 4.803 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 466952249 RMCIVNSRHKJAAF-KXBFYZLASA-N 403.866 4.846 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2c(s1)C[C@@H](c1ccccc1)CC2 470870376 VVCMGGIRVNSJMR-HNNXBMFYSA-N 423.494 4.975 5 20 HJBD CC[C@H](C)c1ncc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cn1 478281178 NFHKYFBZJVSXII-NSHDSACASA-N 408.408 4.515 5 20 HJBD Cn1cc([C@H](N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cn1 480668193 ATSXCNLKXABDJJ-JWNTYJGQSA-N 408.477 4.558 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 482498806 CLLONQLJISTLNZ-UHFFFAOYSA-N 402.425 4.862 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(=O)CC(C)C)cc2)cc1SC 482578808 ZLSPDIUOYAEWCG-UHFFFAOYSA-N 417.487 4.562 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CSc3nnc(-c4cc5ccccc5o4)o3)n2)c1 484743630 QDJRZFBFZKMMLF-UHFFFAOYSA-N 421.394 4.733 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N(Cc1ccncc1)C1CC1 487250296 CWUCVQSPOLHNAB-UHFFFAOYSA-N 405.479 4.946 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H]3CCOC4(CCC4)C3)cc2[N+](=O)[O-])cc1 489202669 HQGYUWYTWZKFSA-QGZVFWFLSA-N 412.511 4.886 5 20 HJBD CC1(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 497887882 ARNMYUHVVYOGIO-SFHVURJKSA-N 422.403 4.535 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2Sc2nccn2C)ccc1[N+](=O)[O-] 499100659 QFMXXFOLRYSXMV-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD Cc1ccc(-c2nc(CC(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)cs2)o1 499487550 XJCJZYIMSXSRMQ-UHFFFAOYSA-N 411.361 4.820 5 20 HJBD C[C@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 504400998 IQTFXJWFROSRMA-BTYIYWSLSA-N 424.888 4.544 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1 504704771 ZRPZROOCEHJNOE-ZDUSSCGKSA-N 406.529 4.546 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)c(Cl)c1 504937096 PFYSZLRZIQIZSC-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD CCc1cccnc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 505984757 REQXRCRETRKYPZ-UHFFFAOYSA-N 400.485 4.721 5 20 HJBD CC[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(OC)c(OC)c1 509596055 MOZOGDHKRMOEQF-IBGZPJMESA-N 415.490 4.683 5 20 HJBD COCCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 513564077 QHEMKVQMKMKZAV-AWEZNQCLSA-N 409.845 4.719 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(OC(F)F)c1 515405603 YFBKREPMSJYDMR-OAHLLOKOSA-N 422.431 4.634 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c1 517776547 KROZFZWPDXLSJZ-UHFFFAOYSA-N 422.485 4.747 5 20 HJBD COc1cc(OCC(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 519061783 MDUBXOHBQKBQDA-LLVKDONJSA-N 413.257 4.509 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H]1CCSc2ccc(Cl)cc21 520978661 SVELNCXFHXPJLG-MRXNPFEDSA-N 401.875 4.556 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 522360437 YHQGIFFUFXHCCE-HOTGVXAUSA-N 416.359 4.746 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3cccc(C[S@](=O)c4ccccc4)c3)cc2N1 522403622 BTHSSOTTZSJILD-PMERELPUSA-N 421.478 4.531 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1cscn1)c1ccccc1 522639469 MHZNGYLYOFXYCC-UHFFFAOYSA-N 417.874 4.707 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Nc1cccc(Oc3ccc([N+](=O)[O-])cc3)c1)CC2 522708535 YYBURBMBAFPJQN-UHFFFAOYSA-N 419.437 4.986 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)o1 523493902 CSPXHXQUDZETCQ-FQEVSTJZSA-N 408.458 4.693 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)c2ccccc2Cl)cc1 523514050 NNIBQYHJVJCSLV-HXUWFJFHSA-N 414.820 4.915 5 20 HJBD CCC(CC)[C@H](C)C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 534175362 NYOFDNLSQSAPFC-AWEZNQCLSA-N 405.520 4.770 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 534341475 XMKDLCRJZQJTER-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD C[C@H](c1ncc(-c2ccccc2)o1)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534796767 RYVDGKQDQOHTEQ-WYIRRWHOSA-N 423.450 4.915 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(OC2CCC2)cc1Cl 536269271 MUOCYVHDNUSOLQ-UHFFFAOYSA-N 412.833 4.822 5 20 HJBD CC(C)(C)OC(=O)C1CCC(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 536725418 HSSIYYJHIFSBGL-UHFFFAOYSA-N 417.531 4.708 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538219911 XTKIPCUKNVFKCT-YJYMSZOUSA-N 406.479 4.740 5 20 HJBD CCS[C@H](C)c1noc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n1 539357128 GLLBIZYOCSBOPM-GFCCVEGCSA-N 424.548 4.565 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCO[C@@H]1c1ccccc1 541307310 LKWLBPXIICPHQL-MGPUTAFESA-N 420.918 4.624 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)Nc1ccc([N+](=O)[O-])cc1F 542713184 RBPFCVFIXZRMTE-UHFFFAOYSA-N 409.299 4.582 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1-c1nc(Cc2cccc([N+](=O)[O-])c2C)no1 545078352 KDXFAYFQJRFLQN-UHFFFAOYSA-N 409.833 4.692 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3ccc(Cl)c(C(F)(F)F)c3)n2)nc1 546490991 SIWYBNXEZLAWEP-QHHAFSJGSA-N 410.739 4.806 5 20 HJBD Cc1cc(N[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc(C)c1Br 552063834 OLDNKXYXKVQCNV-SFHVURJKSA-N 415.291 4.909 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OCC(F)(F)F)c1 554882130 RZWQIJRQQUHLCI-UHFFFAOYSA-N 418.755 4.840 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2F)n1 559132501 KZZCDHVQBVEDLR-UHFFFAOYSA-N 422.338 4.808 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(C)c1OC 561717655 LZYMJZKIDAVBCL-GFCCVEGCSA-N 400.456 4.626 5 20 HJBD C[C@H](C(=O)Nc1cccc(C(=O)Nc2ccc(Cl)cn2)c1)c1cccc([N+](=O)[O-])c1 564700234 BYJUEGOBMLCFHE-ZDUSSCGKSA-N 424.844 4.638 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@H](C)c3cc([N+](=O)[O-])ccc3C)cc2F)ccn1 566885084 IELFGDUZDYJHEG-CQSZACIVSA-N 424.432 4.762 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC(F)(F)[C@@H](C)C2)cc1 576573233 GVPOSJZLDGSQQK-ZDUSSCGKSA-N 406.385 4.513 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)co2)cc1 581502205 FFCDLUPXNJJYFP-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1ncc(-c2ccccc2Br)o1 584324396 NUCVNYXQTNSEBQ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD C[C@H]1CN(C)CC[C@@H]1N(C)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 584557275 RFLNVFNWNXWYPY-YJBOKZPZSA-N 403.910 4.813 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)n2)cc1C 603514620 FPBTXYLDRVBUHF-UHFFFAOYSA-N 407.455 4.772 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 609918578 CSEDIBWXBNGJHH-APWZRJJASA-N 409.511 4.865 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 609965728 JHEHYORIAOKMFA-UHFFFAOYSA-N 412.452 4.616 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 610222268 OJQAYXGTWFMIBS-UONOGXRCSA-N 423.252 4.805 5 20 HJBD CCn1c(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)nc2ccccc21 727644842 VFWSSSNECUYLQG-UHFFFAOYSA-N 406.442 4.523 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1F)c1ccc(OCc2ccc(F)cc2)cc1 728489161 ZLMAIAOWKOFIQB-CQSZACIVSA-N 412.392 4.943 5 20 HJBD CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)OCc1nc2ccccc2c2ccccc12 730321176 LLFILZGWEYORAC-GCRJWFBISA-N 420.534 4.689 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(Br)cc3F)cs2)c1 733327799 HAMCGBUAFIERFB-UHFFFAOYSA-N 422.279 4.910 5 20 HJBD CC1CCC(=CC(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 734203978 IELMRBRLQCTESH-PIJUOVFKSA-N 414.380 4.620 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2ccc(N)c([N+](=O)[O-])c2)cc1 741729888 GVQXAUCWESXLLJ-HNNXBMFYSA-N 405.454 4.686 5 20 HJBD CC[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 742427565 YULCOVFBGHSGCK-CYBMUJFWSA-N 404.822 4.808 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 746255450 ZBZAVLBEBYFMTB-RXVVDRJESA-N 414.477 4.760 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] 750181048 QDPFKLGNQSDBOV-LBPRGKRZSA-N 411.241 4.712 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1[C@@H](O)C(F)(F)F 752756012 FBEIAYCNGFPPKA-OAHLLOKOSA-N 420.796 4.575 5 20 HJBD CC(C)[C@@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccccc1Cl 755065869 NJLDVIGIFIXGPY-KPZWWZAWSA-N 422.840 4.697 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1 758472370 KUOMTCJMBRLRPU-UHFFFAOYSA-N 414.414 4.884 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccccc2OCC(F)F)CC1 762380360 PNTGGRQLSFGTHI-UHFFFAOYSA-N 419.428 4.727 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 764294986 OBKHENMDLGOIJE-AWEZNQCLSA-N 403.910 4.832 5 20 HJBD COc1cc([C@@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)ccc1OC(C)C 764766520 FALOPEGOKLFKDL-QGZVFWFLSA-N 413.518 4.710 5 20 HJBD CC(=O)OCCCc1cccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 765367740 JQPXDBCMCUBSMK-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 772239088 XPVJXXSLQCMNGJ-JTQLQIEISA-N 419.812 4.743 5 20 HJBD CCN(C[C@@H](O)c1ccc(C)cc1)C(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775538807 IKLLNTPFRNJTJY-LJQANCHMSA-N 402.516 4.599 5 20 HJBD O=C(COC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccc2ccccc2c1 781675437 DZUPLBYWYOECGV-UHFFFAOYSA-N 414.211 4.550 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(OCc3noc(C4CC4)n3)cc2)c(Cl)c1 784586225 UWWFQFMTKQRUDT-UHFFFAOYSA-N 400.822 4.700 5 20 HJBD Cn1ccc2cccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3Cl)c21 788553908 QUJFNHFMQGICOM-UHFFFAOYSA-N 408.639 4.755 5 20 HJBD CC[C@H](C)[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790557676 GJPBCUQEGUBHBX-WLRWDXFRSA-N 418.856 4.710 5 20 HJBD O=C1CSc2ccc(NCc3cc(Cl)ccc3[N+](=O)[O-])cc2N1CC(F)F 790617848 DCBYOXIZMAEXTO-UHFFFAOYSA-N 413.833 4.564 5 20 HJBD Cc1occc1-c1nnc(Sc2nc3ccccn3c2[N+](=O)[O-])n1-c1ccccc1 799845974 CSPGEELJJYWNRN-UHFFFAOYSA-N 418.438 4.543 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cc([N+](=O)[O-])ccc3F)s2)c(F)c1 799862425 ZYXDUIBVSCKXTF-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CN(C(=O)/C=C/c1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])nc1 808794218 DACHFRANCQTBRB-GORDUTHDSA-N 419.281 4.704 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)Nc1cccc([N+](=O)[O-])c1Cl 813244187 QPAVUZRWYDFJNB-UHFFFAOYSA-N 403.847 4.775 5 20 HJBD O=C(NCCSCc1ccnc(Cl)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813505469 DUZKXASMNJJJFI-UHFFFAOYSA-N 420.705 4.613 5 20 HJBD Cc1ccc([C@@H](NC(=O)CSc2nc3ccc([N+](=O)[O-])cc3s2)C2CC2)cc1 827006023 KHXYNHQMKVWJJR-LJQANCHMSA-N 413.524 4.873 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])cc2Cl)C(C)C)c1C 877121119 ZAXLDZMSEAUCKJ-SFHVURJKSA-N 404.850 4.685 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1OC 916446200 DEGWCMBOOGRKNG-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD COc1ccc(CNC(=O)c2cc(C)n([C@H](C)c3ccccc3)c2C)cc1[N+](=O)[O-] 918676850 RINYMLRWBIBOSY-MRXNPFEDSA-N 407.470 4.561 5 20 HJBD COCCO[C@H](C)c1nnc(-c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)o1 1118361167 VGGOEQVYHULNOW-CYBMUJFWSA-N 401.444 4.520 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc([C@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)o1 1322682683 HTWQVVDNRBPDPR-APPDUMDISA-N 414.805 4.637 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCc3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2C)cc1 1326054962 KDRCMMOAFASYHO-UHFFFAOYSA-N 417.469 4.600 5 20 HJBD COc1cc(C(=O)Nc2c(C)cc(Cl)cc2C)c([N+](=O)[O-])cc1OC(F)F 1335647447 QUWUFUQKWDLJBY-UHFFFAOYSA-N 400.765 4.727 5 20 HJBD O=C(OCC(=O)N(Cc1ccccc1)c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 10943520 BEBCYFNBXRXYEU-UHFFFAOYSA-N 424.840 4.638 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(C(F)(F)F)cc3)cc2)c(C)c1[N+](=O)[O-] 15600544 KKYCJIPAKHHHTC-UHFFFAOYSA-N 418.375 4.728 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Cc2ccccc2)ccc1[N+](=O)[O-] 22736561 QFQAMQQPWVBEIL-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC(C)(C)C)c2ccccc2)cc1OC 31800537 IEIXGBHMQFEWLE-QGZVFWFLSA-N 400.475 4.909 5 20 HJBD COc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc(Cl)c1OCCC(C)C 31930342 OCEATQRLWBNVGA-UHFFFAOYSA-N 420.893 4.954 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 50313050 LQVYOXHZUZJLLK-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 50495922 HHXYPNXURHAIBP-OAHLLOKOSA-N 417.556 4.576 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2CN2CCCC[C@H]2C)c1 58952654 JMIVLHOUUMOWSD-MRXNPFEDSA-N 413.543 4.621 5 20 HJBD CCCc1ccc([C@@H](NC(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])C(C)C)cc1 61225472 XHISEIKTPMTPST-NRFANRHFSA-N 400.475 4.692 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(CO[C@@H]3CCC[C@H](C)C3)c2)c1[N+](=O)[O-] 78775129 RNLSBDFFMOXBCO-WMLDXEAASA-N 400.479 4.618 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCCc1ccc(Cl)s1 117273430 GIGBEPXADAKGPD-UHFFFAOYSA-N 424.853 4.780 5 20 HJBD C[C@@H](NCc1cc(Br)cc(Br)c1)c1cccc([N+](=O)[O-])c1 394573159 PHOBPTDFYHLNLC-SNVBAGLBSA-N 414.097 4.971 5 20 HJBD CCC(C)(C)OCc1cccc(NS(=O)(=O)c2c(F)cccc2[N+](=O)[O-])c1C 425991621 XAFVKIHHPGEFME-UHFFFAOYSA-N 410.467 4.548 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426266191 KAXATWUWRBASMH-UHFFFAOYSA-N 415.799 4.999 5 20 HJBD Cc1nsc(C)c1-c1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 426617729 JRFLICUBQAVGSF-NSHDSACASA-N 422.470 4.953 5 20 HJBD CCCOc1cc(NC(=O)N[C@H](C)c2ncc(C)s2)c([N+](=O)[O-])cc1OCCC 429240702 UISKBASJWMXPFB-CYBMUJFWSA-N 422.507 4.820 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc(Br)c(F)c1 429800240 CGMTVHLVOIBGBI-INIZCTEOSA-N 408.227 4.865 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)c1[N+](=O)[O-] 440939955 MWFDCJSVTFLXAG-UHFFFAOYSA-N 423.454 4.505 5 20 HJBD CSc1ccc(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@H]2C)cc1[N+](=O)[O-] 444407698 FFCJXEDUFZZMQA-SJKOYZFVSA-N 406.891 4.572 5 20 HJBD COC1(c2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CCOCC1 445786314 VSVOHFLHHFRIEF-CLFYSBASSA-N 413.455 4.529 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(OCc3ccccn3)cc2)c(Br)c1 462779072 QDPZJOHCCANWOX-UHFFFAOYSA-N 414.259 4.943 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])cc2)cc1 462913306 QIEMCHJVQIHJNC-UHFFFAOYSA-N 417.465 4.775 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2c[nH]c3ncccc23)CC1 463181536 BNFPGQSGPJOIDZ-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD CC[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465251532 HYZMUJFAHVCWCC-LBPRGKRZSA-N 401.410 4.615 5 20 HJBD CN(Cc1ccccc1NC(=O)NCCc1ccccc1[N+](=O)[O-])C1CCCCC1 466152476 DYAXWFTWOMWJRP-UHFFFAOYSA-N 410.518 4.724 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)c1ccc(F)cc1[N+](=O)[O-] 466257234 URCXCAXJMIOZRU-UHFFFAOYSA-N 402.328 4.734 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1Cl 466298105 MLVAVAOZUACBES-LBPRGKRZSA-N 419.840 4.654 5 20 HJBD O=[N+]([O-])c1ccccc1CCN[C@H](c1cccc(Br)c1)C1CCOCC1 467424317 IDRPBTPRPNHNLY-FQEVSTJZSA-N 419.319 4.657 5 20 HJBD Cc1cccc(Cl)c1NC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 467679281 VTQYBNDQUKXQSO-INIZCTEOSA-N 419.865 4.761 5 20 HJBD O=C(Nc1cc2c(cc1Br)CCC2)c1ccc([N+](=O)[O-])c2cccnc12 468152105 GUKSAYJHOUJNHG-UHFFFAOYSA-N 412.243 4.647 5 20 HJBD COc1cc(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)ccc1[N+](=O)[O-] 474866238 BUHBTCQIBVUOFJ-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1C1CC1 477564978 BYKMRWMHZPCQBL-ZDUSSCGKSA-N 418.519 4.520 5 20 HJBD CCOc1cc(Oc2cc(C(F)(F)F)nc(-c3ccncc3)n2)ccc1[N+](=O)[O-] 477715733 KJNRWUUNTMJXNG-UHFFFAOYSA-N 406.320 4.657 5 20 HJBD Cc1cc(N2CCC(n3c(C)nc4ccccc43)CC2)c2cccc([N+](=O)[O-])c2n1 480827689 NEIZJXVDQSQTLY-UHFFFAOYSA-N 401.470 4.951 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccn2)n1-c1ccccc1 481370867 MGJVLATUGNCISA-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CCc1c(NC(=O)Cc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481857886 SBSPUWNCIUBVGK-UHFFFAOYSA-N 418.375 4.543 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccccn2)s1 484221373 PFFGVTCUYPZAMF-UHFFFAOYSA-N 415.500 4.509 5 20 HJBD CC(C)(C)Oc1cc(N2CCC([C@@H]3C(=O)Nc4ccccc43)CC2)ccc1[N+](=O)[O-] 485166289 RMZCXCGHOVOLDR-NRFANRHFSA-N 409.486 4.724 5 20 HJBD COc1ccc(-c2nc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)cc1 486092540 FMLUQTBQBVPQCM-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)c(NC(=O)C(C)(C)C)c2)c1[N+](=O)[O-] 486224529 ADZVPFQYYIOMHL-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccccc3)cn2)cc1SC 487421440 TVARBWJXCCSOLR-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CCN(C(=O)c1cc(NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1Cl)C(C)C 487708925 NZUWUEIVGNFGSV-UHFFFAOYSA-N 417.893 4.690 5 20 HJBD CCOc1ccc(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)cc1[N+](=O)[O-] 487709624 FBMFFTCTLYWHSK-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 488188227 OUUOZDIGFLWSKU-ZDUSSCGKSA-N 411.483 4.712 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])cc1 491856593 GZTYWIXVJVVPNS-QGZVFWFLSA-N 422.428 4.593 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](CCCO)c1ccccc1 495361104 WDVBPPXKBHEESJ-IBGZPJMESA-N 402.516 4.587 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ncc(Cc2ccccc2F)s1 500267687 GBYJXEUUGDPORO-LBPRGKRZSA-N 417.487 4.901 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1 504696120 WDLWAYMMEVBDJV-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(-c2ccc(OCc3ccccc3)cc2)no1 504873180 QGORGLYAWBSSLG-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD C[C@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 505343022 DUMNZYQMGRSVMF-SFHVURJKSA-N 403.482 4.957 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 507313225 WPZWOSSLRPGZKW-CYBMUJFWSA-N 406.435 4.642 5 20 HJBD CS(=O)(=O)c1cc(SCc2csc(-c3ccc(F)cc3)n2)ccc1[N+](=O)[O-] 507632816 BLJQPRWGQXUZAV-UHFFFAOYSA-N 424.500 4.553 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1nc(O)c2cc(-c3ccccc3)sc2n1 511093084 BQRIEZMYOAGZQR-UHFFFAOYSA-N 420.494 4.647 5 20 HJBD C[C@H](C(=O)N1C[C@@H](c2cccc(C(F)(F)F)c2)OC[C@H]1C)c1cccc([N+](=O)[O-])c1 515494696 WTCNBOUBEYTELT-TYILLQQXSA-N 422.403 4.706 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(CCC(C)C)CCC(C)C)cc2)c(C)c1[N+](=O)[O-] 516077759 HHPJSCWSPSBGIW-UHFFFAOYSA-N 414.550 4.991 5 20 HJBD O=[N+]([O-])c1ccc2[nH]nc(-c3nc(-c4ccc(Oc5ccc(F)cc5)nc4)no3)c2c1 518342361 PXRWLXHGTIRANU-UHFFFAOYSA-N 418.344 4.515 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)s1 518564710 MDHCXFYHXWWEFE-MRXNPFEDSA-N 414.512 4.719 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccccc3F)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 518880346 VMRCPOHFPRMTRM-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2cccc(Br)c2)no1 523878569 ZLLAYTVOTHWCMZ-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1C[C@@H]1c1cccc(Br)c1 530776764 PBCBZLYTEZYGCD-CABCVRRESA-N 404.264 4.652 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)cc1[N+](=O)[O-] 530859257 QZGDHRLQKTWBLN-UHFFFAOYSA-N 416.355 4.869 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@H]1c1ccccc1 539659543 PPHLRNPKRKJRJU-ICSRJNTNSA-N 400.500 4.747 5 20 HJBD CCN(CC)C(=O)CCC1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 541111012 KOOBEMVOZBHHRY-UHFFFAOYSA-N 410.518 4.522 5 20 HJBD Cc1ccc(C[C@H](NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccn2)cc1 542554437 HGKNQRAEEAWLMH-ZHRRBRCNSA-N 407.445 4.641 5 20 HJBD C[C@H](C(=O)N[C@H](c1ccc(F)cc1)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544403794 QQGHKCDUKZAGSD-HXPMCKFVSA-N 402.422 4.944 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@@H]2c2cccc(C(F)(F)F)c2)n1 544593412 MADOOXDUBVJBIZ-HUUCEWRRSA-N 418.375 4.922 5 20 HJBD Cc1nnc([C@@H](C)NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])o1 545233177 DTWMILQYGDWCPP-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cc(Br)cc3cccnc23)n1 547102337 LVAXDAPGPBZUIO-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD CCOc1c(Cl)cc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1OC 547195151 RRMPTIADFZTXKY-UHFFFAOYSA-N 403.822 4.605 5 20 HJBD COc1cc(SC)ccc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 551284544 LLDXTJYUESOGEQ-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1cc2c(s1)-c1ccccc1OC2 556932584 FAZXAYTUCHGUQR-UHFFFAOYSA-N 418.434 4.654 5 20 HJBD Cc1cccc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)c1[N+](=O)[O-] 561442994 AMNKTKYRCXWAON-CXAGYDPISA-N 408.376 4.524 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3ncoc3-c3cccc(C(F)(F)F)c3)no2)c1 561933442 PACCTYWPLLBFMQ-UHFFFAOYSA-N 402.288 4.986 5 20 HJBD COc1ccccc1O[C@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 571900298 LYABBYWMIZBMBE-INIZCTEOSA-N 412.467 4.502 5 20 HJBD O=[N+]([O-])c1c[nH]c(-c2nc(-c3ccccc3-c3ccc(Cn4cccn4)cc3)no2)c1 577031689 GEUBVFMMKGRGSX-UHFFFAOYSA-N 412.409 4.552 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc2NC1=O 584542764 HAPFPWSGGOZBCF-PIGZYNQJSA-N 423.391 4.574 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1csc(-c2ccc(F)cc2)n1 603639052 KLEURHZFASAWNK-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD COc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OC(F)F 603840709 WRJZJEQBLPSPKR-UHFFFAOYSA-N 409.389 4.647 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1Cl)C1CCCCC1 603983337 WUXQRJAWGGYPQZ-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccn1)c1ccc(F)cc1 603998385 NMSJPMVGKMKCBM-OAHLLOKOSA-N 411.458 4.843 5 20 HJBD C[C@@H](C[C@@H]1CCCO1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608837833 OCBUCTGWDLNRNU-JSGCOSHPSA-N 409.280 4.665 5 20 HJBD Cc1csc(Sc2ccc(NS(=O)(=O)c3ccccc3[N+](=O)[O-])c(C)c2)n1 608851695 BKWJBOLDXHPSMU-UHFFFAOYSA-N 421.525 4.620 5 20 HJBD CSC[C@@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791451 BHMZHRNERRRAER-LLVKDONJSA-N 412.870 4.795 5 20 HJBD CCO[C@@H](CC)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791528 HWYHFBOUWBAIPQ-INIZCTEOSA-N 410.829 4.611 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@H](CC)c2ccncc2)c([N+](=O)[O-])cc1OCC 610092396 LULGQBVFBNWTBT-QGZVFWFLSA-N 416.478 4.792 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1-c1nc(C2CC2)no1)c1cccc([N+](=O)[O-])c1 617843266 QOYACKOSPXBNKG-LLVKDONJSA-N 412.833 4.918 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CC([C@H]2CCOC2)C1 619423175 ZEZAFECYVQZZNJ-ZDUSSCGKSA-N 418.902 4.508 5 20 HJBD Cc1cccc(-n2ncc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)c2C(F)(F)F)c1 619718089 WMDHAEIWMAAKAB-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD O=C(c1cccc(Cn2ccnc2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728164952 DMNYQOPFFLWIJY-UHFFFAOYSA-N 410.861 4.550 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 734802790 JKWZFPUHVLMDCU-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1 743024352 RKUHBHOKUYIUQC-ZDUSSCGKSA-N 416.503 4.989 5 20 HJBD C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@H](OC1CCOCC1)c1ccccc1 746717682 ZIRZUMLGVRXDEY-VLIAUNLRSA-N 413.499 4.942 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nnc(-c2cccs2)o1 747122695 ADIFFKYHEUHNMN-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 750536156 XALQFNPBIRFUFH-LJQANCHMSA-N 410.813 4.785 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 750536667 TVJFZPUCIQNDEQ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccc2ccccc2c1 753519091 BFUZVHPWLTWDLM-CQSZACIVSA-N 403.394 4.645 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2ccccc2c1 753525126 PUUCOXPPUNUORD-AWEZNQCLSA-N 403.394 4.645 5 20 HJBD C[C@@H](CCC(C)(C)C)C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755139477 OYGZFTVUJKPOTF-RYUDHWBXSA-N 418.412 4.946 5 20 HJBD C[C@H](C(=O)OCc1csc(COc2ccc(F)cc2)n1)c1cccc([N+](=O)[O-])c1 763404338 QKNRAPGEFRRXAO-ZDUSSCGKSA-N 416.430 4.616 5 20 HJBD CCc1ccc(CCOC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc1 764819778 SKAYTUAUEIEYJI-MRXNPFEDSA-N 420.469 4.520 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2nc(-c3ccccc3)cs2)c([N+](=O)[O-])cc1OC 767984572 OVJQQSKZVVLHRO-LBPRGKRZSA-N 414.439 4.654 5 20 HJBD O=C(CSc1nnc(-c2cccc3ccccc23)o1)Nc1cc([N+](=O)[O-])ccc1F 768395974 MXMOLUCEJWWBFZ-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)cc1 771848101 SDHWOHVPJYDHTB-SNVBAGLBSA-N 416.450 4.647 5 20 HJBD CC(C)COC(=O)Nc1cccc(N[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1 774282970 IBQCGJDOBUYZTB-ROUUACIJSA-N 401.467 4.592 5 20 HJBD CC(C)CN(C(=O)CSC(F)(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495432 FEHAEBJZWCGMFA-UHFFFAOYSA-N 419.450 4.960 5 20 HJBD Cc1nc(CNC(=O)OC(C)(C)C)ccc1[C@@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 779034487 XXQNWCAHKPNYPN-HUUCEWRRSA-N 414.506 4.735 5 20 HJBD CN(C(=O)c1cc(Cl)ncc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 782558648 FTSKHYCKBBZGPW-UHFFFAOYSA-N 409.254 4.697 5 20 HJBD Cc1ccc(-n2c(SCc3ccc([N+](=O)[O-])c(F)c3)nnc2-c2ccccn2)cc1 789939926 KCBGHEDJVCTZAX-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD CN(Cc1ccc(Br)cc1Cl)C(=S)Nc1ccc([N+](=O)[O-])cc1 790346621 ZJQKEOAKFRAMNE-UHFFFAOYSA-N 414.712 4.840 5 20 HJBD CSc1ccccc1[C@@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 800579120 YKFRCESQTKZQDN-SECBINFHSA-N 413.268 4.709 5 20 HJBD C[C@@H](Sc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 800837837 CBFWOPLEVQMCGX-SECBINFHSA-N 415.349 4.641 5 20 HJBD Cc1ccc(N2CCCC2)c(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c1 809433236 FAJPEEGLAVCNCN-UHFFFAOYSA-N 403.442 4.626 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)nn1C1CCCCC1 812300964 CRYRWBKZFRQIOX-UHFFFAOYSA-N 411.506 4.848 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2Cc3ccccc3[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-] 901385160 OQNAIMJKZIYYAS-HXUWFJFHSA-N 417.421 4.599 5 20 HJBD Cc1ccc(OC(=O)c2ccc3c(c2)C[C@@H](c2ccccc2)OC3=O)c([N+](=O)[O-])c1 919674650 BARQSDDCFOKARZ-NRFANRHFSA-N 403.390 4.577 5 20 HJBD Cc1ccc(/C=C(/Cl)C2=S=C(C(=O)Nc3ccc(F)cc3)N=N2)cc1[N+](=O)[O-] 1115828757 WPZXIPBPJKVDHW-RIYZIHGNSA-N 418.837 4.720 5 20 HJBD COc1ccc(Br)c(-c2nnc(C(C)(C)c3ccc([N+](=O)[O-])cc3)o2)c1 1118360421 DXXCYUVSAHQYNV-UHFFFAOYSA-N 418.247 4.742 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc(CCCOc2ccc(Cl)cc2C)o1 1322355812 YXWXPUFBPOFASU-UHFFFAOYSA-N 403.822 4.627 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@H](O)c1ccc(F)cc1 1339154583 INZLTDFYUIVCSW-ODXCJYRJSA-N 404.825 4.859 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Oc2ccccc2)cc1 3495086 PCOPMIBQYLJTPV-ZDUSSCGKSA-N 412.423 4.633 5 20 HJBD COc1ccc(OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)c([N+](=O)[O-])c1 7035594 DFUZJPRRNZGAOA-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD CCCOc1cc(NC(=O)c2ccc3ccccc3n2)c([N+](=O)[O-])cc1OCCC 10632037 ROIBNVSQBOZALH-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD Cc1cccc(-c2nn(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c(=S)n2C)c1 27533188 OJQQBZQYDVLRTB-UHFFFAOYSA-N 417.922 4.578 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cc(C(F)(F)F)ccc2Cl)c(Cl)c1 36275108 IIWOLVJKEGHVIW-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 56093135 YFYZIAHPILINNZ-KRWDZBQOSA-N 419.485 4.609 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1ccc([N+](=O)[O-])c(C)c1 63613610 SYWAZJOKTRNKBF-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CCOC(=O)c1c(-c2ccccc2)csc1NC(=O)Cc1ccccc1[N+](=O)[O-] 96512409 DRDIVNKJELFIFS-UHFFFAOYSA-N 410.451 4.681 5 20 HJBD C[C@H]1CCCC[C@H]1NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 97632532 VVYMJVGHXWUFRV-SCLBCKFNSA-N 415.877 4.809 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCC(C)(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 108785276 XXHDLKFZNVSVTM-KRWDZBQOSA-N 418.497 4.871 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccccc3Cl)s2)c1 147344796 SHJLIOXQKMKIAQ-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD Cc1cc(C(=O)COC(=O)/C=C\c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1ccccc1 211623960 OGXYXPXZKDDJSM-RAXLEYEMSA-N 418.449 4.501 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(Br)sc1Br 231121258 GCKUYKYFTMSWAD-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD COc1cccc(-c2noc([C@H](C)NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)n2)c1 237580609 NIARJRIZNRRRQX-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD COc1ccc(CSCC(=O)N2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)cc1[N+](=O)[O-] 303904942 LSWFQBBWVIQWHL-GPMSIDNRSA-N 414.527 4.630 5 20 HJBD O=C(O)[C@@H]1[C@H]2CC[C@@H](C2)[C@@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426836683 UYZIHHVQQAVNFS-LHHVKLHASA-N 416.861 4.629 5 20 HJBD COc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1C(F)(F)F 426921732 UHJQZCMKJQCNKL-UHFFFAOYSA-N 412.367 4.961 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@H](c1ccc(F)cc1)c1cccnc1 427443530 VKOFFGVOZGCRGO-JOCHJYFZSA-N 423.444 4.779 5 20 HJBD CC(C)(C(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccccc1[N+](=O)[O-] 431727733 VGWNDMSDNGSPHE-UHFFFAOYSA-N 409.467 4.825 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@@H](C)c2cccc(OCc3ccccc3)c2)c1[N+](=O)[O-] 434383155 JEICYIZRNQCJQL-HNNXBMFYSA-N 408.458 4.511 5 20 HJBD O=C(N[C@H]1CCCOc2ccc(F)cc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436144589 QDXORETYARTUGJ-IBGZPJMESA-N 420.415 4.603 5 20 HJBD CO[C@@H](C)c1cccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436349423 CPGUZINSKBUIKU-LBPRGKRZSA-N 408.404 4.919 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 437478655 SCGRRMSKUIEIHD-HNAYVOBHSA-N 422.403 4.820 5 20 HJBD CSc1ccc(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 437488691 XQHNYNLKNNTHAA-UHFFFAOYSA-N 406.482 4.515 5 20 HJBD CC(C)N1CCC(N(C)C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 440418906 UJCXSQPKXNJRIE-UHFFFAOYSA-N 413.543 4.691 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)n2)cc1 440468239 SPZRPJXVVYGKRZ-UHFFFAOYSA-N 418.525 4.566 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NCc1nc(Cc2cccc(Br)c2)no1 443529415 LTUWLHOJAMOAFV-UHFFFAOYSA-N 417.263 4.560 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1cccc(F)c1 444038632 PUNVCWLTXMMUJE-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD CC[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1 445249552 MAFMSOFTTZKZDO-JTQLQIEISA-N 403.685 4.953 5 20 HJBD COc1ccc2c(c1)[C@H](N(Cc1ccccc1)C(=O)c1ccccc1[N+](=O)[O-])CCO2 460256031 WWZDTFHTCJEURD-OAQYLSRUSA-N 418.449 4.770 5 20 HJBD CO[C@H]1CCCC[C@H]1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 460571467 HUSGUWTXFYTEFG-QUCCMNQESA-N 417.893 4.548 5 20 HJBD Cc1cc(NC2CCN(C(=O)c3ccccc3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461723988 ZXRHQJDEHJVFGY-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD CCOc1ccc(C2(CNc3ccc([N+](=O)[O-])c(OC(F)F)c3)CCOCC2)cc1 462794517 WFDMXVOMEMPGRM-UHFFFAOYSA-N 422.428 4.755 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)n1 463731001 WXAMLYZVAVKMJZ-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 465752850 JTECAWCIXAYXFG-KRWDZBQOSA-N 419.481 4.873 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 475636070 FJRRHWLMPGLBLE-XLIONFOSSA-N 401.438 4.735 5 20 HJBD O=C(Nc1c(-c2ccco2)nc2ccccn12)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 476450058 ZNHKKEHHJSMWMV-UHFFFAOYSA-N 416.315 4.774 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Nc1ccc(F)cc1OC1CCOCC1 479623014 TZQSBFDSLMEZLD-UHFFFAOYSA-N 403.410 4.553 5 20 HJBD COCCC[C@@H](CNC(=O)Nc1ccc([N+](=O)[O-])cc1C)c1ccc(Cl)cc1 481480315 FQXCDNAAXFYPPT-INIZCTEOSA-N 405.882 4.889 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@H](c4ccc(F)cc4Cl)C3)co2)cc1 481612664 SWRGAKCDCGKEJC-IBGZPJMESA-N 417.824 4.616 5 20 HJBD Cc1csc([C@@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C2CC2)n1 485881295 IAKATMDZJJEKIW-FQEVSTJZSA-N 423.494 4.820 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1NC(=O)c1ccco1 492937176 NYOFFDGCLSLNGJ-OAHLLOKOSA-N 422.441 4.940 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 499253073 QYCOPWIVNRMWCF-NRFANRHFSA-N 410.517 4.697 5 20 HJBD COc1ccccc1C1(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)CC1 501910268 CXJYKEXLRYMBRL-UHFFFAOYSA-N 409.467 4.706 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)cs1 502890705 XNJLLVLWSBPOEO-UHFFFAOYSA-N 421.482 4.751 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(F)c1)c1nccn1C 504928115 ZIXQLJSXKYHEAQ-XLIONFOSSA-N 414.506 4.717 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(Cc2ccsc2)c2ccccc2)cc1C 509545431 VJHQYDSATRAJGC-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD CCOc1ccc(C2(CNc3ccc4cc([N+](=O)[O-])ccc4n3)CCOCC2)cc1 511722347 LPTAZFPTJGBIPX-UHFFFAOYSA-N 407.470 4.702 5 20 HJBD CN(Cc1ccc(-c2ccccc2[N+](=O)[O-])o1)C1CCN(C(=O)c2ccccc2)CC1 513045390 DTBWURBZHGXLTM-UHFFFAOYSA-N 419.481 4.591 5 20 HJBD COc1cc(C(=O)N(C)CC2CCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 517670659 OXTXHLIHQXQZBU-UHFFFAOYSA-N 404.850 4.921 5 20 HJBD CC(C)[C@@H](C)N(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CC1 522893679 ICNCTCVKPINVIJ-LLVKDONJSA-N 411.289 4.736 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCC1(c2cccc(C(F)(F)F)c2)CC1 524556108 OKVUNDJRPVFIAD-UHFFFAOYSA-N 412.795 4.658 5 20 HJBD COc1cccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])s2)c2ccccc2Cl)c1 525008064 OLVUPVQEJPSEOA-GOSISDBHSA-N 402.859 4.838 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CC=C(c4ccccc4C(F)(F)F)CC3)c1)OCOC2 533078485 KONNBUJRCDOWKR-UHFFFAOYSA-N 420.387 4.769 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1ccccc1)c1ccc(F)cc1 534636691 OWOZGUAZKLBVEJ-QHCPKHFHSA-N 403.413 4.664 5 20 HJBD CN(C(=O)c1csc(C(C)(C)C)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537150790 RMFPNRWEYGHYHS-UHFFFAOYSA-N 402.501 4.749 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCCc1cc2ccccc2[nH]1 538566051 MDYSXGCDZNIWBB-UHFFFAOYSA-N 423.416 4.546 5 20 HJBD CC[C@@H](NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C)c1nc(C(F)(F)F)cs1 539882533 XFOWODNKWZXTSK-LLVKDONJSA-N 401.410 4.876 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1cc(F)c(Cl)cc1Cl 540342478 CNHBQNWJGHIZSN-VIFPVBQESA-N 411.220 4.942 5 20 HJBD CN(C(=O)Cc1c(F)cccc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540452180 YNILQRYLFVSKOH-UHFFFAOYSA-N 405.838 4.716 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCO1 546635282 SPEYTLAXJZFINQ-INIZCTEOSA-N 417.893 4.548 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(COc2c(Cl)cccc2Cl)n1 547090518 QNCSCCCVOIDXCI-UHFFFAOYSA-N 409.229 4.518 5 20 HJBD O=C(Nc1ccccc1)[C@@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccccc1 556064168 UZCMLXMQVJOXIL-GOTSBHOMSA-N 401.466 4.942 5 20 HJBD O=C(NCCc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@H]1C[C@H]1c1ccccc1C(F)(F)F 566878781 TZVOMIKNGRCHRK-IRXDYDNUSA-N 417.387 4.557 5 20 HJBD CC(C)(NC(=O)CCc1ncc(-c2ccc(F)cc2F)o1)c1ccccc1[N+](=O)[O-] 568851450 NQFKPXZMOWQHIW-UHFFFAOYSA-N 415.396 4.512 5 20 HJBD C[C@@H](C(=O)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 574894495 DWUHZTIFLCZXCG-MEBBXXQBSA-N 418.318 4.720 5 20 HJBD CCO[C@@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)cc1C(F)(F)F 578049348 WZEUVLFECWKYHH-OAHLLOKOSA-N 411.311 4.929 5 20 HJBD COCc1nc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c(-c2ccccc2)s1 583658585 HMYCQIMJIKSXFO-UHFFFAOYSA-N 408.439 4.506 5 20 HJBD COc1cccc(C2(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)CC2)c1 588835234 MEMWJNPHKCBOSG-UHFFFAOYSA-N 409.467 4.706 5 20 HJBD CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 598686218 DNYOENGIDXQZFW-CZUORRHYSA-N 404.850 4.680 5 20 HJBD CCOC(=O)c1cc(COC)cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600852759 YANKNCNYMXLKJV-UHFFFAOYSA-N 412.364 4.549 5 20 HJBD C[C@H]1CN(Cc2ccccc2NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C[C@@H](C)O1 600854409 NZKMGYAPBSMBQN-GASCZTMLSA-N 423.435 4.835 5 20 HJBD COc1ccc(CSc2nnc(-c3ccccc3Br)o2)cc1[N+](=O)[O-] 603722355 JFYFIOLPZXVVML-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 604244273 PJYIUASALXGFKM-RDJZCZTQSA-N 409.511 4.963 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 604443655 HKCBLTWBFBNXHJ-WDEREUQCSA-N 416.783 4.912 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@H](C)Cn3ccnc3C)cc2[N+](=O)[O-])cc1 604447091 LXPMWCRGNMWXMS-INIZCTEOSA-N 424.526 4.625 5 20 HJBD COc1cc(C(=O)Nc2cccc(COC3CCCCC3)c2)c([N+](=O)[O-])cc1OC 604464977 KRTJWTZITJFYAV-UHFFFAOYSA-N 414.458 4.714 5 20 HJBD O=C(Nc1ccccc1)N1CCCCC[C@@H]1C1CCN(c2ccc([N+](=O)[O-])cn2)CC1 609443226 RVODFPJQBPXCSW-OAQYLSRUSA-N 423.517 4.683 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609493841 NEWXUOWMZRFERI-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD O=C(NC[C@H]1OCCc2ccccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 609580777 RSLKTGBRMNJLBC-OAQYLSRUSA-N 420.490 4.790 5 20 HJBD Cc1csc(-c2cccc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c2)n1 610040532 UVFZFPJPOJBIEY-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCC(C(F)(F)F)(C(F)(F)F)C3)c2c1 735302467 ZUWJSKHGRXCEPO-UHFFFAOYSA-N 415.315 4.646 5 20 HJBD O=C(OCc1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1Br 740422831 MHWNRYLCBDRUJC-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 741704314 QVDHAGBKHDSNRA-QGZVFWFLSA-N 418.877 4.733 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccc(OCc2ccccc2)cc1 742393898 JHPJNIWYOBCREP-UHFFFAOYSA-N 419.437 4.743 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2ncc(-c3ccc(Br)cc3)o2)c1 745391576 OUYWKQVECAEGGQ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1c(F)cccc1Cl 755016353 IIFWWBZTVOYWFC-ZETCQYMHSA-N 419.609 4.780 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(OCc3ccccn3)c(Cl)c2)c1[N+](=O)[O-] 758459394 GQRRTNAAQHUUGH-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD C[C@@H](C(=O)Nc1ccc(N2CCc3sccc3C2)cc1)c1cccc([N+](=O)[O-])c1 761690742 RIVVOKOFFKFIAQ-OAHLLOKOSA-N 407.495 4.961 5 20 HJBD COc1ccc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 761799027 BKSYVYQYEIQLAB-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(Cl)c1 763395465 WOIHRMZFYAJLIU-ZIAGYGMSSA-N 404.850 4.539 5 20 HJBD O=S1(=O)CCN(c2cccc(NCc3c4ccccc4cc4ccccc34)c2)CC1 770541056 SEJZLGGGEGBAMJ-UHFFFAOYSA-N 416.546 4.840 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2ccc(C)cn2)cc1[N+](=O)[O-] 772245850 OUBLTLCQEJEYPR-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD CN(C)C1CCN(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)CC1 774843596 UBLAZDOSSSMEKU-UHFFFAOYSA-N 424.526 4.592 5 20 HJBD CC1=NN(c2ccc(C(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cc2)CC1 776139494 WGUAOKZFUPJFJU-QFIPXVFZSA-N 416.437 4.522 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776218726 UMTWAIVFOOZQKX-OJAWGZOXSA-N 412.486 4.996 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H](c2ccc(Br)cc2)C2CCC2)cc1 776605190 JLKFQVXLNXCQMS-MOPGFXCFSA-N 405.292 4.522 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)C1 779160839 JZCAYHZPCQTGMA-HZPDHXFCSA-N 415.490 4.590 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1C 781316607 SYASEYDDLADOQR-NSHDSACASA-N 411.241 4.702 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790739536 DHUFTNBQDWXKQX-LBPRGKRZSA-N 422.840 4.624 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 793640675 SBKCKICDIACSLW-UHFFFAOYSA-N 419.865 4.834 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])cc1Br 798398909 DCTNICCNTHDKBL-GFCCVEGCSA-N 420.263 4.511 5 20 HJBD O=[N+]([O-])c1c(N[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)nc2ccccn12 804713157 SIXMHKDYYYFTKH-QGZVFWFLSA-N 420.391 4.841 5 20 HJBD C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809951217 BRCJFJPKMRNOLJ-JPQMIFPKSA-N 401.315 4.832 5 20 HJBD O=C(Nc1nnc(/C=C/c2ccco2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813119838 LVAHBMTXSGLYDR-ONEGZZNKSA-N 411.226 4.769 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)Nc1cccc([N+](=O)[O-])c1Cl 813242866 XGISAOWHANIKPQ-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(N[C@H](c3ccccc3)[C@@H](O)c3ccccc3)CC2)cc1 813875960 SIWWGAHWMOWRBW-RPBOFIJWSA-N 417.509 4.628 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C(C)(F)F 814816860 ZLVRUNNUSCGDDC-JTQLQIEISA-N 412.776 4.697 5 20 HJBD CN(Cc1ccc(Cl)cc1Cl)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 857834858 CJFZZTRPDXHBBF-UHFFFAOYSA-N 408.285 4.774 5 20 HJBD Cc1nc(C)c(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)s1 890244070 JIEMYCAAGKRBPS-UHFFFAOYSA-N 422.418 4.661 5 20 HJBD CCc1ccccc1NC(=O)COC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917256976 ICNSUFGXPZTWTN-UHFFFAOYSA-N 420.421 4.745 5 20 HJBD O=C([C@@H]1CC=CC[C@H]1c1nc2ccccc2s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116143889 PLBICNYQUKLCRM-QZTJIDSGSA-N 405.479 4.797 5 20 HJBD O=C(Cc1cccc(OCc2ccc(Cl)cc2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116144052 OFIVUEOIXGODCD-UHFFFAOYSA-N 422.868 4.912 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccco2)nn1C 1116867626 WSLRAFJNZWGPEE-UHFFFAOYSA-N 400.460 4.733 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CCSc3ccc(F)cc3)o2)c(Br)c1 1117248789 FTPZZPYEOVAJMN-UHFFFAOYSA-N 424.251 4.881 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1OC 1252190222 KRTILJUQAJDOQO-UHFFFAOYSA-N 404.850 4.592 5 20 HJBD CCN(Cc1ccccc1F)Cn1nc(-c2ccc([N+](=O)[O-])cc2)n(CC)c1=S 1319195708 RVCAVJVDGYBOHX-UHFFFAOYSA-N 415.494 4.628 5 20 HJBD COc1cc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc(OC)c1OC(F)F 1320211978 HZTRUZCDBXPMCZ-UHFFFAOYSA-N 421.356 4.547 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(OC)c(-c3ccccc3)c2)c(C)c([N+](=O)[O-])c1 1320860121 OWVOUNPKDSROOO-UHFFFAOYSA-N 420.421 4.618 5 20 HJBD CCC(=O)c1ccc(NCc2ccccc2CNC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 1321080535 YYHWBFPJHDNFML-UHFFFAOYSA-N 413.474 4.824 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1323146536 QMVBUWFRWXPHNR-LBPRGKRZSA-N 401.264 4.618 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(Cl)c(F)c1 1341459741 KAIPEOPQOVFTTO-SSDOTTSWSA-N 419.609 4.780 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(C(F)(F)F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1344463089 CYDGSMFJRCVPIW-UHFFFAOYSA-N 417.290 4.763 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6847703 VDOBKTLKXQIMPS-SECBINFHSA-N 408.197 4.870 5 20 HJBD C[C@H](OC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1)c1cccc([N+](=O)[O-])c1 22042807 OOAXWKVNMHDTMA-NSHDSACASA-N 419.846 4.695 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)cc1[N+](=O)[O-] 29013071 FEEIUTZQUMFNTR-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD C[C@H](NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1)c1cccc([N+](=O)[O-])c1 50153787 YEIQCURPWJDOOI-AWEZNQCLSA-N 415.877 4.614 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1Cc1ccc(F)cc1 55999702 VDYNDPYHTCWGER-HXUWFJFHSA-N 414.480 4.528 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 56888659 NANHEFGYWRQPHO-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 107755866 OPQHPOZJSZZTKY-UHFFFAOYSA-N 423.391 4.779 5 20 HJBD CCO[C@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 144054418 MWNFDAFQGYMFQB-KRWDZBQOSA-N 404.850 4.682 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 303781742 SOPCTZTYGCJOPU-HRAATJIYSA-N 419.485 4.781 5 20 HJBD O=c1ccccn1C[C@@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccccc1 409525866 TWZCMYWBUBEQDH-QGZVFWFLSA-N 403.360 4.629 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 430197364 VBEQCTMNEGXSHP-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD Cc1nc2ccc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)cc2s1 432996455 UBZQADNGANAHLN-UHFFFAOYSA-N 410.480 4.913 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C[C@@H]1c1ccccc1 434088726 HUOCTQHJJYCGLO-GOSISDBHSA-N 407.495 4.984 5 20 HJBD CC(C)C(=O)Nc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 435823990 BPVYAAFPWSIMSA-UHFFFAOYSA-N 417.440 4.667 5 20 HJBD CCS[C@H]1CCC[C@H](NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444110179 PJLVTJVJSJYIEO-GJZGRUSLSA-N 416.493 4.754 5 20 HJBD CCCCCn1nc(C)cc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444110952 LGPVVMACSFBHJP-UHFFFAOYSA-N 424.451 4.907 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 444794337 VGEFHZRWMREHOD-INIZCTEOSA-N 415.799 4.778 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 445270018 IYEFCUARAVCSAD-HNNXBMFYSA-N 422.485 4.998 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cccnc2)c1 462432493 RKOUIGFPHKYRMD-IBGZPJMESA-N 405.454 4.776 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)nc1 464687700 HJDPTDPOXJZIRY-UHFFFAOYSA-N 401.488 4.557 5 20 HJBD CC[C@H]1CCC[C@@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 465041531 IDVKCSYLJZIKBB-BBRMVZONSA-N 413.440 4.525 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1 466514543 LDHPCPOMXXSQIC-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc(-c2ccccc2F)cs1 468202529 SJRRXQXBNFWVBU-GFCCVEGCSA-N 417.487 4.654 5 20 HJBD Cc1nc(-c2ccc([C@H](C)Nc3ccc([N+](=O)[O-])cc3S(C)(=O)=O)cc2)cs1 468727528 WDOHOMAJYTWQGQ-LBPRGKRZSA-N 417.512 4.603 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1[C@H]2CC[C@H]1CC(N(C)C(=O)c1ccccc1)C2 470206393 QRHBUDYKTRFZLK-PZYLNZHKSA-N 419.525 4.766 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)cc1[N+](=O)[O-] 471903182 QGIQBEUSYCJCNQ-SSEXGKCCSA-N 422.506 4.858 5 20 HJBD CCc1c(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cnn1-c1ccc(Cl)cc1 482747639 VBYNAZCHNMMLAB-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2c(s1)CCCC2 485870629 OEJHMVOPZHWILM-UHFFFAOYSA-N 405.545 4.608 5 20 HJBD O=C(NC1(Cc2ccccc2)CCCC1)c1sc(Br)cc1[N+](=O)[O-] 486127671 LOYCKSFLBJKQHM-UHFFFAOYSA-N 409.305 4.704 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1SCCOC 486857530 ZEJHUCCZZAKKGR-MRXNPFEDSA-N 422.934 4.738 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2ccc(C)cc2)s1 487529292 OVWMZPFWZPRWAW-UHFFFAOYSA-N 414.512 4.710 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)N1CC2(CCC2)[C@H]1c1ccccc1 495324646 VKBADHAPJGMPAR-LJQANCHMSA-N 415.287 4.654 5 20 HJBD CC1(C)CCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 497183113 SJKHBLYHBNAWDE-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])CC1 497569081 CTKYPBMLZNUCPH-UHFFFAOYSA-N 420.513 4.648 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498146691 MIIKBKZOLQNJTQ-SCTDSRPQSA-N 422.428 4.991 5 20 HJBD O=C(Nc1ccccc1-c1nc2ccccc2[nH]1)c1cc([N+](=O)[O-])ccc1OC(F)F 498238963 VUUREOISZVUDOI-UHFFFAOYSA-N 424.363 4.992 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccc([C@@H]3C[C@H]3C)o2)n(-c2ccc([N+](=O)[O-])cc2)n1 500617679 DFUONUZPXIONQS-GQAVTEOGSA-N 406.442 4.548 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1OC 501450664 HVMVEMBMYADGID-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD Cc1cnc(O)n1-c1ccc([C@H](C)NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 501805765 HOKKUWWEWITUCM-ZDUSSCGKSA-N 422.466 4.500 5 20 HJBD CCN(CC(=O)Nc1c(F)cccc1F)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 505663948 RVGUNPHUCRTDHB-UHFFFAOYSA-N 415.396 4.594 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3cc(O)cc([N+](=O)[O-])c3)s2)cc1 506503394 RGNBMINVTXQSSZ-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD CCn1nc(C)c(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)c1C 507884768 AMQUPJBXZZOJAA-UHFFFAOYSA-N 410.474 4.628 5 20 HJBD COc1ccc(CN(C)[C@@H](C)c2ccccn2)cc1OCc1ccc([N+](=O)[O-])cc1 508628738 HDMCFEAOWZZTJR-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD COc1cccnc1N(C)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 511114356 HLGZQQZEEIMDFQ-UHFFFAOYSA-N 409.467 4.735 5 20 HJBD Cc1ccc(-c2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3C)o2)cc1C 514360551 YNMJIDJHCMZPQJ-CQSZACIVSA-N 412.471 4.689 5 20 HJBD COc1cc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c2ccccc2n1 518254750 IYZLRYSPMDWMRK-UHFFFAOYSA-N 420.428 4.955 5 20 HJBD COc1cc(Cc2noc(-c3c(Br)sc(C)c3C)n2)ccc1[N+](=O)[O-] 519784570 CTMKSCOBVJBGQW-UHFFFAOYSA-N 424.276 4.685 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccccc1OCCc1ccccc1 520635428 ULZXXRROFXFQEE-UHFFFAOYSA-N 405.454 4.575 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2onc(C3CCCCC3)c2C)cc1[N+](=O)[O-] 520674121 ZCCXXWBFVZREPG-UHFFFAOYSA-N 413.883 4.702 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCCc2cc(Br)cc(Cl)c21 521526311 IJZHREDXTPSRDL-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC2(CCC2)c2c(F)cccc21 522387355 KJHDDNXZCMLIQO-UHFFFAOYSA-N 409.442 4.940 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCC1(c2ccc(Cl)cc2)CC1 522641608 RDXAGGAJTFUBBW-UHFFFAOYSA-N 409.269 4.519 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(OCc4ccccn4)cc3)CC2)cc1 524766740 PCHBBGCEVZEMON-UHFFFAOYSA-N 419.481 4.612 5 20 HJBD Cc1c(C[S@@](C)=O)cccc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 533219782 MPDZKTDONMEKBB-HHHXNRCGSA-N 401.513 4.517 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 537641678 TUYFGINRUORTLG-UHFFFAOYSA-N 414.889 4.909 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c2ccc(OC)cc12 538606371 MTUFIDQHMLAXPP-UHFFFAOYSA-N 400.460 4.624 5 20 HJBD CN(C(=O)c1ccccc1)C1C[C@@H]2CC[C@H](C1)N2Cc1ccc([N+](=O)[O-])cc1Cl 542052104 GSIHGJFOUABLSI-UFRUDQCGSA-N 413.905 4.516 5 20 HJBD CC[C@@H](NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 542511655 RDKYZOTXHILOCP-QPUJVOFHSA-N 405.373 4.580 5 20 HJBD CO[C@H](c1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1)c1ccccc1Cl 547227403 APHASQMYBMUFNV-KRWDZBQOSA-N 424.240 4.642 5 20 HJBD CCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC(=O)OC)cc1Cl 557676839 LSRUHCCNHBUPHX-UHFFFAOYSA-N 422.890 4.718 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC3(Cc4ccc(F)cc4)CCOCC3)o2)c1 559311333 MGQYQKBYJQVLOA-UHFFFAOYSA-N 410.445 4.875 5 20 HJBD Cc1cc(N2CCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 559541356 LMBVXCKBWBZFTO-UHFFFAOYSA-N 418.291 4.827 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)Nc3nc(O)c4cc([N+](=O)[O-])ccc4n3)s2)cc1 561192120 MVHAMNUBDCPJRA-CYBMUJFWSA-N 421.482 5.157 5 20 HJBD Cc1nnc(-c2cccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)c2)o1 581166011 OPWJARYYSQLRKW-UHFFFAOYSA-N 416.393 4.998 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 603549020 NXXGPUQOCMMRKP-UHFFFAOYSA-N 411.502 4.509 5 20 HJBD Cc1c(CN2C(=O)[C@@H](c3cccs3)N(Cc3ccccc3)C2=O)cccc1[N+](=O)[O-] 603678094 WNGFMMJVHPIFAM-HXUWFJFHSA-N 421.478 4.670 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)Oc2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997072 QDYLCRPFCYTTIM-LLVKDONJSA-N 401.419 4.572 5 20 HJBD C[C@H](NS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 604039886 RZMSKWIVROUILL-QMMMGPOBSA-N 409.678 4.595 5 20 HJBD CCc1nc2cc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)ccc2o1 604047581 JQYCMFWMUGUBTN-SNVBAGLBSA-N 422.363 4.756 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)COCC(F)(F)F)c([N+](=O)[O-])c2)cc1 608974565 KPRLSSRWRZYNEH-CYBMUJFWSA-N 411.380 4.535 5 20 HJBD Cc1cccc([C@H](C)NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1C 609656457 YBHMKQXUPKSSTP-INIZCTEOSA-N 406.486 4.564 5 20 HJBD CC(C)C[C@H]1CCCN1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609874891 PEYLXFUQSAGIBP-GFCCVEGCSA-N 411.289 4.738 5 20 HJBD CC(C)(C(=O)NCCCc1ccc(Br)cc1F)c1ccc([N+](=O)[O-])cc1 610045517 FKYPMCBEJPLIFR-UHFFFAOYSA-N 423.282 4.523 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 610165615 XXEGENNZEDJUIT-JTSKRJEESA-N 419.481 4.504 5 20 HJBD C[C@]1(c2ccccc2)C[C@H]1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610191420 YTPMVTWBSCDQBG-SJLPKXTDSA-N 410.417 4.552 5 20 HJBD O=C(Nc1ccc(COc2ccc(Br)cc2)cc1)c1cc([N+](=O)[O-])c[nH]1 610421878 LQMVMPUAKFSZOB-UHFFFAOYSA-N 416.231 4.517 5 20 HJBD CC(C)Cc1nnc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611199322 NONZUDLSWAHCKM-UHFFFAOYSA-N 406.533 4.932 5 20 HJBD CC(=O)NCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 611206085 OWPOKIKDHJUVJQ-UHFFFAOYSA-N 413.499 4.518 5 20 HJBD CC(C)CN(C(=O)[C@@H](C)OCC1CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400883 ZWHTWQNRMKRWJU-CQSZACIVSA-N 403.504 4.522 5 20 HJBD CC(C)n1c([C@@H]2CCCN2c2ncnc3ccc([N+](=O)[O-])cc23)nc2ccccc21 611708307 NEYNDHNHKZSGNN-FQEVSTJZSA-N 402.458 4.810 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCCO[C@@H](c2ccccc2)C1 613862256 PDQZEMSSWBIUTE-QGZVFWFLSA-N 409.364 4.609 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)c3cc(F)ccc3[N+](=O)[O-])C2)nc2ccccc21 733959443 TXNDZVUJJSUYKM-OAHLLOKOSA-N 410.449 4.684 5 20 HJBD Nc1ccc(C(=O)Nc2ccc(Oc3cccc(C(F)(F)F)n3)cc2)cc1[N+](=O)[O-] 733992648 VQSULVUSNOQZMU-UHFFFAOYSA-N 418.331 4.635 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccccc1Br 735354278 UALONOGHNOVDKR-JTQLQIEISA-N 417.215 4.529 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 741906504 IUSGJFLFYMCDEK-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CC(C)=CCOc1cccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 745345279 GCHPZNPQCHBRDQ-OAHLLOKOSA-N 423.425 4.908 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(OC)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 746510794 JTNMAHORZVPNAE-UHFFFAOYSA-N 415.446 4.765 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1cccc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 747519202 ZMQRLJWAXSXDIW-LKTVYLICSA-N 423.252 4.576 5 20 HJBD CC(C)c1nc(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])nn1-c1ccccc1Cl 748490213 VNAIACSNSCXMRQ-UHFFFAOYSA-N 421.240 4.825 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1 749052255 GCHAURHGRFUTDG-OAQYLSRUSA-N 415.405 4.520 5 20 HJBD Cn1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1-c1ccc(F)cc1 749371522 CCVRCHLLQGDYSZ-UHFFFAOYSA-N 405.332 4.593 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2csc(Br)c2)C2CC2)cc1 752778493 YGGVMWNEJHPPBJ-UHFFFAOYSA-N 412.334 4.780 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1cccc([N+](=O)[O-])c1Br 759108240 ISKQJMIRQBVFIB-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC[C@H](O)c1ccc(Cl)c(Cl)c1 760721923 OQZRLDGFKCKIBO-INIZCTEOSA-N 411.285 4.680 5 20 HJBD COc1ccc(Nc2nc(COC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1 763410450 XFKPNISEVYPQIB-CYBMUJFWSA-N 413.455 4.650 5 20 HJBD COc1cccc(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 768021857 BDWBIOJEKCUSKE-HXUWFJFHSA-N 406.438 4.522 5 20 HJBD COCCOc1c(Cl)cc(NCc2cc([N+](=O)[O-])ccc2OC)cc1Cl 773213472 VIYJWSUWEGQTQX-UHFFFAOYSA-N 401.246 4.548 5 20 HJBD COc1ccc(N[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cc1OC1CCCC1 774322997 CTUQUBFSGCFCSE-ZWKOTPCHSA-N 400.479 4.717 5 20 HJBD Cc1ccc(-c2nc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])cs2)o1 776135599 HEPCJLXXAWKWQO-LJQANCHMSA-N 421.434 4.961 5 20 HJBD O=C(OCc1ncc(Cl)cc1Cl)c1cc([N+](=O)[O-])c(Br)cc1F 777107261 LACDJRBRSIVKPR-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 779013882 NFYQZSWZMKDIIP-FUHWJXTLSA-N 419.525 4.763 5 20 HJBD COc1cccc([C@H](NC[C@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 779064587 MKTKADUDQDKGNX-VXKWHMMOSA-N 412.873 4.669 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CC(c2ccc(F)cc2)C1 797030598 FCBLQCYDWWUIEV-IRZJEQJZSA-N 406.413 4.955 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1[C@H]2CC[C@@H]1C[C@H](c1ccccc1)C2 801091803 CZKVUAZNODEMGE-MUJYYYPQSA-N 415.287 4.908 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cccc(C[S@@](=O)C2CCCCC2)c1 804462621 OGNZWBOFDOJRGS-MUUNZHRXSA-N 403.460 4.828 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)[C@H](O)c1ccccc1 811538705 SXNCCOBKYBUJIP-VFNWGFHPSA-N 408.479 4.598 5 20 HJBD CC(C)(CCc1noc(-c2cc3ccccc3n2Cc2ccccc2F)n1)[N+](=O)[O-] 812811985 RLCUZRRJKNDRJN-UHFFFAOYSA-N 408.433 4.867 5 20 HJBD COc1cc(CN(C)C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1SC 812950213 BJVISRVHMBWBFM-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD C[C@H](C(=O)O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 816065038 VXXKLRRLBVQWJO-BJOHPYRUSA-N 412.295 4.825 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(C(F)(F)F)nc1Cl 816763682 ZXTNJPDKGYQWLS-SECBINFHSA-N 424.766 4.701 5 20 HJBD Cc1ccc(C(=O)O[C@H](C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccccc2)o1 844161930 KWEXCDJCKILFNP-SFHVURJKSA-N 414.801 4.686 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1-c1nc(C2(NC(=O)OC(C)(C)C)CCCC2)no1 904417735 ASUXEVAKOYYCBP-UHFFFAOYSA-N 402.451 4.556 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc(C(C)(C)c4ccc([N+](=O)[O-])cc4)n3)c2)n1 904530713 UQSDCGCJNINJBP-UHFFFAOYSA-N 417.469 4.832 5 20 HJBD CCN(Cc1ccc(OC)cc1)C(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 914504271 UCVMJRUVUHCDDV-UHFFFAOYSA-N 411.502 4.502 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(C)C)sc3c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 921157207 MNWSWHKGUTXIIJ-UHFFFAOYSA-N 400.416 4.797 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2C(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 921266886 QLEOWZGEYUHWAL-LJQANCHMSA-N 400.450 4.774 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2Br)nnc1-c1cccs1 1116672406 ZGWGSLDKSJNPNB-UHFFFAOYSA-N 411.306 4.507 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])c3nsnc3c2)o1 1257769105 JSAHVFGZNGPVSC-NSHDSACASA-N 419.422 4.943 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3ccc(OCc4ccc(Cl)cc4)cc3)[nH]c2c1 1321296570 PYWDYGZNCPBXEQ-UHFFFAOYSA-N 422.872 4.993 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)[C@@H]2CC[C@H](SC)C2)cc1 1329776813 XPNVEQWHPJFRAM-KDOFPFPSSA-N 416.499 4.752 5 20 HJBD C[C@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 7979712 VRHZBEFDKZWLJT-QMMMGPOBSA-N 407.250 4.617 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)Nc1ccc(Cl)c([N+](=O)[O-])c1 9061531 CFMLLZNFNWYSDX-UHFFFAOYSA-N 407.213 4.523 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 22990497 FJANANKCHBNJGC-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD CCCCn1c(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)nc(C)c1C 23363082 KZEUWTYCOYNFEB-ZDUSSCGKSA-N 410.927 4.981 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc(F)c(Cl)cc2Cl)cccc1[N+](=O)[O-] 26840015 LXBWQJAZMDMBNQ-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CCn1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)cn1 27916654 MAJKMVWQHNHTSU-UHFFFAOYSA-N 402.863 4.868 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCc2cccnc2)cc1 32676899 HMWQWIOMBISYEN-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1Cl 55688152 INQDAOLSDJWNKD-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD CCCN1CCC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 236795094 AZTFYVRVCJDLAA-UHFFFAOYSA-N 422.323 4.581 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1nccn1C 301866101 HEJOTOBCQFEXHV-JOCHJYFZSA-N 415.453 4.600 5 20 HJBD CC[C@@H](C(=O)N1CCC(Nc2ccc([N+](=O)[O-])c3cccnc23)CC1)c1ccccc1 301930970 NLOBBHSIRURKGN-LJQANCHMSA-N 418.497 4.740 5 20 HJBD CC(F)(F)CC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 428058540 ZLMOKQGNPNGDJT-UHFFFAOYSA-N 402.756 4.841 5 20 HJBD CC[C@]1(CO)CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 428461862 RZQZTIWGZCJMFN-IBGZPJMESA-N 423.307 4.602 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC[C@H](OCCCc2ccccc2)C1 431068268 BTGTZJOMWLKWOG-KRWDZBQOSA-N 403.866 4.504 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3ncc(Br)s3)co2)cc1 432504641 MXFVHDJGUFKEOZ-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1c(F)cccc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 437302622 HZILOBYSVYLMTB-OXQOHEQNSA-N 424.403 4.738 5 20 HJBD O=C(Nc1ccc(Nc2ncccn2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 444415873 LLUQIPOGKZJJCP-UHFFFAOYSA-N 418.438 4.504 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCCc2nc(C3CCCCC3)cs2)n1 447587081 OJLLNCQJGDPSFP-FUHWJXTLSA-N 403.552 4.612 5 20 HJBD CCn1nccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)CC1 447766494 NZPFXTLPXPFKEZ-UHFFFAOYSA-N 420.469 4.623 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(=Cc2cccc(OC)c2)CC1 462770942 AIXZKBQSHCFWPK-NRFANRHFSA-N 408.498 4.878 5 20 HJBD CCc1cc(N2CC[C@@H](COCc3ccccc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 463080660 CVESVTDQCGDXCG-LJQANCHMSA-N 418.497 4.657 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)c12 464392823 KRIZOWZIDBCSFD-UHFFFAOYSA-N 417.425 4.932 5 20 HJBD CCCN(C(=O)c1cc(Br)cc2cccnc12)c1cccc([N+](=O)[O-])c1 464503751 XYGLLKKSMIGRLS-UHFFFAOYSA-N 414.259 4.962 5 20 HJBD CC[C@H]1C[C@@H](C)CN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 465297408 VUUIRDQXFHZHFQ-XLIONFOSSA-N 418.497 4.637 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 473250194 PUIUGENACJUYGU-SECBINFHSA-N 403.307 4.589 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3ccc([N+](=O)[O-])cc3F)c(C(F)(F)F)c2)n1 479985055 QHLVBYXYPOLDIH-UHFFFAOYSA-N 422.382 4.845 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(NCc3ccc(F)cc3)s2)ccc2ncccc12 485922460 SRESDTWFIFNESW-UHFFFAOYSA-N 413.459 4.897 5 20 HJBD Cc1ccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(Br)c1 495159133 OLBGHLPUDRGWIN-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD O=C(Nc1ccccc1)C1CCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 506744536 REIVCJXEFHKHGK-UHFFFAOYSA-N 422.510 4.569 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1c(F)cc([N+](=O)[O-])cc1F 509279111 MKIAHGHGEUHHIU-UHFFFAOYSA-N 418.227 4.640 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1cc(F)ccc1Br 509461604 GHUXDANUXUNEHE-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCCN(c4nccs4)CC3)o2)c(Cl)c1 511781716 RREYRMFLIOSXBM-UHFFFAOYSA-N 418.906 4.677 5 20 HJBD CCN(CC)c1nc2sc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)cc2s1 512574323 QXPMAFUHLGOPFJ-UHFFFAOYSA-N 404.517 4.981 5 20 HJBD C[C@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 512978242 ZSPXRMGCMPWFQI-GFCCVEGCSA-N 424.375 4.907 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cnc(-c4ccccc4)nc3)cc2[N+](=O)[O-])CC1 514048319 WTKBRZIKXPTXQV-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 515707164 JMCKJAURHLFGFZ-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 517906966 QIAWZWKZNOQGED-UHFFFAOYSA-N 409.364 4.839 5 20 HJBD CC(C)COc1ccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 518027251 BYULWVIJQAUSKW-UHFFFAOYSA-N 424.419 4.763 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc([N+](=O)[O-])cc1Cl 518229064 ANPPRIKTFSISCH-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)c1c[nH]c2cc([N+](=O)[O-])ccc12 520473235 RKVXRYAKCUBYDQ-UHFFFAOYSA-N 418.434 4.876 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@](=O)Cc3ccccc3)c2)cc([N+](=O)[O-])c1 523827390 SUYDKEYDBZRUMI-GDLZYMKVSA-N 408.479 4.604 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 536308343 IXGNETUAIMUDQO-JOCHJYFZSA-N 402.454 4.854 5 20 HJBD Cc1ccc([C@H](C)NCc2nnc(-c3c(-c4ccccc4)noc3C)o2)cc1[N+](=O)[O-] 537178095 NCMMHODEWXDLNO-AWEZNQCLSA-N 419.441 4.767 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCC(=O)Nc1cccc2ccccc12 538191813 SFWMARQEMWQTSG-UHFFFAOYSA-N 423.494 4.617 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccn(-c3cccc(C(F)(F)F)c3)n2)c1 541227418 PTPGABFPXUBJJZ-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD O=C(Nc1ccc2oc(O)nc2c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541643221 QILNUDZTFIVMJY-UHFFFAOYSA-N 413.455 4.976 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCCOc2ccc(F)cc21 542221935 RFBZPYJXFVXNNJ-AWEZNQCLSA-N 410.854 4.510 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H](C)c1ccccc1C(F)(F)F 542567392 YEMZBVAATQMHGZ-QWHCGFSZSA-N 420.391 4.945 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@](C)(c2ccc(F)cc2)C(F)(F)F)c1 542868954 OIRNGOTZRKGRDZ-INIZCTEOSA-N 402.369 4.663 5 20 HJBD Cc1ccc(C(N)=O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544427204 LQRLKOYXCXDCMY-UHFFFAOYSA-N 413.499 4.537 5 20 HJBD CS(=O)(=O)Cc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 552224613 IWWJHJQXLWMPEO-UHFFFAOYSA-N 420.874 4.665 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)OC[C@@H]1C 557438756 KPNIEPSOCWJGLA-SCLBCKFNSA-N 408.376 4.524 5 20 HJBD CCc1nc2cc(CNC(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)ccc2n1C1CC1 558813194 DVOQSBPJOYYLAS-SFHVURJKSA-N 420.513 4.727 5 20 HJBD Cc1oc(-c2ccc(Cl)c(Cl)c2)nc1C(=O)N(C)c1ccc([N+](=O)[O-])nc1 572402034 SDWYBYAFJHPYEX-UHFFFAOYSA-N 407.213 4.537 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1-c1nc(Cc2c[nH]c3cccc(Br)c23)no1 583294919 GSOYWBFYWALWCN-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD O=C(Nc1cccc(CNc2ccc(C(F)(F)F)c([N+](=O)[O-])c2)c1)c1ccncc1 589107455 WIBZJFSLWCNVFY-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 589375054 PCCYKKDRSLYLGL-UHFFFAOYSA-N 407.474 4.644 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n(-c2ccc(Cl)cc2)n1 603589251 IIGHQKOGZXAELF-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2F)ccc1OCc1ccccc1 603986601 BDMVCYAKJGHJGP-HNNXBMFYSA-N 424.428 4.813 5 20 HJBD CS(=O)(=O)c1ccc(Oc2ccc(Nc3ccc([N+](=O)[O-])cc3F)cc2)cc1 608832087 NABWZZUHBXPBGN-UHFFFAOYSA-N 402.403 4.673 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3c(Cl)cccc3[nH]2)cc1 608947910 HRGGRPPKZGNVHT-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD COc1ccc(C[C@@H](NC(=O)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1OC 608948476 ANPUBMKTIPUHJU-HXUWFJFHSA-N 420.465 4.634 5 20 HJBD O=C(CCc1nc(-c2ccsc2)no1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609482768 ACLKFPXJRRKRMR-UHFFFAOYSA-N 412.471 4.615 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610661293 AYXPJSJFUVBODV-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@@H]1CCCCO1 611471780 LKZFNLGKTTYFCL-SJCJKPOMSA-N 409.280 4.665 5 20 HJBD C[C@@H](Nc1ccc(S(=O)(=O)Nc2ccccc2)cc1[N+](=O)[O-])c1ccsc1 619745841 DMDQVMQUQUTSDC-CYBMUJFWSA-N 403.485 4.630 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@@H]3c3ccccc3C(F)(F)F)c1)OCOC2 728056217 JZTLZQIXFSSMKX-GOSISDBHSA-N 408.376 4.817 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 729375955 YFHQIRSGKPHRLU-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 730643429 BSGRCXGPNZCDJS-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(OCc1csc(-c2ccoc2)n1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 731077650 KGMQFDPHHLTLPS-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD Cc1cc(Cl)ccc1O[C@H](C)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445725 WHDZPHKGJIGOPA-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD COc1cc(OC)c(C2CCN(Cc3cc([N+](=O)[O-])ccc3Cl)CC2)c(OC)c1 735492123 VDAUNQGVSMWULH-UHFFFAOYSA-N 420.893 4.654 5 20 HJBD CSCC[C@H](C)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 736060983 NZGPEKPQVWSSKY-ZDUSSCGKSA-N 407.923 4.732 5 20 HJBD Cc1ccc(-c2cc(COC(=O)c3ccc([N+](=O)[O-])cc3Br)on2)cc1 740424040 WUEGUJGYTGHRRC-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cc3ccccc3[nH]2)CC1 744045813 NFQOEBXNNSZASC-HNNXBMFYSA-N 409.511 4.963 5 20 HJBD Cc1cc(COC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c2ccccc2n1 744455271 GZPVKNXQXBFVRU-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 746344864 OHUBOBODDQZDHM-HNNXBMFYSA-N 420.425 4.673 5 20 HJBD COc1cccc([C@H](NCc2cc(F)ccc2[N+](=O)[O-])c2cc(F)ccc2OC)c1 747476592 ZXBGGQSKOSFNKU-QFIPXVFZSA-N 414.408 4.769 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1ccccc1SC(F)F 749528909 OFMSWYBTWROQLU-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD Cn1c([C@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2ccccc2)nc2ccccc21 750181849 UUNSCIRQWCPBDX-LJQANCHMSA-N 420.856 4.654 5 20 HJBD O=C(N[C@H](C1CCCCC1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Br 753268108 BDNKYDIPJUWJTP-CYBMUJFWSA-N 409.202 4.598 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1F 755060592 DPMOFSKCGRCOSH-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3cc(Br)cc([N+](=O)[O-])c3)CCO2)cc1 757520416 SHRGCEBIIDZWLS-MSOLQXFVSA-N 420.259 4.743 5 20 HJBD Cc1ccc(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)cc1NC(=O)OC(C)(C)C 758467593 VZXLWIWYNIENHM-UHFFFAOYSA-N 421.837 4.862 5 20 HJBD COc1ccc(Sc2nsc(NC(=O)c3ccc([N+](=O)[O-])c(Cl)c3)n2)cc1 764281071 ZAENUPRMVPCXTK-UHFFFAOYSA-N 422.875 4.512 5 20 HJBD COc1cc(CSCc2c(C(F)(F)F)nn(C)c2Cl)c([N+](=O)[O-])cc1F 766080645 OFSQEYVOTBNQRV-UHFFFAOYSA-N 413.780 4.582 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1SC(F)(F)F 778147992 IHGQTTKCTAJDTN-UHFFFAOYSA-N 404.797 4.833 5 20 HJBD COc1cc(C)c([C@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 812912249 KKCFHAWEBWSFMP-JTQLQIEISA-N 413.257 4.718 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813090893 RYUGISZKABSLGJ-SJKOYZFVSA-N 409.269 4.799 5 20 HJBD CC(=O)c1ccc(N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c([N+](=O)[O-])c1 813626868 APTDALYDLRAWRS-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(N2CCCOC2=O)cc1 814661731 SXBMRICFRSHATO-INIZCTEOSA-N 413.474 4.580 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CC=C(c2c[nH]c3ccccc23)CC1 913041240 BOALNOXYBNVGLS-AWEZNQCLSA-N 424.888 4.846 5 20 HJBD C[C@@H](OC(=O)Cc1csc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 919365256 BLWCMWPHILWNKC-GFCCVEGCSA-N 409.423 4.706 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(OC(F)F)cc2)cc1OC 920503076 ZWZOYXQVMQFFRX-LLVKDONJSA-N 411.357 4.522 5 20 HJBD CN(CCOC(=O)c1ccc(C2SCCCS2)cc1)c1ccc([N+](=O)[O-])cc1 920803199 STGFZSBHPIPOJD-UHFFFAOYSA-N 418.540 4.757 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H]2CCCC[C@]21c1ccccc1 1319839976 MJCIRPVRXVUPPP-BWKNWUBXSA-N 419.525 4.739 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3ccc4ccccc4n3)o2)c(Br)c1 1327873738 PWRWJPCJZLABGN-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD Cc1ccc(NC(=O)[C@H](SC(=S)N2CCCC2)c2ccccc2)c([N+](=O)[O-])c1 5247123 VPYINIXQPFBALR-GOSISDBHSA-N 415.540 4.697 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1c[nH]c2ccc(Br)cc12 10902969 WXRBLEIUAHYMFZ-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2c(CC)ccc(Cl)c2CC)cc([N+](=O)[O-])c1 14663959 OXQUNILZIOXXOU-UHFFFAOYSA-N 404.850 4.802 5 20 HJBD C[C@H](Sc1nnc(-c2ccccc2F)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17663723 FVJBJQCECJPERT-JTQLQIEISA-N 413.390 4.687 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)Cc2ccccc2F)c2ccccc2)c([N+](=O)[O-])c1 20752155 SLYFKCWXGBMSAC-QFIPXVFZSA-N 422.412 4.508 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2ccc3c(c2)CCO3)cc1 61336307 RXWZJDABTPIJDR-UHFFFAOYSA-N 420.490 4.605 5 20 HJBD COc1ccc(OC(=O)c2c(-c3c(F)cccc3Cl)noc2C)c([N+](=O)[O-])c1 68720584 FZWSCSQJINJXGB-UHFFFAOYSA-N 406.753 4.579 5 20 HJBD C[C@@H](NC(=O)c1cc(-c2ccco2)nn1-c1ccccc1)c1cccc([N+](=O)[O-])c1 108283636 AQYRRQMTHNTLHR-OAHLLOKOSA-N 402.410 4.532 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(NC(=O)c2ccccc2)cc1 248056899 OSRXQGUDGIMCSU-UHFFFAOYSA-N 423.856 4.773 5 20 HJBD Cc1cccc(C2(CNC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)CCCC2)c1 303173203 RWTRZONJKVQVEJ-UHFFFAOYSA-N 421.541 4.748 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1NC(=O)C(C)(C)C 303437698 BHAOKYNNUSFPQG-UHFFFAOYSA-N 410.474 4.714 5 20 HJBD C[C@@]1(C(=O)O)CCCC[C@@H]1NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 426726869 LUCOMXQQHZMMMF-PZJWPPBQSA-N 402.422 4.649 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1Cl 426730116 JNZAUBHIKOHDGB-SECBINFHSA-N 402.665 4.844 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 437487196 SMQKHPMMVVQCHD-SAZUREKKSA-N 417.387 4.700 5 20 HJBD C[C@]1(c2ccccc2)CCN(C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444233473 FCRAVFZSSZXUJJ-VWLOTQADSA-N 418.443 4.763 5 20 HJBD O=C(Nc1csc(-c2ccccn2)n1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444295877 FXABKJUCSDAZFS-UHFFFAOYSA-N 416.437 4.591 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)cc1)c1sc(Br)cc1[N+](=O)[O-] 444843668 BIYXUFDIFSLXLB-UHFFFAOYSA-N 411.277 4.993 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)CCc1cccc([N+](=O)[O-])c1 445796763 AZEWOEJZUGDKOH-OAQYLSRUSA-N 404.470 4.597 5 20 HJBD Cc1cc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n(C)n1 464544482 FDLAMVJOVLJPDR-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H]2c2cc(F)cc(Br)c2)cccc1[N+](=O)[O-] 466303315 RLOLUFVSTDTHKH-GOSISDBHSA-N 421.266 4.711 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(c2cccc(Br)c2)CC1 466789802 NKVZWQJMRNQEMF-UHFFFAOYSA-N 417.303 4.696 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCSC[C@@H]1c1cccc(C(F)(F)F)c1 472265063 REQAPXMKFAUBKI-GFCCVEGCSA-N 402.419 4.605 5 20 HJBD Cc1c(CC(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 476820659 MDNFHNKIALWRDI-OAQYLSRUSA-N 422.460 4.729 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477540344 JBGIVHIICREKEI-LBPRGKRZSA-N 406.870 4.701 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)c2C)c1 485455094 CHPDYDBRAFOYEV-UHFFFAOYSA-N 416.384 4.559 5 20 HJBD CCc1cc(=N[C@H](c2ccccc2)c2cccnc2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 487975293 OJNUOZUEUOJBSN-HSZRJFAPSA-N 411.465 4.633 5 20 HJBD C[C@H](Cc1ccncc1)NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 490063394 QZVCYMNDDRFZLN-OAHLLOKOSA-N 424.888 4.616 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1N1CCCCC1 502791837 XJNFZVDEXSVFCV-UHFFFAOYSA-N 423.391 4.865 5 20 HJBD Cc1ccccc1[C@@H](C)N(CC(C)C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 503562070 HLZDDTCJGMYUHT-MRXNPFEDSA-N 409.490 4.708 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])c1 508039838 SXVOJLHXZLOUGA-LLVKDONJSA-N 403.287 4.592 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(Br)cc1F 510127411 GRWNZNPFQDFSSN-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD C[C@@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)CCc1ccccc1[N+](=O)[O-] 511073249 JOOXAILENVOPSZ-ZDUSSCGKSA-N 420.391 4.899 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])cc1OC 513835976 XYRVHEZBMARDKG-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)cc([N+](=O)[O-])c1 518666799 JKWVKDGBQOORAD-NRFANRHFSA-N 421.453 4.620 5 20 HJBD C[C@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 524279188 XYTVGWCKNAADGQ-QMMMGPOBSA-N 421.183 4.909 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(CCCCOc3cccc(C(F)(F)F)c3)n2)cc1 525004321 MCRHMMKBFSMIHJ-UHFFFAOYSA-N 406.364 4.731 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)s1 525286193 SBWRGKOOOSUFAL-SSDOTTSWSA-N 421.219 4.762 5 20 HJBD Cc1c(C(=O)N2CCCC[C@H]2c2ncc(-c3cccc(F)c3)[nH]2)cccc1[N+](=O)[O-] 530458836 IZXVOMCUOWGHDX-FQEVSTJZSA-N 408.433 4.800 5 20 HJBD Cc1ccccc1NC(=O)Cc1nc(COc2c(Cl)cccc2[N+](=O)[O-])cs1 532362974 LUGSGBRXUHOBPC-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD CC1CCN(Cc2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)CC1 535837436 ASJAOSNYHOQUHI-UHFFFAOYSA-N 419.529 4.839 5 20 HJBD C[C@H](NCCOc1ccccc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 537027579 FIHDKCQIRXOLGI-KRWDZBQOSA-N 405.454 4.577 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@@H]3C[C@H]3c3ccc(F)cc3)n2)c(Br)c1 538313563 MTLFZANTEPKOTQ-LSDHHAIUSA-N 418.222 4.741 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)NCCc1ccc(Cl)cc1[N+](=O)[O-] 538694848 DRGMOROGCIVJON-HNNXBMFYSA-N 400.784 4.643 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CN(C(C)C)CC2 542203304 IDNINNCYPOPHGJ-UHFFFAOYSA-N 420.560 4.572 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@H]1NCCCc1nc(-c2ccc(F)cc2)no1 542497755 DCAXDCDFUCMOLQ-FXAWDEMLSA-N 424.476 4.718 5 20 HJBD CCc1ccc([C@@H](NCc2ccnc(N3CCCC3)c2)c2ccc([N+](=O)[O-])cc2)o1 543409329 QJVZKLLALDTBHE-QHCPKHFHSA-N 406.486 4.625 5 20 HJBD CCn1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1C 543464379 JLHYMYTWFDQBOR-UHFFFAOYSA-N 416.547 4.640 5 20 HJBD C[C@H]1C[C@H](c2cccnc2)N(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)C1 543484847 KREDFGMAGLQZLZ-YVEFUNNKSA-N 413.275 4.888 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@H]2c2ccc(C(F)(F)F)cc2)n1 544541662 XRFDFTGDRZFYMO-GJZGRUSLSA-N 418.375 4.922 5 20 HJBD CC(C)c1noc(CCc2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n1 546486083 RZBZOQLNXIJMFG-UHFFFAOYSA-N 421.413 4.729 5 20 HJBD CC(C)(C)N1C[C@H](c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)CC1=O 547198152 BCWKJCPRRALIGG-OAHLLOKOSA-N 422.441 4.552 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 557371089 ACYNPYABLWPTCR-KRWDZBQOSA-N 424.419 4.763 5 20 HJBD C[C@H]1CCN(c2nc(O)c3cc([N+](=O)[O-])ccc3n2)[C@@H]1c1ccc(C(F)(F)F)cc1 557644553 QXWOPFDFGYGPJF-GTNSWQLSSA-N 418.375 4.850 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CC[C@@](CO)(Cc2ccc(F)cc2)C1 564541680 YTXNOEIBAPFYEI-AREMUKBSSA-N 414.480 4.594 5 20 HJBD COc1ccc(Cc2noc(-c3ccc(SC)c([N+](=O)[O-])c3)n2)c(Cl)c1OC 568819535 QZTHSGRYJZUHPQ-UHFFFAOYSA-N 421.862 4.628 5 20 HJBD CC(C)CN(C(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)[C@@H](C)c1ccccc1Cl 569496180 VGWYBLJMBXBQCR-ZDUSSCGKSA-N 421.856 4.572 5 20 HJBD CN(CCc1nc(-c2ccccc2)no1)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 573645180 KSDSXNVHDIJXRP-UHFFFAOYSA-N 404.426 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)CCCOc3ccc(Cl)cc3)co2)cc1 573853838 PRFDQQCAGRDTRC-MUUNZHRXSA-N 420.874 4.621 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1c(F)cccc1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590268485 IHOMJZVOYKQUFN-IINYFYTJSA-N 402.731 4.692 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(C2CC2)nc2onc(CC(C)(C)C)c12 603670012 BZXYEQJEZAERRC-UHFFFAOYSA-N 422.485 4.869 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N[C@H](Cc1ccccc1)c1cccs1 609740441 IZXJHMSIMIWCSX-OAHLLOKOSA-N 422.915 4.572 5 20 HJBD Cc1noc(C)c1[C@@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207536 GQVMPRKBINDWLL-LBPRGKRZSA-N 403.504 4.768 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1SCC(F)(F)F 619786869 XKJZRQCPUXTAKX-UHFFFAOYSA-N 413.421 4.956 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@H]2C[C@H]2c2cccc3ccccc23)cccc1[N+](=O)[O-] 730881407 ZXGARGBPAVEZQS-YSSFQJQWSA-N 418.449 4.730 5 20 HJBD O=C(O[C@@H](c1ccc(Br)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 731146336 UMHLKHFZEXLEFC-ZDUSSCGKSA-N 404.138 4.818 5 20 HJBD Cn1c2ccccc2c2cc(C(=O)Nc3ccnn3-c3ccc([N+](=O)[O-])cc3)sc21 731578824 RSKLUEKXXRDHQA-UHFFFAOYSA-N 417.450 4.739 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1)c1ccccc1 733038186 BYSIURSBGJKFRQ-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(Br)cs1 733220086 ISQZDUOEGZUPBO-SECBINFHSA-N 402.291 4.643 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(Br)cs1 733220087 ISQZDUOEGZUPBO-VIFPVBQESA-N 402.291 4.643 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Oc3ccc(Cl)nn3)cc2)cc1[N+](=O)[O-] 733245192 YEISYVJGURYLCF-UHFFFAOYSA-N 416.846 4.805 5 20 HJBD O=C(OCc1nc2ccccc2n1C(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744050230 BOIJMRULVXWXLX-UHFFFAOYSA-N 415.274 4.715 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)c1 745568743 TUQPSELMHCBZMX-LLVKDONJSA-N 421.331 4.890 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)s1 749435869 JXZWFDIPKGRYNS-MRVPVSSYSA-N 417.712 4.742 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1cccc([N+](=O)[O-])c1Br 753820029 PARFBNAOSSFIIO-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 755959031 ZMDBDVWVSLGWOR-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 758737383 RBKQJRCBXOGNHM-CABCVRRESA-N 423.469 4.703 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760462632 CMKKOJMWOCEHQD-UHFFFAOYSA-N 423.208 4.679 5 20 HJBD O=[N+]([O-])c1cc(CNc2cc(Cl)ccc2N2CCC(CO)CC2)ccc1Cl 764615331 HRZBGRNVWCEDPN-UHFFFAOYSA-N 410.301 4.722 5 20 HJBD C[C@@H](NC[C@@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 775336602 MWJSSXZTQBAXHP-WAIKUNEKSA-N 410.445 4.697 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)Cc2cccc([N+](=O)[O-])c2)on1 778122482 HSWZXBPVHRFYLH-UHFFFAOYSA-N 418.405 4.698 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N(c1cccc([N+](=O)[O-])c1)C1CCCC1 783966407 OQQIMKYMYZPNJQ-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)c1 791950449 BTZOCARGBPQYGR-UHFFFAOYSA-N 405.794 4.618 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c1 791951837 LEHQKWUZIYAVSD-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD O=C(COc1cc(F)c([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)Cc1ccco1 795264287 OFPHZBCVXVIFSB-UHFFFAOYSA-N 418.808 4.588 5 20 HJBD CCCN(C(=O)c1sc(NC(=O)OC(C)(C)C)nc1C)c1cccc([N+](=O)[O-])c1 799411519 OXFUSPHNSIQDCE-UHFFFAOYSA-N 420.491 4.764 5 20 HJBD CC(C)(C(=O)OCc1c(F)cccc1[N+](=O)[O-])c1csc(-c2cccs2)n1 800292036 KIOMQMJZJRBLPZ-UHFFFAOYSA-N 406.460 4.940 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(c3ccc(C(F)F)cc3[N+](=O)[O-])C2)cc1 809351736 LCNHIBVDXIFCPD-HNNXBMFYSA-N 418.444 4.879 5 20 HJBD CCOCCOc1cc(C)ccc1CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811483762 KHQNOGYFLGHEGZ-UHFFFAOYSA-N 413.301 4.915 5 20 HJBD Cn1cc(CCNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c2ccc(F)cc21 813175125 JMZVRDQZCSERKR-UHFFFAOYSA-N 410.232 4.505 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)O[C@H](c1nc2ccccc2o1)C(F)(F)F 816063136 DRZPQARPLAMANG-QGZVFWFLSA-N 419.315 4.538 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NCc2ccc(OC)c([N+](=O)[O-])c2)c1 816664388 JQZFFVOXOOBAQX-UHFFFAOYSA-N 403.435 4.571 5 20 HJBD C[C@H](OC(=O)[C@H]1CCC[C@@H](C(F)(F)F)C1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 919366432 PISVUUKEEVXUQI-WCFLWFBJSA-N 413.352 4.618 5 20 HJBD Cc1ccc(Cc2sc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)nc2C)cc1 1116908439 FQUOUQJYQYQEGL-UHFFFAOYSA-N 408.483 4.807 5 20 HJBD CC1CCN(c2ccc(C(=O)N(C)Cc3cc4ccccc4[nH]3)cc2[N+](=O)[O-])CC1 1319477711 LUHSRQROKWIJJX-UHFFFAOYSA-N 406.486 4.585 5 20 HJBD Cc1[nH]c(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])nc1Cc1ccccc1 5312640 RMEUDQFGKCRRQT-UHFFFAOYSA-N 416.890 4.601 5 20 HJBD C[C@@H](OC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 7628960 KDCVCCQKZYSWRP-VGSWGCGISA-N 418.449 4.760 5 20 HJBD CSc1ccc(C(=O)OCC(=O)N[C@H](C)c2ccc3ccccc3c2)cc1[N+](=O)[O-] 9620424 FUNPYOCLIOHPSO-CQSZACIVSA-N 424.478 4.504 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cn3ccccc3n2)cc1 11198264 ZAXZSCLFTOFIHU-UHFFFAOYSA-N 404.451 4.640 5 20 HJBD CCn1c(SCc2nc(CCC(C)C)no2)nc2cc3ccccc3cc2c1=O 63429597 ONIJHXIJGXVQRH-UHFFFAOYSA-N 408.527 4.834 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3cccc(Cl)c3)s2)c1 147337668 LOCPTDCUUNYZHP-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCc2ccc(O)c(F)c2)cc1 237991504 OZRLRFCLYDMPJS-UHFFFAOYSA-N 418.428 4.582 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NC[C@H](O)c3ccccc3)cc2[N+](=O)[O-])cc1 301994530 GHXXVWCZRGPADP-FQEVSTJZSA-N 408.479 4.518 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1 303726911 SZQWLXWBBDYXSO-HJFSHJIFSA-N 420.556 4.761 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1coc(-c2ccc(F)c(F)c2)n1 303910043 MOLLSUDMRCIBTG-UHFFFAOYSA-N 411.158 4.870 5 20 HJBD C[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(C(=O)COc2ccccc2[N+](=O)[O-])C1 409972380 VTMDLHSLBDNNOP-SUMWQHHRSA-N 408.376 4.602 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426284385 XDIHZFNPLJCGOI-SNVBAGLBSA-N 417.334 4.794 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)N1C(=O)[C@H](c2ccc(Cl)c(Cl)c2)N(C)C1=O 430876054 PTORBFHRSBWRHU-QFYYESIMSA-N 408.241 4.598 5 20 HJBD CCCOCc1ccccc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436064816 WNYLAPOKVWKKGK-UHFFFAOYSA-N 418.468 4.677 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccsc1 436116241 UHWMNCIFHHXTHY-QFIPXVFZSA-N 419.550 4.556 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ncc(-c2ccccc2)o1 437662047 KSCUJYXKAFGRGB-NSHDSACASA-N 405.332 4.760 5 20 HJBD Cc1cscc1NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 439178536 IETRLBLAPFEFCZ-UHFFFAOYSA-N 418.478 4.999 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 440871886 PRHQIJNVASGTSR-NRFANRHFSA-N 400.500 4.777 5 20 HJBD O=C(NC[C@H]1SCCc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444172765 RWQUQTIANTXHCB-HXUWFJFHSA-N 418.493 4.581 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)[C@@H]1Oc2ccccc2[C@H]1C 444220287 BMJGQSPFUFMUAG-QWJLCTJOSA-N 416.452 4.503 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2cc(C)c([N+](=O)[O-])cc2F)cn1 461776161 MBQUAVPHIJHDHW-UHFFFAOYSA-N 413.405 4.859 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCCC[C@@H]1CC(=O)c1ccco1 462772676 BXSYDAUNBWGOOT-UYAOXDASSA-N 412.486 4.801 5 20 HJBD CC(C)(C)c1nc2ccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)cc2s1 464176302 JSGWSPBIQVXBQM-UHFFFAOYSA-N 413.455 4.526 5 20 HJBD O=C(CSCc1ccccc1C1CC1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 466461164 CELCHAPAFPFSJR-UHFFFAOYSA-N 414.483 4.505 5 20 HJBD O=C(N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1sc(Br)cc1[N+](=O)[O-] 471505864 RVKTUGWKQGWHCJ-JQWIXIFHSA-N 413.268 4.624 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c(-c2nc(C3CC3)no2)c1 471655076 GDQYFJASRCPTMZ-UHFFFAOYSA-N 410.455 4.561 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(NC(=O)N3CCCCCC3)cc2)cc1[N+](=O)[O-] 471990990 GKXQKDKMUSWEAS-UHFFFAOYSA-N 410.474 4.817 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1nnc(-c2c(F)cccc2Cl)s1 478790298 VHTQNCQXDAAAIZ-UHFFFAOYSA-N 424.866 4.637 5 20 HJBD Cn1cc([C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccc(F)cc2)cn1 479402182 LRURXGOPMNXKRW-JOCHJYFZSA-N 406.417 4.607 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CCc2cc(Cl)ccc2C1 480825997 ZKDYGPBMIPLWKA-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD COc1ccc(NC(=O)N2CCc3c(Br)cccc3[C@H]2C)cc1[N+](=O)[O-] 481273044 GIMRKOUVYBQXEE-LLVKDONJSA-N 420.263 4.517 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC(C)=C(C)C2)cc1[N+](=O)[O-] 482880477 ATMZOCFQMDVXHZ-UHFFFAOYSA-N 410.495 4.730 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccccc1OC(F)F 486783644 ZXRMFSDYJOKWFO-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCCc2cc(Cl)cc(F)c21 498167175 RNMXTNINZPKZQP-UHFFFAOYSA-N 400.740 4.582 5 20 HJBD CC[C@H](c1ccccc1)N(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 500108490 GVOPJXUOVIDBAH-OAHLLOKOSA-N 408.401 4.818 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 504376337 JILCBQLYQIKWLN-ZIAGYGMSSA-N 413.440 4.620 5 20 HJBD O=C(Nc1ncccc1-c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 504593036 PDOCVCQTSVVLHC-UHFFFAOYSA-N 417.343 4.850 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4cc5cc([N+](=O)[O-])ccc5o4)n3)co2)cc1 505097683 FNXBXULNZMNDDA-UHFFFAOYSA-N 418.365 4.645 5 20 HJBD COc1cc(CN(Cc2cccs2)C2CC2)c([N+](=O)[O-])cc1OCC(F)(F)F 506611285 LQENYGZXDUSEOV-UHFFFAOYSA-N 416.421 4.771 5 20 HJBD CC[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)[nH]1 517884836 ULIPFBXDZZROJE-KSSFIOAISA-N 410.499 4.733 5 20 HJBD COc1cccc(C[C@]2(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)C[C@H]3CC[C@H]2C3)c1 522741146 AKXUJFAOCMRMJY-NGWCSTFBSA-N 408.498 4.830 5 20 HJBD COc1cc(C(=O)N[C@@H](CC(C)C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 525023526 RHMVRFLYWACVSD-INIZCTEOSA-N 406.866 4.783 5 20 HJBD CC(C)Cn1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(C(F)F)n1 534941130 BCBJQEREKBZXDS-UHFFFAOYSA-N 421.429 4.761 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)c3ccc(Cl)c(Cl)c3)co2)cc1 536307847 UDTJVSAUWPTPAP-UHFFFAOYSA-N 413.238 4.531 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C 542500794 YZBSUYLRUROCRZ-CYBMUJFWSA-N 400.866 4.602 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 542932855 XIFHVLFYAIZBCZ-UHFFFAOYSA-N 419.359 4.849 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cl)cc1Br)c1ccc([N+](=O)[O-])cc1F 543463798 RULZRPYJDWWRCS-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CCCOc2cc(F)ccc21 544059139 TVEQJEWEYKYMFH-CQSZACIVSA-N 410.854 4.510 5 20 HJBD C[C@H](C(=O)Nc1c(F)cc(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 544397272 BMEFBKAEFTVWPJ-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc(Br)c1)C1CC1)c1ccc([N+](=O)[O-])cc1F 544422651 CXTHABJQKBLQCY-GFCCVEGCSA-N 421.266 4.791 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2cccc(C(=O)N(C)c3ccccc3)c2)n1 545690779 DCWBIUNPFKKLAD-UHFFFAOYSA-N 414.421 4.897 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)c1 549009522 GPCYCRFERHDDQY-UHFFFAOYSA-N 416.437 4.644 5 20 HJBD O=C(Nc1nncn1C1CC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 552668829 QJGRCZVIBQYQJH-UHFFFAOYSA-N 415.862 4.578 5 20 HJBD CCc1nc2cc(CNc3ncc(Br)cc3[N+](=O)[O-])ccc2n1C1CC1 554931669 AHNNYCCVEUAWLD-UHFFFAOYSA-N 416.279 4.611 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNc1c([N+](=O)[O-])nc(C)n1CC 558007131 PXXXWTWQOCQWRO-UHFFFAOYSA-N 411.462 4.708 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)NCC(C)C)c(Cl)c1 559998014 KKQGACACVYECSW-UHFFFAOYSA-N 405.882 4.645 5 20 HJBD Cc1cc(F)ccc1C1CCN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)CC1 560940396 HOTDXMAMJJDWBG-UHFFFAOYSA-N 411.477 4.662 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C\c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc2c1 603784817 RQSAMDCGBFSAHI-WQLSENKSSA-N 424.434 4.960 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939501 SFLPVJOKPXIXNT-RNODOKPDSA-N 407.474 4.563 5 20 HJBD O=C(c1cc(NCCSc2ccc(Cl)cc2)ccc1[N+](=O)[O-])N1CCCC1 603943220 FJDLCBFWZKBEQT-UHFFFAOYSA-N 405.907 4.688 5 20 HJBD CS(=O)(=O)c1ccc(NCc2ccccc2-c2ccc(Cl)cc2)c([N+](=O)[O-])c1 608856102 JWFNIWAZKAOFMY-UHFFFAOYSA-N 416.886 4.931 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)s1 609305466 INYOWMQHOSMCSG-INIZCTEOSA-N 423.498 4.815 5 20 HJBD Cc1cc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC1CCOCC1 610010590 BOBQOMYNTJIKMR-UHFFFAOYSA-N 405.838 4.758 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 610046942 QDELLWWKHGVWPT-MOPGFXCFSA-N 406.404 4.856 5 20 HJBD COc1ccc(NC(=O)c2cnc(-c3ccc(C(F)(F)F)cc3)s2)cc1[N+](=O)[O-] 611781839 ICCPUQCGPPRNGQ-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCC(=O)N(C)c3ccc([N+](=O)[O-])cc3)c(F)c2)cc1 730347620 WCKBLQXVHXHGCV-UHFFFAOYSA-N 422.412 4.529 5 20 HJBD O=C(NCCc1cccc(OCCCc2ccccc2)c1)c1cc(F)ccc1[N+](=O)[O-] 732544063 OBOSLSCVHUQNKE-UHFFFAOYSA-N 422.456 4.718 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 732663204 YDCUIFKWNRAQLS-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CC[C@H](Cc1ccc(Cl)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 734805070 RWVSJXCKLZMMOT-CYBMUJFWSA-N 411.683 4.762 5 20 HJBD COc1cc(N2CCC(OC(=O)C[C@H](C)c3ccccc3C)CC2)ccc1[N+](=O)[O-] 739383867 DITMBEOQLWDCSQ-KRWDZBQOSA-N 412.486 4.618 5 20 HJBD CC(C)[C@H](Cc1ccc(F)cc1)N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 742701299 PSDBVLLFYZJFRT-ACJLOTCBSA-N 407.873 4.571 5 20 HJBD Cc1ccc(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)cc1[N+](=O)[O-] 744472166 LQYFHADFGMINAE-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 748435261 QPFJVRCWATVLMW-GFCCVEGCSA-N 410.879 4.511 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750915347 RUAMJBZAGLPOQJ-UHFFFAOYSA-N 421.581 4.550 5 20 HJBD O=C(OCc1nc(C(F)(F)F)cs1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 751698579 QPZYETVLCVYFDP-UHFFFAOYSA-N 415.374 4.551 5 20 HJBD C[C@@H](C(=O)Nc1ccc(N2CCSCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 754209175 JPVKCTAXJVTJGS-GFCCVEGCSA-N 423.897 4.683 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNCc2cc(Cl)ccc2OC[C@@H]2CCCO2)c1 756900673 WVBKZMBYFKSWFE-KRWDZBQOSA-N 411.285 4.749 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3nnc4n3CCCCCC4)cc2)c1[N+](=O)[O-] 758392210 WDEXNKMBECMZFC-UHFFFAOYSA-N 423.448 4.670 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(COCCOc3ccccc3)c2)c1[N+](=O)[O-] 758423849 RJTCEEMCAZJQAN-UHFFFAOYSA-N 424.428 4.890 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC[C@H](O)c1ccc(Cl)c(Cl)c1 760127953 IJLCTGYCRJKCGU-KRWDZBQOSA-N 412.273 4.764 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1 762342884 NZVSTCPKSMHJPL-UHFFFAOYSA-N 401.850 4.693 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CCc2sccc2[C@@H]1c1cccs1 763383754 NRCFKWNBABZCRF-QGZVFWFLSA-N 406.435 4.784 5 20 HJBD COc1ccc(-c2ncc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)s2)cc1OC 766398073 GTZSICYQKUYIQY-GFCCVEGCSA-N 414.439 4.654 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(N2CCCC2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548559 FXYXNWKKYYTSPV-HUUCEWRRSA-N 416.909 4.526 5 20 HJBD O=C(COc1ccc2ccccc2c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137350 ZPOUQLJCMUGIEA-DEOSSOPVSA-N 414.417 4.855 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778166294 NEUVLCOMIQIUDL-KGLIPLIRSA-N 420.412 4.771 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)c2cc([N+](=O)[O-])ccc2C)cc1NC(=O)c1ccco1 778742737 XVHQWPPKYFTLFH-OAHLLOKOSA-N 408.410 4.975 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)OC[C@@H]1COC(=O)O1 785640271 GGABIAMSDAYGFN-QGZVFWFLSA-N 402.402 4.626 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(OCc2ccccn2)c1 790529323 BIWJGPKUNJDLOI-UHFFFAOYSA-N 415.808 4.543 5 20 HJBD CN(C)C(=O)CCCOC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 795556404 NLHUBHRSWMDIHG-UHFFFAOYSA-N 415.489 4.959 5 20 HJBD O=C(OCc1cncn1CC(F)(F)F)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 797120382 BKQQPEOOCKSJFG-UHFFFAOYSA-N 421.331 4.503 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H](C)Sc1ccc(Br)cc1 800149004 NJALWXNPFFUTNB-JTQLQIEISA-N 413.268 4.924 5 20 HJBD C[C@H](OC(=O)c1cccc(-c2noc(C(F)(F)F)n2)c1)c1cccc([N+](=O)[O-])c1 805634487 RKZALHYDJXJBBS-JTQLQIEISA-N 407.304 4.582 5 20 HJBD COC[C@@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(Cl)c1 813247956 DDPLJCFEGPLHNJ-CQSZACIVSA-N 403.649 4.673 5 20 HJBD Cc1cc(C)c(OC(=O)CCc2ncc(-c3ccc(F)cc3F)o2)c([N+](=O)[O-])c1 822382181 KPCCMDUAZFNXDL-UHFFFAOYSA-N 402.353 4.683 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3Br)no2)ccc1NC1CC1 904965856 IEMGOHKXZYCYBP-UHFFFAOYSA-N 401.220 4.649 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 915603292 YMFWDMFQWCHANV-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2SC(F)(F)F)cc1[N+](=O)[O-] 916062123 UDZWKRZOZBVZSL-UHFFFAOYSA-N 401.322 4.676 5 20 HJBD CCOC(=O)C[C@H](c1ccccc1)C1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 917092516 WZABFAPYJDOUQL-OAQYLSRUSA-N 424.497 4.751 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1(Sc2ccccc2)CC1 1319839380 YZDWCYTWBDRJTB-HXUWFJFHSA-N 406.463 4.947 5 20 HJBD Cc1ccc(OCc2nnc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)o2)cc1C 1329239829 XSUSDHCBXRUAQP-UHFFFAOYSA-N 418.247 4.912 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCc3sccc3C2)cc1 1338104055 MVRJXFCSYAAGCA-UHFFFAOYSA-N 408.483 4.513 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCCN2C(=O)c2cccs2)n1 1343123688 XLAATKJRLOOVON-HNNXBMFYSA-N 412.471 4.691 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1F 5246028 PDWGFUPWUSGJSP-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD C[C@@H](NC(c1ccccc1)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 16483786 IBEQLLMNSZLLMB-OAHLLOKOSA-N 409.873 4.954 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 30917866 XVZFHQNCDHBIGO-UHFFFAOYSA-N 410.373 4.980 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(OC)c(OC)c2)cc1 32655790 BRGZNXXXOBXFIT-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 50554762 UKZXKZZHHPMLMO-LJQANCHMSA-N 409.467 4.704 5 20 HJBD COC(=O)c1cc(C(=O)N2CCC(c3cccc4ccccc34)CC2)cc([N+](=O)[O-])c1 58725312 LIXCBAHPOFWHKD-UHFFFAOYSA-N 418.449 4.554 5 20 HJBD O=C(NCc1ccc(-n2cnc3ccccc32)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 61636258 IOAUCJDPGMZUSL-UHFFFAOYSA-N 406.829 4.517 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64917021 ZJANVVKXUBBIIE-UHFFFAOYSA-N 424.482 4.874 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64941712 MTGHEPZHMOANDB-UHFFFAOYSA-N 409.467 4.897 5 20 HJBD COCCOc1cc(C)ccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 108554437 FESQZYJZOUCJQO-UHFFFAOYSA-N 421.453 4.924 5 20 HJBD Cc1ccc([C@@H](Nc2ccc([N+](=O)[O-])c(C)c2)c2cccc(S(C)(=O)=O)c2)cc1 301484373 YLYQFUZTXWKKEX-JOCHJYFZSA-N 410.495 4.817 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(OCc2ccccc2)n1)c1ccc2c(c1)CCC(=O)N2 302187676 WPRYIGLVMLKACJ-HNNXBMFYSA-N 418.453 4.627 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 303323727 AOBXYXAFTJWXES-JOCHJYFZSA-N 415.449 4.824 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 434555297 TVBWSSZCMSGDDM-UHFFFAOYSA-N 418.247 4.878 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435352512 FTQWQAYHGOOHDB-VIFPVBQESA-N 419.812 4.743 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 436133448 OJTRVLMNPHCERM-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)C(C)C 437284886 LLFFQBKFPMTLAL-UHFFFAOYSA-N 423.391 4.623 5 20 HJBD Cc1nc(-c2ccc(C(=O)N3CCS[C@H]3c3ccc([N+](=O)[O-])cc3)cc2)cs1 440628912 YZJIUBBRBXSFBW-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD Cc1ccc(C2CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)CC2)cn1 444299302 ABJGBXBMUJYBLO-UHFFFAOYSA-N 415.468 4.544 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1OC 444893299 FOVFUEMGLQHGSA-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Sc2ccc(Cl)cc2)CC1 448187777 FMNZEVOTOUFMHN-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD O=C(CCc1cncc2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 462426693 KLAYOUZXZFNNLS-UHFFFAOYSA-N 417.387 4.667 5 20 HJBD COc1cc(CSc2nc(O)c3c4c(sc3n2)CCCC4)c([N+](=O)[O-])cc1F 463417638 PJKJZSVBNSLLQD-UHFFFAOYSA-N 421.475 4.624 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccc3c(c2)CCC3)cs1 470757937 VZBFCOWUAFKTCY-UHFFFAOYSA-N 409.467 4.858 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCOCC1 474875473 HTAZELJJLPMDNY-CYBMUJFWSA-N 404.850 4.585 5 20 HJBD CC(C)(C)c1nc2cc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)ccc2o1 478039259 GGVKWPJVGUGZMJ-UHFFFAOYSA-N 408.458 4.886 5 20 HJBD C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480263133 LBUUMGUUZPPDPL-OAHLLOKOSA-N 409.511 4.876 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3cc(F)c(Br)cc3s2)c1 481274597 LROYINZMQNUVNL-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD C[C@@H](C1CC1)n1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 485758451 QFYVRCDQEKJASC-HNNXBMFYSA-N 406.442 4.594 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCCCOc1ccc(S(C)(=O)=O)cc1 486144084 DEVAIOXIATXDFZ-OAHLLOKOSA-N 409.529 4.570 5 20 HJBD CCc1c(NC(=O)c2ccccc2[N+](=O)[O-])cnn1-c1cccc(C(F)(F)F)c1 486413344 SYYVOTIPDLBXMZ-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD Cc1noc2nc(C(C)C)cc(C(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c12 486720464 DFSDMLPZQBHGEU-UHFFFAOYSA-N 422.363 4.512 5 20 HJBD C[C@@H](NC(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 486946070 IDUIGZVDQJEXGF-LLVKDONJSA-N 410.927 4.605 5 20 HJBD COc1ccc(CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1OC1CCCC1 487324517 TVGQSKHKJYTNBA-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1nc(-c2ccncc2)cs1 488122914 OTEGCTOOHKUGPE-LBPRGKRZSA-N 414.512 4.716 5 20 HJBD Cc1cc(C)c(-c2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3C)o2)c(C)c1 488781907 JAPLOFYQUUFJEX-UHFFFAOYSA-N 412.471 4.609 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 490276685 UYKGSVBSBMUNRP-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1cccc([N+](=O)[O-])c1 490453832 YZVUSMPJKMMJLW-SNVBAGLBSA-N 421.335 4.546 5 20 HJBD COc1cc(CN(C)[C@H](C)c2sc(C)nc2C)c([N+](=O)[O-])cc1OCC(F)F 491195326 UTVVNCMXXHKBKX-LLVKDONJSA-N 415.462 4.514 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(F)c(OC(F)F)cc2F)cc1[N+](=O)[O-] 491335974 SPMVDSVZKSCROG-SECBINFHSA-N 401.316 4.666 5 20 HJBD Cn1c(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2cc(Cl)ccc21 494459113 UKCHEVFORBDIAP-UHFFFAOYSA-N 413.890 4.548 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)c(C)c2c1 498283798 XSQCQXPMOYUKNC-ZDUSSCGKSA-N 405.410 4.697 5 20 HJBD COCC1(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCC1 501837102 AMECLTBZVGLAFS-UHFFFAOYSA-N 418.877 4.977 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@@H]2c2ccccc2Cl)cc1[N+](=O)[O-] 516528494 JYDLROCATCUFFF-QGZVFWFLSA-N 420.918 4.854 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 516903924 OATKJFYIQRUIKD-MRXNPFEDSA-N 400.438 4.735 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)nc2ccccc21 522327621 OKAVIGBUYGJOSB-QRWLVFNGSA-N 407.474 4.572 5 20 HJBD O=C(NC1CC1)[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 522672399 KFOPSVVJSYGDNC-QFIPXVFZSA-N 403.438 4.819 5 20 HJBD COc1cc(C(=O)N2CCSC[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 523401591 OEWJSHMZDNMBPT-LBPRGKRZSA-N 422.890 4.627 5 20 HJBD Cc1cccc(-c2nnc(Sc3ccc(Br)cc3[N+](=O)[O-])n2C)c1 524221796 JPJDOYDXXVKYHE-UHFFFAOYSA-N 405.277 4.612 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1ccccc1)c1cccc(F)c1 535436457 PSXZWBXAOZHABJ-QHCPKHFHSA-N 403.413 4.664 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C(=O)CC(C)(C)C2 537134306 CSMIVLCNODKUMX-UHFFFAOYSA-N 419.528 4.959 5 20 HJBD CCOC[C@H]1CCCN(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 537624242 VNOQHQKDHNPOAA-IBGZPJMESA-N 420.513 4.696 5 20 HJBD CC(C)C[C@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 538152115 SAZWVUKUCXNGFB-KRWDZBQOSA-N 409.408 4.590 5 20 HJBD Cc1cc(C(=O)Cn2ncc3cc([N+](=O)[O-])cc(Cl)c32)c(C)n1Cc1ccco1 541780592 PUYUGMDNMVXONL-UHFFFAOYSA-N 412.833 4.540 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 542223368 LTRQURBWBPYMEJ-LBPRGKRZSA-N 421.906 4.507 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cccc(Br)c1 551114501 BPSUHSVDKGLJRU-QGZVFWFLSA-N 421.316 4.813 5 20 HJBD COCCC[C@@H](CNC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 552582093 WTMRGEXAYFPODA-HOCLYGCPSA-N 422.884 4.817 5 20 HJBD CC[C@@H](C)n1c(Sc2ncnc3sc([N+](=O)[O-])cc23)nnc1-c1ccccc1 552998295 ZWBATUBCPCLVME-LLVKDONJSA-N 412.500 4.980 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)c2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 553729894 LZYAOOMDBMUNBI-OAHLLOKOSA-N 422.460 4.967 5 20 HJBD CC(C)(NC(=O)CSc1cccc(Br)c1)c1ccccc1[N+](=O)[O-] 554054678 GQIWWJJZAAVFJS-UHFFFAOYSA-N 409.305 4.501 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)C2)cc1 560000606 XVYAYDFWKMUWRP-HNNXBMFYSA-N 422.403 4.717 5 20 HJBD CCCc1noc2nc(CC)cc(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)c12 566587724 YCBXZLGBNWFNNM-UHFFFAOYSA-N 407.430 4.595 5 20 HJBD O=C(c1ccc(F)cc1)[C@@H]1CCCN(CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)C1 573502672 UWFGKXKHKDCZJB-GOSISDBHSA-N 423.444 4.526 5 20 HJBD CCOCc1nc([C@@H](C)N[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 579770381 KXMRVVWGJSOBJR-QWHCGFSZSA-N 402.476 4.655 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCCC[C@@H]2c2noc(C3CC3)n2)c([N+](=O)[O-])c1 603872229 IQWNKKONCMRYSF-LJQANCHMSA-N 418.453 4.818 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CNC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 603971318 HSAQSJSMTPCAGL-GXFFZTMASA-N 414.405 4.739 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(N3CCc4ccccc4C3)CC2)cc1[N+](=O)[O-] 604482593 SHOHBGWJGVRGTF-UHFFFAOYSA-N 421.541 4.555 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H](c2ccccc2)CC1 608967674 HHYPIROOYAAUFP-HXUWFJFHSA-N 407.514 4.608 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)c12 609094137 PUOSLNQVRZVJMX-UHFFFAOYSA-N 406.398 4.791 5 20 HJBD CC(C)(C)c1noc(CCCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)n1 609482850 HSOULIRKWIMGRK-UHFFFAOYSA-N 400.479 4.574 5 20 HJBD COc1cc(CCNC(=O)C[C@H](C)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC 609986169 XDOGUNFSNNRQMZ-INIZCTEOSA-N 414.502 4.588 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccc(Br)c1 610046700 YIVVTQYRRMBOFK-MOPGFXCFSA-N 417.303 4.600 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)COc3cccc([N+](=O)[O-])c3)cc2c1 610070644 RLLGYUGJEYMAAE-UHFFFAOYSA-N 420.421 4.850 5 20 HJBD CC[C@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)C(C)(C)c1ccccc1 610177478 OSNAFVRCKLUABK-FQEVSTJZSA-N 416.543 4.709 5 20 HJBD O=C(c1cccc(Oc2nccs2)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610233666 BIGYLCNJVVMKAT-SFHVURJKSA-N 413.480 4.731 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C)C(C)=O)cc1 611468862 QEJBRYMSEPROPJ-UHFFFAOYSA-N 401.488 4.722 5 20 HJBD C[C@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 611495643 AQXNYNASKSOFBC-NSHDSACASA-N 400.784 4.729 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 727828342 WQWSRSSYUMUBKJ-UHFFFAOYSA-N 417.632 4.775 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)c1ccc(F)cc1 728245432 GMQLGPWTPYEVRH-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD O=C(O[C@@H](c1ccncc1)c1ccc(F)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 728415672 NEVCKNWRGAIAOM-JOCHJYFZSA-N 421.428 4.676 5 20 HJBD CSc1ccc(C(=O)OCc2coc(-c3ccc(F)c(F)c3)n2)cc1[N+](=O)[O-] 728612350 FDLFSEAVXZRKIG-UHFFFAOYSA-N 406.366 4.607 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 730499399 BBGADAVMMKYPGN-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD COc1cccc(C[C@@]2(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)C[C@H]3CC[C@H]2C3)c1 735425542 NMKVBCPGCUDVOV-AYSMAOOMSA-N 416.424 4.869 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)C1(c2ccc(Br)cc2)CC1 735801599 IEOSWVHBTMTZOH-UHFFFAOYSA-N 409.667 4.989 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C1(c2cccs2)CCCCC1 738704768 ZKXSGIWYEVTFEK-UHFFFAOYSA-N 413.455 4.652 5 20 HJBD COc1cc(N2CCC(OC(=O)c3sccc3C(C)C)CC2)ccc1[N+](=O)[O-] 739384298 HHIDOLNEBYSFSR-UHFFFAOYSA-N 404.488 4.614 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)[C@H]1CCSc2ccccc21 741946570 ICGIRMPNEVTRGU-AWEZNQCLSA-N 408.273 4.680 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@@H](C)c1ccccc1C(F)(F)F 745220605 BECWQIHXOXYPQH-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)CC1C[C@@H]2CC[C@H](C1)N2C(=O)OC(C)(C)C 748517341 VQSHKMWNECINNP-ALOPSCKCSA-N 417.506 4.718 5 20 HJBD CCOc1ccc(CN(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)C(C)C)cc1 748618130 BJRNFIMMGCLNFR-UHFFFAOYSA-N 415.446 4.569 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])c(C)cc1Cl 753084459 RIHMUQVPWGBUJB-UHFFFAOYSA-N 417.874 4.728 5 20 HJBD O=C(/C=C\c1cc(C(F)(F)F)ccc1Cl)OCC(=O)c1cccc([N+](=O)[O-])c1 753414410 JSHCBVFNRBGIMH-DAXSKMNVSA-N 413.735 4.706 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)C(F)(F)c1nccs1 755810407 DNRDIMHFRZKHPQ-UHFFFAOYSA-N 406.366 4.679 5 20 HJBD CCn1c(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)nc2ccccc21 763417626 WXJOFOZWZIVAPB-UHFFFAOYSA-N 424.526 4.929 5 20 HJBD Cc1ccc(F)c(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1F 766292071 GQHOYEICAVFPSF-UHFFFAOYSA-N 412.348 4.653 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)ccc1Cl 768542251 SAMQUBJBKDYFGT-HNNXBMFYSA-N 416.840 4.817 5 20 HJBD C[C@H]1CN(c2ccc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cc2)C[C@H](C)O1 770545289 OQSJLPINULBKLN-HOTGVXAUSA-N 424.526 4.944 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781618118 FQISTVKLRWYXTR-VQTJNVASSA-N 411.458 4.620 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 782076047 YKEVISPUWNKHAV-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD CC(C)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 784256074 ASMWREOJPSBKAV-LBPRGKRZSA-N 424.375 4.921 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)cnc1Cl 787956435 LLDOJFYRYVKRRV-NSHDSACASA-N 412.671 4.613 5 20 HJBD COc1cccc(C(=O)c2ccc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cc2)c1 800145091 CSEBZUCZYSBYQT-UHFFFAOYSA-N 408.385 4.534 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800150757 DBNQLWFLZNSOFV-UHFFFAOYSA-N 416.840 4.679 5 20 HJBD Cc1nc(Cl)c(Cl)cc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 805720678 FYCFVBPIJKNQNZ-VIFPVBQESA-N 423.212 4.573 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ocnc1C1CC1 808013445 CFZDNLAJRJMCCE-UHFFFAOYSA-N 413.817 4.945 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 816946853 YOGBTMLLCRXAMW-GOSISDBHSA-N 416.886 4.686 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1NCCc1ccc([N+](=O)[O-])cc1 823812246 GTZLGQKPIMHIDN-NJDAHSKKSA-N 417.550 4.685 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nnc(-c2cccc(Cl)c2)o1 917329910 MBSDCDZQHIWDIK-JTQLQIEISA-N 412.789 4.698 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])c(C(F)(F)F)c1)c1ccco1 14366993 LSOXOFCKKJABAS-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(COc2ccc(F)cc2)nc1C 23456179 XQFIBIVSSAAKSW-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@H]1c1ccc(-c2ccc([N+](=O)[O-])cc2)s1 25311179 FUXWRQSCIBICEN-HXUWFJFHSA-N 409.467 4.536 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(C(F)(F)F)c1 46152044 MYXRYGCFQZTMRV-SNVBAGLBSA-N 400.378 4.742 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2c(C)[nH]c3ccccc23)CC1 56527771 IFPMQAUNUHEBKK-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1OC 107730129 QMQLSMNCTFKDJW-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD CCCCNC(=O)c1ccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 236803922 KVFXVPZVIGLZNB-UHFFFAOYSA-N 424.526 4.538 5 20 HJBD COc1cc(NCc2cccc(NC(=O)c3ccc(F)cc3)c2)c(F)cc1[N+](=O)[O-] 301946365 HBQCGTOCDFVYMI-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1 302662129 LWZARHKRHIWCTG-UHFFFAOYSA-N 422.338 4.545 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 303018827 OGURFRNWNIOYMX-UKRRQHHQSA-N 410.499 4.705 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC(F)(F)F)c(F)c1 410401949 QUNXFPFCEBMMFW-SNVBAGLBSA-N 418.368 4.794 5 20 HJBD COc1cc(CNCc2ccc(C3CCC3)cc2)c([N+](=O)[O-])cc1OCC(F)F 426380555 LSYJIXWCLDXJDR-UHFFFAOYSA-N 406.429 4.805 5 20 HJBD Cc1ccc(-c2c[nH]cc2C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)s1 427240371 ZGUCZORGYJTRSC-UHFFFAOYSA-N 411.483 4.643 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc(C(=O)O)c1C 431685801 LEMGHYSMUBGYMJ-UHFFFAOYSA-N 422.387 4.527 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C)O[C@H](c2cccc(C(F)(F)F)c2)C1 432484497 GIIROWZSUVHSLT-UGSOOPFHSA-N 423.391 4.916 5 20 HJBD CC[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 435933136 BIZFZPJSZUILFK-CQSZACIVSA-N 406.432 4.883 5 20 HJBD O=C(NCc1c(F)cccc1Cl)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436089862 PNBCMMDOXCANGS-UHFFFAOYSA-N 416.786 4.682 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437992821 CFGGIBDXNVWPET-AWEZNQCLSA-N 421.419 4.919 5 20 HJBD CCSCc1cccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c1 438378907 PCFVVMHRZXRMOV-UHFFFAOYSA-N 415.515 4.923 5 20 HJBD C[C@@H](NCC(=O)N1c2ccccc2CCc2ccccc21)c1cccc([N+](=O)[O-])c1 440595159 GZUGVXDPKPJJKV-QGZVFWFLSA-N 401.466 4.709 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCSc2ncccc21 440608571 IOHWZNDTFAISQP-UHFFFAOYSA-N 409.492 4.894 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444343176 PSQUSFOSLLXQGE-UHFFFAOYSA-N 406.432 4.712 5 20 HJBD C[C@H](NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F)c1ccc(Br)s1 444556917 ZVARFGIZYQZDLM-ZETCQYMHSA-N 423.210 4.929 5 20 HJBD Cc1cccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)c1 446305158 RCGGRIKODHRJRE-UHFFFAOYSA-N 413.480 4.693 5 20 HJBD O=C(Cc1c[nH]c2ccccc12)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446435300 WZXXOXXIFVFPCM-UHFFFAOYSA-N 401.422 4.836 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccc(F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 446887228 PPHCPSGJJZEKTC-QFIPXVFZSA-N 417.396 4.507 5 20 HJBD CN(Cc1cccc2[nH]ccc12)c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447677991 XITDHUDTYJZOCT-UHFFFAOYSA-N 400.307 4.762 5 20 HJBD C[C@H]1C[C@@H](c2cccnc2)N(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C1 447691226 PNYMCVWGYOTRGW-JQWIXIFHSA-N 402.323 4.626 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)[C@H](C)CO1 460381165 BNTZDRLOUMTSRE-QRWLVFNGSA-N 423.494 4.629 5 20 HJBD CC(C)[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 463042682 HCNPWAOHHVFPSK-KSFYIVLOSA-N 405.454 4.538 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(C(=O)Nc2ccccc2)cc1 467171639 UTDMGTXNOAWZOM-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD CCCN(C(=O)NCc1ccc([N+](=O)[O-])cc1Cl)[C@@H](C)c1ccccc1OC 467216605 IRJMAGVFQDHJRH-AWEZNQCLSA-N 405.882 4.940 5 20 HJBD Cn1c(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)nc2cccnc21 470684975 JFVPUEWTTZHYNA-UHFFFAOYSA-N 423.816 4.575 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3c(OCC)cccc3[N+](=O)[O-])ccc2s1 470794216 APJWBCCBJDDWLB-UHFFFAOYSA-N 414.439 4.637 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc([N+](=O)[O-])cc1Br 471504855 WIQOCRDTVKJUEW-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])cc1 471567845 YKPIYTZKEAXSFA-UHFFFAOYSA-N 409.417 4.624 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1 476800913 YKAJKWZYEIQMST-OAHLLOKOSA-N 409.255 4.590 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCc2cc(C(F)(F)F)ccc21 478016902 RNKNPDWBEFLKJV-UHFFFAOYSA-N 419.403 4.807 5 20 HJBD Cc1c(CS(=O)(=O)Cc2nc(-c3ccccc3Cl)cs2)cccc1[N+](=O)[O-] 478726981 YRGXGKZCCWIXMV-UHFFFAOYSA-N 422.915 4.795 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 480126245 SAANGAUIECBYJG-UHFFFAOYSA-N 401.488 4.619 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nnc(-c2ccncc2)n1CC(F)(F)F 484516287 PQXIKCFBWMBWCF-GFCCVEGCSA-N 423.420 4.972 5 20 HJBD CCOc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1OC 485778406 STOPPQFDZAUPCU-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD CCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)c(C)c1 488283444 TWPFAMRLVIYVHJ-UHFFFAOYSA-N 404.488 4.683 5 20 HJBD CCOc1cc(CS(=O)(=O)[C@H](C)c2ccc(C(C)(C)C)cc2)ccc1[N+](=O)[O-] 488889804 IXMSTHJJVFMMRG-OAHLLOKOSA-N 405.516 4.967 5 20 HJBD Cc1ccc(-c2csc3ncn(Cc4c(F)cccc4[N+](=O)[O-])c(=O)c23)cc1C 504507552 JGCOBZZIYVNDTC-UHFFFAOYSA-N 409.442 4.837 5 20 HJBD O=C(c1csc(-c2ccc(F)c(F)c2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 504563365 LYSNUUPTUWJKAY-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@@H]1CCCC12CCOCC2 505364005 KBMUUWMPJYTGDF-HXUWFJFHSA-N 411.458 4.858 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 510126908 IEQZGFBOAXILGZ-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD Cc1cc(Sc2ccccc2)ccc1NS(=O)(=O)c1ccc(O)c([N+](=O)[O-])c1 511681883 MTLSYPGIYIYSRL-UHFFFAOYSA-N 416.480 4.561 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Cc1csc(-c2ccc(Cl)cc2)n1 513004282 NOEYSWVMHUFTJZ-UHFFFAOYSA-N 415.902 4.615 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1ccsc1 516256110 JGKRCVPKBKORSJ-GOSISDBHSA-N 401.875 4.899 5 20 HJBD Cc1noc(C)c1Cc1noc(-c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 517057723 WPMTZBNYYCVMLB-UHFFFAOYSA-N 408.439 4.992 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 519896921 KWOBZAHVQAAOMI-LLVKDONJSA-N 412.273 4.813 5 20 HJBD CN(CCc1nc(-c2ccccc2)no1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 521115312 YBEJQSSCQLCJMY-UHFFFAOYSA-N 404.426 4.579 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3nc(-c4ccncc4)cs3)cs2)c1 524969854 SMZAHXSZCRWNIU-UHFFFAOYSA-N 423.523 4.569 5 20 HJBD COc1ccccc1-c1ccc(CN(C(=O)c2ccc([N+](=O)[O-])n2C)C(C)C)cc1 525074485 GNSNHBHTSQYKRG-UHFFFAOYSA-N 407.470 4.660 5 20 HJBD Cc1sc(NC(=O)c2cnc3ccc(F)cc3c2)nc1-c1cccc([N+](=O)[O-])c1 535447232 RJSGPVVSLKKMJU-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD C[C@H](N[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 536855443 ABPSFDGPUYOYKI-CABCVRRESA-N 410.499 4.786 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1F)CCC(=O)N2 537482294 PWCMEFXLKNBFQL-UHFFFAOYSA-N 417.462 4.763 5 20 HJBD COc1ccc(C[C@H](NCc2ccc([N+](=O)[O-])cc2F)c2ccc(OC)cc2)cc1 539244191 ZXPVVVDFTABDNK-QHCPKHFHSA-N 410.445 4.825 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 539348888 JCKBCSLKWQGWGU-CYBMUJFWSA-N 401.488 4.545 5 20 HJBD CC[C@@H](CSC)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 540338824 XPVXPBNRWBVCPS-KSSFIOAISA-N 407.923 4.659 5 20 HJBD O=C(NCc1cn2ccsc2n1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541680795 TZUJZXXQFKKBQR-UHFFFAOYSA-N 416.528 4.516 5 20 HJBD CC[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 550186346 GDZWEDPJKTZXOI-HNNXBMFYSA-N 410.392 4.507 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc([N+](=O)[O-])cc(Br)c1C 550701736 BOCKVKXMCZFOLT-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CC(C)(C(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1ccccc1[N+](=O)[O-] 559617846 RGHMARKBBXSDGK-UHFFFAOYSA-N 410.499 4.689 5 20 HJBD CC(C)c1ccc(-c2nc(CS(=O)(=O)c3ccc([N+](=O)[O-])cc3)cs2)cc1 603515260 OLNQHMSEMYZZSQ-UHFFFAOYSA-N 402.497 4.816 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1 603530178 NICZVGFWNFBYDI-UHFFFAOYSA-N 411.502 4.502 5 20 HJBD CC(C)c1ccc(OC2CCN(Cc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1 603850487 JVAXUSRRVVSHKI-UHFFFAOYSA-N 422.485 4.812 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccc4c(c3)CCO4)C3CCCC3)o2)cc1 609078075 MQMGLEHZCLQSET-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)[C@H](C)NC(=O)c1cccc([N+](=O)[O-])c1 609183519 URPFDJZWWZYJIU-LBPRGKRZSA-N 421.906 4.506 5 20 HJBD CC1CCN([C@H](CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c2cccs2)CC1 610036792 NTBZYQGTCGLGMY-LJQANCHMSA-N 415.559 4.523 5 20 HJBD CSc1ccc(C(=O)N2CC(Cc3ccc(C(F)(F)F)cc3)C2)cc1[N+](=O)[O-] 610660145 FWYBMJVGWLPHSN-UHFFFAOYSA-N 410.417 4.650 5 20 HJBD Cc1cc(Br)cc2c1N(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)CCC2 728181167 QBIIDRCDJJQHND-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD COC(=O)C1CCC(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 730107209 CCBOJMQGJRROSP-UHFFFAOYSA-N 418.877 4.862 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 730839244 APBVGTSNHOSKRF-LBPRGKRZSA-N 424.284 4.908 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 734782566 UWJLYLVMYXRKSE-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H](C)c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 738791607 OKWBVWJHGWUNDD-MGPUTAFESA-N 424.478 4.991 5 20 HJBD C[C@H]1C[C@@H](N(C)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CCN1Cc1ccccc1 738885040 OGWOFXQMKZZUKP-YJBOKZPZSA-N 416.909 4.765 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN(Cc1ccccc1F)c1ccc2nc(O)[nH]c2c1 744405386 NKOACFRAXMDZCH-UHFFFAOYSA-N 422.416 4.531 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1cc(Cl)ccc1[N+](=O)[O-] 746561614 VVGLKKXSAFWSSL-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD O=C(Nc1ccc(SCc2cccnc2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 750563502 JXHDMGWYHZDIBO-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD O=C(Nc1ccccc1)C(=C/C=C\c1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1 750900639 NNUGKJAFCBDAJL-LUAWRHEFSA-N 413.433 4.812 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc(F)ccc1[N+](=O)[O-] 751081689 ZIDBXEQKALPTAU-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1cc(Cl)ccc1[N+](=O)[O-] 757086167 UWMCMVPDXGHMSK-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CS(=O)(=O)c1ccc(CNC(=O)c2c3ccccc3cc3ccccc23)cc1Cl 759439369 XONZJKUEMFQHFW-UHFFFAOYSA-N 423.921 4.980 5 20 HJBD O=C(CCc1nc(C2CCCC2)no1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 762293226 PGVHPHVOUUYGKP-UHFFFAOYSA-N 418.881 4.665 5 20 HJBD CCC1(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CCCCC1 764666664 KIJIZKMXJWCQDC-GFCCVEGCSA-N 416.396 4.844 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCc3ccc(Cl)cn3)cc2o1 767533231 AIEJNCRIXHXAQX-UHFFFAOYSA-N 408.797 4.996 5 20 HJBD CC(=O)Nc1ccc(Cl)c(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 769679042 FMMFTIAVENYMBU-UHFFFAOYSA-N 422.268 4.917 5 20 HJBD COc1cccc([C@H](O)CN(Cc2ccccc2)c2ccc(C(C)=O)cc2[N+](=O)[O-])c1 772903230 WWKPWLBMPPHDII-XMMPIXPASA-N 420.465 4.546 5 20 HJBD Cc1cc(N2CCC([C@@H](C)NC(=O)OC(C)(C)C)CC2)c2cccc([N+](=O)[O-])c2n1 776292253 NCGKKSHYYVNQLI-OAHLLOKOSA-N 414.506 4.581 5 20 HJBD CSc1cccc(C(=O)O[C@@H](C)c2nnc(-c3ccc(C)c(C)c3)o2)c1[N+](=O)[O-] 777074857 ZPDQCCVQYGORHN-ZDUSSCGKSA-N 413.455 4.902 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CN(CCc3ccccc3)Cc3ccncc3)c2)c1 777957187 SFIILYZVIBSEGT-UHFFFAOYSA-N 413.481 4.556 5 20 HJBD O=C(Nc1cccc(NC2CCCC2)c1)c1cccc([N+](=O)[O-])c1Br 782169930 OAZHNULXMLDKLR-UHFFFAOYSA-N 404.264 4.964 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(Br)c(F)cc1F 790567018 BZYOCWUDIYKWQI-UHFFFAOYSA-N 423.572 4.609 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798674272 HDFQTISSBPFNHU-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ccc([N+](=O)[O-])cc2C(C)=O)cn1 804357627 GIHWRVZCRDPKLQ-UHFFFAOYSA-N 407.426 4.996 5 20 HJBD C[C@@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)c1ccc(N2CCCNC2=O)cc1 812741245 MFSGNJDDLIBKHN-VFNWGFHPSA-N 408.502 4.588 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813081963 RLFQWVDYGZZOCA-UHFFFAOYSA-N 401.156 4.899 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@@H]1C[C@H]1c1ccccc1OC(F)(F)F 813247452 YLASIRFVWIGRST-WDEREUQCSA-N 400.740 4.889 5 20 HJBD O=[N+]([O-])c1c(Cl)cccc1OCc1nc(-c2cc(Br)cs2)no1 814775698 SSECVNNGYPQQJI-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CCOc1ccc(C(=O)N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)cc1[N+](=O)[O-] 817856396 FTRHORSBXOFLRG-VIFPVBQESA-N 417.771 4.552 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccccc1Cl 919210940 JTJZWRPHGXYQCY-GOSISDBHSA-N 416.817 4.815 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cc4cc([N+](=O)[O-])ccc4o3)o2)c1 1326940862 JOXMSQOETJFOSI-UHFFFAOYSA-N 416.187 4.829 5 20 HJBD COc1ccccc1SCc1nnc(-c2cc(Br)cc([N+](=O)[O-])c2)o1 1326989218 DQRJBCKYZVKDTM-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(-c2ccccc2)cc1 5850758 MNIJXRDBGOMTJN-UHFFFAOYSA-N 410.813 4.711 5 20 HJBD CCN(C(=O)CSc1nc2cc3ccccc3cc2c(=O)n1CC)c1ccccc1 7577803 FVUOKQGIYZJUER-UHFFFAOYSA-N 417.534 4.715 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC=C(c2c[nH]c3ccccc23)CC1 11074723 LVWMWPWVMCJPLN-HNNXBMFYSA-N 407.495 4.873 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl 32800426 SJQDUCJLWNNRRG-WDEREUQCSA-N 412.273 4.588 5 20 HJBD COc1ccc(CSc2nnc(COc3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 42151671 ISYNYPIBPWOCJL-UHFFFAOYSA-N 407.835 4.511 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(Oc2ccc(C(F)(F)F)cn2)cc1 46147366 JETUWPJBMLSGLL-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n(Cc2ccccc2)n1 64906724 LHVSPBYTPCMRQJ-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1ccccc1C(F)(F)F)C1CC1 165017809 NPQMYIITQSNHAO-UHFFFAOYSA-N 407.392 4.525 5 20 HJBD CCn1c(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])nnc1-c1ccc(Cl)cc1 301083748 KPLMYYVSCVJASQ-UHFFFAOYSA-N 402.863 4.880 5 20 HJBD O=[N+]([O-])c1cnc(NCc2ccc(Oc3cccc(F)c3)nc2)c(Br)c1 301532649 LOWAONACLYMGQV-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC[C@@H]2CCCC(F)(F)C2)cc1[N+](=O)[O-])c1ccccn1 410262333 UNFXXFODWSOXPJ-HUUCEWRRSA-N 418.444 4.718 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@@H](C)[C@H]2C)cc1SC 430652318 NLVYPMCZSSJOBP-NEPJUHHUSA-N 404.513 4.855 5 20 HJBD COCc1c(Br)cccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432843854 AAHDBZXEOGJELI-UHFFFAOYSA-N 422.279 4.561 5 20 HJBD COc1ccc(OCCS[C@H](C)c2nc(-c3cccs3)no2)c([N+](=O)[O-])c1 437289034 JXYMQUKDUVNCMN-LLVKDONJSA-N 407.473 4.588 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 437478658 SCGRRMSKUIEIHD-KXBFYZLASA-N 422.403 4.820 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccco1)Cc1cccs1 439885323 YJZTYQOCLUUKPP-UHFFFAOYSA-N 401.444 4.882 5 20 HJBD O=C(NC[C@H]1CCCC[C@@H]1C(F)(F)F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444093593 BEVAVKHOPHHQFA-WBMJQRKESA-N 420.406 4.919 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 446906622 PJBPOBIGAGZJEM-UHFFFAOYSA-N 409.364 4.603 5 20 HJBD O=[N+]([O-])c1ccccc1CN(c1cc(C(F)(F)F)nc(-c2ccncc2)n1)C1CC1 446980696 UGNSPQUEGRRDTR-UHFFFAOYSA-N 415.375 4.635 5 20 HJBD O=C(c1cc(-c2ccccc2Cl)[nH]n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 461701523 AXDAJWWWGILEGJ-IBGZPJMESA-N 414.874 4.526 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1nc2ccccc2n1CC 462508427 WGAOYCQEHADASM-GCJKJVERSA-N 420.513 4.897 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)c1cccc(Br)c1 463538860 CEBKUBNGYPFRIV-AWEZNQCLSA-N 405.292 4.898 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)CN(C)c2ccccc2[N+](=O)[O-])c1 463666626 QWSGGNWLTAEDIG-UHFFFAOYSA-N 413.421 4.633 5 20 HJBD CSc1ccc(C(=O)N[C@H](C)c2ccc(Br)s2)cc1[N+](=O)[O-] 468179665 TWDXAMKZRCCIFF-MRVPVSSYSA-N 401.307 4.632 5 20 HJBD Cc1nc2cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)ccc2n1Cc1ccsc1 472813375 LTVBDPVXVHQAJR-UHFFFAOYSA-N 418.478 4.566 5 20 HJBD COc1cccc(C2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)c1 474286405 DGVHAPYYRWKIRG-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)cccc1[N+](=O)[O-] 475787087 DRFYBVFSYBJPSP-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477317991 DZWDHMXNRPWSDW-VXGBXAGGSA-N 406.870 4.699 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccnc2-n2cccn2)cc1[N+](=O)[O-] 477442212 XMKQCELHCTVTHL-UHFFFAOYSA-N 411.487 4.566 5 20 HJBD CCOC[C@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 477585534 FBRMEXZZVZGYQW-INIZCTEOSA-N 400.500 4.553 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1csc2ccccc12 480597219 ZINCSFYSBLYEKA-UHFFFAOYSA-N 416.524 4.820 5 20 HJBD CCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1Cl 483249364 ZTVWZTNIBINMME-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 485623052 KSOOJRNEVJZFFH-ZDUSSCGKSA-N 406.282 4.690 5 20 HJBD CSc1cccc(C(=O)NC[C@@H](c2cccc(Cl)c2)N2CCCC2)c1[N+](=O)[O-] 486486008 ILUKJGSIJPOWAN-KRWDZBQOSA-N 419.934 4.537 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cc(Cl)cc(C(F)(F)F)c1 487762430 NNRMMGBKXCRJDW-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD CCn1c(SCc2csc(-c3ccccn3)n2)nnc1-c1ccc([N+](=O)[O-])cc1 489389250 ODUHGTFPIUDBCU-UHFFFAOYSA-N 424.511 4.684 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 489519106 NKFHSMASWZCXMP-CYBMUJFWSA-N 411.483 4.712 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC(F)(F)F)c1 489984029 RXUSZSDTVXRCGS-UHFFFAOYSA-N 414.405 4.690 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCn3cccc3[C@H]2c2ccccc2)cc1[N+](=O)[O-] 493034351 QKKMLHJBZJGRIK-HTAPYJJXSA-N 404.470 4.581 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 494425719 NBXIWAMOZIBADV-NZQKXSOJSA-N 423.513 4.745 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c(F)c1 499628217 YFAFXQUMUBKEEC-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD Cc1cccc(COc2ccc([C@H](C)NC(=O)c3c(N)cccc3[N+](=O)[O-])cc2)c1 499911158 YRKKRXSPFHUYCP-INIZCTEOSA-N 405.454 4.555 5 20 HJBD Cc1c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cnn1CC(C)C 501344472 QTPNTDRDJOCYMB-HNNXBMFYSA-N 422.489 4.576 5 20 HJBD COc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)ccc1OCC(C)C 502012631 BWIOQUITQHNIEF-UHFFFAOYSA-N 400.475 4.689 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccccc2)s1 502537004 DKGNTAJVQGUIRE-UHFFFAOYSA-N 400.485 4.868 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccc(F)cc2)C(C)C)c([N+](=O)[O-])cc1OCC 510048043 DFEJDWROQGTBEG-UHFFFAOYSA-N 419.453 4.974 5 20 HJBD CCc1ccc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 515170128 QNCFCKUMZYDPEV-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 522872996 ZUHULNPKNYVULF-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 522948678 RBCHGYTXWYDFJP-UHFFFAOYSA-N 405.838 4.698 5 20 HJBD CC(C)C[C@@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 524312426 PXEKWLKXWUHZIF-CQSZACIVSA-N 419.478 4.845 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc2c(c1)OC1(CCCC1)O2 524609193 MDISKLOSQHVIAD-UHFFFAOYSA-N 411.458 4.781 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 525256635 IGZLIOFMSGWSDE-INIZCTEOSA-N 405.454 4.831 5 20 HJBD CCOc1cc(NC(=O)c2cc(Br)ccc2C)c([N+](=O)[O-])cc1OCC 525649376 LNQUEJJWTQEUHH-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cccc1[N+](=O)[O-] 537135507 FORKPOJPLIVUME-SJORKVTESA-N 404.470 4.643 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(CN(C)C)c(F)c1 539704843 VVIMCEGLMOORBN-UHFFFAOYSA-N 419.522 4.618 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)ccc1OCC(C)C 541612821 ALXVJYSRMJDOSN-HUUCEWRRSA-N 418.465 4.758 5 20 HJBD C[C@@H](NC(C)(C)c1cccs1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 541643262 RIKAVMLLDRIUOO-GFCCVEGCSA-N 416.528 4.632 5 20 HJBD CCC(C)(C)OCc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2OC)c1C 544279302 JDOQVMDXEALWSX-UHFFFAOYSA-N 415.490 4.939 5 20 HJBD COCC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccco1 544421731 PQTYXOJIZHNBMY-GOSISDBHSA-N 418.515 4.978 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3ccccc3)no2)c(Br)c1 545008307 YXEIPFCBIVVMSB-UHFFFAOYSA-N 406.261 4.841 5 20 HJBD CCOC(=O)[C@@H]1CC[C@@H](NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccc21 549235897 QEYJLXYEGAIBKS-RTBURBONSA-N 422.403 4.885 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)c1 549929870 WHRVDEVVFVDUEJ-LJQANCHMSA-N 408.376 4.626 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1cccc(OCc2ccccc2)c1 554004548 RRSJCRGUOWWDTC-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD COC[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1cccc(Cl)c1F 554376199 IVRAPHKXDGGRNM-OAHLLOKOSA-N 406.763 4.883 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1OCC1CC1 555854645 YXHSADGATNXSSE-UHFFFAOYSA-N 423.238 4.846 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 556338902 UDAJOKYROJBAJH-UHFFFAOYSA-N 422.510 4.875 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2cccc(F)c2F)CC1 567535923 BSQBGKKMLYUGPK-UHFFFAOYSA-N 414.330 4.912 5 20 HJBD Cc1cc(OCc2nc([C@@H](F)c3ccc(C(F)(F)F)cc3)no2)ccc1[N+](=O)[O-] 574239528 SCHVZVDGZHFMGF-INIZCTEOSA-N 411.311 4.943 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cc(Cl)ccc2O[C@@H]2CCOC2)no1 582991008 ZIWXZIGZQPCOCQ-BLLLJJGKSA-N 415.833 4.618 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(F)cc1Br 589082305 KOKDDDMLTKYGNT-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD C[C@H](N[C@@H]1CC[C@](O)(C(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 589949729 KZSDCHVLMHALCX-XWCIJXRUSA-N 400.422 4.820 5 20 HJBD CC(C)n1nnnc1-c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 600857813 UJBSTBBTJLJMDL-UHFFFAOYSA-N 424.358 4.599 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(Cc1cccs1)Cc1cccs1 603732487 OZJRNYYORAWOHP-UHFFFAOYSA-N 424.507 4.746 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(OCCOc3ccccc3)nc2)c2ccncc12 603869623 KLPMZQQAVIPYIL-UHFFFAOYSA-N 416.437 4.608 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1 603986328 UYKOBBIBHJRIBF-INIZCTEOSA-N 402.516 4.612 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@@H](C)c1cc2ccccc2o1 604445405 WJSAFVXSRBZLBV-STQMWFEESA-N 402.834 4.981 5 20 HJBD CCOCc1cccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 608807528 WOCMBZGYLWWFKX-MRXNPFEDSA-N 420.469 4.952 5 20 HJBD Cc1c(Br)cccc1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608889090 HPEPEXOKFBTLNV-NSHDSACASA-N 403.236 4.889 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H](c3cccs3)N(C)C)c([N+](=O)[O-])c2)cc1 608971859 VBCBLEICADPVST-FQEVSTJZSA-N 424.526 4.932 5 20 HJBD Cc1c(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cccc1[N+](=O)[O-] 609107828 WSPNEUZPBJGRPK-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2nc(/C=C\c3ccccc3)cs2)c(Cl)c1 609781653 YUBGZHLFSMGHKY-SREVYHEPSA-N 421.887 4.676 5 20 HJBD CC(C)(C)CN(CCCO)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609782239 WEHAGSZOPDTYQT-UHFFFAOYSA-N 401.463 4.650 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(COCc2ccco2)c1 609784504 WLLCEBAUFMSYOG-UHFFFAOYSA-N 400.818 4.739 5 20 HJBD COc1ccc(Cl)cc1[C@@H](CC(C)C)NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 609977952 JCTZTTTZQQFUEP-OAHLLOKOSA-N 420.849 4.503 5 20 HJBD O=C(NC(c1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610035751 NDBFSTSGXQCALL-UHFFFAOYSA-N 411.255 4.877 5 20 HJBD CCCOc1cc(NC(=O)c2c(C)noc2C(F)F)c([N+](=O)[O-])cc1OCCC 610432206 USPDNRPKSJZBCB-UHFFFAOYSA-N 413.377 4.659 5 20 HJBD CC(C)[C@H]1c2ccccc2CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 610792015 BCZJRYAGJFPRHN-QHCPKHFHSA-N 407.514 4.593 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2cc3ccccc3[nH]2)C1)c1ccc([N+](=O)[O-])cc1F 613016035 YAMGKDUELWHJEI-INIZCTEOSA-N 409.461 4.899 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC([C@H]2CCOC2)CC1 619672948 MBAKSHRBMAOJSN-KRWDZBQOSA-N 412.511 4.635 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(Cc4ccc(OC(F)F)cc4)no3)cc12 685221284 DCGFVMOVNLTENB-UHFFFAOYSA-N 419.387 4.924 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 733110521 SGLYHGDHHXPNAE-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD C[C@H](NC(=O)Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cccc([N+](=O)[O-])c1 733640236 NNEDHJAUYHMYFT-LBPRGKRZSA-N 413.821 4.713 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 741955998 AQWBQWIBKDIEPG-HXUWFJFHSA-N 413.430 4.585 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 742889204 HUXUAZHEMXAPNJ-ZDUSSCGKSA-N 424.457 4.914 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@H]1c1cc(Cl)cc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745344931 CWDOSYFGRAXZIX-LKTVYLICSA-N 423.252 4.576 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2cc([N+](=O)[O-])ccc2Cl)cs1 747811256 AHUXHNDIYIFSBS-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 752472236 RYGVRUZVYLPKPV-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H]1c1ccc(F)cc1 754975146 BEMCCKYOTBZSFG-CQSZACIVSA-N 411.202 4.613 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc(Cl)ccc3[N+](=O)[O-])o2)cc1C 758910650 DITFLWCEXXDEPO-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760465152 OCBLHJXDPPBODW-MDZDMXLPSA-N 407.407 4.616 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(F)ccc1Br 760482200 DHARXCSZXPDZCW-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Cl)CC1 772181723 AEVAUPWZGIAAEW-UHFFFAOYSA-N 412.877 4.638 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(O[C@H](C)c3ccccc3)nc1)CC2 773989383 MCFALKCCUDZIBB-MRXNPFEDSA-N 403.438 4.641 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H](c2ccc(F)cc2)c2ccccc2Cl)cc1 779060110 CRIWUXHEJQSFCU-SFTDATJTSA-N 400.837 4.800 5 20 HJBD CCN(Cc1ccc2ccccc2c1)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781610693 WPGBZLGGHXGRQH-UHFFFAOYSA-N 407.426 4.638 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cccc(CCC(F)(F)F)c1 781695964 FQMKLEGVNUPEOR-UHFFFAOYSA-N 411.336 4.569 5 20 HJBD O=C(Cc1coc2cc(F)ccc12)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811217202 WFKJHNGCAOUJFB-UHFFFAOYSA-N 412.398 4.890 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC[C@@H](O)c1ccc(C(F)(F)F)cc1 811507515 FKCCHIAZAXWRDB-CQSZACIVSA-N 409.191 4.744 5 20 HJBD Cc1cc(Nc2cnn(C3CCN(C(=O)OC(C)(C)C)CC3)c2)c(F)cc1[N+](=O)[O-] 812463938 BXXANBLGTFLSFY-UHFFFAOYSA-N 419.457 4.554 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3cc(C)nc4ccc([N+](=O)[O-])cc34)CC2)cc1 812482925 SYEZNMMFRZRLNM-XMMPIXPASA-N 421.497 4.800 5 20 HJBD Cc1cc(SCc2nc(CCC(C)(C)[N+](=O)[O-])no2)c(C)cc1Br 812814226 XWOKNTRRRIZBSO-UHFFFAOYSA-N 414.325 4.729 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 813202748 LMJFHXFMYVGNFU-UHFFFAOYSA-N 408.823 4.523 5 20 HJBD CC(=O)Nc1ccc(Cl)c(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)c1 815220113 PAKUIBMPGXINCV-UHFFFAOYSA-N 416.739 4.582 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)cc1 914707363 SKOUKYPQVQHFBA-IUCAKERBSA-N 415.359 4.791 5 20 HJBD COCCOc1ccccc1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1318338888 GYDVZIJAYGKKIS-UHFFFAOYSA-N 416.861 4.823 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(Cl)c(Br)c2)o1 1326072642 JVPYOZHDRXTRGA-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1nc2c(Br)cccc2s1)c1cc(Cl)ccc1[N+](=O)[O-] 9832459 LHKGEEUMNKXCGA-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD C[C@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 11443923 PVVZIXZEQFZAGQ-VIFPVBQESA-N 422.821 4.786 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 17048767 IUMXHQQXJOFVDL-HUUCEWRRSA-N 416.499 4.769 5 20 HJBD NC(=O)c1cc(-c2ccccc2)sc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 21000829 VLDRQPAIQGXOKJ-UHFFFAOYSA-N 423.475 4.889 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccccc2I)cc1[N+](=O)[O-] 25010882 AYZITLAXMZEPRB-UHFFFAOYSA-N 424.238 4.749 5 20 HJBD C[C@@H](Sc1nc(-c2ccccc2)c[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 27659601 SQDFCEVVKCYGGL-LLVKDONJSA-N 402.863 4.758 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2[C@H](c2ccccc2)C1 29848821 KIOZNOMIYUWUDM-QFIPXVFZSA-N 404.491 4.861 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2ccc(OC(C)C)cc2)cc1[N+](=O)[O-] 46210948 LKLCQNKAQINWHL-OAHLLOKOSA-N 418.515 4.501 5 20 HJBD C[C@H](NC(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1cccc([N+](=O)[O-])c1 47547347 QOHPMOVKQFERHX-VIFPVBQESA-N 417.315 4.680 5 20 HJBD C[C@@H](NC(=O)Cc1csc(-c2ccccc2Cl)n1)c1cccc([N+](=O)[O-])c1 55465924 UQRVWETVCGPQML-GFCCVEGCSA-N 401.875 4.792 5 20 HJBD CCCOc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c(C)c1 55990485 VWFVYTCBQBZJOZ-UHFFFAOYSA-N 400.478 4.891 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 140981028 LUWCDOQFQVALIK-SECBINFHSA-N 418.755 4.682 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 301876626 SMPYMLDSZAYIOT-RDJZCZTQSA-N 412.490 4.539 5 20 HJBD COc1ccccc1-c1csc([C@H]2CCCN(c3ncc([N+](=O)[O-])s3)C2)n1 409655992 FIAAAGLGYPLAPQ-LBPRGKRZSA-N 402.501 4.567 5 20 HJBD C[C@@H](C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(C(F)(F)F)cc1 409747730 GXERMSUKPGDSFS-CQSZACIVSA-N 422.403 4.787 5 20 HJBD COc1ccc2c(c1)[C@H](C(=O)Nc1nc(-c3cccc([N+](=O)[O-])c3)c(C)s1)CC2 432751349 VNNIERDLTRBIAI-QGZVFWFLSA-N 409.467 4.704 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])s2)cc1 433760233 UTIRCYYYDVLRIH-GFCCVEGCSA-N 413.503 4.673 5 20 HJBD Cc1ccc2c(CCNC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)c[nH]c2c1 436302040 QPKJCVMLSVEFIE-UHFFFAOYSA-N 413.452 4.583 5 20 HJBD COCCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@H](C)c1cccc(C(F)(F)F)c1 438911748 RHWSPFISKBMERP-OAHLLOKOSA-N 424.419 4.701 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1sc(Br)cc1[N+](=O)[O-] 444832211 FRWSVVHVYZNTDZ-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 446483627 CYBKWGSMUKHWHE-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 464037771 GYTNGQMJPLBFTN-OXQOHEQNSA-N 406.429 4.762 5 20 HJBD C[C@@]1(CO)CCC[C@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467518925 CCPRAMJMRKLEPX-XLIONFOSSA-N 420.918 4.680 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(CCC(F)(F)F)CC(F)(F)F 468532428 WSWLRWMIXKRODU-GFCCVEGCSA-N 400.319 4.507 5 20 HJBD C[C@@H](C(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1)c1ccc([N+](=O)[O-])cc1F 470152829 UQQCEKVUQFSECQ-CQSZACIVSA-N 416.449 4.750 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1 471395402 ZZJRXWONIMQGKL-UHFFFAOYSA-N 411.639 4.856 5 20 HJBD Cc1ccc([C@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccccn2)cc1 472478042 MLMGLMQRNAEIKA-QHCPKHFHSA-N 416.481 4.762 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3ccc([N+](=O)[O-])c(C)n3)c2C2CC2)cc1Cl 475308518 PZRTZBOTKJHBRC-UHFFFAOYSA-N 411.849 4.575 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc3sc(N4CCCC4)nc3s2)cc1[N+](=O)[O-] 476240168 VNQUWKQKNHSPTL-GFCCVEGCSA-N 416.528 4.666 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 479122953 YCWWOOJBMAGANK-GASCZTMLSA-N 424.501 4.596 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 481301927 RYKLWJUHVHRRCI-MRXNPFEDSA-N 408.336 4.510 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1cnn(-c2ccccc2)c1 482024141 HCMNOMKRTGIPDS-UHFFFAOYSA-N 424.526 4.501 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC(OC2CCCC2)CC1 486533578 NSCBSQYOKMEKHS-UHFFFAOYSA-N 424.497 4.738 5 20 HJBD COc1cc(SC)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 487440402 RDZLSVKPZOUSET-UHFFFAOYSA-N 404.491 4.524 5 20 HJBD C[C@@H]1CN(c2ncnc3sc([N+](=O)[O-])cc23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488707814 FMMZYGRMXRDDSI-YGRLFVJLSA-N 424.404 4.585 5 20 HJBD C[C@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 490046033 PWCQJDLXGTYLTL-IUCAKERBSA-N 413.381 4.532 5 20 HJBD CC(C)C[C@H](NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(Cl)cc1 495244728 WTUBXBFEJOXNKG-SFHVURJKSA-N 419.913 4.579 5 20 HJBD O=C(NCCCc1nc(-c2ccncc2)cs1)c1cc2cc([N+](=O)[O-])ccc2s1 503099438 VUUBNGHPTMMXIM-UHFFFAOYSA-N 424.507 4.691 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)Cc1ccccc1[N+](=O)[O-] 506539180 FVILXPXEAJFTRW-UHFFFAOYSA-N 407.470 4.664 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C(C)C)cc1 510821817 RIXUTSZHCYODKC-KRWDZBQOSA-N 409.389 4.724 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccc(F)cc2)n1C 511095099 IMGTUORNXHACRI-UHFFFAOYSA-N 402.451 4.609 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccccc1)CC1CCC1 512797361 NRRLHMPAVFTXPA-UHFFFAOYSA-N 410.517 4.984 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3ccccc3s2)C1 515235348 JHASICIUJOBVDU-ZDUSSCGKSA-N 412.471 4.625 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)c1[N+](=O)[O-] 521073501 WTUMTXTZFZMZFN-UHFFFAOYSA-N 410.274 4.560 5 20 HJBD Cc1sc(NC(=O)c2cnn(Cc3ccccc3)c2)nc1-c1cccc([N+](=O)[O-])c1 524143879 HUJDUAORQUFJCP-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)ccc1OCC(F)(F)F 530976880 GDWCWHYZQFHWBS-SNVBAGLBSA-N 418.368 4.794 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 531620038 GLOVVRZOLIIQOC-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@@H](COCc2ccccc2)C1 541586809 CPIWLOYGNCYNCW-OAHLLOKOSA-N 423.391 4.684 5 20 HJBD C[C@H](C(=O)N1CCC(Oc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 541601145 OJNPDJZMRBDKET-ZDUSSCGKSA-N 406.841 4.561 5 20 HJBD CSc1ccc([C@@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 545256905 FMTDZSGARKREMR-LLVKDONJSA-N 413.421 4.896 5 20 HJBD Cc1cc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)CC2)n[nH]1 546862696 URAKDPHASLLTMS-UHFFFAOYSA-N 422.510 4.797 5 20 HJBD O=C(N[C@H]1C[C@H]1c1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550393488 MTYNIVHTEUMQBG-NHYWBVRUSA-N 418.293 4.918 5 20 HJBD COc1ccc(N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1F 558130703 XKFVTQVWKSFOMK-UHFFFAOYSA-N 410.829 4.606 5 20 HJBD CCc1nn(C)c(Nc2ccc(Oc3ncc(Cl)cn3)c(Cl)c2)c1[N+](=O)[O-] 567510219 VAJJEIDVDMYESE-UHFFFAOYSA-N 409.233 4.523 5 20 HJBD CCC[C@@]1(CO)CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 577313072 LULYJOUULSKDTK-OAQYLSRUSA-N 406.548 4.502 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2cccnc2)nc1 582718567 RHSSQRUZSJWIKD-UHFFFAOYSA-N 416.846 4.561 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(F)cc2)CCCC1)c1ccc([N+](=O)[O-])cc1 583076314 ZNITUQYHGKCOFB-OAQYLSRUSA-N 408.477 4.658 5 20 HJBD C[C@@H](N[C@H](CCc1ccccc1)c1ccccc1)C(=O)Nc1ccccc1[N+](=O)[O-] 603955354 SXLPRTWGLAGUGO-WIYYLYMNSA-N 403.482 4.886 5 20 HJBD C[C@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1c(Cl)cccc1Cl 604566414 VITGTPHMCRHNBW-JTQLQIEISA-N 411.241 4.805 5 20 HJBD Cc1c(NC(=O)C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCCC2)cccc1[N+](=O)[O-] 609254876 ZTEQKCFZRSSCMH-LJQANCHMSA-N 415.877 4.543 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)CC2)cc1 609490258 GLDOGDRGCROCBM-UHFFFAOYSA-N 419.481 4.642 5 20 HJBD Cc1ccc(C[C@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 609653072 XENRAUYIUHULNH-VGSWGCGISA-N 421.522 4.879 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc2n1 609880841 WTHDKRJMSVRHEU-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD CC(C)CN(CCc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1Br 610039132 YOEKTABAGKDWDV-UHFFFAOYSA-N 405.292 4.698 5 20 HJBD CCCN(Cc1ccccc1F)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 610264534 SLKQOGOQGHXXBU-UHFFFAOYSA-N 421.277 4.642 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 611285829 AYWUQGXHEKWSLN-FQEVSTJZSA-N 410.449 4.905 5 20 HJBD C[C@@H](OC(=O)c1nc(-c2ccccc2)n(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 729237445 CBQUOUUXDWYNCS-MRXNPFEDSA-N 414.421 4.761 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1cc(F)ccc1[N+](=O)[O-] 729420108 RQPDNTZJKBMDNA-JTQLQIEISA-N 403.410 4.796 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC([C@H](O)c3ccc(F)cc3)CC2)cc1[N+](=O)[O-] 736209445 QGDAEYUVHKSHQK-OAQYLSRUSA-N 414.477 4.617 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1)[C@@H](Cc1ccc(F)cc1)C(C)C 744378220 LFSCPVLZOHZXRH-SFHVURJKSA-N 417.437 4.680 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 745329403 IQOGWVNTWBSSQG-MRXNPFEDSA-N 420.421 4.500 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@@H]1CCc2n[nH]cc2C1 746266106 REUOPXCSBBGTFV-HXUWFJFHSA-N 421.500 4.944 5 20 HJBD CCc1nc(C(=O)Oc2ccc(C)cc2[N+](=O)[O-])nn1-c1c(Cl)cccc1Cl 748607355 ARPYYIBXVPDCHF-UHFFFAOYSA-N 421.240 4.572 5 20 HJBD CC(=O)c1ccc2cc(Oc3ccc(S(=O)(=O)C(F)F)cc3[N+](=O)[O-])ccc2c1 749105104 SOGHHNSGMWTPID-UHFFFAOYSA-N 421.377 4.739 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)c1cc([N+](=O)[O-])ccc1F 751193241 DPSBJZHEPXRVEC-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 752108672 DABXLKJBTOITAM-UYAOXDASSA-N 408.454 4.850 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCSc2ccc(Cl)cc21 758002062 FDLICTZBHMOLHV-UHFFFAOYSA-N 413.680 4.763 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 760784862 KBSUKBIUQHLZHN-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD Cn1ccc(CCNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)n1 760968282 SODPCLZVJXXHLX-UHFFFAOYSA-N 409.489 4.639 5 20 HJBD Cc1ccc(CN2CCSCC2)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 770283772 YAVLTDSITLHGKP-UHFFFAOYSA-N 413.543 4.630 5 20 HJBD O=[N+]([O-])c1ccc(N2Cc3ccccc3C2)c([N+](=O)[O-])c1N1Cc2ccccc2C1 771147351 PJIAVWPUGKXLRF-UHFFFAOYSA-N 402.410 4.543 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])s1 776217579 JUXVJKDIAAXAQL-INIZCTEOSA-N 420.393 4.908 5 20 HJBD C[C@H](OC(=O)c1cc(O)cc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 780068611 LIVFNBAFJCJAIC-QMMMGPOBSA-N 424.196 4.575 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 782075145 UHGMMDZBIDMXDW-OAQYLSRUSA-N 421.380 4.545 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 787886776 QRYCSHLTKIAHAG-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1cnc(COC(=O)Cc2csc(-c3ccccc3Cl)n2)c(C)c1[N+](=O)[O-] 789441102 CGDILDSUGOSPKO-UHFFFAOYSA-N 417.874 4.669 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792962099 FGWDJYQKVRRJDI-ZDUSSCGKSA-N 417.893 4.704 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@H]2c2nc3ccccc3s2)c(Br)c1 799978269 ATRYAQIDNWAXFF-HNNXBMFYSA-N 420.288 4.545 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(Br)c3cccnc23)cc1 800823912 RIXKQXOSPUVXBH-UHFFFAOYSA-N 403.261 4.714 5 20 HJBD COc1ccc2cc(NC(=O)C(=O)Nc3ccc(C(C)(C)C)c([N+](=O)[O-])c3)ccc2c1 807306705 ACOXGGZNNXCKSE-UHFFFAOYSA-N 421.453 4.631 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)C(F)(F)F)cc1 809916239 XGYDIFQOGASKLA-CQSZACIVSA-N 403.744 4.682 5 20 HJBD O=C(/C=C\c1ccc(Cl)nc1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220983 XAQRMBCIYHVMLR-ALCCZGGFSA-N 401.831 4.523 5 20 HJBD O=C(NC[C@@H]1CCCO[C@@H]1c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930066 HOACIUBQKCTZHM-SCLBCKFNSA-N 409.269 4.799 5 20 HJBD CC[C@@H](C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(OC)cc1 813142123 CTCDYKYNWITYRA-KNQAVFIVSA-N 406.438 4.825 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)Nc2ccc(F)cc2Cl)cc1[N+](=O)[O-] 815406817 WNYQXHLNFDYIHD-VIFPVBQESA-N 415.204 4.533 5 20 HJBD CC(C)(C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccccc1)c1ccccc1[N+](=O)[O-] 816644552 PQEDDALAYSLTMU-HSZRJFAPSA-N 418.493 4.635 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nc(-c2cccc(NC(=O)OC(C)(C)C)c2)no1 904635298 VGUXRRQRJQBYCS-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 914760899 PMNCZJAHINFNLC-UHFFFAOYSA-N 406.398 4.519 5 20 HJBD Cc1ccc[n+](/C(C(=S)N[C@H](C)c2ccccc2)=C(/O)c2cccc([N+](=O)[O-])c2)c1 917614707 YQLCBRLNFOBKQT-QGZVFWFLSA-O 420.514 4.753 5 20 HJBD COc1ccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1Cc1ccccc1 917810559 LUIBDGJHXMSOEX-UHFFFAOYSA-N 416.437 4.856 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116892746 KCIDITCEFPCEDE-CZIWCDLHSA-N 405.376 4.585 5 20 HJBD COc1cc(-c2nnc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc(OC)c1OC 1117169179 KHEDLZRIKKHISH-NSHDSACASA-N 417.443 4.524 5 20 HJBD COc1ccc(N(Cc2ccccc2)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1OC 1318383181 LOFCALOSLYXHNK-XNTDXEJSSA-N 418.449 4.859 5 20 HJBD C[C@H](Oc1cccc(Br)c1)c1nnc(CCc2cccc([N+](=O)[O-])c2)o1 1323965598 XUEJSJKNYWOFED-LBPRGKRZSA-N 418.247 4.666 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2Cc2nnc(C3CCC3)o2)cc1 1326049710 KAHHJSFFEOMBSL-UHFFFAOYSA-N 401.426 4.689 5 20 HJBD C[C@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 9160362 RYUPXESNTCCGJX-ZETCQYMHSA-N 423.775 4.920 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)[C@H](Sc1ccccc1)c1ccccc1 9890628 IJVGUGHSPDITRH-OAQYLSRUSA-N 407.495 4.657 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1C 10846478 DMHIJDBCOSVJAV-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)Cc2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 22643896 OMDIDJQUDHMDIU-KRWDZBQOSA-N 418.449 4.683 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)CCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53810172 ULYQWRYPDNAFGV-SFHVURJKSA-N 418.497 4.868 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1Cl 55689178 UPHOBLRKDIIMMT-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD COc1ccc(CSCC(=O)N(C2CC2)[C@H]2CCc3ccccc32)cc1[N+](=O)[O-] 59422291 ABHDTABAJLWWNW-IBGZPJMESA-N 412.511 4.515 5 20 HJBD C[C@@H](Nc1ccc2oc(C3CC3)nc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 106059629 QGWQSGKZYHAXEG-SNVBAGLBSA-N 400.822 4.706 5 20 HJBD CC(=O)Nc1cccc(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 236621075 QSOVYOMHSIALAU-UHFFFAOYSA-N 409.417 4.774 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3ccccc3)Cc3ccccc3)o2)cc1 302831107 AHCOZILXPFNAEK-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD CC(C)(NC(=O)CCCc1ccc(Br)s1)c1ccc([N+](=O)[O-])cc1 430556372 YZUSYIUWRBKHDJ-UHFFFAOYSA-N 411.321 4.793 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 430660841 FTIJVFNJZYOFDU-MAUKXSAKSA-N 409.511 4.592 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432768978 ZEBMJHLTAPGVJW-UHFFFAOYSA-N 414.531 4.513 5 20 HJBD O=C(NCc1ccccc1C(F)(F)F)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436091287 IQNGUZRVYYCIIT-UHFFFAOYSA-N 414.358 4.769 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NC(C)(C)c1nc(C(F)(F)F)cs1 439901148 MCLVZWWKNCMKOO-UHFFFAOYSA-N 418.397 4.526 5 20 HJBD CC1(C)CCc2ccccc2[C@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C1 442968633 SLNOXWDVHJOGMO-HXUWFJFHSA-N 405.454 4.682 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444183067 MDXAZRSTJDRPLG-LFVRLGFBSA-N 400.453 4.874 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)s2)cc1 444690832 VWXHZGXBLPTVJZ-GFCCVEGCSA-N 423.498 4.762 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 446499435 QQDSTTLBPYHFRY-LLVKDONJSA-N 414.409 4.790 5 20 HJBD O=C(Nc1cc(C2CC2)ccn1)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 461449223 MFDWGAZPJHEVJR-UHFFFAOYSA-N 418.240 4.612 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccc(Cl)c2)c(F)c1)c1cccc([N+](=O)[O-])c1 463536036 NDXNGBLCINZZDT-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC2(CCN(Cc3ccccc3)C2)CC1 463958751 QQABSFDSJAVRBD-UHFFFAOYSA-N 422.529 4.732 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1Cc1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465950386 GXNQTTCSZOEWTE-WMZHIEFXSA-N 423.513 4.559 5 20 HJBD CC[C@@H]1CN(C(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)CC[C@@H]1c1ccccc1 470186028 NWVDXTUKIZIIQC-UTKZUKDTSA-N 418.497 4.651 5 20 HJBD CN(Cc1nc(C(F)(F)F)cs1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 470659066 LGCXAOXXAIQWSE-UHFFFAOYSA-N 401.391 4.557 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 470772219 JDFPABFZTFFLFW-WMZOPIPTSA-N 408.376 4.572 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1cccc(Cl)c1)c1ccccn1 472270832 COIUZANCBPNKDT-FQEVSTJZSA-N 416.264 4.745 5 20 HJBD Cc1[nH]nc(C(=O)NCc2ccccc2-c2ccc(Cl)cc2Cl)c1[N+](=O)[O-] 472446131 ZWWFNSPPYCDDFN-UHFFFAOYSA-N 405.241 4.530 5 20 HJBD COc1c(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)cccc1[N+](=O)[O-] 474214110 GULKASJCYUMFDK-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)ccc1[N+](=O)[O-] 475052049 BMLHBUQUXFZTBC-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)NC1(c2nccs2)CCCC1 475220057 MYSZFCITWIHTDN-UHFFFAOYSA-N 400.382 4.661 5 20 HJBD CC[C@H](Cc1ccccc1Br)N(C)C(=O)c1ccc([N+](=O)[O-])cc1F 479767723 REGQLOJNNCUZAB-CYBMUJFWSA-N 409.255 4.590 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1ccccc1)c1ccc(F)cc1 481240685 SCEZBOWQXJFQBF-QFIPXVFZSA-N 407.445 4.707 5 20 HJBD Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c2ccc(F)cc2)cn1 485407381 GVASEZZXSMZSRG-NRFANRHFSA-N 421.407 4.870 5 20 HJBD Cc1ccc(F)c(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1Br 486130053 PXHGKISDSJOEMR-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CC(C)CCn1ncc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1C(C)C 487605003 JLGZOMHTZOYJEG-UHFFFAOYSA-N 407.902 4.936 5 20 HJBD Cc1ccsc1-c1nnc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)o1 488806263 NBXOWXJFANJRCT-SECBINFHSA-N 424.891 4.787 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 489968670 YJAMOOWSGJSMIY-INIZCTEOSA-N 416.909 4.857 5 20 HJBD CCc1noc(CC)c1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 490187295 KVBWDZGPZJYNGN-UHFFFAOYSA-N 411.483 4.789 5 20 HJBD CC(C)c1c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccccc1 490339946 DIBHEKKTMKLHJW-UHFFFAOYSA-N 416.384 4.758 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NC(=O)c3ccco3)c(C)c2)c1 492611887 HDYKFYZCCDCPAO-UHFFFAOYSA-N 411.439 4.723 5 20 HJBD C[C@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)s1 492909134 BQPMUDRZUPPXMO-NSHDSACASA-N 409.305 4.714 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H]3CCO[C@H]3c3ccc(Cl)c(F)c3)co2)cc1 494480465 ZLUSBKCNJANZMM-OALUTQOASA-N 417.824 4.662 5 20 HJBD CCCOc1ccc(CCC(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1 498154257 WIFPMMREBDWGQM-OAQYLSRUSA-N 400.500 4.590 5 20 HJBD O=C(N[C@H](CCc1ccccc1)C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 498294357 LATCTCKAGAIHRG-OAHLLOKOSA-N 420.309 4.907 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)c1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 498829037 CJSJYWQUBRMEDE-SNVBAGLBSA-N 403.287 4.503 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1n[nH]c(-c2cccc3ccccc23)n1 500659151 VJNDFFUZSXGZTR-ZDUSSCGKSA-N 419.466 4.652 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 505739184 DVLFXZBTMWWXQB-UHFFFAOYSA-N 420.494 4.994 5 20 HJBD CCCCN(C)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCCC)CC1 506474754 PDXNICKRUBTJND-UHFFFAOYSA-N 407.580 4.681 5 20 HJBD CCc1cc(=N[C@H](c2ccccc2)c2ccccn2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 507118365 XYLYNUSTWQDLKJ-HSZRJFAPSA-N 411.465 4.633 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)CCNc1ccccc1[N+](=O)[O-] 507549248 JYFHTYJIFAVLNC-CQSZACIVSA-N 410.499 4.706 5 20 HJBD CCc1nc2ccccc2n1C1CCN(Cc2c(F)cc([N+](=O)[O-])cc2F)CC1 508975186 CWAHXTLFBKFPOY-UHFFFAOYSA-N 400.429 4.622 5 20 HJBD CC(=O)c1ccc(Cl)c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 511279753 FAFGNLFKKGYHSS-UHFFFAOYSA-N 411.639 4.774 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])oc12 517048392 PQTWOQBQYNAEBG-HNNXBMFYSA-N 411.458 4.685 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1c[nH]c2cc([N+](=O)[O-])ccc12 517730045 BMKJMSLKQKVLMD-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1Oc1ccc2nnc(C(F)(F)F)n2n1 517950316 WYJJXXJOYIWSBD-UHFFFAOYSA-N 401.304 4.511 5 20 HJBD O=C(NCc1ccccc1-c1ccc(Cl)cc1)c1cc2c(cc1[N+](=O)[O-])OCO2 524210248 RWAVPDNTNHOCNS-UHFFFAOYSA-N 410.813 4.574 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 531205164 ZUTCAALFKNZRJT-KBPBESRZSA-N 405.882 4.551 5 20 HJBD Cn1c(=O)oc2ccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc21 533209476 SMINICGLEULKCM-UHFFFAOYSA-N 409.373 4.583 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCCc2cccc([N+](=O)[O-])c2)c1 535369262 MZWBYRFCRPHDQO-UHFFFAOYSA-N 408.502 4.554 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535570637 ATTLOYYMZNIXGM-UHFFFAOYSA-N 417.469 4.705 5 20 HJBD CC[C@@H](C[C@H](C)CO)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536222850 WMQOICPKRGTZFK-ZFWWWQNUSA-N 422.934 4.926 5 20 HJBD O=C(C1CCN(C/C=C/c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)C1CC1 537616547 FIZACWNHFVRZRO-UXBLZVDNSA-N 419.525 4.511 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc(C(C)(C)C)n1 538219572 IFXQZIDGQHMTRE-UHFFFAOYSA-N 407.561 4.822 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 538227438 UCISYBZURRPBPV-HNNXBMFYSA-N 415.465 4.511 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1COc2ccccc21 538561641 IZCUYOBADRTYGT-KBXCAEBGSA-N 400.500 4.782 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 540401129 NSKANXNGBGEMFY-CABCVRRESA-N 417.531 4.782 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccc(Oc5ccccc5)nc4)n3)c2c1 546490277 BARUTZUEVJWGBI-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@@H]2NCc2cnc(-c3ccc(Cl)cc3)s2)n1 551816934 FEPAHCIUVRFOEH-IRXDYDNUSA-N 417.922 4.842 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(Oc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 552250991 IAXXYUQPAFHOAV-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cccc([N+](=O)[O-])c1 561998236 YBNHMABIVZXWAK-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD Cn1ccnc1[C@H](Nc1cccc(OCc2cscn2)c1)c1ccc([N+](=O)[O-])cc1 576137179 IYRDMVYHGDLVBJ-HXUWFJFHSA-N 421.482 4.565 5 20 HJBD COc1cccc([C@@H](CNc2ccc([N+](=O)[O-])nc2)c2c[nH]c3ccccc23)c1OC 576841504 KKDGJTBYXKWGEE-LJQANCHMSA-N 418.453 4.732 5 20 HJBD O=C(NC[C@@H]1COc2ccccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 581573930 UNXUJGDPEIEVKU-OAHLLOKOSA-N 406.463 4.652 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 582643206 SYTJCVRAGIGBTA-HZPDHXFCSA-N 412.486 4.906 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1c([N+](=O)[O-])cccc1C(F)(F)F 584808023 DEOGMBVBIRJGGL-BBRMVZONSA-N 414.767 4.527 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1 589083362 FNTHBIFXIHKXER-ZDUSSCGKSA-N 404.382 4.642 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3cnn(C)c3C(F)F)c3ccccc3n12 589286868 FWUSNOQEVNIOKF-UHFFFAOYSA-N 405.408 4.873 5 20 HJBD O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)c1cc2ccccc2c2cccnc12 589926199 FNFKLIACDYDBAV-UHFFFAOYSA-N 421.456 4.646 5 20 HJBD CN(Cc1cc(Br)cs1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 603762606 WFEQDYBWQSQPST-UHFFFAOYSA-N 411.302 4.906 5 20 HJBD CCn1ncc2c(C(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 603966155 BRPYSUDNTJJVNX-HNNXBMFYSA-N 415.453 4.517 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)N1Cc2ccccc2-c2ccccc21 603980985 FIBULEIXTUFJLT-UHFFFAOYSA-N 400.843 4.624 5 20 HJBD Cc1ccc2c(c1)N(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 604503773 QSDVJFXJSMIPNQ-MRXNPFEDSA-N 402.454 4.674 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1Cl 609448632 NBAXQMBTYIJVIO-LBPRGKRZSA-N 419.840 4.654 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 609667731 JNNFQCVYNWUGKK-MUUNZHRXSA-N 414.870 4.808 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2ccc(C)cc2)cc([N+](=O)[O-])c1OC 610169315 NKYIVHZIJBPLTB-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610291582 WZKOLLAGKJQEJF-DNVCBOLYSA-N 422.403 4.854 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC(c4nc5ccccc5[nH]4)C3)o2)c(Cl)c1 611273873 XBMQFJTYMUHZMD-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD CCn1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1cccc(Cl)c1 611702710 QNMSILMHWNWAMI-UHFFFAOYSA-N 412.862 4.621 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 617837260 DYNKLMRLRQCZQY-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)O[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])sc2c1 729729247 LHHHUGZDEOKVKF-LLVKDONJSA-N 418.858 4.955 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)cc1 731802323 FGMODKBGRKCFCD-KPKJPENVSA-N 410.393 4.549 5 20 HJBD C[C@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 741309165 AJGDZRZUONVWCS-HNNXBMFYSA-N 407.426 4.768 5 20 HJBD Cc1c(C(=O)Nc2ccc3nc(C(C)(C)C)[nH]c3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748701481 ZEKGVVHIWSKYAA-UHFFFAOYSA-N 411.418 4.546 5 20 HJBD C[C@H](C(=O)OCc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])cc1F 755066145 GEZPOWHPMYFURA-NSHDSACASA-N 404.781 4.889 5 20 HJBD C[C@@H](C(=O)OCc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 755644403 OLQNUYLMKOCFIB-OAHLLOKOSA-N 422.412 4.833 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1F 758361677 NWBVSYQHMYYXIS-INIZCTEOSA-N 423.876 4.917 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762909267 VHTFWUMPDZGEEK-ZIAGYGMSSA-N 409.442 4.774 5 20 HJBD O=C(NCc1cccc(Oc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c1)Nc1ccccc1 767414084 DSVKKVFPBSHLOX-UHFFFAOYSA-N 408.370 4.617 5 20 HJBD O=C(OCc1ccc(-c2ccsc2)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 768716580 LLTSXZBEWPIGPR-UHFFFAOYSA-N 406.419 4.934 5 20 HJBD CN(c1ccccc1)c1ccc(CN2CCC(N(C)CC(F)F)CC2)cc1[N+](=O)[O-] 769508942 GGXAONLIYCJKRZ-UHFFFAOYSA-N 418.488 4.524 5 20 HJBD COc1cccc(C(=O)O[C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 769600802 OZSHUPCISAMGTO-NSHDSACASA-N 402.790 4.830 5 20 HJBD Cc1nc(COc2ccc(NCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)cc2)no1 770566523 JMYQQHXFGPZRHY-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)ccc1NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 771464658 QUZWBXCJXNJISS-UHFFFAOYSA-N 422.441 4.537 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nccn2CCCc2ccccc2)c(OC(F)F)c1 780911454 PFUAVUIANMUJTE-UHFFFAOYSA-N 402.401 4.638 5 20 HJBD Cc1nc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])sc1-c1ccccc1 781610270 RWTALDKSQTXVSY-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD Cc1c(CNC(=O)c2ccccc2NC(=O)OCc2ccccc2)cccc1[N+](=O)[O-] 791017695 KTYXAZHRASSFOQ-UHFFFAOYSA-N 419.437 4.582 5 20 HJBD O=C1CSc2ccc(NCc3ccc([N+](=O)[O-])c(Cl)c3)cc2N1CC(F)F 793610335 UENMGOFOWWEDEN-UHFFFAOYSA-N 413.833 4.564 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 795361071 ITGNMCGNLWBGLD-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD CN(C(=O)CCN1c2ccccc2Sc2ccccc21)c1ccc([N+](=O)[O-])nc1 798240735 CXPKDEQOZQTJOX-UHFFFAOYSA-N 406.467 4.646 5 20 HJBD O=C(NCc1cccc(OCC(F)(F)F)c1)Nc1cccc([N+](=O)[O-])c1Cl 809915556 QZPIWSJFJSGBNK-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD C[C@@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])n1ccc2ccccc2c1=O 811085782 BFQYMGIZCWVGEY-NSHDSACASA-N 421.236 4.521 5 20 HJBD CC(C)OC(=O)[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 813970868 CAMVVLVUKXUZIK-QHCPKHFHSA-N 415.445 4.531 5 20 HJBD CSc1ccc(C(=O)O[C@H](C(=O)Nc2cccc(C)c2C)C(C)C)cc1[N+](=O)[O-] 876685646 UENGAJNTRLXXKX-IBGZPJMESA-N 416.499 4.754 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1)N1CCN(c2ccc([N+](=O)[O-])cc2)CC1 915303723 LCQKGWSKCQKPJF-UHFFFAOYSA-N 402.454 4.616 5 20 HJBD O=[N+]([O-])c1ccc2nc(SC[C@H](O)c3ccc(OC(F)(F)F)cc3)sc2c1 916970992 XPSNHQAIXBGXDJ-ZDUSSCGKSA-N 416.402 4.929 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccc(Cl)s3)n2)c([N+](=O)[O-])c1 920020018 FUDHXYXGIJANAV-UHFFFAOYSA-N 410.860 4.590 5 20 HJBD O=C(Nc1cc(-n2cccc2)ccc1F)c1ccc([N+](=O)[O-])cc1Br 1318707311 DJXXPDOWVCZWSI-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD CCCC(=O)NC[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1319717037 SDOKKXKBJUNWAQ-OAHLLOKOSA-N 419.909 4.637 5 20 HJBD CCc1cccc(CC)c1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 19679883 PNFGFBHODHUSEK-UHFFFAOYSA-N 406.486 4.834 5 20 HJBD COc1ccc(Cl)cc1N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 22465832 SHZFHKASUGZHPO-VIFPVBQESA-N 417.771 4.715 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@@H]2C2CCCC2)cc1[N+](=O)[O-])c1ccccn1 54138876 AFWWVZZTGAYZPH-IIBYNOLFSA-N 408.502 4.958 5 20 HJBD Cc1c(OCC(=O)N(C)[C@@H](c2ccccc2)c2ccc(F)cc2)cccc1[N+](=O)[O-] 60807316 FRRFHHJZGOIEOH-QHCPKHFHSA-N 408.429 4.669 5 20 HJBD Cc1c(NC(=O)N(CCN(C)C)Cc2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 62374565 NNSVTXBKHMIUJW-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccccc1)Cc1ccco1 69161600 ZJCYRRPWIJUTHG-UHFFFAOYSA-N 415.243 4.793 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1F 105629249 GQEPRRWFRDWQLS-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD C[C@@H](c1ccccn1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 195662043 NOKUDEVYSACYQS-KRWDZBQOSA-N 408.527 4.597 5 20 HJBD CC(C)(C)C(=O)N1CCOc2ccc(Nc3ccc([N+](=O)[O-])c(OC(F)F)c3)cc21 301934840 SHFNRHWWWVXLAV-UHFFFAOYSA-N 421.400 4.711 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@H]1c1c(F)cccc1Cl 303905255 TTZGZBAQFJQDCQ-OLZOCXBDSA-N 400.797 4.520 5 20 HJBD O=[N+]([O-])c1ccc(N2CCOC[C@@H]2c2nc3ccccc3s2)cc1C(F)(F)F 409660787 UKYAXSMWWIDRQT-OAHLLOKOSA-N 409.389 4.801 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3cc(C(F)(F)F)cc(C(F)(F)F)c3)CC2)n1 425977832 WRRVTUYHTWCUDN-UHFFFAOYSA-N 422.329 4.666 5 20 HJBD COc1ccc(Br)c(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 426109506 LBNHIXGSPIBFQE-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD COc1ccc([C@H](C)N(C)C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 426266502 JYAGUPJFHGSJKC-LBPRGKRZSA-N 411.380 4.525 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](C)c2ccc(Oc3ccccc3)c(F)c2)c1[N+](=O)[O-] 433985764 PCWMCRICOSRAPU-CYBMUJFWSA-N 412.421 4.864 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437238220 SFZAVKPOQLBPHX-UHFFFAOYSA-N 423.210 4.710 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(c2c[nH]c3ncccc23)CC1 437913034 DOVLCGVEHBACPS-UHFFFAOYSA-N 418.375 4.510 5 20 HJBD Cc1nc([C@@H](NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 438940408 WCTPKPURMBCOLH-SFHVURJKSA-N 414.849 4.582 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ccc(CS(=O)(=O)C(C)(C)C)cc1 439097388 FFRSHQMSSFOZPN-UHFFFAOYSA-N 424.906 4.522 5 20 HJBD C[C@@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1C(F)(F)F 439188092 KYCJMOVMDIUNHC-NSHDSACASA-N 419.363 4.531 5 20 HJBD C[C@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 439630535 ATGJMIQKZSTJII-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD CC[C@@]1(C)Oc2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2O1 443570136 WIZLODGMXNBTNE-VWLOTQADSA-N 414.461 4.691 5 20 HJBD C[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(Cl)c1 444097411 UGSIRGMDOCCJCH-CQSZACIVSA-N 406.869 4.532 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC2COC2)cc1 444524721 FRHFIBSCRGWDBJ-UHFFFAOYSA-N 402.472 4.517 5 20 HJBD C[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 444851228 QZZWKDQRHDYPSE-SSDOTTSWSA-N 423.210 4.929 5 20 HJBD O=C(Cc1noc(-c2ccccc2[N+](=O)[O-])n1)Nc1ccc(Oc2ccccc2)cc1 445373744 RLUHQFJKKLAXEJ-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD CC(=O)Nc1ccc(NCc2cc([N+](=O)[O-])ccc2OC(C)C)c(C(F)(F)F)c1 462213508 NYQSSTBILXRQCR-UHFFFAOYSA-N 411.380 4.971 5 20 HJBD COc1ccc([N+](=O)[O-])c(NCC2(c3cccc(Br)c3)CCOCC2)c1 462375923 NQMDYLRBMTVBKJ-UHFFFAOYSA-N 421.291 4.526 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1ccc(Cl)cc1[N+](=O)[O-] 465017144 LCBDWAYHTDQYTN-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2cccc(Br)c2)CC1 466790900 PNZXJXCACOZULL-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C[C@]1(CN[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCCS1 467428752 ILWMNYUKOLBALY-UYAOXDASSA-N 419.934 4.803 5 20 HJBD COc1cc(OC)cc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 468157057 BAKGIDULEMNOSU-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 470772215 JDFPABFZTFFLFW-FUHWJXTLSA-N 408.376 4.572 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3ccccc3)c3ccc(O)cc3)o2)cc1 471173616 SDRKZSFUAGONDU-UHFFFAOYSA-N 416.437 4.600 5 20 HJBD CC(=O)CCCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 473146463 ZKRLWQMONZFAQJ-UHFFFAOYSA-N 408.813 4.555 5 20 HJBD COc1cccc([C@@H](NCc2cccc([N+](=O)[O-])c2C)c2cc(F)ccc2OC)c1 475169826 AZELWOHSBQYTPT-HSZRJFAPSA-N 410.445 4.939 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)c1 477225595 ULEFIYRZALEYTM-UHFFFAOYSA-N 402.369 4.878 5 20 HJBD CC(C)c1c(NC(=O)CNc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 482697051 PMPOXOYIHDXHQM-UHFFFAOYSA-N 413.865 4.608 5 20 HJBD C[C@@H](Cc1ccncc1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 487874685 KRNUSGDMNODWJR-AWEZNQCLSA-N 411.845 4.797 5 20 HJBD C[C@H](Cc1ccncc1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 487885538 YZVYSKYBOYSHGK-OAHLLOKOSA-N 424.888 4.616 5 20 HJBD COc1ccc([C@H](NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C2CC2)cc1 494198556 OFPAEPCJVARUMQ-GOSISDBHSA-N 423.391 4.573 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](c2ccccc2)C(C)C)c([N+](=O)[O-])cc1OC(F)F 496261259 DTGZXESTNOFOGF-GOSISDBHSA-N 408.401 4.674 5 20 HJBD Cc1cc(C(=O)N(Cc2cc(Br)ccc2F)C2CC2)cc([N+](=O)[O-])c1 499051748 GYDNRIOIYUVDJM-UHFFFAOYSA-N 407.239 4.610 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccn2)c1 499365788 UHLJCJVZISAWEJ-LJQANCHMSA-N 415.371 4.836 5 20 HJBD Cc1cc(F)cc([C@@]2(F)CCN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)C2)c1 502886631 HNKCOELANCXPMY-JOCHJYFZSA-N 413.424 4.811 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2c(Cl)cncc2[N+](=O)[O-])cs1 506581607 ZJOUDOBYCIKYSH-UHFFFAOYSA-N 422.825 4.502 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1OC(F)F 513345197 HUCKAWZJKLXXGZ-JTQLQIEISA-N 400.765 4.564 5 20 HJBD O=[N+]([O-])c1cccc(CS(=O)(=O)[C@H](c2ccccc2)c2ccc(Cl)cc2)c1 513777255 RVVUZGVPFFHYSV-HXUWFJFHSA-N 401.871 4.953 5 20 HJBD O=C(N=c1nc(-c2ccccc2Cl)[nH]s1)c1cc2cc([N+](=O)[O-])ccc2s1 518900977 QEENOOZGIHSTLO-UHFFFAOYSA-N 416.871 4.656 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)cc2s1 520003113 MFAGNUDFKPZOCB-UHFFFAOYSA-N 407.455 4.766 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 522509152 NDAAIJMWFOAGIO-PXNSSMCTSA-N 412.486 4.596 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(COC3CCCC3)cc2)c(Cl)c1 523534130 PVGYVIXKLPFQQT-UHFFFAOYSA-N 410.879 4.508 5 20 HJBD COc1ccc(-c2cncc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)c2)cc1 531550099 ZDFMOBKGPWFYPF-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD O=C(N[C@H]1CC[C@H](CO)CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 535299696 VVYZCHURMPHOMB-CTYIDZIISA-N 420.918 4.680 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(Cc4ccccc4F)o3)co2)cc1 536307078 WOZSCNTWVWILFS-UHFFFAOYSA-N 412.402 4.655 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Br)cn1 537155691 YWWZAPIGVPGHPJ-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 538693837 MDNGKZKZFZFJJI-JKSUJKDBSA-N 412.795 4.729 5 20 HJBD CC(C)[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 541294316 PNDPHFPMAQMMAW-SFHVURJKSA-N 418.906 4.730 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)oc12 543517096 NBAHDXGEIFIUES-CYBMUJFWSA-N 408.414 4.641 5 20 HJBD Cc1ccc(Sc2ccc(-c3nc(-c4ncncc4C)no3)cc2[N+](=O)[O-])cc1 544993562 KGJUERPKINGKNG-UHFFFAOYSA-N 405.439 4.870 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@H]3c3cccc(C(F)(F)F)c3)n2)ccc1[N+](=O)[O-] 547177557 BVYJQMDPFJJGBN-GJZGRUSLSA-N 419.359 4.867 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)c([N+](=O)[O-])cc1C(F)(F)F 549135635 VJYXDVOVBPVFQP-SFHVURJKSA-N 423.391 4.652 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)c1ccccc1[N+](=O)[O-] 560154910 QBZVXZFTCRAZPF-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)c1 561443747 MFWFDICJZIEXTB-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)N1CCCc2c1cccc2[N+](=O)[O-] 581836813 PLKRUTVLWUPUSO-UHFFFAOYSA-N 401.875 4.770 5 20 HJBD CSc1cccc(-c2nc(Cc3ccc(Oc4ncccn4)cc3)no2)c1[N+](=O)[O-] 589117332 JLEWGGGAQPEMTL-UHFFFAOYSA-N 421.438 4.540 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3ccc(Cl)cc3)o2)cccc1[N+](=O)[O-] 597424584 LPPISYWNAFRLPF-LLVKDONJSA-N 418.862 4.726 5 20 HJBD CCc1ccccc1NC(=O)N1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 603647816 ZFCNSMYHCFHCSY-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)c1cccc([N+](=O)[O-])c1 603939610 YCDQPVAAMAIGFF-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](Cc1cccc(F)c1)c1cccc(F)c1 604508704 LZEQKGFTTHPQKH-OAQYLSRUSA-N 411.408 4.656 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2nnc(Cc3ccc(F)cc3)s2)cc1[N+](=O)[O-] 609304865 QJZYYBRQIQMFFX-UHFFFAOYSA-N 414.462 4.726 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)COc2ccc([N+](=O)[O-])cc2)c1 609908216 GJQYOFORPLBZIF-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](Cc1ccccc1)c1ccccc1F 610121400 RFRHDKFMMMFPRI-HXUWFJFHSA-N 408.429 4.603 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccc1O 611199804 AKRNBMJGZRFILV-UHFFFAOYSA-N 416.499 4.616 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1 733751011 RUIUDVSLJJBHSR-UHFFFAOYSA-N 407.861 4.713 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@@H](C)CC1CCCC1 733911957 HBIUNHFJZYXUPJ-HNNXBMFYSA-N 400.479 4.598 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1 734396343 FKFWKSPXTKGWPP-INIZCTEOSA-N 416.437 4.723 5 20 HJBD CC(C)CO[C@@H]1C[C@@H](OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1(C)C 739297630 QQVUCEKPXHMVDP-FGZHOGPDSA-N 407.510 4.538 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccc(COC2CCCC2)cc1 739673870 KNAIEXYLPVXFOT-UHFFFAOYSA-N 410.879 4.508 5 20 HJBD CC[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 745929646 JQZGRYHBMXIFEU-SECBINFHSA-N 408.789 4.996 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 746059967 YLQNYYXRSMMUDB-VIFPVBQESA-N 408.197 4.870 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)NCCSc1ccc(Cl)cc1)C1CC1 746531486 DLHMXHYYEFZDHT-UHFFFAOYSA-N 421.975 4.879 5 20 HJBD O=C(OCc1nc2ccccc2n1C(F)F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746806335 ROQKTXJHFBFQNL-UHFFFAOYSA-N 415.274 4.715 5 20 HJBD O=C(Nc1nc(C2CC2)cs1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750853130 GCNSDIMHQRZLMK-UHFFFAOYSA-N 402.657 4.597 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cccc(NC(=O)OCC(C)C)c2)cc1[N+](=O)[O-] 752657200 YIJPCFLNVQZERO-HNNXBMFYSA-N 414.462 4.990 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c(OCc2cc([N+](=O)[O-])cc3c2OCOC3)c1 754295644 ZXQHXPRZDBJSIL-UHFFFAOYSA-N 416.430 4.696 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc2ccc(Cl)cc2n1C)c1ccc([N+](=O)[O-])cc1F 754335457 HEQHOMXNSJOALC-WDEREUQCSA-N 405.813 4.682 5 20 HJBD CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 757226292 XPMNGMADTDNTRL-NDEPHWFRSA-N 423.922 4.617 5 20 HJBD CC(C)c1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 760783059 UNVXBFOSXDYFOX-UHFFFAOYSA-N 408.208 4.641 5 20 HJBD O=C(Nc1ccc(N2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1Br 760815542 LXSDAOJVHILCPO-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 763391343 FETPMCDCOPLQRR-VXGBXAGGSA-N 401.806 4.706 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(OCCc2ccccc2)CC1 768933316 YJQMSBCVDYUBKL-UHFFFAOYSA-N 419.319 4.581 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cnn1-c1cccc(C)c1 774943442 UKIXZJDJDWDFJI-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD COC(=O)c1ccc(-c2ccc(CNCc3cc([N+](=O)[O-])ccc3Cl)o2)cc1 775956734 MNAACOFDDNGGOY-UHFFFAOYSA-N 400.818 4.585 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2c(s1)CCCC2 777381255 SYLOWAXTCNAQQC-UHFFFAOYSA-N 405.545 4.752 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2cncc(Cl)c2Cl)CC1 778078320 DLWSRKDWZJJVBY-UHFFFAOYSA-N 409.273 4.785 5 20 HJBD O=C(Nc1ccccc1[C@@H](O)C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 780991931 UXSZGALPBGGSSI-HXUWFJFHSA-N 412.367 4.529 5 20 HJBD CCCOC(=O)[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 781534764 OCAMQMCUKPIFPZ-QHCPKHFHSA-N 415.445 4.533 5 20 HJBD CC[C@H]1CC[C@H](C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)CC1 784021700 UZABYBZRWBMXKK-WKILWMFISA-N 400.479 4.598 5 20 HJBD COc1cc(CSc2ccc([N+](=O)[O-])cc2F)c([N+](=O)[O-])cc1OC(F)F 788501242 CTQVUYBHDPVTSV-UHFFFAOYSA-N 404.322 4.544 5 20 HJBD CCOc1cccc2cc([C@H](C)Nc3c([N+](=O)[O-])cc(CO)c(C)c3[N+](=O)[O-])oc12 796458525 KATSUDRZQIBMJQ-LBPRGKRZSA-N 415.402 4.622 5 20 HJBD O=C(c1cc(F)c(C(F)(F)F)cc1F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 804465268 HNTHMUDGLVEMCS-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC(c2c[nH]c3ccc(F)cc23)CC1 807868012 VRHLQGBXHRKWFJ-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD O=C(Nc1ccc2c(Cl)cccc2n1)c1cc([N+](=O)[O-])ccc1Br 808857566 BMROZDBIZUKPKN-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc2nsnc12 809229019 WMYFGBBECUIPPB-UHFFFAOYSA-N 418.394 4.504 5 20 HJBD Cc1ccc(-c2noc([C@@H](NC(=O)OCc3ccccc3)C(C)C)n2)cc1[N+](=O)[O-] 809300824 HEZPLHDVDZVNNV-SFHVURJKSA-N 410.430 4.577 5 20 HJBD O=C(Nc1cccc2c1COC2=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 809381769 KMZYVSXQKSCTIW-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cnn(-c2ccc(F)cc2)c1C(F)(F)F 813292631 NMLCKWCJTARFEY-XCVCLJGOSA-N 420.322 4.590 5 20 HJBD CCC[C@H](CC)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 813428408 WDVRJQFHROHSCT-ZDUSSCGKSA-N 403.866 4.809 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Oc2ccc(Oc3cccnc3)cc2)cc1[N+](=O)[O-] 815058465 FABDSMSENSXNOJ-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 915874091 UAYFAQIIGSLOTG-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD COc1ccc(C[C@H](NCc2cc(F)ccc2[N+](=O)[O-])c2ccc(OC)cc2)cc1 917095428 LIQKHHYMDMZKDU-QFIPXVFZSA-N 410.445 4.825 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(F)(F)F)cc1NC(=O)c1cc([N+](=O)[O-])c[nH]1 918614720 JEBRDGLOFLTWJZ-UHFFFAOYSA-N 414.340 4.541 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)n1 920247865 DYUZYSLQNNAFPD-UHFFFAOYSA-N 400.485 4.834 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CC[C@@H]2CCCN2C(=O)OC(C)(C)C)n1 1116591225 SAKKVEHZJDZLIG-HNNXBMFYSA-N 416.478 4.594 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 1319802932 CYRVBJJDIZKLGP-UHFFFAOYSA-N 418.515 4.775 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c(Br)c1 1320533731 MTJMMYACMOCABW-XJKSGUPXSA-N 411.321 4.507 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(O)(Cc4ccccc4F)CC3)o2)c1 1322228704 ZEXSCNQLQSINNU-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1nccn1-c1cccc(Cl)c1C 21533569 KYINXMJMSGPRDJ-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD CCc1cccc(C)c1NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 22253279 FPYMXMYFHDDKPZ-UHFFFAOYSA-N 417.534 4.688 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1oc2c(ccc3ccccc32)c1C 28799554 NNTFWEVMPKZJMY-UHFFFAOYSA-N 418.405 4.907 5 20 HJBD CCn1c(S[C@@H](C(=O)NC(C)(C)C)C(C)C)nc2cc3ccccc3cc2c1=O 31747660 JKRPOPDTYCBDBY-LJQANCHMSA-N 411.571 4.601 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)C2CCCC2)cc1OC 60827582 KIKVWFAUHCMUAS-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD O=C(Nc1ccccc1)Nc1ccc(C(=O)N2CCc3ccc([N+](=O)[O-])cc32)s1 97134030 WTLDMEQBYRCPKZ-UHFFFAOYSA-N 408.439 4.503 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)Nc3cc(C)nc4c([N+](=O)[O-])cccc34)ccc2NC1=O 301998270 IFXICHHGXJLEPI-BFUOFWGJSA-N 406.442 4.734 5 20 HJBD CC1(NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)CCCCC1 303051344 YEBVXSMIAKRAHI-UHFFFAOYSA-N 413.440 4.669 5 20 HJBD CN(C)c1ccc(-c2cncc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)cc1 428715525 WSXHUZTZJVOIHY-UHFFFAOYSA-N 416.481 4.528 5 20 HJBD CC(=O)Nc1ccc(Cl)c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 429277191 UZKPQFAWFYQIDV-UHFFFAOYSA-N 401.850 4.796 5 20 HJBD CC(C)(NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1)c1ccc([N+](=O)[O-])cc1 431953644 JNPSAGVIGPPACE-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3ccc(F)cc3C2)cc1[N+](=O)[O-])c1ccccn1 433537658 JOVUIABAEHMFIS-OAHLLOKOSA-N 420.444 4.501 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Sc3nc4ccccc4[nH]3)cc2)c1[N+](=O)[O-] 435648991 XJDOQSDTVUMTNL-UHFFFAOYSA-N 420.450 4.883 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nnc(-c2ccccc2Cl)o1 436283123 VDOONFCOACXEAS-UHFFFAOYSA-N 402.741 4.863 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(CCC(F)(F)F)c2)cc([N+](=O)[O-])c1 440705725 PJZVTKGWLCFYCQ-UHFFFAOYSA-N 410.348 4.519 5 20 HJBD O=C(NCc1cccc(COCC(F)(F)F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 440880570 NCHUIIITEIQYMX-UHFFFAOYSA-N 417.771 4.649 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1ccc(S(C)(=O)=O)cc1 442910659 XAMFEOPINBJZBB-SNVBAGLBSA-N 416.318 4.614 5 20 HJBD CCOc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ncccc12 444323568 KZUDYIBPKPNDOE-UHFFFAOYSA-N 409.445 4.881 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1ccccc1SC1CCCC1 444853428 CSGZWYYDVHGKGS-UHFFFAOYSA-N 411.487 4.828 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCc2c(Br)cccc2[C@H]1C 444926263 UMFKSQNIKQAQRH-LLVKDONJSA-N 420.263 4.517 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(/C=C\c3cnc(C(C)(C)C)s3)n2)c1 445574648 MERLEAWMMIZRTH-VURMDHGXSA-N 400.460 4.502 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 446087022 VIUYKSJRBQQYJX-UHFFFAOYSA-N 407.192 4.581 5 20 HJBD Cc1cc(F)ccc1CCNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 446205753 LOULDGSSOONICZ-MRXNPFEDSA-N 422.460 4.583 5 20 HJBD COc1ccc([C@H]2CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])C2)cc1 447681473 ZTXOTGJBCPFQLR-LBPRGKRZSA-N 417.334 4.646 5 20 HJBD Cc1sc(CCNC(=O)c2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 461489552 QGNYINNQTCJNFD-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD COc1cc(CNc2cc(C(=O)Nc3ccccc3)ccc2C)c([N+](=O)[O-])cc1F 464090118 XZMHAXCQGSDRFK-UHFFFAOYSA-N 409.417 4.915 5 20 HJBD COc1cc(F)c(F)cc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 464672043 VFOGRUGGLUCIBS-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCCC[C@H]1c1nc2ccccc2o1 474221155 XZXKDXICCSCTBY-IBGZPJMESA-N 408.458 4.600 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@@H](C)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 474726708 HWAKJDOAAWHTOB-HUUCEWRRSA-N 412.877 4.698 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 476141519 IJRAKAIEVQIFLB-YLJYHZDGSA-N 416.481 4.793 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCCOc1c(Cl)cc(Cl)cc1Cl 486160061 BEIDWAPDTNYHEJ-UHFFFAOYSA-N 403.649 4.672 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc(F)c(OC(F)F)cc2F)cc1[N+](=O)[O-] 491335975 SPMVDSVZKSCROG-VIFPVBQESA-N 401.316 4.666 5 20 HJBD CCOc1cc(CSc2nnc(C3CC3)n2Cc2ccccc2)ccc1[N+](=O)[O-] 492295089 OUMBBJZCECBICA-UHFFFAOYSA-N 410.499 4.803 5 20 HJBD COc1ccc(CN(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)[C@@H](C)C2CC2)cc1 493003634 MMKYQPFNTPSHAN-IRXDYDNUSA-N 411.502 4.983 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCc2sccc2[C@H]1c1cccs1 497557983 GWSFWTHSSHFIBT-NRFANRHFSA-N 423.519 4.847 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497801250 OJEKFSUJNBYZIO-UHFFFAOYSA-N 410.373 4.636 5 20 HJBD CCCCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])C1CCCCC1 500290770 OAUARPSJIGRSKG-UHFFFAOYSA-N 400.422 4.780 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)c(C)c2c1 511477849 VVCFELZFVDQHBH-OAHLLOKOSA-N 411.458 4.603 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1)C(F)(F)F 514632341 XQGAHNQCEAHESC-NSHDSACASA-N 400.378 4.655 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 515454405 SQKVVMYXXZDWQC-MRXNPFEDSA-N 424.284 4.957 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1F 518372462 XMYLFZHHSQFMAN-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CC)C[C@H]1CCN(Cc2ccccc2)C1 519791901 JJQOZUWEPYJCLC-IBGZPJMESA-N 410.518 4.533 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C 520729564 OFBWLHTUHVUJPH-SNVBAGLBSA-N 404.879 4.510 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(N4CCCCC4)nc3)o2)c([N+](=O)[O-])c1 520950292 NSSCPRMGJVEMNU-UHFFFAOYSA-N 422.485 4.539 5 20 HJBD CC(C)CO[C@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 522736813 PXYYEWCFQGFAAC-CYBMUJFWSA-N 424.856 4.857 5 20 HJBD C[C@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523500764 SHDJPIWZCTZUQQ-IFXJQAMLSA-N 402.878 4.514 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)ccc21 524537443 RCYVAEZNNFFFPY-UHFFFAOYSA-N 418.375 4.747 5 20 HJBD O=C(Nc1cccnc1-c1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 534375720 WSSMIURVVAWZIW-UHFFFAOYSA-N 418.453 4.732 5 20 HJBD CC(=O)N1CC[C@H](Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c2ccccc21 534509942 BAAVYQYQBNRPTB-FQEVSTJZSA-N 415.449 4.736 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)c1nccs1 536548068 JQASCIDRDSAJTH-UHFFFAOYSA-N 402.863 4.593 5 20 HJBD CCn1cc(CN(C)Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 537624199 SQMZQYYKTYTVLL-UHFFFAOYSA-N 400.866 4.889 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 537680848 PDGVQCAVAXAVDU-CYBMUJFWSA-N 414.849 4.714 5 20 HJBD C[C@H]1CCN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)CC12CCC2 540029355 BQEQKVIHPUZXFS-DLBZAZTESA-N 408.502 4.815 5 20 HJBD Cc1cc(C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])ccc1NC(=O)CC(C)C 540892198 DDDPHDHEEFNBIB-UHFFFAOYSA-N 417.893 4.514 5 20 HJBD C[C@@H]1C[C@@H](CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 544138301 KKHYRMFHRNMFCQ-CABCVRRESA-N 418.877 4.976 5 20 HJBD C[C@H](Nc1ccc(-c2nc([C@@H]3Cc4ccccc43)no2)cc1[N+](=O)[O-])c1ccccn1 546291507 ZAALNSJOSIPBAI-KBXCAEBGSA-N 413.437 4.901 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2NC(=O)C2CC2)no1 546996897 FDBKSGYMYHOLGV-LBPRGKRZSA-N 410.455 4.847 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3ocnc23)cc1[N+](=O)[O-])c1ccccn1 551632445 ZFFQFALRIFYIFH-CYBMUJFWSA-N 403.398 4.556 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3ccccc3F)s2)cc1OC 555002137 VHFPLTKHWZVTER-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(C)cc1 558769364 ANIDZALGIRALGA-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)c1cc([N+](=O)[O-])cc(Br)c1C 559578502 JHNZQUQMIASEMH-KRWDZBQOSA-N 406.280 4.674 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3c4ccccc4CC34CCOCC4)cs2)c1 568451851 VMUVGCHJOSRHGI-NRFANRHFSA-N 421.522 4.902 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N(CC(F)(F)F)c1ccc(Br)cc1 584745056 CBKPSMJMZJXKHY-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1c(C(=O)c2ccco2)oc2ccccc12 591715082 ARICXQNZKXNZLJ-UHFFFAOYSA-N 419.393 4.606 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(C)c1CC(=O)Nc1ccc([N+](=O)[O-])cc1 603637428 DHWKFKXHLYJISD-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])cc2)o1 603737166 DGSNTTDGQGCGTD-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H](c2ccccc2)CC1 608994212 IRSDEIJNSAXNGZ-OAHLLOKOSA-N 405.401 4.998 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c(Cl)c1 609371514 CPODQXWEDOFEQB-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC3(CC2)CC3)cc1[N+](=O)[O-] 609477007 SVQNTUMWGAPZKU-UHFFFAOYSA-N 410.495 4.564 5 20 HJBD O=C(NCc1ccccc1Oc1ccccc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609548971 PWXNQDWCBJBZSM-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1cccc(Oc2ccnc3ccccc23)c1 609647050 RMOGHAMFGJXGMG-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])ccc21 609651606 JMDRAYWBHPRHOY-UHFFFAOYSA-N 420.391 4.816 5 20 HJBD COc1cc(CSCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)ccc1SC 609769517 PBTIGEGQSQSQCK-UHFFFAOYSA-N 420.512 4.557 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 609855354 YYHWZNDNAISAAT-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(F)c3)cc2)cc([N+](=O)[O-])c1OC 610172342 SOWFPYPJIIFTMO-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 611279070 CAISPPRBLDZMBU-TXEJJXNPSA-N 412.330 4.723 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCCO[C@H](c2ccccc2)C1 611400133 YQARPZAYWRJYHS-IBGZPJMESA-N 400.500 4.699 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1 619822557 SHJVRNQKEOJOSE-GOSISDBHSA-N 409.408 4.781 5 20 HJBD CC(C)[C@H]1c2ccccc2CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 675686608 DQQXAGGEXOGNEU-SANMLTNESA-N 410.517 4.937 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)ccc21 726546484 TWSMRFIAZRZZPI-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3cnc(-c4ccccc4)s3)[n+]2[O-])c1 727264373 PTRSWBORRJTTIJ-MDZDMXLPSA-N 402.435 4.584 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN1CCc2[nH]c3ccc(Br)cc3c2C1 729480639 KNQYZGFJRFNWIX-UHFFFAOYSA-N 404.239 4.536 5 20 HJBD C[C@H](CNC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 734341972 WZAHVRJVZNWRQF-CYBMUJFWSA-N 415.456 4.628 5 20 HJBD Cc1nc(-c2ccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])cc2)co1 734996450 AXFJBEPINGXCCC-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1(c2cccc(Cl)c2)CCOCC1 735644575 AQLWSKNTWKCSIX-UHFFFAOYSA-N 410.253 4.864 5 20 HJBD COc1cc(C(=O)O[C@@H]2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])cc1OCc1cscn1 744213365 SRKXXLJZLOVPQE-NHAGDIPZSA-N 420.487 4.620 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(Cc3cc(Cl)ccc3[N+](=O)[O-])C2)cc1 746666439 NJQZNWMNQFIEGB-MRXNPFEDSA-N 416.909 4.590 5 20 HJBD Cc1nc(-c2cccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)[nH]c1C 746882911 YPDFZTUMXHDRTF-ZDUSSCGKSA-N 414.849 4.661 5 20 HJBD O=C(CCc1ccc2ccccc2c1)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1 750434305 KBIUZURAJYOTLT-UHFFFAOYSA-N 419.481 4.535 5 20 HJBD Cc1c([C@@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)oc2ccccc12 754624120 DUFNGWJIAVSQTK-CHWSQXEVSA-N 401.850 4.981 5 20 HJBD Cc1cc([C@@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c(C)s1 754981546 PFWDVIWONYMVBA-MRVPVSSYSA-N 401.257 4.666 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1 755445742 IKFIGQYTQGKXHI-OAHLLOKOSA-N 414.527 4.860 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761173229 DMIBBFZGLGGTBT-JTQLQIEISA-N 422.224 4.799 5 20 HJBD Cn1cnnc1Sc1ccc(-c2nc(C3CCCCCCC3)no2)cc1[N+](=O)[O-] 762364874 ZWNTWSBYWFQRCN-UHFFFAOYSA-N 414.491 4.752 5 20 HJBD COC(=O)Nc1cc(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc(C(F)(F)F)c1 764234240 JBBLMIPNSLEQCS-UHFFFAOYSA-N 411.336 4.661 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1cccc(-c2cccnc2)c1 768466618 HRPSKLFCPXJCBS-ZDLGFXPLSA-N 405.797 4.655 5 20 HJBD O=C(O[C@H](c1ccccc1)c1ccncc1)c1cc(Br)cc([N+](=O)[O-])c1 770436250 DPKWAXDWKOOSTO-GOSISDBHSA-N 413.227 4.699 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(-c2cnc(C3CC3)o2)cc1 781607457 UCVNYAMZSLPSIR-UHFFFAOYSA-N 422.397 4.607 5 20 HJBD Cc1ccc(Cn2ccccc2=NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 781897857 XFLUNOZQJKGPOC-UHFFFAOYSA-N 419.484 4.581 5 20 HJBD O=[N+]([O-])c1cccc(NS(=O)(=O)c2cc(C(F)(F)F)ccc2Cl)c1Cl 788216677 OGHHOWFUHFTPLL-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Cc3c(F)cccc3Cl)o2)c([N+](=O)[O-])c1 789921111 SJVSODKYEBPDGS-UHFFFAOYSA-N 424.797 4.562 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc([C@H](C)NC(=O)OC(C)(C)C)cc2)c1F 790797774 XNSKCLGKJIGAEA-ZDUSSCGKSA-N 417.437 4.880 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)O[C@H](c1ccccn1)C(F)(F)F 790864097 AQVINFMDCBELID-CYBMUJFWSA-N 419.153 4.521 5 20 HJBD CC(C)(C)c1nnc(COC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])o1 799554315 ZCOVZVNTIIYLSN-UHFFFAOYSA-N 413.455 4.784 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCCc1ccc(Br)s1 800151284 LKPGJYHPKNQRHH-UHFFFAOYSA-N 401.257 4.828 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cn1nc(-c2cccs2)n(C2CC2)c1=S 800865822 KTETXFCBRSTYGL-UHFFFAOYSA-N 415.544 4.518 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H](C)c2ccsc2)c([N+](=O)[O-])c1Br 800878000 SDJPZTXXBBZSNJ-ZETCQYMHSA-N 402.226 4.509 5 20 HJBD CS[C@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 811217629 ZUBHMBGFFJOKHM-KRWDZBQOSA-N 400.481 4.866 5 20 HJBD Cc1noc(C)c1[C@H](C)CC(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218838 FYJXJBJZWYLFIW-LLVKDONJSA-N 401.444 4.560 5 20 HJBD CC(C)n1c(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 813458094 VAVNTSRFBLCBHQ-UHFFFAOYSA-N 407.257 4.762 5 20 HJBD CSc1ccc(C(=O)Nc2nc3ccc(Br)cc3s2)cc1[N+](=O)[O-] 904795373 WVWBLRKPBHYDPU-UHFFFAOYSA-N 424.301 4.941 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(C)c(NC(=O)c2ccccc2F)c1 915281593 MYEREFMWRFDMFH-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1)C(=O)OC(C)(C)C 918109136 WAXDNKJZJOLUBZ-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(F)(F)F)cc1NC(=O)c1ccc([N+](=O)[O-])[nH]1 918614297 AZRPQDDUPRLSKS-UHFFFAOYSA-N 414.340 4.541 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(-c2cn3c(n2)CCCC3)c1 919719614 GFTDEGBFYSECKX-UHFFFAOYSA-N 408.483 4.525 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CCOC(=O)c1cc(Cl)ccc1Oc1ccc(F)cc1 920792554 TZWYIXRNIFFPBP-UHFFFAOYSA-N 419.796 4.542 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(Cc2ccccc2)n1 1116508319 ISZDBRCBQDZREG-UHFFFAOYSA-N 408.483 4.730 5 20 HJBD O=C(CCSCc1ccc(OCc2ccccn2)cc1)Nc1ccccc1[N+](=O)[O-] 1116914666 ZULNRRULZRHZGY-UHFFFAOYSA-N 423.494 4.831 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(OCCc3ccccc3)CC2)c(Br)c1 1320413272 VHTVHZVKKPRCDN-UHFFFAOYSA-N 419.319 4.581 5 20 HJBD CC(C)Oc1cccnc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 1320960200 AFYUSROKEXVPOD-OAHLLOKOSA-N 421.457 4.597 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(-n2cccc2)ccc1F 1322365496 CXFSKQYTMULDRK-GFCCVEGCSA-N 403.797 4.584 5 20 HJBD Cc1ccc(C)c(-n2ccnc2SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)c1 1322441407 GEMLUZLXEJUOKL-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CCOc1cc(/C=C(/C(=O)OCc2ccccc2[N+](=O)[O-])c2ccccc2)ccc1O 6371408 ZVSRRVRPCDUFKH-XSFVSMFZSA-N 419.433 4.983 5 20 HJBD Cc1c(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)oc2ccccc12 16014797 UDRKMWPNXIVYLS-UHFFFAOYSA-N 420.425 4.750 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2ccc3ccccc3c2)c1 16196675 JVWSEPRZWBAGFM-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nnc(-c2cccc3ccccc23)o1 35103691 HATRFIJMTMUPIZ-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1 55466967 WRPZWHMWQSTHDY-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD COc1cc(CNCc2ccc(Cl)nc2)ccc1OCc1ccc([N+](=O)[O-])cc1 97465692 WAEMKIAAUHDCQD-UHFFFAOYSA-N 413.861 4.521 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccccc1COCc1ccccc1 106318613 KLCOYGCVTJUBEG-UHFFFAOYSA-N 422.506 4.720 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccccc2OC(F)F)cs1 110598079 JCJCGKXVBWBRTH-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 195585987 XYFWTQHPKHKYFX-UHFFFAOYSA-N 423.394 4.932 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 301381514 UUFJKAJCTBXTNZ-UHFFFAOYSA-N 410.804 4.949 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 303427584 ZYZPMBAANJNHFS-HXUWFJFHSA-N 415.453 4.629 5 20 HJBD CCOc1cc(NC(=O)NC(C)(C)c2cccc(F)c2)c([N+](=O)[O-])cc1OCC 303876912 QQHGSZYZCQSVER-UHFFFAOYSA-N 405.426 4.588 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1ccc(Cl)c(C(F)(F)F)c1 409712260 NVDGOVQTQPPQHA-YRNVUSSQSA-N 421.762 4.921 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@@H](C)c3cccc([N+](=O)[O-])c3C)c2)ccn1 426302055 PUVDFGWJJTUKOC-HNNXBMFYSA-N 406.442 4.623 5 20 HJBD COc1cc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1Br 428890251 SZGMFGHCNWQDNP-NSHDSACASA-N 408.252 4.557 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])n(C(C)(C)C)n1 429831552 ZGJNSCNUHISJQS-UHFFFAOYSA-N 423.311 4.859 5 20 HJBD O=C(Nc1ccc(OCC(F)F)c(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1F 436285775 QOFZVQOOPGDXBW-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD O=C(N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 438921698 RCYMFODKYHYIGD-USXIJHARSA-N 418.902 4.697 5 20 HJBD O=C(Cc1noc(-c2cccc([N+](=O)[O-])c2)n1)Nc1ccccc1Oc1ccccc1 445328997 YHVMDKZVUVSFAG-UHFFFAOYSA-N 416.393 4.618 5 20 HJBD CN(C)Cc1ccc(-c2ccccc2CNC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 445667117 YUNHQIHQHAQORT-UHFFFAOYSA-N 417.509 4.572 5 20 HJBD O=C(Nc1ccc(F)cc1OC(F)F)c1csc(-c2cccc([N+](=O)[O-])c2)n1 460494028 SOOFZEXOWIDOHW-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD C[C@H](Sc1nnc(-c2cccnc2)n1Cc1ccco1)c1ccccc1[N+](=O)[O-] 463040037 ZUHVPJQDBFNEIY-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD CCOc1ccccc1C1=CCN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463518469 MUADVJGGAWNANQ-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCc2cc(Br)cc(F)c2C1 463970589 AOWDNGGGCRNIFW-UHFFFAOYSA-N 422.254 4.703 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H](CSc2ccccc2)C1 464353328 GHCATSZSNHNBEK-HUUCEWRRSA-N 420.918 4.656 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1Cl 466297437 HYPGQFGARNJGSO-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD C[C@H](O)C[C@H](C)CNC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 467791256 RTEQNAFPKMCMIB-STQMWFEESA-N 408.907 4.536 5 20 HJBD C[C@H](NC[C@H]1CCN(c2cccc(Br)c2)C1)c1cccc([N+](=O)[O-])c1 467837338 AQLKJHVKYIXWPM-LSDHHAIUSA-N 404.308 4.534 5 20 HJBD Cc1c(NC(=O)CCN2CCCC[C@@H]2c2nc3ccccc3o2)cccc1[N+](=O)[O-] 474219317 QFWYGVAXVXYFPM-LJQANCHMSA-N 408.458 4.600 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(COC(C)(C)C)c2C)c([N+](=O)[O-])cc1OC 474772342 XNUHOJIWTSMGGJ-UHFFFAOYSA-N 416.474 4.878 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 475749704 OJOHDZRMHIMBNX-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD Cn1nc2ccccc2c1C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 477096537 CWHOYAXBSMMWND-UHFFFAOYSA-N 410.480 4.947 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])c2)CC1 478482502 HNGDZJKSTGJJLX-UHFFFAOYSA-N 424.545 4.576 5 20 HJBD COCCOc1ncccc1CN[C@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480635147 WDCKRUOJNNOYQT-OAHLLOKOSA-N 413.499 4.594 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3cccc([N+](=O)[O-])c3OC)nc2)cc1Cl 481140992 KYEPWWATNBHXHF-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 481847592 VJZULHHMBWUDEV-SECBINFHSA-N 415.646 4.511 5 20 HJBD CCOc1cc(C(=O)N(CC)C[C@@H](CC)c2ccccc2)c([N+](=O)[O-])cc1OC 482269368 REGPSQZAMUYHDP-MRXNPFEDSA-N 400.475 4.658 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN3CCC(c4nc5cc(Cl)ccc5o4)CC3)[nH]c2c1 482692405 MLLSNKQXEJFZNK-UHFFFAOYSA-N 411.849 4.645 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])ccc1OC(C)C 485729452 CGUFRWPUPWFATF-CYBMUJFWSA-N 404.488 4.604 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 487036006 WBTPPHUQSDQTDD-INIZCTEOSA-N 423.494 4.693 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1CCCc1ccccc1 489300415 BJQCPZBYMQXQNA-QFIPXVFZSA-N 421.541 4.825 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCc2ccc(C(C)C)cc21 494233691 KBGCIHBXOZAPMZ-FQEVSTJZSA-N 414.527 4.874 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(F)cc1F 495019616 QFLYKXKSUXSBLJ-FQEVSTJZSA-N 403.429 4.944 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1F 502723497 BUOMRXGTLDUHHU-UHFFFAOYSA-N 422.372 4.663 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1N1CCSCC1 503635079 CVEKUPICWUGAMC-UHFFFAOYSA-N 423.947 4.532 5 20 HJBD O=C(Nc1ncc(Cc2cccc(Br)c2)s1)c1ccccc1[N+](=O)[O-] 505430514 CORKCCFCUYXYQS-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 505502371 DFNWWALEFCYGNS-UHFFFAOYSA-N 411.421 4.549 5 20 HJBD C[C@H](c1nc(Cc2cc(F)cc(Br)c2)no1)c1ccc([N+](=O)[O-])cc1F 508609028 NTNKEFIMTNQQQZ-VIFPVBQESA-N 424.201 4.761 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCO[C@H]1c1ccccc1 509751365 PWSKCLQMKJZTJP-UNMCSNQZSA-N 411.502 4.683 5 20 HJBD Cc1c(NC(=O)N[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cccc1[N+](=O)[O-] 512918753 SPZZOCMQDYEWQQ-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(O)nc4cc(F)ccc34)n2)cc1[N+](=O)[O-] 523497398 BNWKQOAESMSIQZ-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 525074171 XYKQMSXSDPDKOX-SGTLLEGYSA-N 406.866 4.783 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 532093618 QTJKPVWSTJURGR-HNNXBMFYSA-N 410.499 4.929 5 20 HJBD CC(C)CN(C(=O)C[C@H]1CCCCO1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537154305 FMNFCPCBOLRSEZ-OAHLLOKOSA-N 403.504 4.667 5 20 HJBD Cc1cc(NC(=O)c2sc(NC(=O)c3cccc(F)c3)cc2C)ccc1[N+](=O)[O-] 538551561 NUGYACCZCSCOSP-UHFFFAOYSA-N 413.430 4.917 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 540828066 SUYIJTIZISNGHY-SJORKVTESA-N 419.547 4.684 5 20 HJBD COc1ccc(NC(=O)c2cc(Cl)c(OCC(C)C)c(OC)c2)cc1[N+](=O)[O-] 542933097 IZJQQBKIUGKGEM-UHFFFAOYSA-N 408.838 4.553 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNc3ncnc4cccc([N+](=O)[O-])c34)c2)cc1 544410171 CRBMJZSHUSQYBJ-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD C[C@H](c1nc(-c2ccc(OCc3ccccc3)nc2)no1)c1ccc([N+](=O)[O-])cc1F 544544934 LGIJJTRAPLMHMK-AWEZNQCLSA-N 420.400 4.910 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc([C@H](C)c4ccc([N+](=O)[O-])cc4F)n3)cc2)n1 544920107 WZIOKEAOKQOVEO-OAHLLOKOSA-N 421.432 4.662 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545781465 IGFWVJVNKOBXQH-UGSOOPFHSA-N 412.421 4.540 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)c2cccs2)cc1 545959305 ANLMVUIZGWRSRF-UHFFFAOYSA-N 411.439 4.560 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 546829106 VJVNANAFYNAYPD-UHFFFAOYSA-N 402.878 4.659 5 20 HJBD Cc1cc(N2CCC[C@@H](c3nc(C(F)(F)F)cn3C)C2)c2cccc([N+](=O)[O-])c2n1 553343069 CNQINMMOLLJTDN-CYBMUJFWSA-N 419.407 4.588 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 556885399 XRAPYUNHRSOKIO-YUXAGFNASA-N 407.470 4.691 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1C(=O)CCc1cccc([N+](=O)[O-])c1 557439828 ZESRISLVYKFQMH-IFXJQAMLSA-N 422.403 4.535 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)COc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 573377108 ARPMHBUZFZKVPR-ZDUSSCGKSA-N 414.405 4.590 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 582822315 JGSHJFWSZVYEJU-WAIKUNEKSA-N 420.465 4.621 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)Nc1cccc(Cl)c1F)c1ccccc1[N+](=O)[O-] 603648786 REWWINMZNNSOTD-HNNXBMFYSA-N 423.828 4.592 5 20 HJBD CCOC(=O)c1cc(-c2ccccc2)sc1NC(=O)c1csc([N+](=O)[O-])c1 603750474 QZXGNVIDZKGHOI-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD CSc1ccc(C(=O)N(C)C[C@H]2CCCO[C@H]2c2ccccc2)cc1[N+](=O)[O-] 604519201 SNJLQIKQDPRKQG-XLIONFOSSA-N 400.500 4.557 5 20 HJBD O=C(Cc1noc(-c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)n1)Nc1ccccc1 609474883 KUMVLYNTWAIYBW-UHFFFAOYSA-N 415.409 4.570 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 609654137 LLEKHEMWFXLBKA-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC(C)(C)c3nccs3)cc2[N+](=O)[O-])n1 609699567 IORVYQQBKLXJNF-UHFFFAOYSA-N 420.541 4.633 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609700101 AYSSPRAXPWANLI-KDOFPFPSSA-N 420.918 4.641 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@H]3c3cn(C)nc3C)c([N+](=O)[O-])c2)cc1 610726468 USEYBGKDRZMDJB-FQEVSTJZSA-N 419.485 4.539 5 20 HJBD C[C@@H](C[C@H]1CCOC1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610742584 PAAGLXHBJCCSTK-UONOGXRCSA-N 420.918 4.944 5 20 HJBD CC1(C)CC(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC(C)(C)N1 611199176 DBMSQOKCWWQDNF-UHFFFAOYSA-N 405.564 4.669 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1)c1ccsc1 728887385 ZPBCRUGOHLXWEO-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c(Cl)c1 736877735 ZFDAEPQZWULZNF-NSHDSACASA-N 411.241 4.702 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCCCOCC(F)(F)F)cc2o1 740872458 OBPKAMAXUXDPFL-UHFFFAOYSA-N 423.343 4.716 5 20 HJBD C[C@H](C(=O)OCc1ccc([N+](=O)[O-])cc1)[C@H](NC(=O)OC(C)(C)C)c1ccccc1 744048514 JAOJCBDQKQLMMV-KXBFYZLASA-N 414.458 4.540 5 20 HJBD CC(C)(C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc2ccccc2c1 745417056 SHDWKQZAYZNIDL-UHFFFAOYSA-N 417.421 4.819 5 20 HJBD Cc1nc(-c2cccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)[nH]c1C 746882908 YPDFZTUMXHDRTF-CYBMUJFWSA-N 414.849 4.661 5 20 HJBD Cc1c(C(=O)N(C)Cc2ccc(Cl)cc2Cl)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748607246 MJYKQVQMBODWQI-UHFFFAOYSA-N 412.229 4.699 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC1 753729225 SKOUVLJROFSKIY-UHFFFAOYSA-N 421.266 4.690 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)cccc21 753780849 HFYCGASNYXVHLZ-UHFFFAOYSA-N 423.425 4.891 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1cccc([N+](=O)[O-])c1Br 759214576 ANDONPUKGGGNEH-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 761707146 MIXNNBLTWXAYHM-AWEZNQCLSA-N 410.451 4.926 5 20 HJBD CCS[C@@H](C)c1noc(COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)n1 775086875 MHKVEAQUOVHVSV-NSHDSACASA-N 411.505 4.649 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCc2c(Cl)cccc21)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775935243 XSOSFPYCZLRVMB-QMTHXVAHSA-N 423.252 4.502 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1cccc(CN2CCOC2=O)c1 776850112 XCYLAMRCBCEPNK-INIZCTEOSA-N 401.488 4.530 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Oc1ccc(NC(=O)c2cccs2)cc1 791456061 BTIOBKDXUIQUST-UHFFFAOYSA-N 412.423 4.527 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1cccc(-n2cccn2)c1 813034429 LNVYSELCYXPKRO-NSHDSACASA-N 405.241 4.578 5 20 HJBD Cc1ccc(OC(=O)c2cc(-c3ccc(F)cc3)nc3onc(C)c23)c([N+](=O)[O-])c1 836572067 DBUCPUHUNMEGDE-UHFFFAOYSA-N 407.357 4.773 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cc2s1 918161124 AQLXAQNPJMBJIO-WDZFZDKYSA-N 410.455 4.595 5 20 HJBD O=C(NCC1(c2ccc(Br)cc2)CCC1)c1cc(Cl)ccc1[N+](=O)[O-] 918684513 PNBRPBQOEBCADY-UHFFFAOYSA-N 423.694 4.862 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(Nc2ccccc2)CC1 1338243918 NOMTYEVWDDNYRX-UHFFFAOYSA-N 408.380 4.722 5 20 HJBD C[S@@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)cc1 1342347248 MLLYXPIJAOXNNO-MUUNZHRXSA-N 418.540 4.867 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(Cc4c[nH]c5cnccc45)n3)cc2)c1 1347669207 UXMOEUOXNUONBA-UHFFFAOYSA-N 413.393 4.904 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nc(C)c(C)n1C1CCCCC1 6973314 YVFMLQZGPUIAIS-UHFFFAOYSA-N 402.520 4.953 5 20 HJBD Cc1ccc2nc(COc3cccc(C(=O)Nc4cc([N+](=O)[O-])ccc4C)c3)cn2c1 11608611 ZCJDFKFWKBRWCF-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD CC(C)[C@H](NC(=O)c1cccs1)C(=O)OCc1nc2ccccc2c2ccccc12 17177243 CNRHVZRCATYJJG-QFIPXVFZSA-N 418.518 4.947 5 20 HJBD C[C@@H](Sc1ccc(O)cc1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 47884446 PPOBNLQPUFHCKJ-LLVKDONJSA-N 401.469 4.543 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc1OC 61429429 WXDSSNPGDYBFEY-UHFFFAOYSA-N 418.755 4.927 5 20 HJBD O=C(Nc1ccc(NC2CCCCC2)cc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 109887183 ZYRAJRREOVCFFO-UHFFFAOYSA-N 405.458 4.777 5 20 HJBD O=C(Nc1ccncc1)c1ccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 301438851 LMCALXYLKJEENJ-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD COc1ccc(-c2csc(CNc3cc4ncnc(O)c4cc3[N+](=O)[O-])c2)cc1 301464157 GMMMQNALIHWYOQ-UHFFFAOYSA-N 408.439 4.593 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(Oc2cc(C(F)(F)F)ccc2Cl)s1 302193086 RRDZSKIKJHDHHX-UHFFFAOYSA-N 401.771 4.524 5 20 HJBD O=C(Nc1ccccc1)NC1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 302238718 LQZRLSOTRNWXSJ-UHFFFAOYSA-N 409.273 4.692 5 20 HJBD Cc1c(NC(=O)CCN2CCCC[C@@H]2c2cc3ccccc3[nH]2)cccc1[N+](=O)[O-] 303415680 WIVXVVAHGWKNBF-JOCHJYFZSA-N 406.486 4.940 5 20 HJBD C[C@@H]1CC[C@@](CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)(CC(=O)O)C1 426641056 XMRZJOSJOLUXPS-QRQCRPRQSA-N 416.449 4.897 5 20 HJBD COc1ccc(CN[C@H](CCC(=O)O)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 426765371 NDMVICQIPKLMTO-OAHLLOKOSA-N 413.257 4.606 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 434546580 FRMIILFJKVYZIE-UHFFFAOYSA-N 418.247 4.878 5 20 HJBD O=C(Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1)c1ccc(O)c([N+](=O)[O-])c1 436965527 SYMKFAIOKXUQBI-UHFFFAOYSA-N 419.315 4.759 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NC[C@@]1(O)CCC[C@H](C(F)(F)F)C1 437035123 DWGFNPPOZDDHGU-JJRVBVJISA-N 406.470 4.539 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437674291 MTJMWYQVRQMTOO-UHFFFAOYSA-N 409.364 4.689 5 20 HJBD Cn1c(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])nc2ccccc21 440419367 DKJSEBPJGWBOIH-UHFFFAOYSA-N 418.478 4.563 5 20 HJBD Cc1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cnn1-c1ccccc1F 444254842 VLYNOBYVFZFCQY-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 446260190 KJBPIXCGBCOVDS-MSOLQXFVSA-N 424.284 4.640 5 20 HJBD O=C(CCc1cc(Cl)ccc1Br)N1CCc2ccc([N+](=O)[O-])cc2C1 446442010 HWIQGNLHESPUBW-UHFFFAOYSA-N 423.694 4.528 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 462632888 QUCMRNCPQOZMDI-XMCHAPAWSA-N 420.868 4.602 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 466866706 TZLFLTTUDDTRNQ-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD CC(C)(C)OCc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)no1 471813366 YUDDHUXJFRYYNH-UHFFFAOYSA-N 411.414 4.943 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472264671 WAWPIYQYGODXMT-SFHVURJKSA-N 424.444 4.863 5 20 HJBD CC(C)(NCc1ccc(Br)c2cccnc12)c1ccc([N+](=O)[O-])cc1 478326473 VLAXYKBURTZULS-UHFFFAOYSA-N 400.276 4.930 5 20 HJBD Cc1cc2n[nH]cc2cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 481319194 WZOXGNPISXFEFD-UHFFFAOYSA-N 401.426 4.644 5 20 HJBD Cc1c(CNC(=O)[C@H](C)c2cccc(C(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 481473240 DWYYHRVFILVPIB-QGZVFWFLSA-N 402.450 4.554 5 20 HJBD CC(C)(NC(=O)N[C@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 482439986 RQZIIPZCFZPVRQ-OAHLLOKOSA-N 417.334 4.711 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCCc2csc(-c3ccccc3)n2)cc1[N+](=O)[O-] 482598627 SYKAXXWOFGHSLD-HNNXBMFYSA-N 410.499 4.630 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(F)cc3)CCCC2)cc1SC 482895481 HTENFAPMTMJVDB-UHFFFAOYSA-N 418.490 4.706 5 20 HJBD O=C(c1cc2ccccc2n1CC(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 486337678 UTMXKPKTKIHQEV-UHFFFAOYSA-N 417.387 4.917 5 20 HJBD CC(C)c1c(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccccc1 487017484 KAHXQSRONAOOPL-UHFFFAOYSA-N 413.865 4.879 5 20 HJBD O=C(CSc1nccc2ccccc12)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498151857 FBWGJAVKURMVGO-HXUWFJFHSA-N 411.508 4.509 5 20 HJBD Cc1ccc([C@@H](OC[C@H](O)CN(C)Cc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 501877712 ZKEVKICXMHOHIV-NOZRDPDXSA-N 420.509 4.502 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(C)=O)ccc1Cl 504072415 DYNHVPIMCTUIJS-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](c1cccc(F)c1)c1nccn1C 504928116 ZIXQLJSXKYHEAQ-YLJYHZDGSA-N 414.506 4.717 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 509226759 LTJKYZFBRACHIO-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC(Cc2ccccc2)CC1 511787744 OMNYJIJRPLBEGW-UHFFFAOYSA-N 422.403 4.631 5 20 HJBD COc1cc([C@H](C)N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])cc(OC)c1OC 513790971 HIGCYTDAASDNHQ-FCEWJHQRSA-N 414.502 4.683 5 20 HJBD O=C(Nc1ccc(Cl)cc1C(=O)c1ccc[nH]1)c1ccc([N+](=O)[O-])cc1Cl 513971622 NBVRVVSOBAZQGO-UHFFFAOYSA-N 404.209 4.713 5 20 HJBD CC(C)(C)N1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 519885068 DBIMBDPWIXLIOI-UHFFFAOYSA-N 413.543 4.692 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cccc(NC(=O)c2cccs2)c1 520098018 ZLLKTFFWVVDYBU-UHFFFAOYSA-N 420.450 4.502 5 20 HJBD CC1CCN(c2cccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2)CC1 523508105 IEIYIJQEBNNXFV-UHFFFAOYSA-N 417.893 4.892 5 20 HJBD COc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc(OC)c1OC 524214694 ZRIRYBHNMONXHA-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD CN(C)CCCN(C(=O)c1ccc([N+](=O)[O-])cc1)C(c1ccccc1)c1ccccc1 524335499 VARDYXNHEOURGN-UHFFFAOYSA-N 417.509 4.778 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2nnc(C(C)(C)C)s2)cc1[N+](=O)[O-] 531820448 QREIMVZJYDGHAW-UHFFFAOYSA-N 411.531 4.802 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1ccc(COCc2ccccc2)cc1 536263186 KZEWBALMNCHBQA-UHFFFAOYSA-N 405.454 4.676 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 538370261 HPKQVMMYRFQGDH-UHFFFAOYSA-N 404.209 4.922 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)NCCc1ccc(Cl)cc1[N+](=O)[O-] 538694849 DRGMOROGCIVJON-OAHLLOKOSA-N 400.784 4.643 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 543444743 XUEDWCXKMUVBKZ-UHFFFAOYSA-N 410.377 4.887 5 20 HJBD COC(=O)[C@@H](C)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 544731215 MUAKQJMIHULFJX-AWEZNQCLSA-N 416.499 4.699 5 20 HJBD O=C(NC1(c2ccc(Cl)c(Cl)c2)CC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 545287266 IWWHYWVYSZAXLP-UHFFFAOYSA-N 418.236 4.575 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CCCOc3ccc(F)cc3)no2)c(Br)c1 546345504 GOIGKHWBJFTPIM-UHFFFAOYSA-N 422.210 4.558 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(Cn3cccn3)cc2)no1 547027349 AVNXVSHALXONOC-AWEZNQCLSA-N 407.455 4.743 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 547173886 KJYQPHCWIQPKQR-NSHDSACASA-N 421.812 4.614 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc(-c2ccncc2)cs1 550542536 DJEMDUDPQUSRDQ-JTQLQIEISA-N 422.388 4.623 5 20 HJBD Cc1c(Cc2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)cccc1[N+](=O)[O-] 558357029 SOKQPTWEOYEOAY-UHFFFAOYSA-N 412.405 4.539 5 20 HJBD COc1ccc(CN[C@H](C)Cc2cccc(O)c2)cc1OCc1ccc([N+](=O)[O-])cc1 560816798 QUZKRJCRISGJFX-QGZVFWFLSA-N 422.481 4.609 5 20 HJBD O=C(Nc1ccccc1Oc1ccccc1)N1CCOc2ccc([N+](=O)[O-])cc2C1 566707521 SESLNYJKVNWLMX-UHFFFAOYSA-N 405.410 4.814 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccc(F)cc1Cl)CC1CC1 583339342 HEZUBFDXNMYBSI-UHFFFAOYSA-N 421.856 4.518 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 588244659 NNLQSJKMYPOJEU-UHFFFAOYSA-N 417.334 4.955 5 20 HJBD Cc1oc(-c2ccccc2)nc1CC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 591711446 UQZUXJAYHLEBGQ-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1ccc(C(F)(F)F)cc1 604442896 IOWCAZIYJDYRLO-LLVKDONJSA-N 416.783 4.693 5 20 HJBD C[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 609723386 MIDVHBWHEZBVCQ-LLVKDONJSA-N 416.783 4.913 5 20 HJBD COc1cc(OCC(=O)N2CCCCC[C@H]2c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 609757831 YHKYEXWQVUFCOQ-SFHVURJKSA-N 418.877 4.780 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1cc(-c2cccs2)on1 609900114 KXSPHGGPQADTAL-UHFFFAOYSA-N 417.468 4.543 5 20 HJBD Cc1cccc(NC2CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)c1 610163451 YKHKCEBVESZQGQ-UHFFFAOYSA-N 420.416 4.613 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 610199244 FZHQGDRUZHLNLI-YJBOKZPZSA-N 402.878 4.996 5 20 HJBD COc1cc(CN2CCS[C@@H](c3ccccc3)C2)c([N+](=O)[O-])cc1OCC(F)F 611518425 CZVJOGUAQLYOHB-LJQANCHMSA-N 424.469 4.537 5 20 HJBD O=C1Nc2ccccc2[C@@]12CCN(Cc1ccc(-c3ccc([N+](=O)[O-])cc3Cl)o1)C2 614660634 YELVWVMFLIJNDW-QFIPXVFZSA-N 423.856 4.604 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 618382392 CYKXZLKVVCBALE-UHFFFAOYSA-N 413.293 4.986 5 20 HJBD Cc1ccc(NC(=O)N2CCc3c(Br)ccc([N+](=O)[O-])c3C2)cc1Cl 725858707 RQEBJLKDCSNXEM-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD O=C(Nc1cccc(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1)c1cccs1 734232894 WKEKMGOPQHPROL-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD CC1CCN(C(=S)SCC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)CC1 736015788 VBNLNRJXSWMCIO-UHFFFAOYSA-N 421.588 4.620 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2cccs2)no1 747118954 KYJDSQQOZLKQGJ-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])n1 750177421 KIWXDSVQUWUWIN-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(-c2ccoc2)n1 751642733 DTTZRWUZFSBMHM-UHFFFAOYSA-N 410.860 4.800 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(-c2noc(-c3ccc(F)cc3[N+](=O)[O-])n2)c1 757560824 OHJRFAQDNMIUID-UHFFFAOYSA-N 400.366 4.798 5 20 HJBD Cc1ccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1NC(=O)OC(C)(C)C 761343426 JIPYMAZUGJISKG-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])OCc1coc(-c2ccc(Cl)cc2)n1 761769303 VKAXGLFRDCALNH-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)nc2ccccc21 769998681 SAIKYDHHDIDOLI-GOSISDBHSA-N 413.865 4.987 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1 770476836 UNGYVHUTXFIXGC-UHFFFAOYSA-N 407.923 4.831 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1ccc(Cl)cc1 777300757 FVIYRSIFTRDUKO-KRWDZBQOSA-N 408.907 4.604 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NC[C@H](C)c1ccccc1 788005299 WHSLSBMBVIQKEF-STQMWFEESA-N 401.513 4.605 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(F)cc2OCCC(F)(F)F)cc1 799911388 CXLWIOJYNGFRAX-UHFFFAOYSA-N 403.357 4.874 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc2ccccc2cc1Br 808012396 COYSSBLPSPDDET-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4c(Cl)nc5ccccn45)no3)cc12 809474850 QVZDVHZLFSCMLQ-UHFFFAOYSA-N 413.824 4.699 5 20 HJBD O=C(Nc1ccc(OC[C@H]2CCCO2)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812918449 IBWXXWOORBNZKD-CQSZACIVSA-N 411.241 4.712 5 20 HJBD Cc1nc(-c2ccc(S(=O)(=O)Oc3cccc(Cl)c3[N+](=O)[O-])s2)cs1 815056434 QJXXJTJTMKQZEU-UHFFFAOYSA-N 416.889 4.509 5 20 HJBD Cc1c(C(=O)Nc2ccccc2SCC(F)(F)F)cc([N+](=O)[O-])cc1[N+](=O)[O-] 819220090 XGAREBYCSMGOQG-UHFFFAOYSA-N 415.349 4.718 5 20 HJBD CNc1ccc(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 918825361 UVCUQWGEIKBZIN-OAHLLOKOSA-N 419.437 4.807 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1116133353 ZWSNPBOOWHRYHY-GFCCVEGCSA-N 418.375 4.510 5 20 HJBD C[C@]1(CO)CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 1254998147 YRQMUDOPOHQTHE-FQEVSTJZSA-N 420.918 4.634 5 20 HJBD Cn1cc([C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c2ccccc2)cn1 1325239966 KZVBKHRIQDJSEC-JOCHJYFZSA-N 404.495 4.936 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCO[C@@H](c4ccc(Cl)s4)C3)co2)cc1 1341243912 NMWVSSHGEFAIDO-OAHLLOKOSA-N 405.863 4.538 5 20 HJBD COc1ccc(NC(=O)N[C@H](CC(F)(F)F)c2ccc(F)cc2)c([N+](=O)[O-])c1 1345063886 WSCGKAWHVIGDKK-CQSZACIVSA-N 401.316 4.558 5 20 HJBD Cc1cccc(-n2ccnc2S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 8483698 CPRPDQMJKABCHP-CQSZACIVSA-N 407.455 4.992 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc(C(C)(C)C)cc1 10666495 WRJBRDJXELYHLB-LBPRGKRZSA-N 404.850 4.730 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)s2)cc1F 31956639 AKWRLIAQOCEZIP-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)N1CCN(c2ccccc2[N+](=O)[O-])CC1 43388815 AAUCISSMFOYFQG-UHFFFAOYSA-N 416.909 4.727 5 20 HJBD C[C@H](NC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 47255410 ODFGTRXQVGITEQ-INIZCTEOSA-N 418.453 4.659 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])cc2s1 48547498 RLIWUQZKYIBWHC-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Cl)cc1)c1ccsc1 63069386 DVMYQCJDYWKPPA-GOSISDBHSA-N 401.875 4.899 5 20 HJBD COc1ccc(C2(CNC(=O)c3cc(SC)ccc3[N+](=O)[O-])CCCCC2)cc1 63082946 ACYSAHSAXBYXOK-UHFFFAOYSA-N 414.527 4.957 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2c[nH]c3ccccc23)n1C1CC1 71961298 PBDBGIQGPUUSEU-UHFFFAOYSA-N 421.482 4.841 5 20 HJBD COc1ccc(CSCC(=O)N[C@H]2CC(C)(C)Cc3oc(C)cc32)cc1[N+](=O)[O-] 107889303 SRYVDHAVJUKCIZ-INIZCTEOSA-N 418.515 4.568 5 20 HJBD CN(Cc1cscn1)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 149224973 SKNYJJJRVIEGEB-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD CSc1ccc(C(=O)N[C@@H]2CCCc3cc(OC(F)F)ccc32)cc1[N+](=O)[O-] 195739009 CJKBLMPZHZFSJJ-OAHLLOKOSA-N 408.426 4.726 5 20 HJBD Cc1nn(C)cc1[C@H](C)NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 237557315 QGQLMJQEBTXOFZ-ZDUSSCGKSA-N 400.866 4.933 5 20 HJBD CC[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccc(F)cc1F 301352654 JPIQGEZQLVDVMA-INIZCTEOSA-N 420.803 4.636 5 20 HJBD CCOc1cc(N2CCC(C(=O)c3c(F)cc(F)cc3F)CC2)ccc1[N+](=O)[O-] 301601345 VJSQPVDIUYWWGD-UHFFFAOYSA-N 408.376 4.510 5 20 HJBD CC(=O)c1ccc(NCc2ccc(C(=O)Nc3ccc(F)cc3)cc2)c([N+](=O)[O-])c1 301673345 RGHUREPEZKLBFL-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD Cc1nc(NCc2ccc([C@H](C)Nc3ccc([N+](=O)[O-])c(C)n3)cc2)ccc1[N+](=O)[O-] 302150627 LUDZXMFGUVESTF-ZDUSSCGKSA-N 422.445 4.695 5 20 HJBD CC[C@H]1CCCCN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 302685860 JBDFTVSNIDVRDQ-FQEVSTJZSA-N 418.497 4.781 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc(F)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 303295348 NLIFBOCULIMRJW-INIZCTEOSA-N 416.449 4.842 5 20 HJBD C[C@@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 426175260 GECPIJGTGFRHEB-OAHLLOKOSA-N 410.474 4.553 5 20 HJBD CC(C)(NC(=O)CSCc1coc(-c2ccccc2)n1)c1ccc([N+](=O)[O-])cc1 430553562 UAGABJFDPQEANO-UHFFFAOYSA-N 411.483 4.535 5 20 HJBD Cc1ccc2nc(CNc3cc([N+](=O)[O-])ccc3Sc3cccs3)cc(=O)n2c1 434881717 XLCYIDFYANXVKF-UHFFFAOYSA-N 424.507 4.736 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437129284 YWNHQUGFNOWAQL-UHFFFAOYSA-N 406.280 4.545 5 20 HJBD COc1ccccc1OCCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440592586 ABMWKYTZIQIRFP-UHFFFAOYSA-N 406.438 4.606 5 20 HJBD O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@H]21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441056235 BDUXYGSNZCQNQS-MTNREXPMSA-N 402.475 4.814 5 20 HJBD CC[C@H](NC(=O)N[C@H](C)c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 443313252 ITMFJGATRYKRPM-MFKMUULPSA-N 412.309 4.930 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 444927324 XYVHCKYODIQYNL-AWEZNQCLSA-N 401.316 4.558 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)cc1 465021732 WONSEIJZMRTZNC-UHFFFAOYSA-N 420.421 4.648 5 20 HJBD C[C@@H](CCOc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 465142423 YKXKGXKUXXDYKD-HNNXBMFYSA-N 410.392 4.591 5 20 HJBD CCc1cc(N2CCC[C@@H]2c2ccc(COC)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 466625888 NIFHBJMPUZSTEB-LJQANCHMSA-N 408.458 4.695 5 20 HJBD CCc1nn(-c2ccccc2)c(CC)c1CNC(=O)c1cc(SC)ccc1[N+](=O)[O-] 468492643 QBIIXCIXAYYMMP-UHFFFAOYSA-N 424.526 4.557 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(OC(F)(F)F)c(Br)c2)c1[N+](=O)[O-] 470698532 YVTAFNVFYRUBKA-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(Br)cn1 475511843 ZERCWQVTIGHTFL-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C/c1ccc2cccc([N+](=O)[O-])c2n1 478370622 BHUZVWUFSCKSJB-ZRDIBKRKSA-N 402.859 4.760 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-])CCC2 479077694 LZLDGQMZPIRFAG-CYBMUJFWSA-N 424.320 4.526 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)N1Cc2ccccc2C1 479561040 TUMHRTVEENNOFV-HZPDHXFCSA-N 421.522 4.905 5 20 HJBD COCC1=CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 479803596 LWEVHRMYQKNFFE-UHFFFAOYSA-N 418.902 4.818 5 20 HJBD CCc1cc(Oc2cccc(Oc3cnccn3)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 480574934 HKADFWAHBVLTPP-UHFFFAOYSA-N 415.409 4.989 5 20 HJBD Cc1cccc2c1[C@H](C)C[C@H]2CC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 485858678 AEJDBVYXBUJRJR-MSOLQXFVSA-N 408.498 4.954 5 20 HJBD CSc1cccc(C(=O)Nc2c(-c3cccs3)nc3ccccn23)c1[N+](=O)[O-] 486248888 GDGRGPQDZQDGCM-UHFFFAOYSA-N 410.480 4.945 5 20 HJBD CSc1cccc(C(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 486708180 OITBFFWZRYRPNG-UHFFFAOYSA-N 417.874 4.864 5 20 HJBD COc1cc(CNc2cccc(N(C)C(C)C)c2)c([N+](=O)[O-])cc1OCC(F)F 491025014 URICJPXJJDGXAX-UHFFFAOYSA-N 409.433 4.704 5 20 HJBD Cc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)sc1C 492525823 GYENMRKFTIUIEA-UHFFFAOYSA-N 413.524 4.792 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccc([N+](=O)[O-])c2cccnc12 498178410 NAUVSJYGTXFDNQ-UHFFFAOYSA-N 402.288 4.555 5 20 HJBD COCCN(C(=O)c1coc2ccccc12)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 499195935 UWYLFTZUANADBC-UHFFFAOYSA-N 423.450 4.758 5 20 HJBD CCCN(C(=O)c1cc(OCC)c(OC)cc1[N+](=O)[O-])[C@H](C)c1ccccc1OC 500009947 SANHDWYDTRPPAL-OAHLLOKOSA-N 416.474 4.624 5 20 HJBD Cc1c(Br)cc(C(=O)N(C)c2nc3ccccc3s2)cc1[N+](=O)[O-] 509804763 RJOGLNSHUPTFMN-UHFFFAOYSA-N 406.261 4.552 5 20 HJBD Cc1ccc(CN(Cc2ccco2)Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 519987792 QOAWLUIOGJEEEQ-UHFFFAOYSA-N 410.455 4.810 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccccc3)Cc3ccc(F)cc3)c1)OCOC2 520171358 FRLYSDKLQJTIMH-UHFFFAOYSA-N 408.429 4.803 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)[C@H](C)c3ccccn3)cc2[N+](=O)[O-])n1 520939782 NEJDYVZAWSVFEL-CYBMUJFWSA-N 414.512 4.739 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2-n2cccc2)cc1OC 521857502 PAEQFMJQEDQAMK-UHFFFAOYSA-N 415.833 4.699 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)Cc3c(C)noc3C)c([N+](=O)[O-])c2)cc1 523088710 XEVFSWADZGOQEH-CQSZACIVSA-N 408.458 4.803 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N(Cc1ccco1)C[C@@H]1CCCO1 524462606 RHFDUEBLCWRCSQ-FQEVSTJZSA-N 421.453 4.753 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3cc(Cl)cc([N+](=O)[O-])c3)CC2)c(C)c1 530622157 DTDNTDSEHDWVRX-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(C(=O)Nc2ccccc2)cc1 535451855 XWUQYJXUQXRVKF-UHFFFAOYSA-N 417.465 4.898 5 20 HJBD CC[C@@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1c(C)noc1C 536033369 YXLTYZCQYOXXLZ-QWQRMKEZSA-N 414.506 4.563 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)c1ccc(Cl)cc1 537152430 UWEWMIBLEPIOQB-QGZVFWFLSA-N 408.907 4.866 5 20 HJBD COC(=O)c1cccc(-c2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c1 537175020 NXSVPWWTIFFVLT-CQSZACIVSA-N 411.483 4.664 5 20 HJBD COCCn1ccc2ccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)cc21 537818122 HCEZIOIBGZNPOI-UHFFFAOYSA-N 422.466 4.572 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1ccc([N+](=O)[O-])cc1Cl 537917994 SWAHRKNWVMLYQS-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)NC(=O)CS2 538493791 GZWTUCJPURDXQH-UHFFFAOYSA-N 417.512 4.784 5 20 HJBD Cc1ccc(C(=O)NC2CC2)cc1NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 539935954 OLBXMXVDQISWAK-UHFFFAOYSA-N 408.483 4.531 5 20 HJBD O=C(CCCc1nnc(-c2ccccc2)o1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 541069000 LQEOOZYBRLFVAI-UHFFFAOYSA-N 420.347 4.625 5 20 HJBD CC(=O)N(Cc1ccc(Nc2ncc([N+](=O)[O-])c(C)c2Br)cc1)C(C)C 541575832 FVUDKBWFVQABJQ-UHFFFAOYSA-N 421.295 4.561 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CCN(C)c3ccccc32)no1 544283777 IIXUQWBSUDBZGB-SWLSCSKDSA-N 414.849 4.743 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 545044941 HBKSBOXNSUZERH-YVMONPNESA-N 402.204 4.586 5 20 HJBD CC[C@H](C)n1ncc2c(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)cc(C)nc21 545783588 XQQZMDJYGPAXTO-ZDUSSCGKSA-N 406.446 4.568 5 20 HJBD COc1cc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)ccc1OC(C)C 546951386 NLZJYMJUQVAQET-UHFFFAOYSA-N 405.357 4.581 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc21 549623364 NGAPDYMLWCHFFD-PABFRNLHSA-N 409.467 4.648 5 20 HJBD COc1ccc(-c2ccc(CN3CCC(F)(c4cccnc4)CC3)o2)c([N+](=O)[O-])c1 551582865 FCDYTGTWYQPRQK-UHFFFAOYSA-N 411.433 4.719 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccccc1CN(C)Cc1ccco1 568452622 HNUHPAFFKSEQOQ-UHFFFAOYSA-N 422.485 4.704 5 20 HJBD CCN(Cc1ccncc1)Cc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1 573763877 QVUVYPCYOFCYKJ-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD Cc1cc(N2CCC(c3nc(-c4ccccc4)no3)CC2)c2cc([N+](=O)[O-])ccc2n1 589572199 ZHADYVPFYNFVHH-UHFFFAOYSA-N 415.453 4.885 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 603524946 YMYLFGYRDQMYNX-UHFFFAOYSA-N 403.482 4.912 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(-c3ccc(OC(F)F)cc3)o2)cc1 603947954 UCROMWDVMSHCOU-UHFFFAOYSA-N 423.397 4.807 5 20 HJBD COc1ccc([C@H](NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C2CC2)cc1 604517126 OKZSNHHLAJSRFN-LJQANCHMSA-N 409.467 4.608 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(-c3ccc4ccccc4c3)n2)cc1[N+](=O)[O-] 609171117 YILJKWHNBCIUFB-UHFFFAOYSA-N 413.433 5.000 5 20 HJBD Cc1cccc(C2(CNC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)CCCCC2)c1 609547491 AFHOTRZBXBNQBI-UHFFFAOYSA-N 419.481 4.789 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCCc2nc(-c3cccc(Cl)c3)no2)o1 609636999 DJVLSFXTMYOJBT-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1nc(-c2ccc(Cl)cc2)no1 609670537 YARMLLFGSFQPDZ-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 641236681 DPTJSGOKOKVZKP-UHFFFAOYSA-N 424.422 4.832 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1OC 726150442 NLKYQPXSYSKQNP-XIJQHFHMSA-N 424.497 4.639 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCCC[C@H]1Cc1ccccc1 727055350 MUDGEAMDYOLYEU-HNNXBMFYSA-N 403.276 4.595 5 20 HJBD O=C(NC(c1cccs1)c1cccs1)c1cc([N+](=O)[O-])ccc1Br 737610399 GEFJYYMSZYSKKN-UHFFFAOYSA-N 423.313 5.000 5 20 HJBD COc1cc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1C 741127464 GGLRIZAIUBOJDJ-INIZCTEOSA-N 421.453 4.837 5 20 HJBD Cc1ccc(C(C)(C)CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 742014230 PYCVHXFDXCAOQW-UHFFFAOYSA-N 405.292 4.716 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC[C@@H](c2nc3ccccc3s2)C1 742389259 FDIDRMBLCRFCHM-CQSZACIVSA-N 424.482 4.545 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Oc1ccc2ccc(C(F)(F)F)nc2c1 744955648 JNDDTKIAWNVVRP-UHFFFAOYSA-N 415.327 4.722 5 20 HJBD C[C@H](OC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1)c1ccccc1[N+](=O)[O-] 749054167 JUZRPIHZOUXSOU-ZDUSSCGKSA-N 415.833 4.925 5 20 HJBD COc1ccc([C@@H]2CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C2)cc1 749891099 ALTLUYNGNYHJIM-GFCCVEGCSA-N 411.336 4.524 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 749966894 TXHRUDUVRGUOOM-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ccnc(Cl)c2)cc1[N+](=O)[O-])c1ccccn1 750629219 BPMIZWXNVHZVKW-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC(c2cccc(F)c2)CC1 752404520 GMADHALOQNMBFK-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD C[C@H](C(=O)Oc1cccc(C(=O)Nc2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 754195845 PGUJDKMRLZNKRY-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3ccc(F)c(C(F)(F)F)c3)[n+]2[O-])c1 755587419 USIPZJQFPAJPFZ-GQCTYLIASA-N 405.307 4.619 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 757211548 VMDVBSDOGKSERG-UHFFFAOYSA-N 420.853 4.774 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cccc([N+](=O)[O-])c1Br 759340993 HKBKPGSGMABBPK-HUUCEWRRSA-N 403.276 4.814 5 20 HJBD C[C@@H](OC(=O)c1scnc1C1CCCC1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 759756926 ZLPBAZCXLIUQBD-LLVKDONJSA-N 414.443 4.677 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCCCC[C@H]3C[C@@H](O)c3ccco3)co2)cc1 760847745 PWWNXOBXCFCIIJ-VQTJNVASSA-N 411.458 4.711 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(NC(=O)OC(C)(C)C)cc2O)n1 762070765 HUSDWWRUBSAPEU-UHFFFAOYSA-N 412.402 4.673 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)n1 764508886 VMMMCDZCBOBIDD-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CNC2(c3ccccc3)CCC2)c1=S 764947777 RKZBFEQKIWQZPK-UHFFFAOYSA-N 409.515 4.636 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(-c4cc(F)c(F)c(F)c4)no3)sc2c1 767928916 VTKYFBHZIKFYBQ-UHFFFAOYSA-N 424.385 4.964 5 20 HJBD O=C(OCc1nc(-c2ccccc2Cl)cs1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 774959555 BZHWPKAJFSOFDB-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD COCCc1nc(C)c([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)s1 775277754 CGZFSWSVQYHDMW-ZDUSSCGKSA-N 424.544 4.967 5 20 HJBD Cc1cc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])nn1-c1ccc(Cl)cc1Cl 777174237 PDQUHHXCDNDHHH-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD Cc1ccc(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c(-c2nc(C3CC3)no2)c1 778026789 UUZKCSJYAKXBRV-UHFFFAOYSA-N 414.368 4.561 5 20 HJBD O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)OCc1ccc([N+](=O)[O-])cc1Cl 778094559 FAHPMIJIYQMSDU-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 779115863 JHERIFMSLZEXIO-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cc(F)ccc1F 779178740 NFLCWUHGXNEQND-IBGZPJMESA-N 418.421 4.605 5 20 HJBD C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780658959 LITNNRNDHOHKQZ-BFIDETRKSA-N 412.489 4.672 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 780658969 LITNNRNDHOHKQZ-RYUVBPIJSA-N 412.489 4.672 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NOC[C@H]1CCOC1 788576269 ULQZVCPUDMMVTM-INIZCTEOSA-N 401.462 4.631 5 20 HJBD Cc1cccc(C(=O)NCc2cccc([N+](=O)[O-])c2C)c1NC(=O)c1ccccc1F 791017172 GDOUUSYIWIISER-UHFFFAOYSA-N 421.428 4.533 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1ncc(-c3ccccc3)o1)CC2 792912440 JNHWDZMJAVZUOO-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(Nc2ncnc3sc([N+](=O)[O-])cc23)cc1 804523120 LFMJRVTVJYUQMU-NSHDSACASA-N 415.475 4.929 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCc2c(Br)cccc2C1 809913684 RQPBBOUEDDGKTA-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccc(C(F)(F)F)nc1Cl 817879052 AJCCABNVWANYLP-QMMMGPOBSA-N 412.755 4.634 5 20 HJBD Cc1cccc2sc(C(=O)OCC(=O)Nc3cccc([N+](=O)[O-])c3)c(Cl)c12 875312776 ZFBUIPNBRJDJLM-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD O=C(NCc1cccc(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 900702844 CGPMKAOHICXYFC-UHFFFAOYSA-N 407.392 4.534 5 20 HJBD COc1cc(-c2nnc([C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc(OC)c1OC 1117169178 KHEDLZRIKKHISH-LLVKDONJSA-N 417.443 4.524 5 20 HJBD COc1cc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc(Cl)c1OCCC(C)C 1318862705 FOIRVMYRCALMDJ-UHFFFAOYSA-N 418.877 4.838 5 20 HJBD O=[N+]([O-])c1ccc(OCC(F)(F)F)cc1-c1nnc(CCCc2ccccc2)o1 1322401180 OXHSPJGXEXBYSB-UHFFFAOYSA-N 407.348 4.761 5 20 HJBD COc1ccccc1-c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 8586844 BHAKSLFIKDHWKL-UHFFFAOYSA-N 402.410 4.933 5 20 HJBD Cc1ccc(Nc2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)s2)cc1 10317380 YULOKOXYPKMKEX-GFCCVEGCSA-N 415.500 4.618 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)n1 15637304 AVGOABGUKRUJNJ-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(OCC(F)(F)F)cc1 63083644 LQLQTTXHTXJLMC-LLVKDONJSA-N 407.348 4.508 5 20 HJBD CC(C)[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2[nH]1 64870919 RKWLSIPABCPLCB-SFHVURJKSA-N 421.482 4.722 5 20 HJBD COc1c(Cl)cccc1NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 65855538 ZHVGCJBPOQWREB-OKILXGFUSA-N 417.893 4.991 5 20 HJBD CCN(Cc1cccc(Cl)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 105917204 WUGIRQFWBUYCLR-UHFFFAOYSA-N 404.897 4.857 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 109274686 GTLUDOJPSNZULG-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1Sc1nnc(NCc2ccco2)s1 110455280 LINPZAOZNBVGJS-UHFFFAOYSA-N 413.278 4.565 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 116697498 SVUCHAJXNPMMCG-MRXNPFEDSA-N 412.833 4.666 5 20 HJBD Cc1nn(C)c(C)c1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 237711283 VKFKMWUSYPAFJJ-UHFFFAOYSA-N 418.856 4.820 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3cccc(Cn4cccn4)c3)s2)cc1 238002638 RVPKUIDPAGXIFN-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(C(F)(F)F)(C(F)(F)F)C1 303699211 RDYOLJHMVSSJPV-SECBINFHSA-N 424.301 4.523 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1sccc1Br 320476739 CFOGQXKWDYGUAV-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD Cc1ccccc1[C@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 410138817 IEOXEFOKHSNJIL-WKILWMFISA-N 400.453 4.805 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(F)(F)F)c(OC)c1 426923846 DAEBOCUTLQCXIQ-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1OCc1cccnc1 438979000 WTJUBSDWZUOFNP-UHFFFAOYSA-N 421.453 4.953 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 439254472 DWGZFBWXAHHMHL-INIZCTEOSA-N 405.454 4.935 5 20 HJBD CN(C)Cc1cccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 442257583 JAMDNGSYVDHCAP-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC(C)(C)Sc3ccccc32)cc1SC 446421565 WFHPQTHQMFSDRD-UHFFFAOYSA-N 404.513 4.856 5 20 HJBD Cc1nn(C)c(C)c1C[C@H](C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 460133248 WOWRQMHMYCWZDY-AWEZNQCLSA-N 408.458 4.555 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(N3CCCCCC3)nc2)c(Br)c1 462792139 PNUISQPHDUZCRF-UHFFFAOYSA-N 405.296 4.745 5 20 HJBD C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 468377300 NBASKYDQBZLGLJ-LLVKDONJSA-N 415.421 4.756 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)c2cccc(C(F)(F)F)c2)c(C(F)(F)F)c1 468387059 CWRNFHOXDWZUTB-UHFFFAOYSA-N 413.295 4.606 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@@H]1CCSC1 474683665 GSZYNCZMCBWKQE-GFCCVEGCSA-N 410.854 4.549 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cc1OC 474753046 RLGJZXUHVZZXRV-ZIAGYGMSSA-N 420.893 4.920 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC(Cc2ccc(F)c(F)c2)C1 475188598 CTCSKHLVRYSTDA-UHFFFAOYSA-N 415.318 4.598 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1csc([N+](=O)[O-])c1 476267282 YSZPGNMDECGWMR-UHFFFAOYSA-N 406.467 4.891 5 20 HJBD Cc1c(CN[C@H](C)c2ccc(OC(F)F)cc2OC(F)F)cccc1[N+](=O)[O-] 478495666 IQESWKIJIXSYLN-LLVKDONJSA-N 402.344 4.957 5 20 HJBD COC1(CC(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCC1 480014047 FNBPSVOQYHHTJC-UHFFFAOYSA-N 422.840 4.755 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccccc2F)C1)c1ccc([N+](=O)[O-])cc1Br 482903130 PJZTXGMZDKMALQ-VXGBXAGGSA-N 407.239 4.563 5 20 HJBD C[C@H]1Cc2ccccc2N1Cc1ccoc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 482962673 LICRBEAPKNVHCG-JKSUJKDBSA-N 405.454 4.630 5 20 HJBD O=[N+]([O-])c1cnc(NCc2cc(Oc3ccc(F)cc3)ccn2)c(Br)c1 485218254 YBJNAFWHQXDPGP-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(C)C)c(C)c1 485283590 LZUKSDGQMHWLAG-UHFFFAOYSA-N 404.488 4.681 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(C(C)C)nc3ccccc23)c(C)c([N+](=O)[O-])c1 485953841 HUYDDRODNBUPCM-UHFFFAOYSA-N 407.426 4.614 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487392649 ZVVFBRYLVRFKRE-XOBRGWDASA-N 417.893 4.698 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 489534809 DFSMREBPEMBZNN-SFHVURJKSA-N 416.499 4.763 5 20 HJBD O=C(N[C@@H]1CSc2ccc(Br)cc21)c1c(Cl)cccc1[N+](=O)[O-] 489665043 LDIXOFVKDIMQMG-LLVKDONJSA-N 413.680 4.588 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc(C(C)C)n1 499440540 FJDZPRGIETVORW-HNNXBMFYSA-N 420.473 4.637 5 20 HJBD Cc1ccc([C@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 503260956 SALZRIXJALQEBQ-IERDGZPVSA-N 407.495 4.685 5 20 HJBD C[C@H]1CCCCN1C[C@@H]1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)C1 505905858 JCUQFTMYKDYJOJ-HOTGVXAUSA-N 401.532 4.536 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(CC(F)(F)F)C2 505978703 GYBKAJSDPAVHAC-OAHLLOKOSA-N 421.419 4.680 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCc3nccs3)cc2[N+](=O)[O-])cc1 511242429 QVIOBMAFZUJDMY-UHFFFAOYSA-N 413.524 4.826 5 20 HJBD CC[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccccc1OC(F)F 512092707 RPPLYBINTYDUTP-QGZVFWFLSA-N 407.417 4.531 5 20 HJBD O=C(Nc1ccc(-n2ccnc2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 514061347 FCWOOCVJSOTLRW-UHFFFAOYSA-N 400.394 4.825 5 20 HJBD CCc1ccc([C@H](CO)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 514065416 VZNPXXGKYLNGGO-FQEVSTJZSA-N 400.862 4.894 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 517949088 IMYJVPBYBWAOFP-NRFANRHFSA-N 417.465 4.712 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1ccccc1C(F)(F)F 521284956 JAJDEPVKBWVOQY-NSHDSACASA-N 416.783 4.693 5 20 HJBD Cc1c(NC(=O)NCCCc2ccc(Br)cc2F)cccc1[N+](=O)[O-] 523933630 DDFVORNMKVIBKP-UHFFFAOYSA-N 410.243 4.559 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1C1CC1 534246710 FSHCUWLKQGCQIW-JTQLQIEISA-N 421.295 4.963 5 20 HJBD CN(C(=O)c1cc(Br)cc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 535963979 PYPVPYTTXZXXCW-UHFFFAOYSA-N 403.154 4.653 5 20 HJBD Cc1ccc([C@@H](C)NCC[S@@](=O)c2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 540476330 FSRVCFDUNVMJQK-XELLLNAOSA-N 401.315 4.668 5 20 HJBD O=[N+]([O-])c1ccc(CN2[C@H]3CC[C@H]2CC(O)(Cc2ccc(F)cc2F)C3)c(Cl)c1 542040460 JFEDOTAXHDUXGN-ROUUACIJSA-N 422.859 4.627 5 20 HJBD C[C@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C[C@@H](c2ccsc2)O1 542514140 XDCFYJFFFNHODW-BONVTDFDSA-N 415.393 4.669 5 20 HJBD CCc1ccc([C@@H](N[C@@H]2CCN(C(C)=O)c3ccccc32)c2ccc([N+](=O)[O-])cc2)o1 543424828 GDIXIKWQLQTZGK-QPPBQGQZSA-N 419.481 4.927 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 543519313 VNWBWQWHMKGCSM-GFCCVEGCSA-N 416.890 4.661 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(CSCc4ccco4)no3)cs2)c1 546955909 JGKGYEXZYHEEPL-UHFFFAOYSA-N 400.441 4.795 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)c1 549990512 BAMAJYXWZNWVGN-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD Cc1ccc(OC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 559882435 WDKJNXGZFIHMEQ-UHFFFAOYSA-N 408.376 4.606 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(CN3CCCC3=O)cc2)no1 567648903 UVMNVEMMMAOQDO-GOSISDBHSA-N 420.469 4.504 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c2[nH]c(C)c(C)c2c1 568759048 WNSDTTNPUCSWBZ-UHFFFAOYSA-N 405.376 4.950 5 20 HJBD COc1cc(OCC(=O)Nc2cc(Cl)ccc2SC(C)C)ccc1[N+](=O)[O-] 609182944 FVNKYVDLXIJFRL-UHFFFAOYSA-N 410.879 4.775 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 609500772 WKXLFQHQWKYQBA-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 609667916 WWXLHAFFHCMSIA-SSEXGKCCSA-N 419.462 4.636 5 20 HJBD COc1cccc(CCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1OC 609756019 UFJDUXIMNKIIIT-UHFFFAOYSA-N 422.437 4.976 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1F 609980313 CYNMIVXIGCVBER-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD C[C@H](CC(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccncc1 610723566 OYFBYJKFRRJGJM-OAHLLOKOSA-N 417.425 4.568 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](NCc1cccc(OCc2ccccn2)c1)C1CC1 610799314 ROMKAGRWNSASAM-HSZRJFAPSA-N 403.482 4.680 5 20 HJBD CCCCc1ccc([C@H](NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c2cccs2)cc1 619491241 VSMPPQFSONMMIH-QFIPXVFZSA-N 423.538 4.977 5 20 HJBD CC(=O)Nc1ccc(CCNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 728908563 MQDMLYAOSCHEIN-UHFFFAOYSA-N 401.772 4.880 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(Oc3ccc(C)cn3)cc2)cc([N+](=O)[O-])c1 733236335 KWCRISLKOYHMRI-UHFFFAOYSA-N 421.409 4.520 5 20 HJBD C[C@H](C(=O)OCc1cccc([N+](=O)[O-])c1)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 744078580 RKJRFBXRUCZBHF-HNAYVOBHSA-N 414.458 4.540 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc(F)ccc1[N+](=O)[O-] 745421069 INQGJODWRXEHSQ-LJQANCHMSA-N 403.413 4.777 5 20 HJBD CC(C)(C)C(=O)Nc1nccnc1C(=O)OCc1nc2ccccc2c2ccccc12 745564924 SCBFUAPLKWRYOT-UHFFFAOYSA-N 414.465 4.520 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(OCc2noc(Cc3ccc(Br)cc3)n2)c1 747812390 XZMARFJZDDLNOE-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 748688632 WWMPTZDEGVBGGV-UHFFFAOYSA-N 419.437 4.604 5 20 HJBD COc1ccc(COc2cccc(F)c2)cc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] 751112618 CMHGOAKYQUWQCX-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCC1 751319065 BSECKOYZMFMZBK-UHFFFAOYSA-N 418.453 4.980 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(C(=O)N3CCCCC3)c(Cl)c2)c1[N+](=O)[O-] 753450948 AWGWDXFHZVXQAJ-UHFFFAOYSA-N 419.840 4.574 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)cc1Cl 754098736 XOBXAMQUMHHVEY-SCLBCKFNSA-N 408.813 4.506 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754980877 ALUVEYNENHJFSG-SECBINFHSA-N 415.204 4.533 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)cc2)n1 757221337 QGECDPJPBIDTMQ-UHFFFAOYSA-N 415.837 4.743 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 760838110 RCKUQIBNVCFZEM-LJQANCHMSA-N 403.276 4.551 5 20 HJBD O=C(O)c1ccc2c(c1)CCN2C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 761421106 PRGXLELLMBKGAW-UHFFFAOYSA-N 412.467 4.531 5 20 HJBD CCOC(=O)Nc1nc2ccc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2s1 761670977 QAHXSGUAYOOYAF-NSHDSACASA-N 414.443 4.515 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C1CCCCC1 762958336 ZFZAGPRNYBNNCR-UHFFFAOYSA-N 420.469 4.733 5 20 HJBD O=C(c1cc([N+](=O)[O-])c2nsnc2c1)N(Cc1cccs1)Cc1cccs1 771502478 LQRGANBNFLBDEX-UHFFFAOYSA-N 416.509 4.565 5 20 HJBD O=C(NCCc1cc(Cl)ccc1Br)c1cccc(Cl)c1[N+](=O)[O-] 772221935 OLXATWMXZOIMDZ-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl 791246436 FKLMGTWFYYYQQN-ZBFHGGJFSA-N 403.331 4.751 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@H]1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 795118792 CJCLSRDPPYFSON-QGZVFWFLSA-N 420.263 4.679 5 20 HJBD Cc1nnc([C@H](C)OC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 799552883 HDPXCMCTXMGCFS-NSHDSACASA-N 401.469 4.824 5 20 HJBD O=C(Nc1ccc(-c2ccc(Cl)s2)nc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 801099228 VSWBNYRKRRBNHT-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD O=C(NCc1ccccc1OCC(F)(F)F)Nc1cccc([N+](=O)[O-])c1Cl 809917871 CTGFQLNIRQQGKV-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CCN(CC)Cc1ccc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)o1 813304993 POLUURJHTJMNMJ-UHFFFAOYSA-N 415.471 4.510 5 20 HJBD C[C@H]1CCCCN1C[C@H]1CCCN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1 813454680 WGBZLVHYBICVME-UONOGXRCSA-N 414.333 4.628 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)c2nc(-c3ccc(C)c(F)c3)no2)cc1[N+](=O)[O-] 825139250 HVFDGSPLQFQXJM-NSHDSACASA-N 417.418 4.732 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1cccnc1Cl 890308117 SXKYGYKFWRWHEY-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cn1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 918374013 SJHUCHIMKLOOKQ-UHFFFAOYSA-N 402.454 4.899 5 20 HJBD COc1cc(CSCc2cn3c(C)cccc3n2)c([N+](=O)[O-])cc1OC(F)F 1116531813 SAFLICDMPMPHOE-UHFFFAOYSA-N 409.414 4.594 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(Cc3ccccc3)CC2)no1 1120770652 BNBJWCUMAYYAFZ-UHFFFAOYSA-N 420.469 4.603 5 20 HJBD CC(C)n1ncc2c1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 1255104357 XEXAEEFCUGLKDH-CQSZACIVSA-N 411.384 4.590 5 20 HJBD CCOC(=O)N1[C@H](c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC[C@@H]1c1ccc(C)o1 1326068632 YUFLMQQWGMLOIJ-CVEARBPZSA-N 412.402 4.581 5 20 HJBD CCOC(=O)c1cc(-c2nnc(COc3ccc4ccccc4c3)o2)cc([N+](=O)[O-])c1 1341521861 ZFRCGZODWJULSW-UHFFFAOYSA-N 419.393 4.554 5 20 HJBD CC(C)/C=C/C(=O)Nc1ccc(C2(NC(=O)/C=C/c3ccc([N+](=O)[O-])o3)CCC2)cc1 1792058654 PWANQWDYRBTNNN-RUVIVGLCSA-N 423.469 4.547 5 20 HJBD Cc1ccc(CC(=O)O[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 7069937 JIKLAQVXFNVYHB-QHCPKHFHSA-N 418.449 4.677 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C1CCCCC1 9229356 UVRQENPLHMBLFE-DTQAZKPQSA-N 407.470 4.651 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)Cc3ccc([N+](=O)[O-])cc3)s2)cc1Cl 22866500 XOLDDOXGVQESHK-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccncc2)cc1 50487005 RERJSZSGECGUCI-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD Cc1ccccc1CN(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 52956711 DJOVOXJSOKKFAW-QGZVFWFLSA-N 404.470 4.744 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1CCc1ccccc1 55486926 BEVHVKFIEIXINI-OAQYLSRUSA-N 410.517 4.779 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CSc1ncnc2sc3c(c12)CCCC3 71566651 BBDNLIJEZMQAKC-UHFFFAOYSA-N 414.512 4.518 5 20 HJBD COc1cccc(CS[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 145170487 RQAQFUVURNSRQN-NSHDSACASA-N 414.405 4.883 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)CCCNc2ccc([N+](=O)[O-])cc2)cc1 248259295 UZRUXZNQBAVXIT-JOCHJYFZSA-N 411.502 4.939 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@H](C)Cc1ccsc1 426324714 RRQOUTDRJMBSQY-GFCCVEGCSA-N 416.421 4.691 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc([N+](=O)[O-])cc2OC)c2ccc(OC)cc2)cc1 430225257 XTBPLNKCDYRAMC-HSZRJFAPSA-N 422.481 4.694 5 20 HJBD CCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)[C@H](C)C(C)C 435482077 VBJUYOYLAURZJB-CYBMUJFWSA-N 421.544 4.639 5 20 HJBD CC[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 435951767 XITVDJSVARQTNQ-CQSZACIVSA-N 406.432 4.883 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437959254 DSBKNJUMFIEZQH-JLTOFOAXSA-N 417.893 4.698 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@H](c3ccccc3)c3ccc(F)cc3)o2)cc1 440414682 KNAARBQSYMBKAC-OAQYLSRUSA-N 404.401 4.663 5 20 HJBD O=C(c1cc(O)nc2cc(F)ccc12)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440614349 NHNQZVSMIHJGLS-UHFFFAOYSA-N 417.396 4.835 5 20 HJBD Cc1c(NC(=O)C(=O)N(C)[C@@H](C)c2ccc(-c3ccccc3)cc2)cccc1[N+](=O)[O-] 442531707 UKTVONAVXRJWBI-KRWDZBQOSA-N 417.465 4.728 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 445662503 SZYGSIXVKQGZGZ-CSKARUKUSA-N 407.405 4.809 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC4(CCOCC4)C3)c([N+](=O)[O-])c2)cc1 462913869 RUGBMOBFAQMUAN-UHFFFAOYSA-N 409.486 4.553 5 20 HJBD O=C(c1ccc2c3c(cccc13)CC2)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464663024 VGBWZXDEFJXTJK-UHFFFAOYSA-N 402.450 4.530 5 20 HJBD CC(C)(NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 465068671 SLUYNCWADCTRTB-UHFFFAOYSA-N 408.789 4.780 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@@H]1Cc1ccccc1 472183585 SXGJUJLOBCUICJ-OAQYLSRUSA-N 401.466 4.664 5 20 HJBD COc1cc(CNc2cccc3c2CCO3)ccc1OCc1ccc([N+](=O)[O-])cc1 475509438 CMYVCEFLNWMCQR-UHFFFAOYSA-N 406.438 4.729 5 20 HJBD Cc1cc2sc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)nc2cc1F 478061948 BRKONBYWHDFQJQ-UHFFFAOYSA-N 400.435 4.505 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NC(=O)NCc1cccc([N+](=O)[O-])c1C 480069677 RGVUAWLUMBYIJF-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 480437255 XGTLVFFSUXBLQT-LJQANCHMSA-N 413.543 4.955 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)CC(C)C)cc1 481856590 NGJLZGGKMOEBQG-UHFFFAOYSA-N 401.488 4.944 5 20 HJBD Cc1ccc(F)c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1Br 484674134 SLUGJNPFLOTPBX-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)c(F)cc2Br)c1[N+](=O)[O-] 486430376 HTILBTCTDDCJQK-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD O=C1CCCc2cc(Oc3ccc(C(=O)c4ccccc4)cc3[N+](=O)[O-])ccc2N1 487075581 OQLRJRLJHXCYGY-UHFFFAOYSA-N 402.406 4.893 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1ccn(-c2ccccc2[N+](=O)[O-])n1 489982437 PVVDUOMUBQZDRH-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)c(F)c(Cl)c2)c([N+](=O)[O-])cc1OC 494883031 MYOHVJKRPIMWHN-UHFFFAOYSA-N 403.193 4.700 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@@H](O)C12CCCC2 495640851 RRSRUFPSUIGEQQ-LJQANCHMSA-N 416.861 4.560 5 20 HJBD COc1cccc(CN(C(=O)c2ccc([N+](=O)[O-])o2)C23CC4CC(CC(C4)C2)C3)c1 497908890 FIJYMTKHSGUJCN-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@H](Cc1ccccc1)c1ccccc1 499030254 PNXNAOOHQWHRIT-LAUBAEHRSA-N 419.481 4.504 5 20 HJBD CCOc1cc(C(=O)NCc2ccccc2Oc2ccccc2)c([N+](=O)[O-])cc1OC 499306905 CKTJPQLXUOZXJW-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(Br)cc1 499403808 MURUFLBSUOQLPR-CYBMUJFWSA-N 403.276 4.652 5 20 HJBD CC(C)Oc1ncccc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 499824469 MCSDNXPPTFYDJP-UHFFFAOYSA-N 415.393 4.527 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)Cc1c(Cl)cccc1Cl 500306428 ROFRCANKZJURDX-UHFFFAOYSA-N 417.314 4.642 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(-c2nc(C3CCOCC3)no2)cc1 504604787 GHBQWGNSXGPURD-UHFFFAOYSA-N 401.806 4.985 5 20 HJBD COc1ccc([C@@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccc(F)cc2)cc1 506257188 BRZBUQKFPHFYDQ-NRFANRHFSA-N 402.372 4.900 5 20 HJBD Cc1c(Br)cc(C(=O)N(CC(F)(F)F)[C@@H](C)C(C)C)cc1[N+](=O)[O-] 510531026 LEXLFKIKVIAEGY-JTQLQIEISA-N 411.218 4.715 5 20 HJBD CN(C(=O)c1ccc(COc2ccc3ccccc3c2)o1)c1ccc([N+](=O)[O-])nc1 514966499 REEXQERNNVNBQS-UHFFFAOYSA-N 403.394 4.592 5 20 HJBD CCCO[C@H]1CCCN(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)CC1 518141521 VHPCWPBBIBSVPZ-AWEZNQCLSA-N 408.296 4.691 5 20 HJBD C[C@H](NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1cccc(Cl)c1Cl 522732529 ODGGXXLTFIARSJ-NSHDSACASA-N 405.241 4.578 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc3c2CN([C@H](C)C(C)C)C3)cccc1[N+](=O)[O-] 524323884 CYKBGEDSJTZWIE-DLBZAZTESA-N 410.518 4.702 5 20 HJBD Cc1cccc2c1N(C(=O)c1ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c1)CC2 530906313 PFWYBHJZLKRTKA-MRXNPFEDSA-N 402.454 4.674 5 20 HJBD Cc1ccc([C@@H](NC(=O)CN[C@H](C)c2cccc([N+](=O)[O-])c2)c2cccs2)cc1 537076570 JDPYXYIGMAOWBY-OPAMFIHVSA-N 409.511 4.521 5 20 HJBD COCCOC1CCN(Cc2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 539831280 YMYFYWOPMRMYDW-UHFFFAOYSA-N 416.543 4.682 5 20 HJBD COc1ccc(CN[C@@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)cc1[N+](=O)[O-] 542015413 RHVJGSSYKVFMET-HNNXBMFYSA-N 424.297 4.895 5 20 HJBD C[C@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ncc(-c2ccccc2)o1 542568342 GLBWASCANWNILD-KBPBESRZSA-N 405.414 4.712 5 20 HJBD CCOc1ccc(-c2nc(Cc3nc(-c4ccc([N+](=O)[O-])cc4)no3)cs2)cc1 544931522 PXRNPYULDGXHCQ-UHFFFAOYSA-N 408.439 4.758 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4c(F)cccc4F)no3)cs2)cc1 545613323 UHDGHBRGOYUDMB-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD CC(C)OCc1ccccc1-c1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1 547059552 NIWLPBPCWIXZNC-UHFFFAOYSA-N 417.849 4.845 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)c1csc([N+](=O)[O-])c1 551374152 LCHANZAGIAJODO-UHFFFAOYSA-N 412.311 4.966 5 20 HJBD CCOC1(C)CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 557306088 ULIZWJVOTKIIMS-UHFFFAOYSA-N 400.500 4.777 5 20 HJBD O=C(NCCc1nc2ccccc2o1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 560564552 XQEBAEQRKQYJKM-UHFFFAOYSA-N 403.394 4.501 5 20 HJBD Cc1ccn(-c2ccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)cc2)n1 603733495 RLOUFMDMPVKKJG-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD C[C@H](NC(=O)CCCCc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 603967020 WKZMOTOANXVOMB-AWEZNQCLSA-N 405.292 4.948 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCc1nc(-c2cc(Br)cs2)no1 604112255 DLKKERFGDUORDK-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CN(C(=O)c1cc2ccccc2c2cccnc12)[C@H]1CCCN(c2ccccc2)C1=O 604377188 OTMQRIIXNZQAIJ-QHCPKHFHSA-N 409.489 4.656 5 20 HJBD C[C@H](c1ccncc1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 604430922 OUGUOKAQECBHMT-QGZVFWFLSA-N 408.527 4.597 5 20 HJBD CC(=O)Nc1ccc(C)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 609024879 MDJJXKSEMGNUKM-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD C[C@H](c1cccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1)N1CCOCC1 609183046 LAKBPEGCSOTWBF-MRXNPFEDSA-N 411.502 4.538 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)c([N+](=O)[O-])c1 609219088 IETBRFJGHFTUFA-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD O=C(N[C@H]1CCOC2(CCCC2)C1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611207352 ITVHRQYDNDVLPD-HNNXBMFYSA-N 404.532 4.851 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 727805319 KBRCBXCYOAORSY-UHFFFAOYSA-N 414.462 4.773 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccccc1Cl 728013896 GOEHPMRGUHHXRC-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3csc([N+](=O)[O-])c3)ccc21 729412893 IYJUJPTWGHNJHG-UHFFFAOYSA-N 403.460 4.596 5 20 HJBD O=C(Oc1cccc(OCC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 729659524 VIMPVOGTCZVCGE-UHFFFAOYSA-N 420.137 4.518 5 20 HJBD C[C@H](Nc1ccc(NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])cc1)c1ccccc1 731625036 LBMJXDOHLPMILX-INIZCTEOSA-N 419.437 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc(Oc2ccc(C)cn2)cc1 733322516 CHJNZKYKTGTOSE-OAHLLOKOSA-N 422.441 4.538 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 734400912 LZTVLYUXVDGSAJ-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)o1 739614447 UOBLBOOZUKJOOG-MRXNPFEDSA-N 401.419 4.724 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 742890897 USFMORSEZJXDKO-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD Cc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c(OCc2ccccc2)c1 745764333 LMCUWYOABLHWGS-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD C[C@@H](O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 748558911 IHWMRPDXJLLWLG-OAHLLOKOSA-N 408.479 4.729 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(-c2ccco2)n1 751633788 HTXZIPPFMVYSAT-UHFFFAOYSA-N 410.860 4.800 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(=O)Nc1ccc2ccccc2c1 755069444 UADSJTMKGGDRTC-ZIAGYGMSSA-N 410.401 4.561 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1cc([N+](=O)[O-])ccc1Cl 769635649 JXTXXMCLVPCPIB-MQVJKMGUSA-N 409.914 4.658 5 20 HJBD C[C@H](CNC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)(C)C 772492063 VSNHDLYKYPZOAD-GFCCVEGCSA-N 421.544 4.544 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 776130610 BJBJXSSTDFQLEP-GOSISDBHSA-N 402.328 4.955 5 20 HJBD CSc1cccc(C(=O)OCC(=O)Nc2c(C)cccc2C(C)C)c1[N+](=O)[O-] 777074881 ZXNDXHQIRRDRHK-UHFFFAOYSA-N 402.472 4.544 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cccc(OCc4cscn4)c3)CC2)cc1 777666995 LXRUOAJIFCKJJX-UHFFFAOYSA-N 410.499 4.711 5 20 HJBD CC(C)(C)OC(=O)N1Cc2ccc(CNCc3ccc([N+](=O)[O-])c(Cl)c3)cc2C1 783710055 GXXFQNAUMCICGA-UHFFFAOYSA-N 417.893 4.789 5 20 HJBD CCc1nc2ccccc2n1[C@H]1CCCN(C(=O)c2c(Cl)cccc2[N+](=O)[O-])C1 787904571 KZZLDTYNPSNKTL-AWEZNQCLSA-N 412.877 4.638 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3nccc4ccncc34)cc2[N+](=O)[O-])n1 788163720 KJNXYDGBZVJPLU-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCC[C@H]1c1nc2ccccc2o1 790953689 KHYGJCXFNSGLCS-INIZCTEOSA-N 417.824 4.825 5 20 HJBD O=C(Nc1c2c(nn1-c1ccc(Cl)cc1)CCC2)c1cc(Cl)ccc1[N+](=O)[O-] 792645222 ZWDDMNGJJAFYLU-UHFFFAOYSA-N 417.252 4.828 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1ccc(C(F)(F)F)cn1 798671307 LSZZVNAZXZFMOD-GHMZBOCLSA-N 400.378 4.794 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 801894592 YJFLUOMJQHVCFF-QFIPXVFZSA-N 422.460 4.525 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 803863389 NCCJMKLDQIVIHS-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(C(F)(F)F)(C(F)(F)F)C1 809913061 TZZYECNWNNEBQL-UHFFFAOYSA-N 405.682 4.597 5 20 HJBD CCONC(=O)c1c2c(nc3ccccc13)/C(=C\c1cccc([N+](=O)[O-])c1)CCC2 831034724 LIMOGBGGWLHCGN-SSZFMOIBSA-N 403.438 4.701 5 20 HJBD COc1ccc(OC(=O)c2ccccc2C(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 912256325 BTJAMWGDSSNOGZ-UHFFFAOYSA-N 423.446 4.776 5 20 HJBD Cc1occc1C(=O)Nc1cc(Cl)ccc1C(=O)OCc1cccc([N+](=O)[O-])c1 914955415 IMOVNKKWDPVJCO-UHFFFAOYSA-N 414.801 4.759 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2o1 919212314 VRFAFVDRPXYWIR-NRFANRHFSA-N 416.389 4.878 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)C2CC2)cc1 1321393271 CDBDLXHSCAPTEQ-GFCCVEGCSA-N 418.291 4.557 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3ccc(Cl)cc3Cl)o2)ccc1-n1ccnc1 1322271100 QIHXFHRVFBGUOE-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nnc(CCCOc3ccc(Cl)cc3C)o2)c1 1322355781 XOTONKAIPVCXMN-UHFFFAOYSA-N 403.822 4.627 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)c1 1338408970 YOZQLLAJFJJKGL-LSDHHAIUSA-N 408.298 4.540 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](c2nc3ccccc3s2)C1 8104657 QYHKEEXCFPWTOK-CQSZACIVSA-N 413.524 4.703 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])o1)C(=O)Nc1ccccc1Sc1ccccc1 15642625 RDODUTKVMKVSSH-CYBMUJFWSA-N 412.423 4.523 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)Cc1csc(-c2ccccc2Cl)n1 21493774 ZJMDNBKMOGHPRW-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1ccc(Br)c([N+](=O)[O-])c1 22921654 FJEMYRAVBNDOES-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(NCc1cccs1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 27625768 SMVPKFGIFXWLDZ-UHFFFAOYSA-N 401.875 4.852 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 32343258 IEIMJHAEZMENOL-AWEZNQCLSA-N 410.499 4.638 5 20 HJBD Cc1cc(NCc2ccccc2CN(C)C(C)C)nc(-c2ccc([N+](=O)[O-])cc2)n1 60859346 YGMLMOBPGFNRPT-UHFFFAOYSA-N 405.502 4.813 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)n2)cc1F 65043777 RAKKOVSWKYTASL-UHFFFAOYSA-N 412.402 4.600 5 20 HJBD COC(=O)c1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 72050216 QIZPMMXPOSGCTF-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 97476275 YRNSWICOUGUUFD-LLVKDONJSA-N 419.279 4.814 5 20 HJBD Cc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OCC(F)F 110433898 XQSZRGXOJWLJSS-LLVKDONJSA-N 413.808 4.640 5 20 HJBD C[C@@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 116096400 SONNOIUKZYWPEP-HNNXBMFYSA-N 424.526 4.556 5 20 HJBD Cc1cc(NC(=O)[C@H](C)Sc2ccccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 195692866 CDWKJHWTQMPLKA-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@@H]2CCN(C3CC3)C2)ccc1Oc1ccccc1Cl 237932865 NFLYLOPPAJZYKL-INIZCTEOSA-N 401.894 4.614 5 20 HJBD O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)Nc1ccccc1C(=O)Nc1ccccc1 247304634 GDDOWQYQWYRTKC-UHFFFAOYSA-N 424.844 4.551 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N1CCC(OC2CCCCC2)CC1 301106414 LWQBEIMBEROPAD-UHFFFAOYSA-N 417.384 4.840 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1 409981239 DDCWRSRNJNIQSB-OAHLLOKOSA-N 411.483 4.620 5 20 HJBD C[C@H](CCCC(F)(F)F)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 427969623 KBSYNZLKICQKJQ-SNVBAGLBSA-N 412.358 4.810 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC1CCOCC1 428884787 GKCFSYWMJYXSSK-MRXNPFEDSA-N 413.474 4.652 5 20 HJBD Cc1cc(C)c2nc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])sc2c1 429946620 PXHLFPVLJGNMJF-UHFFFAOYSA-N 406.261 4.836 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCCCCc1nc(-c2cccnc2)cs1 436390818 QBLVGPHXFDWICM-UHFFFAOYSA-N 423.420 4.962 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1cccc(N2CCCC2)c1 437984603 GFSSXDHARMSLAT-QGZVFWFLSA-N 408.502 4.630 5 20 HJBD CN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)C1CCCC1 440237402 BYLDWHXJYUHFFY-IBGZPJMESA-N 415.877 4.577 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])c(O)c1 444437973 NCLGQJPJMKGHFF-LBPRGKRZSA-N 422.281 4.743 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444815378 FQUJQEOVVLMMIJ-UHFFFAOYSA-N 401.854 4.571 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(CCn2ccc3ccccc32)no1 460150310 LWOKMMGCUPXFTB-CYBMUJFWSA-N 412.833 4.969 5 20 HJBD C[C@@H](CCc1cccn1C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 460470362 VFMYSMYMJJWICG-INIZCTEOSA-N 409.511 4.836 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccc(Cl)c2)c(F)c1)c1ccc([N+](=O)[O-])cc1 463924402 GLTBRTLYRRPIOA-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)nc2ccccc21 463969708 FGKHTEJBZWQWFK-LJQANCHMSA-N 407.474 4.950 5 20 HJBD CC[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cccc(Cl)c1 465527314 VNATVYZEVNIFJY-FQEVSTJZSA-N 417.893 4.952 5 20 HJBD C[C@]1(CO)CCC[C@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467518926 CCPRAMJMRKLEPX-YLJYHZDGSA-N 420.918 4.680 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 467966007 VIROJWSCKUDBLV-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CC[C@@H]1CN(c2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)CC[C@H]1c1ccccc1 470873552 ZUANQXTUTPJVBE-WIYYLYMNSA-N 407.514 4.851 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc(-c2cc3ccccc3o2)s1 471159768 HWXYZGNQJGCZQZ-UHFFFAOYSA-N 410.411 4.511 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2C)cc1[N+](=O)[O-] 471922809 ZSHZDTFSGIBNOQ-MRXNPFEDSA-N 409.486 4.733 5 20 HJBD Cc1cc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])o3)s2)ccc1Br 487558921 QOGFYEFFOHEYNC-UHFFFAOYSA-N 422.260 4.558 5 20 HJBD O=C(Nc1ncccc1OC(F)F)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487578157 WPMNTILXGJDVET-UHFFFAOYSA-N 417.393 4.995 5 20 HJBD COc1ccc(-c2nnc(SCc3c(Cl)cccc3[N+](=O)[O-])o2)cc1OC 487664525 GGAXPQIRVYJYIH-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1cccc(OC(F)F)c1 487859728 OTMXIXKSAXMZCY-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccc(Oc3ccccc3)nc2)cc1[N+](=O)[O-] 489232758 NGQGIKQYDRLYEU-MRXNPFEDSA-N 406.442 4.651 5 20 HJBD O=C(CCN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)Nc1ccccc1C(F)(F)F 490816345 BQPVTCQCQMBNKP-UHFFFAOYSA-N 407.392 4.607 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](CO)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 492560230 OGBHXXFTXANJEE-LSDHHAIUSA-N 418.877 4.569 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CC[C@@H](c2c(F)cccc2F)C1 500637270 UNMUGKBZKPARPR-SNVBAGLBSA-N 400.303 4.522 5 20 HJBD CCCCn1c(SCc2c(F)cc([N+](=O)[O-])cc2F)nnc1[C@H](CC)N(C)C 505429098 VSPJCJOSBQETNC-INIZCTEOSA-N 413.494 4.570 5 20 HJBD Cc1ccc(CN(Cc2ccc(C(=O)NC3CC3)cc2)Cc2ccco2)cc1[N+](=O)[O-] 507332644 YVXSEMMETPNQPI-UHFFFAOYSA-N 419.481 4.591 5 20 HJBD O=C(c1ccccc1)N1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 508016839 RIOJDIOVNOECRW-UHFFFAOYSA-N 421.522 4.671 5 20 HJBD CCCNC(=O)c1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1Cl 509365020 INGUHWKEBUNNEQ-LBPRGKRZSA-N 421.906 4.507 5 20 HJBD C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 517649768 NBCACXBPJAMKOI-CWTRNNRKSA-N 400.818 4.597 5 20 HJBD Cc1ccc(CCNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 517678656 NHSJNUDXWSWWEU-UHFFFAOYSA-N 424.888 4.536 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 519150334 YHQSVCILDYCLFF-HNNXBMFYSA-N 420.469 4.651 5 20 HJBD COCCN(C(=O)Nc1cccc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 519905135 VDYYYBFNNAEZGO-CYBMUJFWSA-N 411.380 4.855 5 20 HJBD C[C@H](CNC(=O)c1cc2ccccc2c2cccnc12)N1CCc2sccc2C1 520002091 XNKJXHUOXXDZGA-MRXNPFEDSA-N 401.535 4.626 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)nc(C(F)(F)F)n2C(C)C 522334972 WGYFZQCPKYVALM-UHFFFAOYSA-N 422.363 4.805 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 522729116 KNKRAFXFNJAMKF-FQEVSTJZSA-N 422.510 4.762 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 533192046 GPUZOFLYZVBQJL-UHFFFAOYSA-N 415.902 4.982 5 20 HJBD CCOc1cc(NC(=O)C23C[C@H]4C[C@@H](CC(F)(C4)C2)C3)c([N+](=O)[O-])cc1OCC 533193804 MUASZYSMURCCBR-RKOMNEFPSA-N 406.454 4.639 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1)CC1CC1 537748044 ICBNXGOYRWVQTF-UHFFFAOYSA-N 408.930 4.614 5 20 HJBD CCn1c(Sc2ccc([N+](=O)[O-])c(OC(F)F)c2)nnc1-c1ccc(F)cc1 537821969 AYZHDQUCMONRHV-UHFFFAOYSA-N 410.377 4.765 5 20 HJBD CC1CCN(C[C@H]2CCCN(C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)C2)CC1 538270884 GVKMWUZQKVWXEE-GOSISDBHSA-N 419.591 4.679 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 539170412 SNMPKLZFEHTWTM-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(CC(F)(F)F)s1 540763108 AMWNWHOBMZPIGQ-UHFFFAOYSA-N 420.438 4.696 5 20 HJBD CCCOCc1ccccc1CNC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543143381 AZKMAGJOAJBAQB-UHFFFAOYSA-N 408.907 4.583 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@@H]2c2c(C)n[nH]c2C)cc1OC 543844449 OLCFNYBMQVJCIN-RDTXWAMCSA-N 416.522 4.705 5 20 HJBD O=C1CCCN1Cc1ccccc1-c1noc(-c2cc3cc([N+](=O)[O-])ccc3s2)n1 544956237 ROELEZQWFRUCBY-UHFFFAOYSA-N 420.450 4.649 5 20 HJBD C[C@H](c1nc(Cc2ccc(Br)c(F)c2)no1)c1ccc([N+](=O)[O-])cc1F 545144055 LOEYNMAVUGSOLR-VIFPVBQESA-N 424.201 4.761 5 20 HJBD Cc1c(Br)cc(-c2nc(Cc3csc(C(C)C)n3)no2)cc1[N+](=O)[O-] 545681453 WROQDCQCYXHCSF-UHFFFAOYSA-N 423.292 4.886 5 20 HJBD C[C@H](Oc1ccccc1F)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 545743248 RVTVXSSDPULYIH-JTQLQIEISA-N 422.210 4.610 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCC[C@H]3c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 546675438 WQMJLRPXWGWJBE-QFIPXVFZSA-N 418.497 4.824 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)cc(OC(C)C)c2)c1 548970589 GJCIOCSGDPWYNK-UHFFFAOYSA-N 423.263 4.796 5 20 HJBD CC(C)(C(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccccc1[N+](=O)[O-] 550546397 IHXLXNSPDSXDBP-SFHVURJKSA-N 423.296 4.779 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@](=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 555312047 CCKCQBJBILDNHO-BJLXPSRBSA-N 422.506 4.786 5 20 HJBD CC(C)(C(=O)Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccccc1[N+](=O)[O-] 556395209 SZSUFUPEXFTCEB-UHFFFAOYSA-N 416.890 4.607 5 20 HJBD COc1ccc([C@@H](Nc2nc(O)c3cc([N+](=O)[O-])ccc3n2)c2ccc(F)cc2)cc1 557519520 OKPSLKJSKCXLMK-FQEVSTJZSA-N 420.400 4.593 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@@H]1c1ccccc1 567099283 WSLZIMIAGNZJMX-OXQOHEQNSA-N 400.500 4.603 5 20 HJBD CC1(C)CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@@H]1c1cccs1 575216265 XTEHWGDYYDRWFX-OAHLLOKOSA-N 414.405 4.821 5 20 HJBD COCC1(c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)CCCCC1 578286105 GJIJMAUEOMKJEK-UHFFFAOYSA-N 400.460 4.617 5 20 HJBD C[C@@]1(CCCO)CCCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 579605986 HZSXHNWDLJWXKE-IBGZPJMESA-N 423.307 4.744 5 20 HJBD CCC1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCOCC1 579627548 YRIGSXAIOQDBTA-UHFFFAOYSA-N 423.307 4.914 5 20 HJBD Cc1nc(CN(C(=O)CCNc2ccccc2[N+](=O)[O-])c2ccc(F)cc2)cs1 603679411 MPHUBXOHEPPFFB-UHFFFAOYSA-N 414.462 4.534 5 20 HJBD Cc1cccc(C(=O)N[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)c1[N+](=O)[O-] 609186841 VPIBANJQXGBJNE-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2 609335487 NCDYOJMCZSGTPJ-HSZRJFAPSA-N 417.465 4.509 5 20 HJBD Cc1ccccc1[C@@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)C1CCC(C)CC1 609579181 SKXSSSCVKRTTCU-GRXDXKALSA-N 409.486 4.526 5 20 HJBD CCO[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C12CCC2 610185635 ICLPKBSXWIIRNC-UXHICEINSA-N 412.511 4.824 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)co2)cc1 611170494 SKWOWVHDXFTUHX-TXEJJXNPSA-N 423.313 4.813 5 20 HJBD CCc1c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cnn1CC(C)C 618856012 QVRWHOZTHJORLV-UHFFFAOYSA-N 422.485 4.841 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)CSc1ccc([N+](=O)[O-])cc1 727804759 LHHUQSYEORAKSZ-UHFFFAOYSA-N 403.460 4.673 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1ccccc1C(F)(F)F 728115964 XIQDHEQXDUVRPY-INIZCTEOSA-N 409.364 4.991 5 20 HJBD C[C@@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 728489149 ZFFRRAMOLJZLGQ-CQSZACIVSA-N 412.392 4.943 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC(c2nc(-c3ccccc3)cs2)CC1 732094434 PFICVDORCPHKQW-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1ccccc1Cc1ccccc1 742995922 YHHMTHRNONWWAN-QGZVFWFLSA-N 418.449 4.678 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)[C@@H](C)c2ccco2)c2ccccc2)c([N+](=O)[O-])c1 745130750 ALJLPZTWKOXHDW-MGPUTAFESA-N 408.410 4.523 5 20 HJBD C[C@@H](OC(=O)c1ccc2ccc(Cl)cc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 752584676 BEXAMIMZRUMVES-LLVKDONJSA-N 424.800 4.764 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)n2)ccc1F 755067365 JHTAAWFLBKWARJ-RYUDHWBXSA-N 417.368 4.639 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)CCc3cccc([N+](=O)[O-])c3)cs2)cc1 756536456 MJSQAPVJTPCMCO-UHFFFAOYSA-N 412.467 4.615 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 762306649 BPUMHQCPWNQWEM-UHFFFAOYSA-N 419.353 4.716 5 20 HJBD C[C@@H](C(=O)OCc1cc(Br)cc2cccnc12)c1cccc([N+](=O)[O-])c1 763402585 KLONDMQMBOHALW-GFCCVEGCSA-N 415.243 4.752 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3s2)o1)c1cc(F)c([N+](=O)[O-])cc1F 769486436 OCHCUGAXRRNPGU-UHFFFAOYSA-N 415.377 4.673 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(O)(c3ccccc3C(F)(F)F)CC2)c(Cl)c1 769827893 FWSCUQACMJRJQY-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(Oc2cncc(Cl)n2)CC1 777875118 IMKKZCMGFGAWBZ-UHFFFAOYSA-N 418.884 4.515 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc(Cl)cc1 781261761 XOBAMICELPAUHA-CYBMUJFWSA-N 405.837 4.763 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)ncc1Cl 782563205 VETSETHUAYDXIU-QGZVFWFLSA-N 404.209 4.638 5 20 HJBD CC(C)(C)OC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccc([N+](=O)[O-])c(Cl)c1 783569868 RXBCXRYNSODPSK-MQVJKMGUSA-N 409.914 4.658 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)OC(C)(C)C 789442865 LZZQSMCUYOMMPL-WBVHZDCISA-N 420.510 4.511 5 20 HJBD Cc1cc(C)c(-c2nnc(SCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])o2)c(C)c1 789921177 VFCUQUGVZQKCOM-UHFFFAOYSA-N 400.416 4.771 5 20 HJBD O=C(OCc1ncccn1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 791446227 KMOHFFGLEJANRP-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H](F)c1ccc(C(F)(F)F)cc1 801661313 QJAXQICMBIQDHJ-ZDUSSCGKSA-N 408.254 4.864 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1nc2ccccc2cc1Br 808705158 UAOMJTDAPOEIQX-UHFFFAOYSA-N 423.169 4.541 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)/C(=C\c1ccc(F)cc1)c1cccs1 810536192 OOJKYIZHNRZCDF-RGEXLXHISA-N 411.458 4.564 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C(C)(F)F 814816861 ZLVRUNNUSCGDDC-SNVBAGLBSA-N 412.776 4.697 5 20 HJBD Cc1nc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])sc1Cc1ccc(F)cc1 917736333 IEPCYKKSLUMMBH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CCOc1ccccc1COC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 920545848 JWFZYAUXGVICON-MRXNPFEDSA-N 421.453 4.919 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Sc2ccc(Cl)cc2)CC1 1116332653 JRBWORNZLLHOGJ-UHFFFAOYSA-N 405.907 4.687 5 20 HJBD CC(C)(C)OC(=O)N[C@H](c1ccccc1)c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 1318487492 TZWWFNGYEYQXFT-KWRJMZDGSA-N 422.441 4.762 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1 1318570324 IPRYDZWUMDMFRH-UHFFFAOYSA-N 418.425 4.745 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@H]2CCO[C@@]3(CCSC3)C2)o1 1324095491 XGWVAYZLRFONPT-LIRRHRJNSA-N 408.907 4.653 5 20 HJBD C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@H](O)c1ccc(F)cc1 1339154585 INZLTDFYUIVCSW-YUNKPMOVSA-N 404.825 4.859 5 20 HJBD Cc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c2ccc(F)cc2n1 1341746661 ZCNBYFMEIQIARF-UHFFFAOYSA-N 422.441 4.991 5 20 HJBD C[C@H](NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1)c1ccc(Cl)cc1 7798088 OUTAVUZMAKZRJS-JTQLQIEISA-N 407.904 4.827 5 20 HJBD COc1ccc(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cc1[N+](=O)[O-] 10692708 ZVUWPWZGBRQTKM-LJQANCHMSA-N 415.449 4.647 5 20 HJBD Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(Cl)c1 22668456 RAYWHSWGWNIERQ-NSHDSACASA-N 411.241 4.702 5 20 HJBD O=C(NC[C@H]1COc2ccccc2C1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 26305194 WCXIVYVPMZIYGM-INIZCTEOSA-N 420.490 4.727 5 20 HJBD O=C(NCc1cccnc1Oc1cccc(F)c1)c1ccc(Cl)cc1[N+](=O)[O-] 46775047 KDRKVLJOLPXRDW-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CCc1cc(Sc2nnc(-c3ccoc3C)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 54389564 QNFHCYXTUKQVTJ-UHFFFAOYSA-N 409.427 4.717 5 20 HJBD Cc1cc(C)cc(Oc2ccc(CNC(=O)CCn3cc([N+](=O)[O-])nc3C)cc2C)c1 61228002 XAKQAYWOUODPRZ-UHFFFAOYSA-N 422.485 4.524 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cn2)cc1 72010907 LNDYAWACRVBVCC-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD Cc1csc(N(C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c2ccccc2)n1 115069241 NKDRXXHMFNXGHI-UHFFFAOYSA-N 405.439 4.524 5 20 HJBD Cc1nc(CCCNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)sc1C(=O)O 426688243 OPLUCHATAYBLSZ-UHFFFAOYSA-N 417.512 4.509 5 20 HJBD CC(C)c1ccc(CN[C@@H](CC(=O)O)c2cccc(Br)c2)cc1[N+](=O)[O-] 426828310 KWYRBMJMMFTXPG-KRWDZBQOSA-N 421.291 4.786 5 20 HJBD CCCCn1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])c1C 427067734 RRZGPPZCWQQIAJ-UHFFFAOYSA-N 408.458 4.731 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1cc(Br)cc([N+](=O)[O-])c1 431443648 FWHCGCLMEHRQOE-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])cc2)cc1 433772703 BMUCIWOOADATNX-UHFFFAOYSA-N 424.457 4.675 5 20 HJBD CC[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1nc(C)cs1 436413219 HWQHXNSTQUCRGK-MRXNPFEDSA-N 413.449 4.606 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)[nH]c2c1 437246209 MPUGMDMHJXFICH-QGZVFWFLSA-N 418.375 4.776 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(=O)N(C)C)ccc1C 437918743 BOFAREVGBWJPIY-UHFFFAOYSA-N 416.503 4.751 5 20 HJBD O=C(N[C@@H]1C[C@@H]2OCCC[C@H]21)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 438921697 RCYMFODKYHYIGD-UAGQMJEPSA-N 418.902 4.697 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 443967221 PWSPPJZMINRPIL-OAHLLOKOSA-N 402.426 4.586 5 20 HJBD COc1cc(Cc2noc([C@@H](C)Sc3ccc(C)cc3)n2)c([N+](=O)[O-])c(OC)c1 445815364 JXWFCRDDTNMIAZ-CYBMUJFWSA-N 415.471 4.748 5 20 HJBD O=C(NCc1ccc(-c2ccco2)cc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 448250209 SKECQEBODLVKBG-UHFFFAOYSA-N 409.417 4.868 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccc(Cl)c3ccccc23)c([N+](=O)[O-])cc1OC 462211549 NOEPLWVRPFSPOO-GFCCVEGCSA-N 414.845 4.910 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccccc3C(F)(F)F)on2)c([N+](=O)[O-])c1 463135402 WWPCDJTYZPRJQS-UHFFFAOYSA-N 407.304 4.530 5 20 HJBD Cc1c(NC(=O)C(=O)N(Cc2ccccc2)[C@H](C)c2ccccc2)cccc1[N+](=O)[O-] 463941198 UIADRTPCHRGRRW-GOSISDBHSA-N 417.465 4.632 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)c2ccc3cc[nH]c3c2)cc1[N+](=O)[O-])c1ccccn1 473571165 QRCGWOQJIASVBX-HNNXBMFYSA-N 415.453 4.921 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)nc2ccccc21 475641223 TWJWGBCXLRSPBT-IBGZPJMESA-N 407.474 4.950 5 20 HJBD COc1cc(C(=O)Nc2ccc(SCc3cccnc3)cc2)cc([N+](=O)[O-])c1C 477873189 DVEMPYKCAMHJLV-UHFFFAOYSA-N 409.467 4.851 5 20 HJBD CCc1c(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482736649 VYTRTUDTPLVTCH-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD CC(C)CCn1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 483259120 VZRADAOTODGHMF-MRXNPFEDSA-N 422.489 4.658 5 20 HJBD CCc1ccc2c(c1)[C@H](CCNC(=O)c1cc3ccccc3c3cccnc13)C(=O)N2 488423813 YMMUNBHFICFCCR-FQEVSTJZSA-N 409.489 4.806 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 493034396 QRAZJTAYDIABRS-QAPCUYQASA-N 413.474 4.528 5 20 HJBD O=C1Cc2cc(NC(=O)c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)ccc2N1 504220597 ZQMYVGWURURJHQ-UHFFFAOYSA-N 423.812 4.787 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1 506295717 SOMIVTHHJVTLDW-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccccc1[N+](=O)[O-] 507996396 DILKZYGLZDEBTN-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(c4c[nH]c5ncccc45)CC3)cs2)c1 509573886 UAHCRPLFAADYPF-UHFFFAOYSA-N 419.510 4.974 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 514892506 FQJWEKOGTBNJNJ-CHWSQXEVSA-N 422.359 4.517 5 20 HJBD CCCO[C@@H]1CCCN(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)CC1 518141522 VHPCWPBBIBSVPZ-CQSZACIVSA-N 408.296 4.691 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@H]1c1c(F)cccc1F 523844561 MVVAHYZIPDJCEN-CHWSQXEVSA-N 401.394 4.739 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2Cc2c(Cl)cccc2Cl)c1 525143401 NDGMODARZBWWPS-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(COCc2ccco2)c1 534621974 HQWAZLJVUKWBDL-UHFFFAOYSA-N 405.410 4.567 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 535465666 CDZSOYLJHSOJJT-GFCCVEGCSA-N 401.806 4.618 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCn4cccc4[C@H]3c3ccccc3)co2)cc1 536347295 BJPZZELUWKGTII-JOCHJYFZSA-N 400.438 4.657 5 20 HJBD Cc1sc(NC(=O)c2cnc(-c3cccnc3)s2)nc1-c1cccc([N+](=O)[O-])c1 536427300 QTYYTMKIJASCTD-UHFFFAOYSA-N 423.479 4.798 5 20 HJBD O=[N+]([O-])c1cc(CN2CC[C@@H]([C@H]3CCOC3)C2)ccc1Oc1ccccc1Cl 538751612 DOGYKCHZKZFLBO-SJORKVTESA-N 402.878 4.899 5 20 HJBD Cc1ccoc1C(=O)NCCCN[C@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 540181052 FAHRGHKBABOSJU-OAHLLOKOSA-N 413.499 4.695 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])CCC2 540587227 DYPHLULNHGMSSF-QGZVFWFLSA-N 422.510 4.825 5 20 HJBD CC(C)[C@@H](O)C1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 540686567 QGAXRSGSRBNVAM-GOSISDBHSA-N 423.307 4.504 5 20 HJBD C[C@@H](C(=O)Nc1cccc(CSC2CCOCC2)c1)c1ccc([N+](=O)[O-])cc1F 541622059 LQUNUUNZDAJLLB-CQSZACIVSA-N 418.490 4.888 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccco2)Cc2ccc(C)s2)cc1[N+](=O)[O-] 543889237 GFOYPXPZIROHFJ-UHFFFAOYSA-N 401.444 4.801 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4c[nH]c5cc(Cl)ccc45)no3)c2c1 545656729 RWHXPAVFFVMBFV-UHFFFAOYSA-N 421.800 4.624 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C/c2ccc([N+](=O)[O-])cc2)n1 547053843 XQCMDIKNOOCRDT-RUDMXATFSA-N 402.204 4.586 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 547176823 XXQXNCRMBOGYPG-UHFFFAOYSA-N 419.384 4.509 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1Cn1ccnc1 553797951 LNNBWXCLWQBVKE-UHFFFAOYSA-N 424.526 4.662 5 20 HJBD COc1ccc([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1 555842556 JDMCXINJEQHFCQ-LBPRGKRZSA-N 407.264 4.508 5 20 HJBD C[C@H]1CO[C@H](c2ccccc2Cl)CN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 567529440 RRLSATZUUOXUMD-XOBRGWDASA-N 413.861 4.865 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@H]2c2ccc(Br)cn2)cc1[N+](=O)[O-] 569882353 QDJJMAHBUBBMKY-KRWDZBQOSA-N 418.291 4.853 5 20 HJBD CC[C@@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1cccc(Cl)c1 581934769 AEQJYAIVIXFFAZ-ICSRJNTNSA-N 414.893 4.518 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3ccccc3)CC(C)C)cc2)c(C)c1[N+](=O)[O-] 603531077 VPJMPFHASIKTIR-UHFFFAOYSA-N 420.513 4.755 5 20 HJBD Cc1ccc(-c2cc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)on2)cc1 603741971 IKKOGAUUOYDMIK-UHFFFAOYSA-N 419.359 4.763 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H](C)c1cc2ccccc2o1 604445402 WJSAFVXSRBZLBV-CHWSQXEVSA-N 402.834 4.981 5 20 HJBD Cc1ncc2c(n1)CC[C@H](NCc1ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c1)C2 608781853 MILGYHQBAOKLTG-KRWDZBQOSA-N 424.888 4.786 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)cc2)n1 609488485 OLEAUTBJZGOMHV-UHFFFAOYSA-N 423.498 4.956 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(Cl)c1SCC(F)F 609915321 QDHXOARWUZWFMK-UHFFFAOYSA-N 415.849 4.964 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1c(Cl)cccc1Cl 609962020 UCXLTPAINKTINE-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)[C@H]1c1ccccc1 610666405 AYDJEUMEEJUUFT-SFHVURJKSA-N 403.276 4.510 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 611523190 BZJPJIRYWAERME-MRXNPFEDSA-N 406.385 4.572 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CC([C@H]2CCOC2)C1 619423322 UJRYPRJIKGWADR-AWEZNQCLSA-N 418.902 4.508 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])cc1Cl)c1ccco1 727708602 YMYKNRQTPUUWOB-UHFFFAOYSA-N 421.743 4.624 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCCC[C@@H]1c1nc(-c2ccccc2)no1 729241289 FLJMEFJKFPMJTL-MRXNPFEDSA-N 412.833 4.666 5 20 HJBD O=C(COC(=O)c1c(Cl)cccc1[N+](=O)[O-])Nc1ccccc1Cc1ccccc1 730645446 MKFOWPZPQDFIDI-UHFFFAOYSA-N 424.840 4.635 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC([C@@H](O)c4ccc(Cl)cc4)CC3)sc2c1 731479340 AHVJTGXCWQMECS-SFHVURJKSA-N 403.891 4.808 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCC[S@](=O)Cc1ccccc1 731903478 MLZHNZWMFCJCIO-SANMLTNESA-N 406.813 4.628 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)C2CCCCC2)c1)c1cc(F)ccc1[N+](=O)[O-] 735019978 DPRYTINSSRJEEA-MUUNZHRXSA-N 404.463 4.568 5 20 HJBD Cc1cccc(COC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 736742062 KSIMPXPCTXQZER-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1Cl 736862893 GICQXQSQIKQWGM-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCN1C/C(=C/c2cc(Cl)ccc2[N+](=O)[O-])c2nc3ccccc3c(C(=O)O)c2C1 739426175 JQKBRQWWLAHALJ-ZROIWOOFSA-N 423.856 4.871 5 20 HJBD O=C(COC(=O)C1CCC(c2ccccc2)CC1)Nc1ccc([N+](=O)[O-])cc1Cl 745502414 XINFGIWIEJDCLA-UHFFFAOYSA-N 416.861 4.704 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc(F)ccc2[N+](=O)[O-])cc1OC 751054837 KYJWAEVSEQGQIU-UHFFFAOYSA-N 404.438 4.584 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755754027 DHIXCTXYFDPLCR-GOSISDBHSA-N 416.886 4.686 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n1[C@H]1CCC[C@H](C)C1 758170688 ZJXKCDYITVEZGL-AAEUAGOBSA-N 423.926 4.630 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C1CCC(C)(C)CC1 769618130 DXFFFYQNATYOPW-UHFFFAOYSA-N 400.479 4.598 5 20 HJBD CC(C)Oc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 774903053 ZADCAVRKUALYAP-KGLIPLIRSA-N 405.882 4.713 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CCC(c3ccsc3)CC2)CC1 777080564 KUUSJBKSVKNAGS-UHFFFAOYSA-N 413.543 4.912 5 20 HJBD CC(C)(C)[S@](=O)Cc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 777216431 QSYWPIRQUDNLJN-GDLZYMKVSA-N 415.559 4.723 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C(C)C 778051360 RVDMXEDIXDFGMJ-UHFFFAOYSA-N 419.428 4.586 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1[N+](=O)[O-])c1nc2ccc(Cl)cc2n1C 784064475 FHDJJWVIPFBVKA-LLVKDONJSA-N 405.863 4.531 5 20 HJBD CS(=O)(=O)CCCOC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 785641343 VTUROIZXKRXOEE-UHFFFAOYSA-N 422.502 4.525 5 20 HJBD CCCN(C(=O)c1cnc2c(c1)c(C)nn2-c1ccccc1)c1cccc([N+](=O)[O-])c1 799411537 PQMUUFUNQZNKGA-UHFFFAOYSA-N 415.453 4.694 5 20 HJBD COc1ccc2cc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)ccc2c1 804309686 MWZCTFYSCDHVFA-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cccc(C[S@](=O)Cc2ccccc2)c1 804465933 VLSYRUOQCBSPOB-GDLZYMKVSA-N 411.439 4.696 5 20 HJBD CC(C)S(=O)(=O)Cc1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811470685 KNQFDSBGGYRKPG-UHFFFAOYSA-N 417.314 4.837 5 20 HJBD CCOC(=O)c1cc(C)sc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812915508 GCRFLAONNGIAMP-UHFFFAOYSA-N 403.243 4.701 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c(C3CC3)n2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812963723 FXKQTMSJUFHSBG-UHFFFAOYSA-N 418.240 4.816 5 20 HJBD OCc1cn([C@H]2CCCN(Cc3c4ccccc4c(Cl)c4ccccc34)C2)nn1 816641824 HIOOOFKXNBKQKO-KRWDZBQOSA-N 406.917 4.567 5 20 HJBD Cc1ccc(/C(O)=C(/C(=S)N[C@H](C)c2ccccc2)[n+]2ccccc2)cc1[N+](=O)[O-] 917611888 HIDUGNGALLOOHI-QGZVFWFLSA-O 420.514 4.753 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)CCc1ncc(-c2ccc(C)cc2)o1 918534002 GGZFJJVDHVJOBW-UHFFFAOYSA-N 410.426 4.633 5 20 HJBD CCCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccc(C)cc1 1116776260 WZRKQBHBYCNRRJ-UHFFFAOYSA-N 405.458 4.589 5 20 HJBD C[S@](=O)c1ccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1F 1319727684 AMHTZQFOJONHJJ-MHZLTWQESA-N 408.838 4.997 5 20 HJBD CCS(=O)(=O)c1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 1320948188 CAGXTMRRAVGXCA-UHFFFAOYSA-N 402.497 4.729 5 20 HJBD O=C(OCc1csnn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 1524677420 DVNYHTWXMMKWLQ-UHFFFAOYSA-N 407.860 4.608 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccccc1Oc1ccccc1 23344499 GWAUKQXFIVZCRZ-CYBMUJFWSA-N 412.423 4.633 5 20 HJBD COc1ccc(CSCC(=O)N(C)Cc2csc3ccccc23)cc1[N+](=O)[O-] 58280752 OSPJLFNRQQFFEZ-UHFFFAOYSA-N 416.524 4.710 5 20 HJBD Cc1ccc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 65871368 IHUGGARWERIACE-UHFFFAOYSA-N 411.380 4.675 5 20 HJBD CC(C)(CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 110518049 SZGFXVCMHRIVOX-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD CCOc1ccccc1CN(C)C(=O)Nc1cc(Br)cc([N+](=O)[O-])c1C 435322853 HJTAEWMSCKXHNM-UHFFFAOYSA-N 422.279 4.728 5 20 HJBD COCCOc1cccc(CC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 435367465 WNXSBIBQLYDQDJ-UHFFFAOYSA-N 422.437 4.594 5 20 HJBD COc1cc(NC(C)=O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 435446986 DPMLITCQMVRMAF-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD COc1cccc(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)c1[N+](=O)[O-] 436281396 PJFOIBOQQWKNKR-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436303289 BKHMGBMLSXPEEV-KBMIEXCESA-N 412.489 4.579 5 20 HJBD O=C(CCC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1csc2ccccc12 437104841 QKLTXQTZKBOGGD-UHFFFAOYSA-N 408.479 4.964 5 20 HJBD COc1cc(C(=O)N2CCC=C(C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 437979848 ZAZZOHIPWXKKPA-UHFFFAOYSA-N 402.834 4.841 5 20 HJBD Cc1cc(NC(=O)CNc2cc([N+](=O)[O-])ccc2Nc2ccccc2)n(C(C)(C)C)n1 443545873 XXUAFXWCQYPAEC-UHFFFAOYSA-N 422.489 4.649 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)CCc2ccccc2F)c([N+](=O)[O-])cc1OCC 443841917 LKPBVJXAYKDVEE-CQSZACIVSA-N 404.438 4.739 5 20 HJBD C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230124 XUDLISUEWSOIPS-CYBMUJFWSA-N 404.416 4.719 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)ccc2o1 444892498 COBWIGDFIWRRTO-UHFFFAOYSA-N 422.445 4.523 5 20 HJBD C[C@H]1CN(C(=O)c2ccc([N+](=O)[O-])cc2Br)CC[C@@H]1c1ccccc1 460636474 PBRNHPCSAVNYHH-BBRMVZONSA-N 403.276 4.623 5 20 HJBD O=C(NC1(c2cccc(C(F)(F)F)c2)CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 461394124 GJKCGKGKWSMUDT-UHFFFAOYSA-N 403.360 4.904 5 20 HJBD Cc1nc(COc2cccc(C(=O)Nc3ccc([N+](=O)[O-])c4ncccc34)c2)cs1 463133310 SWIDFRYUJAZRFL-UHFFFAOYSA-N 420.450 4.739 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccc(NC(=O)c2cccs2)cc1 463135975 GXSNSZAOULEYCS-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 469198156 PRKPPDHBAVUZLQ-CYBMUJFWSA-N 417.849 4.929 5 20 HJBD CCN(CC)Cc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 470121971 KYWUFHSQTVHIJE-UHFFFAOYSA-N 417.484 4.915 5 20 HJBD O=[N+]([O-])c1cccc(COc2ccc(Oc3ncc(Br)cn3)cc2)c1 473670713 NCRYYBIHWLAXDU-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCCC[C@H]1c1nc2ccccc2o1 474217047 XPBYMESFAQRBGJ-IBGZPJMESA-N 408.458 4.600 5 20 HJBD CC(C)n1c([C@@H]2CCCN(C(=O)Cc3ccc([N+](=O)[O-])cc3F)C2)nc2ccccc21 477248408 KOSGAZPHQUVFTI-QGZVFWFLSA-N 424.476 4.613 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2ccc(Cl)cc2)s1)c1ccccc1[N+](=O)[O-] 479224335 XSKNINTYDONFFW-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1)CCC2 479545223 QHMTTWRSGOMVKR-BBRMVZONSA-N 402.520 4.702 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 481357263 DGDGKBFNXGHUGF-GOSISDBHSA-N 407.829 4.610 5 20 HJBD COCCOc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 483233193 BVRTVAUGAGNORX-UHFFFAOYSA-N 404.488 4.620 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C)n(-c3ccccc3Cl)n2)c1[N+](=O)[O-] 485515178 KZADGYUFVJASKT-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD CCOc1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])c2ncccc12 486122541 CJBHXCWKIPHQKR-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD C[C@H](NC(=O)CCCC(=O)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 488010376 BGJCWCPUZMPGSX-ZDUSSCGKSA-N 419.275 4.588 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccc(Oc3cccc(F)c3)nc2)cc1[N+](=O)[O-] 489384408 BAXRPNMKQICECM-OAHLLOKOSA-N 424.432 4.790 5 20 HJBD CC(C)c1c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccccc1 490353975 PGNPHSNESITERA-UHFFFAOYSA-N 410.449 4.959 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNc1cccc(NS(=O)(=O)Cc2ccccc2)c1 492334416 ONZOTSQCAWKQCS-XFFZJAGNSA-N 423.494 4.662 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493079802 NRZRXROBVMWOLK-UHFFFAOYSA-N 423.494 4.674 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H]1c1nc2ccccc2s1 498237542 PMDNOBOHGNFZHE-AWEZNQCLSA-N 419.409 4.783 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 502147243 RXKGFOOSJVCZTO-LBPRGKRZSA-N 409.373 4.641 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)COc1ccccc1OCc1ccccc1 502841653 IOCJLSVCEQAZSL-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD COc1cc(CN[C@@]2(C)CCCc3ccccc32)c([N+](=O)[O-])cc1OCC(F)F 505465853 YUQPPFPXMSCFKY-NRFANRHFSA-N 406.429 4.589 5 20 HJBD CN(CCc1cccc2ccccc12)c1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 507030037 NKLSQNGKTBLYLT-UHFFFAOYSA-N 403.482 4.663 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509262646 IGMOOWXXBWCYAM-UHFFFAOYSA-N 407.470 4.877 5 20 HJBD Cc1noc([C@H]2CCCN(Cc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)C2)n1 509340845 COXVIANGLIHQLK-ZDUSSCGKSA-N 402.838 4.579 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCSc2c(F)cccc21 509813475 GTTQIKJKTHOOID-SFHVURJKSA-N 403.479 4.891 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(Nc4ccc(F)cc4)CC3)cs2)c1 510210676 KKDWGELMNSLIAI-UHFFFAOYSA-N 412.490 4.934 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Sc2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 510672600 XQINWZIWSRKBNR-CYBMUJFWSA-N 420.487 4.520 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCc1nc(-c2ccc(Br)s2)no1 511018350 SWTTYGIBGDOGSO-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CC1=NN(c2ccc(C(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)cc2)CC1 514562052 GZMGZUVNNKIASO-UHFFFAOYSA-N 421.482 4.865 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)CSc2ccc([N+](=O)[O-])cc2)CC1 516528096 BRAPASOEZZYFKK-UHFFFAOYSA-N 409.511 4.883 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1c(Cl)cccc1[N+](=O)[O-] 517653987 QRLOQNVZKZZPNC-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1c1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 518955128 PAWYLVLMOWZSOL-NVXWUHKLSA-N 408.376 4.602 5 20 HJBD COc1cc(OCC(=O)N(C2CC2)[C@H](C)c2ccc3ccccc3c2)ccc1[N+](=O)[O-] 520589352 AQZQHJMUPIMMAK-MRXNPFEDSA-N 420.465 4.888 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2scnc2C)c([N+](=O)[O-])cc1OCCC 521331975 WNEKMYHNLCRTOA-UHFFFAOYSA-N 422.507 4.601 5 20 HJBD Cc1cccc2nc(CNCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)cn12 522212360 OZEZWBWIPRTYKX-UHFFFAOYSA-N 401.470 4.609 5 20 HJBD Cc1nn(CCC(=O)N(C2CC2)[C@@H](C)c2ccc3ccccc3c2)c(C)c1[N+](=O)[O-] 523254208 SWJAWEOWFJIDRG-INIZCTEOSA-N 406.486 4.704 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])o1)[C@@H](c1cccnc1)c1ccc(F)cc1F 525150395 OEPZWONWMICRHA-IBGZPJMESA-N 401.369 4.503 5 20 HJBD Cc1nc2ccccc2n1C[C@H]1CCCN1c1ccc([N+](=O)[O-])c(OC(F)F)c1 533686607 VMJNZUZCJSAWTQ-OAHLLOKOSA-N 402.401 4.523 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H]1CCSc2ccc(Cl)cc21 533917338 QXOHPERVFQLMLN-QGZVFWFLSA-N 401.875 4.625 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 534436022 KDSIIGYMQVACIH-AWEZNQCLSA-N 400.504 4.657 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCOc2cc(F)ccc21 537487411 WBWZBYTWIWYCHN-QGZVFWFLSA-N 404.463 4.878 5 20 HJBD CN(Cc1cccc(OC(F)(F)F)c1)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 537725280 PVVHOZICFBFBHV-UHFFFAOYSA-N 420.391 4.526 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(Oc2cccnn2)c1 539355223 MTWUWADKOSSSHQ-UHFFFAOYSA-N 410.455 4.930 5 20 HJBD COc1cc(CN(Cc2cccc(-c3cccnc3)c2)C2CC2)c([N+](=O)[O-])cc1OC 539863212 ZBLOOSKNFWJYRI-UHFFFAOYSA-N 419.481 4.839 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(F)cc1Br)c1ccc([N+](=O)[O-])cc1F 541599044 MYWHHAAJEPFDFL-SSDOTTSWSA-N 403.154 4.517 5 20 HJBD Cc1ccc(C(C)(C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 545357946 BHCSCCCMFIMSLK-MRXNPFEDSA-N 419.485 4.531 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1)c1cccc([N+](=O)[O-])c1 548420770 LKNHSWLSJKJHHS-SECBINFHSA-N 406.826 4.648 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1)c1ccoc1 549946682 BTDPUVPXNDUDGI-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD O=C(NCCc1cc(Cl)cc(Cl)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 555537594 ISKNBOQHLLXJBA-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2ccc(C(F)(F)F)nc2OC(C)C)n1 564257177 LURWGAZMRWCVMQ-UHFFFAOYSA-N 408.336 4.821 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SCCOC)C2)cc1 568992441 JTMBOWIUYFHJBD-GOSISDBHSA-N 414.527 4.525 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)Cc1cc([N+](=O)[O-])cc2c1OCOC2 569136141 YFKAWQUMOLBCCI-CYBMUJFWSA-N 410.392 4.543 5 20 HJBD C[C@H](NC(=O)Nc1cc(F)c(F)cc1N1CCCCC1)c1cccc([N+](=O)[O-])c1 578286180 KCCHPWAMWRGMTG-ZDUSSCGKSA-N 404.417 4.746 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)N(C)Cc3ccccc3[N+](=O)[O-])cn2)c1 603809937 KWGUDGDQRVNAHZ-UHFFFAOYSA-N 420.469 4.741 5 20 HJBD COc1ccc(CC(=O)N(Cc2cccc(C(F)(F)F)c2)C(C)C)cc1[N+](=O)[O-] 609010562 IJVXBHDOWRMOOO-UHFFFAOYSA-N 410.392 4.602 5 20 HJBD COc1ccc(CC(=O)N[C@@H](c2ccccc2)c2cccc(Cl)c2)cc1[N+](=O)[O-] 609011218 IVXQOUFLPBMOGO-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609263096 IHGAGVIRBXNQTB-IBGZPJMESA-N 409.486 4.571 5 20 HJBD CNc1ccc(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)cc1[N+](=O)[O-] 609521794 KZGCYLRNZOIKPT-UHFFFAOYSA-N 422.510 4.780 5 20 HJBD Cc1nc(NC(=O)[C@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)sc1C 609769631 UXZPGKQUHSHXPP-ZDUSSCGKSA-N 412.471 4.900 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 609792309 ZUHJZGGUJUEQFV-JOCHJYFZSA-N 420.856 4.573 5 20 HJBD Cc1sc(C(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc1[N+](=O)[O-] 609836183 RZIARPGLZGFCST-OAHLLOKOSA-N 416.890 4.986 5 20 HJBD COc1cc(C(=O)N2C[C@@H](C)C[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 610126607 VHSZMLQNVVIYSG-STQMWFEESA-N 404.850 4.920 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 610170099 WWZRBOZOIRVRQP-LBPRGKRZSA-N 404.850 4.631 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc2ccccc2n1C 613120574 CGGRQPZSDJTZAS-UHFFFAOYSA-N 410.499 4.793 5 20 HJBD CCOC(=O)[C@@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 727767983 RJMBDCDOCCYTEL-OAHLLOKOSA-N 401.462 4.615 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 730838822 YVPOFXARTLZXCT-UHFFFAOYSA-N 400.866 4.675 5 20 HJBD C[C@H](CSc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 732393095 WEKDHDJZGIPEQY-KGLIPLIRSA-N 413.455 4.677 5 20 HJBD C[C@H](O)c1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735367841 CMVJFQSYGIQESM-HNNXBMFYSA-N 407.426 4.762 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C/c3cnc4ccc(Br)cn34)nc2c1 740765340 XGMBWHJNXZTEQD-XGICHPGQSA-N 418.638 4.618 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745564720 DTPFNRYTOCJLLX-UHFFFAOYSA-N 412.345 4.996 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745576671 JLVPLZHMECVMPJ-KBPBESRZSA-N 413.405 4.657 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN1CCC[C@H](c2nc(-c3ccc(F)cc3)no2)C1 746667665 ZUGRRTNQHSXHJP-AWEZNQCLSA-N 416.840 4.817 5 20 HJBD C[C@@H](Oc1ccccc1)c1nc2ccccc2n1C[C@@H](O)c1cccc([N+](=O)[O-])c1 749663233 OELBROKGEDVSJW-OPAMFIHVSA-N 403.438 4.818 5 20 HJBD CC[C@H](CC(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC)cc1 752506098 XFIMCFKTDQYTJP-OAHLLOKOSA-N 410.426 4.886 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)[C@H](O)c1c(Cl)cccc1Cl 754454580 NLKLNXPUHQJIOG-DIFFPNOSSA-N 412.273 4.762 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1c[nH]c2cccc([N+](=O)[O-])c12 754476770 ARQBOJTXHRQZKR-LBPRGKRZSA-N 406.225 4.637 5 20 HJBD C[C@@H](OC(=O)c1ccc(F)cc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 758116159 NLWYVYFOAFYFEI-CQSZACIVSA-N 424.384 4.710 5 20 HJBD COC[C@@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)c1cccc(C(F)(F)F)c1 766066234 FGQBFXKNDMVORW-HXUWFJFHSA-N 423.435 4.560 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(OCCc3ccccc3)CC2)ccc1Br 768932830 VLBVJFKURFMWJO-UHFFFAOYSA-N 419.319 4.581 5 20 HJBD C[C@H]1CC[C@@H](C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)C1 776293594 XFYMYRIFHFTMGT-QWHCGFSZSA-N 402.834 4.842 5 20 HJBD Cc1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1[N+](=O)[O-] 777139307 YMNNSUJMFKOJDD-CQSZACIVSA-N 421.413 4.632 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@H](O)c1cccs1 777424679 MDVRYFFMKOFSHY-CJNGLKHVSA-N 408.545 4.791 5 20 HJBD C[C@@H](C(=O)O[C@H](Cc1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 781756862 MFJYLFYVTJHJET-QLJPJBMISA-N 403.303 4.693 5 20 HJBD CC(C)CC[C@@H](O)COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 795516769 DKNLGRKYHUTPBO-MRXNPFEDSA-N 406.866 4.676 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 801093391 FZEAZFRRIPDAIA-UHFFFAOYSA-N 424.388 4.882 5 20 HJBD O=C(NCc1sccc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812950302 FRKUXCJCRMYFEM-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD COc1cc(NCc2c(Br)cccc2[N+](=O)[O-])ccc1OC(F)F 864040936 IBATXODGDUPGIB-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD O=C(CNc1ccc(Cl)c([N+](=O)[O-])c1)Nc1cc(C(F)(F)F)ccc1Cl 912724137 PQEHGZMCOKVZFC-UHFFFAOYSA-N 408.163 4.971 5 20 HJBD O=C(NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12)c1cc([N+](=O)[O-])ccc1F 919680237 HXJKACPETWBZRV-IBGZPJMESA-N 403.413 4.777 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2C)ccc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 921153198 FNEIWADQHCNRAQ-OLXGIWCBSA-N 407.470 4.570 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C(C)(C)C)on2)c([N+](=O)[O-])cc1OCCC 1116129570 ULZIFELNCIBMGW-UHFFFAOYSA-N 405.451 4.710 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4ncoc4[C@@H]4CCCO4)n3)cc2)c1 1329659048 CYLVQSPCLBTROR-KRWDZBQOSA-N 420.381 4.944 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2cc([N+](=O)[O-])ccc2SCC)o1 1331861358 RWUCOMLVWLWRTN-UHFFFAOYSA-N 401.444 4.735 5 20 HJBD C/C=C(\C)C(=O)NC1(c2ccc(NC(=O)Cc3ccc(C)c([N+](=O)[O-])c3)cc2)CCC1 1790525452 XOLFPAIBCSRWQD-AYSLTRBKSA-N 421.497 4.546 5 20 HJBD CSc1ccc(Cl)c(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 17528240 NRPWEHPUUVFCEE-SNVBAGLBSA-N 419.846 4.938 5 20 HJBD C[C@@H](Sc1ncc(-c2ccc(F)cc2)[nH]1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 17749411 OMQQNAALVVAIRO-SNVBAGLBSA-N 420.853 4.897 5 20 HJBD CCNC(=O)c1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 44690932 SOPFSQLINPOGAD-UHFFFAOYSA-N 419.437 4.698 5 20 HJBD O=C(Nc1cccc(Oc2cnccn2)c1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50313138 BLFDCVPYFJLXOF-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD CCC[C@H]1CCc2nc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])sc2C1 97547988 CJRNKPANSKTAGW-NSHDSACASA-N 421.544 4.939 5 20 HJBD O=C(COc1ccc2cc(Br)ccc2c1)Nc1cc([N+](=O)[O-])ccc1F 104543795 WAKKGNYAYJAXFN-UHFFFAOYSA-N 419.206 4.667 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccc(Cl)c(Cl)c1)C1CC1 195588739 KRGMMGQAABZJQI-UHFFFAOYSA-N 405.241 4.583 5 20 HJBD COc1cc(COC(=O)/C=C\c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 206889984 FLWYMVAKYHSBBI-DAXSKMNVSA-N 413.760 4.615 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1cccc(C(=O)N(C)c2ccccc2)c1 236863039 XCOXIIJMPCKWFC-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD C[C@H](CCNc1cc2ncnc(O)c2cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 301451198 UCHDUSREYPRZNJ-LLVKDONJSA-N 406.364 4.868 5 20 HJBD COC1CCN(c2ccc(Nc3cc(C)c([N+](=O)[O-])cn3)cc2C(F)(F)F)CC1 301457371 XGACWOHNCBQCBE-UHFFFAOYSA-N 410.396 4.676 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 303076676 AXHLJWMJODENBQ-UHFFFAOYSA-N 417.469 4.589 5 20 HJBD C[C@H](Nc1cccc(NC(=O)C2CCCCC2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 426183903 OYQQGGJCHVHDTB-HNNXBMFYSA-N 410.474 4.553 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccoc1Cl 433579294 XAQJXRNMPDRPSM-UHFFFAOYSA-N 420.830 4.999 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)c1[N+](=O)[O-] 433760564 VZXCZXAVMVKUHJ-UHFFFAOYSA-N 416.384 4.551 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438020813 FYZNUEVWZBOZKQ-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)s1 440874189 KFQVYSDLDNDURV-VIFPVBQESA-N 415.284 4.713 5 20 HJBD CC(C)(C)C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C(F)(F)F 444287834 LFMNAYWAGYVUHN-HNNXBMFYSA-N 408.395 4.917 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC=C(c2ccccc2F)C1 444314160 WGCYPKADSLDAOF-UHFFFAOYSA-N 420.390 4.638 5 20 HJBD COc1ccc(Cc2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)c(F)c1 445561510 ODESTKLWJIQYMB-UHFFFAOYSA-N 412.402 4.507 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21 446089886 GRSOBQZIZJKCEI-HNNXBMFYSA-N 411.458 4.973 5 20 HJBD C[C@H](N[C@H](C)CCc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 468554002 MOGYXJCVKRLEPT-MSOLQXFVSA-N 418.497 4.914 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 470699620 RBYQCMKCRFLWNT-UHFFFAOYSA-N 417.393 4.748 5 20 HJBD CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])[C@H](C)c1ccc(-c2cccc(OC)c2)cc1 470886371 PSPXAKKIWCFLIW-OAHLLOKOSA-N 408.458 4.525 5 20 HJBD CN(Cc1nccs1)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 472356813 VKOSJHANWPTIID-SFHVURJKSA-N 416.890 4.517 5 20 HJBD COc1cc(C(=O)N2CCCc3cc(-c4ccccc4)ccc32)cc([N+](=O)[O-])c1OC 472516328 IEBIPBVDTQKQCT-UHFFFAOYSA-N 418.449 4.872 5 20 HJBD Cc1ccc([C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccccn2)cc1 473600959 UAXICPYHQYEWPC-RUZDIDTESA-N 419.484 4.904 5 20 HJBD Cc1cc(NC(=O)NC[C@@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 473791863 YLSRJTDSSKPNPX-LIRRHRJNSA-N 420.872 4.510 5 20 HJBD CCN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)o1)Cc1c([N+](=O)[O-])ncn1C 475526318 ALQFKENSEZVKBH-UHFFFAOYSA-N 408.380 4.629 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3nc4ccccc4s3)cc2[N+](=O)[O-])C1 477340920 RRLNNNXHCPDLPP-GJZGRUSLSA-N 424.526 4.963 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)c2)o1 477524033 HEPSOOZMGWXRMJ-UHFFFAOYSA-N 403.394 4.978 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](CO)c2c(Cl)cccc2Cl)c1Br 481039855 QBSLOMYHYUFLDZ-SNVBAGLBSA-N 421.078 4.513 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC=C(c2c[nH]c3ncccc23)CC1 481930733 JSUSAXUGGVLCBH-UHFFFAOYSA-N 408.483 4.513 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1ccccc1[N+](=O)[O-] 481957291 FVFVTNUBEVNETN-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@H](CCO)c1ccc(Cl)c(Cl)c1 482052009 RXYJCKUTRYFKEB-OAHLLOKOSA-N 412.273 4.764 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 482799795 SLZJXWTVSMFIQE-NSHDSACASA-N 413.400 4.530 5 20 HJBD C[C@H](NC(=O)c1occc1CN1c2ccccc2C[C@H]1C)c1cccc([N+](=O)[O-])c1 482962670 LICRBEAPKNVHCG-CVEARBPZSA-N 405.454 4.630 5 20 HJBD Cc1ccc(SC[C@H](C)NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 485776836 XOWAPSYKHZWLOR-JTQLQIEISA-N 415.334 4.638 5 20 HJBD COc1cc(OCC(=O)Nc2ccc(F)cc2-c2ccc(F)cc2)ccc1[N+](=O)[O-] 485816345 NWVJOGRAIGICJH-UHFFFAOYSA-N 414.364 4.566 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCC[C@@H]2c2ccc(Cl)cc2)c(C)c([N+](=O)[O-])c1 486866029 IPFDZUWCSBOEDZ-QGZVFWFLSA-N 417.849 4.712 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 492058834 FQUBGFHXKDMTOW-HOTGVXAUSA-N 422.859 4.627 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F 494494893 MERLBYMHZJAJET-STQMWFEESA-N 422.840 4.545 5 20 HJBD C[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(F)cccc1F 497373964 DWGORGMAHKEYTO-VIFPVBQESA-N 420.359 4.861 5 20 HJBD O=C(c1cc2c(OC(F)F)cccc2[nH]1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498140112 KHDUMUIMGICQCJ-GOSISDBHSA-N 419.409 4.565 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1 507694582 YWAWUDQFCYODRW-NSHDSACASA-N 415.343 4.504 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccccc2)C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 512388079 RTIAFKXKWKWCMR-QGZVFWFLSA-N 408.401 4.722 5 20 HJBD O=C(CCCSc1ccc(Cl)cc1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 514864429 CVMDAQTUBYQZMA-UHFFFAOYSA-N 422.890 4.921 5 20 HJBD CN(CCCCCc1cc(-c2cccc(F)c2)n[nH]1)C(=O)c1cccc([N+](=O)[O-])c1 515985877 MQAGDPZJJOJDIL-UHFFFAOYSA-N 410.449 4.609 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 520156111 PIUYRUSNROAHOA-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCCOc3ccc([N+](=O)[O-])cc3)o2)cc1 521384103 ISYVDQSTIMYLPA-UHFFFAOYSA-N 414.487 4.662 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](c1ccccc1)c1ccc(F)cc1 524671455 PMGHIVZPKXGRES-QFIPXVFZSA-N 408.429 4.994 5 20 HJBD C[C@H](Nc1ccc(F)cc1OCC1CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 525405348 DSXGKJZUNNUIAC-NSHDSACASA-N 407.829 4.615 5 20 HJBD CC1CCC(N(C)C(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 536302093 KAAOTELTNKZNKE-UHFFFAOYSA-N 403.504 4.520 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cc2ccccc2c2cccnc12 537244689 RAGHIXQTCKZSAZ-FYYLOGMGSA-N 404.416 4.926 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCc3nc(-c4cccc([N+](=O)[O-])c4)no3)cc2)cs1 540380299 KPRWDDUQSADWLB-ZDUSSCGKSA-N 421.482 4.928 5 20 HJBD Cc1nc(NC(=O)c2nc(-c3ccc(Cl)c(Cl)c3)oc2C)ccc1[N+](=O)[O-] 541015916 RCGVFXIIPGPKGT-UHFFFAOYSA-N 407.213 4.821 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCO[C@H](c4ccc(F)cc4)C3)cs2)c1 541764360 FTDGMTBFJFMOEQ-XLIONFOSSA-N 413.474 4.867 5 20 HJBD Cc1cc(NC(=O)CSc2ccccc2[N+](=O)[O-])ccc1OCC(F)(F)F 542192991 MVUMXKWVQRNPPM-UHFFFAOYSA-N 400.378 4.575 5 20 HJBD C[C@@H](CN(C)C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nccs1 542336444 QQMNKUAHYUWYLO-HNNXBMFYSA-N 410.499 4.539 5 20 HJBD C[C@H](C(=O)Nc1cccc(NC(=O)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 544429727 PSTFXBGSCRLWNR-AWEZNQCLSA-N 407.401 4.728 5 20 HJBD Cc1cccc2[nH]cc(Cc3noc(CCOc4ccc(Cl)cc4[N+](=O)[O-])n3)c12 546378263 YYVLVNUMUCGDTC-UHFFFAOYSA-N 412.833 4.633 5 20 HJBD C[C@H](Oc1ccc2c(c1)CCC2)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 546488423 MBZDQXWSMXMWSF-ZDUSSCGKSA-N 404.426 4.679 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1-c1nc(Cc2c[nH]c3cc(Br)ccc23)no1 547249123 JIYTZKLQJJHDBJ-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](c1cncc(Br)c1)C1CC1 551818125 UFRRKPQBQVSNDB-YJYMSZOUSA-N 404.308 4.814 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2NCc2cccc(COCc3ccccc3)c2)n1 556063583 IWFHYHFKJHRJBP-XZOQPEGZSA-N 420.513 4.782 5 20 HJBD Cc1nc(CNC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)oc1-c1ccc(Cl)cc1 559784432 HLXQYJVKQAVLML-UHFFFAOYSA-N 424.844 4.552 5 20 HJBD O=C(Nc1ncc(-c2ccc(Br)cc2)s1)c1ccc([N+](=O)[O-])cc1F 588780904 GBSKYPWMFUBVIM-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC(C)Cn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@H]1c1ccc(O)cc1 589648472 AUFOKQGIODGTFS-FQEVSTJZSA-N 407.474 4.556 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)c1 604257911 NWHYTBSYUNHCKV-RKDXNWHRSA-N 416.343 4.520 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 604532756 CFKNQMVIFMSSGI-INIZCTEOSA-N 419.472 4.860 5 20 HJBD CSc1ccc(C(=O)NC[C@@H]2CCN(c3cc(Cl)ccc3C)C2)cc1[N+](=O)[O-] 608819290 UMJQUBVFSRJXJS-AWEZNQCLSA-N 419.934 4.535 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 609199298 FMKVGCPMQHZPIP-UHFFFAOYSA-N 421.453 4.846 5 20 HJBD COc1cc(CN[C@H]2CCc3c(O)cccc32)ccc1OCc1ccc([N+](=O)[O-])cc1 609223779 ZQTJIDADNJFUNR-NRFANRHFSA-N 420.465 4.665 5 20 HJBD CC(=O)c1ccc(Sc2nnc(COc3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 619716695 WSGFTBMNDHEEHV-UHFFFAOYSA-N 405.819 4.564 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 726290216 MTZQPLNURAHOPG-AWEZNQCLSA-N 415.515 4.610 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1Cc1ccc(OCc2ccc(F)cc2)cc1 726430921 FQFWZSVMERKGLG-UHFFFAOYSA-N 420.238 4.629 5 20 HJBD Cc1nn(CCC(=O)Oc2ccccc2-c2nc3ccccc3s2)c(C)c1[N+](=O)[O-] 726500455 ZRYRNUVXIMJZRL-UHFFFAOYSA-N 422.466 4.681 5 20 HJBD COc1cc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1SC 731719490 IVGUHFFSQHHHKS-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD Cc1ccc(Cl)c(O[C@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735443329 MXBJDSAKQDSIAG-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)CC1 742221825 DXXFWCXHTBQIGN-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc2ccccc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746098323 KQWSDPDBXCFQSE-QLKUMGTLSA-N 416.393 4.511 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)o2)cc1 746154811 UAUZYLRFLZLACC-ZIAGYGMSSA-N 413.455 4.738 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@](O)(c2ccc(Cl)cc2)C(F)(F)F)c2ccncc12 748536701 HBXQUWRVUDRFHX-KRWDZBQOSA-N 411.767 4.658 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1OCC1CCOCC1 750037125 NGMDFRQTNZMUSE-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD C[C@H]1C[C@H]1c1ccc(CN(C(=O)c2cc([N+](=O)[O-])ccc2Br)C2CC2)o1 750599882 UYFIUBMXQJRGNK-XHDPSFHLSA-N 419.275 4.879 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 750601864 LPZFJBSFZZNIDP-GOSISDBHSA-N 419.275 4.733 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])c1ncoc1-c1cccc(C(F)(F)F)c1 752201084 JOUKPHPLFNNAFX-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD NC(=O)c1ccc(CSc2nc3cc(C(F)(F)F)ccc3s2)c([N+](=O)[O-])c1 753853061 FSRSQQULLCWXSM-UHFFFAOYSA-N 413.402 4.615 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 757204681 WIXDEQNKBPJXCI-UHFFFAOYSA-N 411.245 4.572 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(c2ccc(F)cc2)CC1 758641970 VNKPEFCBLFNWGR-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(Cc2ccccc2F)CC1 760838271 UIGBIJLVOITSQH-UHFFFAOYSA-N 421.266 4.591 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)[C@@H](C)Sc2nc3ccccc3s2)cc1[N+](=O)[O-] 762571540 VQEVFGGOIDHWRP-CHWSQXEVSA-N 401.513 4.871 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1F 762982068 ZTQUYIRYOCIEPW-UHFFFAOYSA-N 424.428 4.729 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)n2)c(F)cc1F 763506407 YBHIQLBNAQRXIE-UHFFFAOYSA-N 411.336 4.835 5 20 HJBD Cc1c(C(=O)Nc2ccc(COc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 774033712 RVGIYBWFBPIYJZ-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD CC(C)([C@@H](OC(=O)c1coc(C2CCC2)n1)c1ccc(Br)cc1)[N+](=O)[O-] 774204099 VRAUVVSBGOWAMR-HNNXBMFYSA-N 423.263 4.658 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cccc(Cl)c1[N+](=O)[O-] 774920737 RBSUZFFPUURSAP-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD CC(C)(C)c1nc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cs1 776137031 MELZEKRBYGYJMW-LJQANCHMSA-N 411.483 4.619 5 20 HJBD Cc1c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cccc1[N+](=O)[O-] 781965931 DNLMWWSZBQAFME-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccc(Cl)cc1-c1ccccc1 785835966 QBVIHTMPDJUONG-UHFFFAOYSA-N 406.829 4.904 5 20 HJBD O=C(Nc1cnc(-c2cccc(C(F)(F)F)c2)cn1)c1cc([N+](=O)[O-])ccc1Cl 788108103 MRVQNYCJXXZGCX-UHFFFAOYSA-N 422.750 4.976 5 20 HJBD CCCN(C(=O)Cc1sc(SC(F)F)nc1C)c1cccc([N+](=O)[O-])c1 799411294 CEGAAOWKSZIXCX-UHFFFAOYSA-N 401.460 4.660 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])OCc1nc(-c2ccccn2)cs1 800343289 JWINMCNYPOJJNH-UHFFFAOYSA-N 421.887 4.602 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1cccnc1)Cc1ccco1 812860711 VHAUNLCRFIZCNE-UHFFFAOYSA-N 406.225 4.732 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)OCc3ccccc3[N+](=O)[O-])c12 813338395 GPMGRQSGSYVJQN-UHFFFAOYSA-N 407.357 4.603 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(N2CCCCC2)n1-c1ccccc1 915793179 DHJJXPGOFKZUMA-UHFFFAOYSA-N 413.478 4.597 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 915874065 SRWDCQWJOSVALB-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 920776915 FMYKZULHPZQEGZ-LLVKDONJSA-N 422.846 4.784 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 1116707712 OJNRWKJVNFELLZ-UHFFFAOYSA-N 414.505 4.831 5 20 HJBD COc1cc(Cl)ccc1-c1nnc(-c2ccc([N+](=O)[O-])cc2Br)o1 1324069868 SEGFGZUTNJMMHK-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)OC(C)(C)C)n1 1344500101 UPNAJTMHXAUFQC-UHFFFAOYSA-N 406.826 4.551 5 20 HJBD C[C@@H](OC(=O)c1cc2c(s1)CCCCC2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 7086479 SSOXEELMZKWQEN-GFCCVEGCSA-N 413.455 4.893 5 20 HJBD COc1ccc(C(=O)OCCOC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 21327396 CFDWWQJDLJRBAH-UHFFFAOYSA-N 407.422 4.566 5 20 HJBD C[C@H](Sc1ccc(C(F)(F)F)cn1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 21636093 GKCLXIOCPCFDCZ-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD Cc1ccc(-c2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3C)c3c(C)noc3n2)cc1 24906167 AFMSYPAIMHAVBM-UHFFFAOYSA-N 402.410 4.976 5 20 HJBD CCn1c(SCc2nc(-c3ccco3)no2)nc2cc3ccccc3cc2c1=O 44115419 HHLAAHCHDRPJJJ-UHFFFAOYSA-N 404.451 4.505 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2)c1 46659943 ILSOCEQXUKRVIK-OAHLLOKOSA-N 409.467 4.579 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)Nc1ccc([N+](=O)[O-])cc1Cl 146179533 LSDXXFMITKVVJM-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD Cc1cc(N2CCC[C@H]2C[C@@H](O)c2ccc(F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301764941 GZBZTBVOCBMMNP-LEWJYISDSA-N 422.460 4.592 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(C(F)(F)F)(C(F)(F)F)C2)nc1-c1ccccc1 301850708 FGQFSEZVISADDX-UHFFFAOYSA-N 405.298 4.978 5 20 HJBD Cc1cc(N[C@H](C)c2cc(F)ccc2N2CCC(O)CC2)c(Cl)cc1[N+](=O)[O-] 301985915 LZHVUCOIABIXGL-CYBMUJFWSA-N 407.873 4.830 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Oc1ccc(Oc2ncc(Br)cn2)cc1 302784176 UOTZEOHKWIBNDT-UHFFFAOYSA-N 423.610 4.780 5 20 HJBD COc1ccc(NC(=O)c2ccc(Br)cc2C(F)(F)F)cc1[N+](=O)[O-] 327993769 DHCVBRUZJUFSQO-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1cn2c(-c3noc([C@@H](C)Oc4ccc(Cl)cc4[N+](=O)[O-])n3)c(C)nc2s1 426560864 RVVWBHNXCZOMDB-SNVBAGLBSA-N 419.850 4.764 5 20 HJBD C[C@@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1 430450573 QQFGRCRRIKAREV-QGZVFWFLSA-N 415.449 4.567 5 20 HJBD O=C(Nc1cnc2ccccc2c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435939931 WUXCIJWZSLRZAO-UHFFFAOYSA-N 401.372 4.760 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(Cc2cccc(F)c2)CC1 436063262 KIMBMXBFYYZRAN-UHFFFAOYSA-N 414.480 4.915 5 20 HJBD COc1cc(OC)c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 437152674 FDXPAKDKKRKXGK-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD C[C@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 437467125 MGDARORERIWCPN-INIZCTEOSA-N 409.511 4.546 5 20 HJBD Cc1c(CC(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)cccc1[N+](=O)[O-] 438897690 QTLLJNKCRCZTON-UHFFFAOYSA-N 414.355 4.501 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443902397 KTISHSPMRAKPLX-LLVKDONJSA-N 400.784 4.970 5 20 HJBD CCc1nc2ccc(Cl)cn2c1-c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 463050953 GDLGNKSJACBUCX-UHFFFAOYSA-N 422.832 4.580 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 464937747 FWRKPPYVDDYQRH-HXUWFJFHSA-N 423.557 4.877 5 20 HJBD COc1ccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 467168820 KHRKEJDGPARTRL-UHFFFAOYSA-N 403.744 4.597 5 20 HJBD O=C(C[C@H]1CCCCCN1C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccccc1 467530110 AKUPGKBJVDZTIW-QGZVFWFLSA-N 405.454 4.734 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc(-c2ccc(Cl)cc2F)s1 470636253 WAXUZTAQWWIHHH-UHFFFAOYSA-N 422.825 4.557 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ncc(Cc2ccc(C)c(F)c2)s1 470796802 XBGBTDVQMGRJNO-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCCC[C@@H]3c3nc4ccccc4o3)n2)cc1 474239542 LKXBNPPBWYZPAC-QGZVFWFLSA-N 405.414 4.513 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ncc(-c2cccc(F)c2)[nH]1 476831299 ZXTPYAKMVKFQLF-NRFANRHFSA-N 422.460 4.810 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cs1 477711610 KREHIDJKBYPRSM-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)SCc2ccc(OC)c(Cl)c2)c([N+](=O)[O-])c1 478631862 JSWHCTQAVCBTGO-LLVKDONJSA-N 410.879 4.526 5 20 HJBD Cc1c([C@H](C)N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)cccc1[N+](=O)[O-] 479526525 NETYJGLIOIXPKH-MEDUHNTESA-N 402.800 4.960 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 480144050 SNVCGYWPJOYQOH-UHFFFAOYSA-N 424.457 4.531 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)[C@@H](C)c2cc3ccccc3o2)cc1SC 482545855 ZPASPZNHSMBIMB-LBPRGKRZSA-N 400.456 4.905 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cccc(Oc2ccncc2)c1 482875481 AKKCUNRENTXOBA-UHFFFAOYSA-N 421.456 4.981 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 485566101 HHHOKJAYEWVHLO-MRXNPFEDSA-N 408.429 4.733 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)c(C(=O)N3CCCCC3)c2)cc1[N+](=O)[O-] 487096875 OLBKKWAVADYDDT-UHFFFAOYSA-N 413.499 4.504 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](CC(F)(F)F)c1ccccc1 488302329 CWQSVBLBPJVXKV-OAHLLOKOSA-N 415.799 4.784 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1NC(=O)c1ccco1 492937175 NYOFFDGCLSLNGJ-HNNXBMFYSA-N 422.441 4.940 5 20 HJBD CC(C)N(Cc1ccco1)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 494429637 MHLGKPLMJUPFHH-UHFFFAOYSA-N 402.394 4.736 5 20 HJBD O=C(Nc1nc(-c2cc(Br)cs2)cs1)c1ccc([N+](=O)[O-])cc1 497927769 OZDACLWPHFEZLN-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CCN(CC)C[C@H](C)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 498806238 ZMLPTVGQWJNTGA-AWEZNQCLSA-N 405.882 4.501 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](COCc2ccccc2)C1 503396423 GARXKUUTUHOYFZ-SFHVURJKSA-N 414.527 4.776 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@H]1/C=C/c1ccccc1 505360134 QDYJUEFYWFSYML-IWGBCORSSA-N 405.498 4.516 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cccc2c1CN(c1ccccn1)C2 507662063 YIWUAKVWDQCIQJ-UHFFFAOYSA-N 404.470 4.909 5 20 HJBD Cn1nccc1[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 510668380 YYXUYAGUSWUEFX-AWEZNQCLSA-N 400.866 4.621 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(Oc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)cc1 511418060 ZXDJSMOAEOCCKK-UHFFFAOYSA-N 423.450 4.894 5 20 HJBD COC(=O)c1cc(C(=O)Nc2c(F)cc(F)cc2-c2ccccc2)cc([N+](=O)[O-])c1 512847318 UYVMTZVDIDANJP-UHFFFAOYSA-N 412.348 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 512900736 DXGWQVPSBDLDMN-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD Cc1nn(-c2ccc(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])cc2)c(C)c1Cl 513844537 YGIYYOPUZCZKLV-UHFFFAOYSA-N 410.861 4.644 5 20 HJBD COc1cccc([C@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)N2CCCC2)c1 518771745 VYDWUSVZFJULLZ-QHCPKHFHSA-N 421.497 4.790 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccc(F)cc2)s1 520245161 RWIFHQRMILBBQW-UHFFFAOYSA-N 408.414 4.900 5 20 HJBD CC[C@@H](NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 521539389 LQLFFKIDGZEPRY-QGZVFWFLSA-N 415.456 4.771 5 20 HJBD Cc1c(C(=O)N(CC(F)(F)F)c2ccc(Br)cc2)cccc1[N+](=O)[O-] 524047296 IXTRGWZFYPIOOD-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD Cc1cccc(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1Cl 524796121 MVQBAVZRZXKGQQ-INIZCTEOSA-N 419.865 4.761 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](Cc1ccccc1)c1ccccc1F 525442973 JKAJZFJHPLCIJT-NRFANRHFSA-N 407.445 4.859 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(SC2CCCC2)cc1 532944096 RSVQSDWIHGGANZ-QGZVFWFLSA-N 417.535 4.501 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3)cnc2C)cc1SC 536320256 IWDTYDLIQQJHQW-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD O=C(CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc([N+](=O)[O-])cc1Cl 538176429 KXOCPDMDHANSTE-HNNXBMFYSA-N 419.762 4.609 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 538408412 ZRZQQUHCXFIOGI-YXXMBDFVSA-N 402.441 4.704 5 20 HJBD CC[C@H](CC(F)(F)F)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 546875348 QSMYGBAZNHJXNR-TZMCWYRMSA-N 410.396 4.624 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cc(O)nc5ccccc45)no3)cs2)c1 554845283 ZTGPMSIQOMYZIW-UHFFFAOYSA-N 417.406 4.689 5 20 HJBD CCOc1cc(C(=O)Nc2ncc(-c3ccccc3F)s2)c([N+](=O)[O-])cc1OC 554999216 HDUFYCQLRYDEFG-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CC[C@H](Sc2cccc(Cl)c2)C1 567773374 OKLHITKWJQCQEY-HNNXBMFYSA-N 413.886 4.803 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 570484145 ZCLFQQAQUIQGTP-OAHLLOKOSA-N 404.488 4.557 5 20 HJBD CN(C(=O)c1cccc(Oc2ccc(Cl)c(Cl)c2)n1)c1ccc([N+](=O)[O-])nc1 573163749 VSKUAULZKVIOIR-UHFFFAOYSA-N 419.224 4.761 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccc4c(C)cc(O)nc4c3)n2)cc1[N+](=O)[O-] 576139955 VLIROPHTOMYZSM-UHFFFAOYSA-N 420.450 4.841 5 20 HJBD CCC[C@]1(CO)CCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 577313069 LULYJOUULSKDTK-NRFANRHFSA-N 406.548 4.502 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCCc4occc4C3)cc2[N+](=O)[O-])n1 582930424 IWCSFADPRYPGLX-UHFFFAOYSA-N 415.496 4.693 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nc(-c3cccc(Cl)c3)no2)ccc1[N+](=O)[O-] 584494263 CATGEBJDFLUZIL-KRWDZBQOSA-N 412.833 4.584 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2c2cccs2)c([N+](=O)[O-])cc1OCC 603651466 RFEOBXIYYSHHID-CQSZACIVSA-N 405.476 4.823 5 20 HJBD CCCOc1cc(NC(=O)c2cnn(C(C)(C)C)c2C)c([N+](=O)[O-])cc1OCCC 603752185 IJXWSSRGYWMYDN-UHFFFAOYSA-N 418.494 4.685 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCC3CCN(Cc4ccccc4)CC3)cs2)c1 609598837 YELQKLNWDVHGEA-UHFFFAOYSA-N 422.554 4.720 5 20 HJBD Cn1cc(CCCNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cn1 609616117 MTKRODPNZBJZHH-UHFFFAOYSA-N 418.856 4.636 5 20 HJBD CC[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cc(F)ccc1F 610121266 RTCPCUNETLZFCB-HNNXBMFYSA-N 418.318 4.695 5 20 HJBD CN(C(=O)c1ncoc1-c1ccccc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400770 QEFZBYQYYMMLNE-UHFFFAOYSA-N 406.423 4.650 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)ccc21 726546193 FEFCIVVEKJHJIL-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C\c1cnc(-c2ccccc2)s1 730958200 QHIBQWFUGITYDL-ZROIWOOFSA-N 411.826 4.716 5 20 HJBD CC(=O)N1CCCCC[C@@H]1C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 732045261 FWXADRSKSQGWSO-GOSISDBHSA-N 413.440 4.621 5 20 HJBD Cc1ccccc1COc1cccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)c1 732643037 GKDKSHGRNXZOBW-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H]1c1ccc(F)c(F)c1 733034085 PAGLXXBEVAVDLJ-HNNXBMFYSA-N 413.327 4.843 5 20 HJBD CCOc1ccc(N(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 739618997 OGVGUZFAKISIDS-INIZCTEOSA-N 420.469 4.838 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2ncc(-c3ccccc3C)o2)cc1SC 744952474 KWVYCKOWFJNERF-UHFFFAOYSA-N 414.439 4.646 5 20 HJBD C[C@H](C(=O)OCc1ccccc1[N+](=O)[O-])[C@H](NC(=O)OC(C)(C)C)c1ccccc1 745665442 NSQRHWOWWLNOOT-KXBFYZLASA-N 414.458 4.540 5 20 HJBD Cn1cccc(NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)c1=O 746247178 JZVSOCWSQRVCQK-UHFFFAOYSA-N 408.457 4.884 5 20 HJBD Cc1cc(C)c2nc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)sc2c1 748603869 SLRSSCUXYQHDFF-UHFFFAOYSA-N 400.416 4.599 5 20 HJBD C[C@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(OCc2cccnc2)cc1 749912527 TVFBMALZVHDXJY-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750829773 UDYGIRSKAJZDNH-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD COc1c(F)cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1F 750854754 SVVUMUQJDKDFES-UHFFFAOYSA-N 421.581 4.550 5 20 HJBD CCCCc1nc(COC(=O)c2cc([N+](=O)[O-])c(Br)cc2F)cs1 751690605 OFKQMIWXALFTFE-UHFFFAOYSA-N 417.256 4.653 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](O)c1c(Cl)cccc1Cl 751993489 HDNKKKQFMBOLEU-GLEZIHRCSA-N 418.664 4.799 5 20 HJBD C[C@H](C(=O)Oc1ccc(NC(=O)c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 754012788 NOFPRWIVVJLPHU-AWEZNQCLSA-N 408.385 4.695 5 20 HJBD C[C@@H](C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 755066388 OZLCXYDBDVRDHD-OAHLLOKOSA-N 422.412 4.833 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1cccnc1Cl 755914992 XBUWXNAGTZYUFW-MRVPVSSYSA-N 416.684 4.525 5 20 HJBD Cc1c(CC(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)cccc1[N+](=O)[O-] 756215142 GEJHETSDFRVOES-UHFFFAOYSA-N 420.343 4.863 5 20 HJBD O=C(c1cc(N[C@@H]2CC3(CCC3)Oc3ccccc32)ccc1[N+](=O)[O-])N1CCCC1 757784692 AHTTUBWJGJUMEE-LJQANCHMSA-N 407.470 4.689 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)[C@@H]1CC12CCC2)c1ccccc1 759983489 RWOWYOFBMXZMBP-YJBOKZPZSA-N 414.845 4.661 5 20 HJBD CC(C)(Oc1ccc(Cl)cc1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760459549 XBDCDXLANGMQRD-UHFFFAOYSA-N 416.817 4.804 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 761707148 MIXNNBLTWXAYHM-CQSZACIVSA-N 410.451 4.926 5 20 HJBD Cc1c(CC(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 763002717 OMERBWPWZNOCPO-HSZRJFAPSA-N 404.466 4.751 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21 774627721 SYIAWZOTDKFHEG-HRAATJIYSA-N 414.893 4.655 5 20 HJBD Cn1c(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2cc(Cl)ccc21 781261115 WYPVVTXZMJQNQH-UHFFFAOYSA-N 417.852 4.578 5 20 HJBD Cc1cc(N2CCC([C@H](C)NC(=O)OC(C)(C)C)CC2)c2cc([N+](=O)[O-])ccc2n1 782949343 FGKXUSQKHAOQEO-HNNXBMFYSA-N 414.506 4.581 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(N2CCSCC2)cc1 784039693 ZJNKSNSMKNBNDN-UHFFFAOYSA-N 423.947 4.532 5 20 HJBD Cc1ccc(-c2cc(C(=O)OCC(=O)c3cccc([N+](=O)[O-])c3)c3ccccc3n2)o1 785300383 SUDAVSNVODDZNV-UHFFFAOYSA-N 416.389 4.751 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 786042113 XMJIASUZHCVDLJ-VIFPVBQESA-N 401.749 4.776 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)Nc2ccc(Cl)cc2Cl)c1F 803458058 ZFMYJCKYUJAJPG-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 803795607 IFLHMFJYZUNFQP-HNNXBMFYSA-N 405.410 4.584 5 20 HJBD CC(=O)c1cc(NC[C@H](O)c2cccc(OCc3ccccc3)c2)ccc1[N+](=O)[O-] 804552224 GXPYDPCVUAUYDX-QHCPKHFHSA-N 406.438 4.522 5 20 HJBD C[C@@H](O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1F 804790714 CSZRNJLCALXHEI-CQSZACIVSA-N 409.417 4.652 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(CNc2cc(NC(=O)OC(C)(C)C)ccc2C)c1 811469055 BDWFTYKOFHHOKY-UHFFFAOYSA-N 415.446 4.649 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3nc4ccc([N+](=O)[O-])cc4s3)CC2)cc1 812483209 XLCBTBBUZOPOJJ-HXUWFJFHSA-N 413.499 4.553 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2)o1 913134661 MNFXARWOXSRLFK-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccccc2SC(F)F)cc1[N+](=O)[O-] 914716309 KFYRIZKACUQCMY-UHFFFAOYSA-N 414.455 4.790 5 20 HJBD COc1ccc(C2(CNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCOCC2)cc1 919965329 UKTDLGFYNCJROU-UHFFFAOYSA-N 410.392 4.783 5 20 HJBD CCc1cc(Oc2cc(Cl)ccc2[N+](=O)[O-])nc(-c2ccc([N+](=O)[O-])cc2)n1 921060168 FYSAWJZTRIBBOE-UHFFFAOYSA-N 400.778 4.968 5 20 HJBD CCOCc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1 1253832281 XEPSXOKARULZFK-UHFFFAOYSA-N 413.655 4.800 5 20 HJBD CC(C)(C)c1ccc(Cn2nccc2NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1255318799 PGDVDONBQGREIA-UHFFFAOYSA-N 419.485 4.685 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)n2)cc1 1317749733 WMUPXOXXORCNMW-UHFFFAOYSA-N 414.849 4.993 5 20 HJBD CCOc1cc(NC(=O)C(F)(F)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCC 1317898367 FXXYLUXDZMBFPD-UHFFFAOYSA-N 414.792 4.776 5 20 HJBD CC(C)(C)n1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)nc2ccccc21 1318098963 KYCFTADRJQDQBC-UHFFFAOYSA-N 407.474 4.552 5 20 HJBD CCNC(=O)c1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1318414393 SBGDNRQNEWOXEQ-UHFFFAOYSA-N 413.861 4.548 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c(Cl)c1 1318838863 MSFGSKWMKRRCLO-UHFFFAOYSA-N 417.849 4.751 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H]2CCCC[C@@]21c1ccccc1 1319839978 MJCIRPVRXVUPPP-PXDATVDWSA-N 419.525 4.739 5 20 HJBD O=C(Cc1coc(-c2ccccc2)n1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 1319954393 LIODEWDWNLKGDV-QFIPXVFZSA-N 415.405 4.520 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2F)nc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1339364445 GCGKJUVKSOAYFO-UHFFFAOYSA-N 412.352 4.608 5 20 HJBD C[C@H](OC(=O)C[C@@H](C)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 8754386 NXIIACFLOCGZSI-OLZOCXBDSA-N 424.375 4.678 5 20 HJBD CCn1c(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)nnc1-c1ccccc1 17674246 XHEVDJCQJWVHOP-CYBMUJFWSA-N 422.470 4.777 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 21840174 DAEROVWWKLVHMB-IBGZPJMESA-N 411.801 4.676 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3OC)o2)cc1 22025367 XUPHUIKXCXOGEI-UHFFFAOYSA-N 414.487 4.792 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1CSc1nc2ccccc2o1 46136303 QZRNDULMJALHBZ-UHFFFAOYSA-N 419.462 4.958 5 20 HJBD CC(C)NC(=O)c1ccc(Cl)c(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c1 55587644 PKRUWDOOCUGOMP-UHFFFAOYSA-N 417.893 4.936 5 20 HJBD CC(C)c1ccc(N(C(=O)Cn2ccc([N+](=O)[O-])n2)c2nc3ccccc3s2)cc1 59265774 OKFYUJZBXUHBRX-UHFFFAOYSA-N 421.482 4.889 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCNC(C)(C)c1cccc(C(F)(F)F)c1 60377536 RPJZANYFQVHKGK-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ncc(Cc2ccccc2)s1 64722894 CCROWNSBVJSBKG-GFCCVEGCSA-N 417.874 4.702 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(CCc1ccccc1)Cc1cccnc1 72007869 QWIUNRGJIOAAHR-UHFFFAOYSA-N 410.861 4.920 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(C)c(C(F)(F)F)c2)cc1[N+](=O)[O-] 105979710 NMYILZHEJBZKHT-UHFFFAOYSA-N 414.405 4.803 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(NC(=O)C(C)C)cc3)o2)c([N+](=O)[O-])c1 237432737 BDHTWUUNLBBIGD-UHFFFAOYSA-N 423.469 4.748 5 20 HJBD O=C1Cc2ccc(Cl)cc2N1Cc1ccc(NCc2cccc([N+](=O)[O-])c2)cc1 237788245 IBFBTBYHKGQPIW-UHFFFAOYSA-N 407.857 4.950 5 20 HJBD O=[N+]([O-])c1cc(Cl)cnc1Sc1nnc(-c2ccco2)n1Cc1ccccc1 301736951 IAADHCHWRFJEIW-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD C[C@@H]1C[C@@H]1[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(Cl)cc1 302161993 KAFOTGTWYIUZHK-LYSGOOTNSA-N 400.909 4.522 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NC1CCC(O)(c2cccnc2)CC1 302237109 GHYAGSSFYVLEOB-UHFFFAOYSA-N 415.799 4.904 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccsc1)c1ccccc1 303096507 FWZHZRJKEVCGAF-UHFFFAOYSA-N 404.451 4.689 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426286756 RPUKLWKBQMUEEP-UHFFFAOYSA-N 407.801 4.670 5 20 HJBD O=C(Nc1cc2c(cc1Cl)CCC2=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 429501581 IBYOJINUWPUMTL-UHFFFAOYSA-N 413.842 4.753 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OCC 431785562 OYPBKWBMVILQBM-OAHLLOKOSA-N 415.490 4.688 5 20 HJBD C[C@H](OCCCNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 435941708 VAEHFKHRRXOWIY-KRWDZBQOSA-N 400.478 4.579 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)C(=O)N(Cc1cccc2ccccc12)C1CCCC1 439539861 FWCIEFHGSJNWTO-UHFFFAOYSA-N 417.465 4.658 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 439646861 LSWAKNLIYFKMDM-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD CC(C)Oc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c3cccnc23)c(Cl)c1 444511425 YGFSRPQAEZCQCH-UHFFFAOYSA-N 415.833 4.601 5 20 HJBD COc1cc([C@H](C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1OCCC(C)C 444689331 MVLXMOOSPYQIQS-HNNXBMFYSA-N 412.490 4.755 5 20 HJBD CC[C@@H]1c2ccsc2CCN1C(=O)c1sc(Br)cc1[N+](=O)[O-] 445265591 VIBDVNVNTBCGPY-SECBINFHSA-N 401.307 4.630 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1Cc2cc(Br)ccc2C[C@@H]1C 446482836 XEJFSWRLOYLAFO-LBPRGKRZSA-N 404.264 4.644 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 446833969 IZONPVJTJUVVJG-KDOFPFPSSA-N 409.408 4.904 5 20 HJBD Cc1c(CNC2(c3cccc(OC(F)(F)F)c3)CCOCC2)cccc1[N+](=O)[O-] 447324360 GHDBPANGCXQLBP-UHFFFAOYSA-N 410.392 4.597 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21 447539605 HEIIPXXAEIISMG-UZUQRXQVSA-N 422.529 4.579 5 20 HJBD Cc1ccc(-c2nc(CC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)cs2)cc1 466295053 DKGYMIOFWPZTGZ-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD CCC[C@H](NC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469212867 RGHBAEATHCBPKK-INIZCTEOSA-N 408.401 4.552 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@@H](C)N(C)C(=O)c3cc([N+](=O)[O-])nn3C)c2)cc1 476647038 XYKJJRSZMZXKMT-MRXNPFEDSA-N 422.485 4.616 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 476801851 GQYWZVUCUHLORI-UHFFFAOYSA-N 402.501 4.934 5 20 HJBD Cc1coc(-c2cc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])ccc2C)n1 478524239 TWEFFTJWVDSAQV-CYBMUJFWSA-N 415.833 4.926 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(CN3CCCC[C@H]3C)cc2)c1 479283595 OGPFNBDWMWARHO-MRXNPFEDSA-N 413.543 4.621 5 20 HJBD CN(CCNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)CC(F)(F)F 482048591 MPCFVEBQUPHYQC-UHFFFAOYSA-N 417.815 4.624 5 20 HJBD CSc1cccc(C(=O)N2CC[C@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 485497114 AFGGVGAPULFHJM-ZDUSSCGKSA-N 410.417 4.965 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)cc2)cs1 497728846 ZKUYNVKRTCHTSN-AWEZNQCLSA-N 420.494 4.859 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@H](C)c2ccc(Cl)c(Cl)c2)cc([N+](=O)[O-])c1 498964866 DEOBEYPVNHMSQF-SNVBAGLBSA-N 411.241 4.521 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc(F)c1)c1nccn1C 504928114 ZIXQLJSXKYHEAQ-PXNSSMCTSA-N 414.506 4.717 5 20 HJBD O=C(NCCCO[C@H]1CCCc2ccccc21)Nc1ccc([N+](=O)[O-])cc1Cl 506294092 WKTBGVPJSYZTOR-IBGZPJMESA-N 403.866 4.854 5 20 HJBD C[C@@H](NC(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc([N+](=O)[O-])c1 509654084 WPUNYMNFLOULAA-LLVKDONJSA-N 418.425 4.803 5 20 HJBD O=[N+]([O-])c1ccc(CSCc2noc(Cc3ccc(Br)cc3)n2)cc1 513815375 SKVCGMVLWCEKEQ-UHFFFAOYSA-N 420.288 4.765 5 20 HJBD Cc1ccc(-c2noc([C@H](C)SCCOc3ccccc3[N+](=O)[O-])n2)cc1F 520677558 BOEFEVQREUCIDL-ZDUSSCGKSA-N 403.435 4.966 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1cccc(Cl)c1SCC(F)F 524067518 WRPAGAFNTBTPDT-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1cccc(Cl)c1 534060269 WJEWTFXSVNEPKH-UHFFFAOYSA-N 403.847 4.775 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](Nc1ccc(CO)cc1)c1ccccc1 534880988 SMGSYKKAAHMHMF-FQEVSTJZSA-N 411.845 4.532 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC=C(C(C)(C)C)CC2)cc1[N+](=O)[O-])c1ccccn1 536256249 DISGTPAYNGGVNQ-MRXNPFEDSA-N 408.502 4.981 5 20 HJBD CC(C)CO[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 539826226 YIJGNADGJNLGSJ-OAHLLOKOSA-N 414.462 4.603 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(C)=O)cc1OC 540765559 XKOFUIPRYMYPSV-UHFFFAOYSA-N 417.487 4.706 5 20 HJBD CC(C)(C)NC(=O)c1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 542193413 CHMGMZLJBNNOHL-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD COc1cc(-c2noc([C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)cc(Cl)c1OC 544617475 XHHNUXVOGKMPRW-SECBINFHSA-N 407.785 4.606 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(Cc2csc(-c3ccc(Cl)cc3)n2)n1 545536178 LSHLPJCJDNXMKM-UHFFFAOYSA-N 412.858 4.936 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(Cc3c[nH]c4cc(F)ccc34)no2)c1 545676908 ILSTXKHTFDYOAM-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD CCc1cccc2c(Cc3noc(-c4cc(O)nc5ccc([N+](=O)[O-])cc45)n3)c[nH]c12 546397633 PXTNULDZRROBIR-UHFFFAOYSA-N 415.409 4.533 5 20 HJBD Cc1ccc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1NC(=O)NC(C)(C)C 546486509 PBKZWIQAELCBAJ-UHFFFAOYSA-N 423.473 4.772 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2NCc2cnc(-c3ccc(Cl)cc3)s2)n1 551816931 FEPAHCIUVRFOEH-IAGOWNOFSA-N 417.922 4.842 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(CO)cc2c1 552426207 VOHZQTHFLUTWQL-UHFFFAOYSA-N 400.456 4.834 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(Cc4ccccc4)oc3c2)c1 554962240 QEKHPYWNMSNDCY-UHFFFAOYSA-N 417.421 4.978 5 20 HJBD CCc1nnc(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)s1 561401686 XODGXTQAKUKGOR-UHFFFAOYSA-N 404.879 4.744 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3nc(C)sc3-c3ccccc3)n2)c1 584681142 MOFDDPAVAGBXPP-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD CN(C(=O)c1cccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 603990690 BHDOHPJDHORJQP-UHFFFAOYSA-N 421.478 4.602 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609064877 QOGOKUCSIBXKNY-UHFFFAOYSA-N 412.511 4.593 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1 609129816 HAVKKWUKQCUYHY-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 609304211 MZKMVHZHDUTVFY-VLIAUNLRSA-N 420.444 4.719 5 20 HJBD CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)c1ccccc1F 609854669 SJZFEONNYHNMCY-UHFFFAOYSA-N 423.231 4.893 5 20 HJBD COc1ccc(CN(Cc2ccccc2)Cc2nc3cc([N+](=O)[O-])ccc3o2)cc1 610581003 VPXOYGTVYQKILQ-UHFFFAOYSA-N 403.438 4.947 5 20 HJBD CCc1noc(CC)c1CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206839 QPMTUMYFAFLQKS-UHFFFAOYSA-N 403.504 4.672 5 20 HJBD CC(C)(C(=O)NCCc1c[nH]c2cc(Cl)ccc12)c1ccc([N+](=O)[O-])cc1F 613019199 BKILZLAOJCNULM-UHFFFAOYSA-N 403.841 4.505 5 20 HJBD C/C(=C/C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1Cl 727579842 KOIGKBIYIDSBRH-UCQJPZFISA-N 423.252 4.875 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1cccc(NC(=O)Nc2ccccc2)c1 728520130 DZOFJNRZTOOYPU-KAMYIIQDSA-N 416.437 4.568 5 20 HJBD O=[N+]([O-])c1ccc2nc(Sc3nnc(NCCc4cccs4)s3)sc2c1 731209195 WONWMRUKQIEBQH-UHFFFAOYSA-N 421.554 4.923 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@@H]1c1ccc(F)c(F)c1 732969638 JIOFDKDOEXHGJZ-OAQYLSRUSA-N 418.443 4.797 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 736865885 GBGSPXVQDNDGMQ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1csc(COc2ccc(F)cc2)n1 741445833 MZUYFOOIFAPHEQ-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@H]3CCCc4ccccc43)CC2)ccc1[N+](=O)[O-] 744205333 YLQHXDBYOOAKKO-NRFANRHFSA-N 424.497 4.626 5 20 HJBD COc1ccccc1[C@H](CN[C@H](C)c1cccc([N+](=O)[O-])c1)NC(=O)OC(C)(C)C 746049903 WKVNJUHNLBNBIF-BEFAXECRSA-N 415.490 4.520 5 20 HJBD Cc1nnc(-c2cccc(C(=O)Oc3ccc(Cc4ccccc4)cc3[N+](=O)[O-])c2)o1 761240316 WCXXFLCFJCSRBY-UHFFFAOYSA-N 415.405 4.763 5 20 HJBD O=C(Nc1ccnn1CC1CC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 763786066 MGQZKHQYYIBZMJ-UHFFFAOYSA-N 412.833 4.899 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767191621 WFCPSLSPESJDGM-NSHDSACASA-N 400.303 4.522 5 20 HJBD CC(C)n1cc2c(n1)[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])CCC2 774682704 PNWDDBNVYACXKJ-NSHDSACASA-N 419.354 4.911 5 20 HJBD CC(C)(C)OC(=O)N1Cc2ccc(CNCc3cc(Cl)ccc3[N+](=O)[O-])cc2C1 780574980 WCLVVDWJCNNIAT-UHFFFAOYSA-N 417.893 4.789 5 20 HJBD O=C(OCc1ncc(-c2cccs2)o1)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260030 QDQQDJCHDKAMCF-UHFFFAOYSA-N 402.431 4.755 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C(C)(C)NC(=O)OC(C)(C)C)no1 789768283 RTOJSFPIDZLDFR-LLVKDONJSA-N 408.480 4.591 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccc(F)c(Cl)c2)C(F)(F)F)c([N+](=O)[O-])c1 792881331 LPCDHCODBVOXEZ-AWEZNQCLSA-N 407.707 4.689 5 20 HJBD C[C@@H](OC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798676355 OCDHXGAERJPUFJ-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD CCOC(=O)Nc1scnc1C(=O)OCc1nc2ccccc2c2ccccc12 808699395 XTJJYNHHWTZFHX-UHFFFAOYSA-N 407.451 4.770 5 20 HJBD COc1cc(COC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)ccc1OC(F)F 815406930 AVVSBJWZTNPRAG-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 817038691 ASOAJBHAJFDBCV-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CC(C)(C)OC(=O)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1NCCc1ccc([N+](=O)[O-])cc1 823812247 GTZLGQKPIMHIDN-PCCBWWKXSA-N 417.550 4.685 5 20 HJBD O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)c1cc([N+](=O)[O-])ccc1Cl 914743958 PQLQWNHOOLWGKR-YUMQZZPRSA-N 418.721 4.888 5 20 HJBD CCOc1cc(CN(C)c2ccc3ncccc3c2[N+](=O)[O-])ccc1OC(F)F 917060372 RPIHKGIKRNKJTP-UHFFFAOYSA-N 403.385 4.780 5 20 HJBD C[C@H]1CCc2c(sc3nc(-c4ccc(Br)c([N+](=O)[O-])c4)nc(O)c23)C1 917503943 VNVQOTRHCVKUBV-QMMMGPOBSA-N 420.288 4.859 5 20 HJBD COC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 917706053 VCZBPWUITHPUPF-UHFFFAOYSA-N 420.425 4.638 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)COc1ccc([N+](=O)[O-])c(F)c1 921266579 MPHYXKGSHFQADR-UHFFFAOYSA-N 414.355 4.569 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCO[C@H](c2ccc(Cl)s2)C1 1319451908 LBCPZOIFJHRTAQ-LBPRGKRZSA-N 420.796 4.542 5 20 HJBD CCOCc1nnc(-c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])c(OC)c2)o1 1326084768 RLIQQKLWYHYSPY-UHFFFAOYSA-N 405.794 4.636 5 20 HJBD Cc1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)nc(-c2ccc(Cl)cc2)n1 1346614373 OMRHOZVKMIZSSB-UHFFFAOYSA-N 423.816 4.643 5 20 HJBD CN(Cc1ccccc1Br)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 45529286 MTRRVHJAUXXMNI-UHFFFAOYSA-N 405.273 4.844 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 56223863 YHVNTMPJOGENON-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(NC4CCCCC4)s3)n2)cc1 59017325 HXJVFGPZJLVVFN-UHFFFAOYSA-N 418.504 4.533 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4cccc(Cl)c4)o3)n2)cc1 59017347 SHNQAJABMAUDFR-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 64834028 JYMGKCQWTMLZGM-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD Cc1ccc(Cn2nccc2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 64897883 WYPWBGNKQVHQHA-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD COc1ccc2c(-c3ccccc3)c(C(=O)NCc3ccccc3[N+](=O)[O-])oc2c1 65645235 JZCRHXMJQPRVFZ-UHFFFAOYSA-N 402.406 4.947 5 20 HJBD CC(=O)Nc1cccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 107793196 NRMPVYZNZZFUDI-OAHLLOKOSA-N 419.437 4.837 5 20 HJBD O=C(Nc1ccccc1N1CCOC1=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 110024594 YLWQYUWDAPQJSB-UHFFFAOYSA-N 418.409 4.547 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(Cl)cc2)nc1 115803903 VKQSYKMOJQOZIB-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)c1 303985102 HLMJZTGRZALKQB-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426112942 IWNPAFFKNLMOIZ-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Oc2ccc(Oc3ccccc3)nc2)c([N+](=O)[O-])c1 428665758 MBHCUNWLJSXIFW-UHFFFAOYSA-N 416.393 4.539 5 20 HJBD Cc1c(CC(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 438828003 VIEJVGVTAUPYOZ-LJQANCHMSA-N 420.513 4.783 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NCc3nc(C)c(C)s3)cc2[N+](=O)[O-])n1 440547422 IYAVIWKRFSQXBK-UHFFFAOYSA-N 420.541 4.514 5 20 HJBD COC(=O)c1cccc(-c2nc(CN(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)c1 441251907 IINRVNWNWZPCCK-UHFFFAOYSA-N 423.494 4.670 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(O)c3c(n2)sc2ccccc23)c(Br)c1 445651462 CWSADGCPKDILMG-UHFFFAOYSA-N 416.256 4.812 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Nc1ccc(-c2cncnc2)cc1 447690361 CRFFCFZTFKIEOX-UHFFFAOYSA-N 411.290 4.845 5 20 HJBD CCCN(Cc1ccccc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462465739 MSAHSNUNEXGVGZ-UHFFFAOYSA-N 403.287 4.503 5 20 HJBD CCN([C@H](C)c1ccc(F)cc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462511548 NRXUJNZAMFYHLS-SNVBAGLBSA-N 421.277 4.813 5 20 HJBD Cc1c(NC(=O)N2CCc3c(Br)ccc(Cl)c3C2)cccc1[N+](=O)[O-] 464603881 WIMINMHWSGGMRW-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CCc1nc(-c2ccc(C(C)C)cc2)no1 464720158 OHYYJZHLGQJPEW-UHFFFAOYSA-N 408.458 4.902 5 20 HJBD Cc1c(-c2cc(CNc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)on2)cnn1C 467256710 WFUXEYCFDFZFRF-UHFFFAOYSA-N 405.414 4.696 5 20 HJBD Cc1ccc([C@H](NC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 471901632 UBUGFCNDXFDXBE-QHCPKHFHSA-N 418.497 4.710 5 20 HJBD CCC(=O)c1ccc(N2C[C@@H](CC(=O)N(C)C)c3cc(Cl)ccc32)c([N+](=O)[O-])c1 480008138 VGIJZQGLOVLZKM-CQSZACIVSA-N 415.877 4.555 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)CCOc3ccccc3[N+](=O)[O-])c2C(C)C)cc1 481869733 OTENGGJDXHMQOR-UHFFFAOYSA-N 408.458 4.620 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2Br)cc1SC 482161008 CARNMQGTSOJADT-UHFFFAOYSA-N 411.277 4.649 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H]1CCCC[C@@H]1C(F)(F)F 484025356 KYEUBIDODZPMLY-RDJZCZTQSA-N 401.429 4.884 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)c1ccc(Cl)cc1 484277950 HAJAHBOWTVIMHQ-UHFFFAOYSA-N 422.934 4.694 5 20 HJBD Cc1cnc(C(C)(C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])s1 486183240 JVOIDKNJBQGCBS-UHFFFAOYSA-N 411.483 4.604 5 20 HJBD CC(C)CCn1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n1 486288715 CDHNNBUPESEERK-UHFFFAOYSA-N 408.458 4.669 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCCC[C@@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 486856685 HYNGOZYKDZQEPE-LJQANCHMSA-N 411.458 4.839 5 20 HJBD CNC(=O)c1ccc(C)c(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 486973610 WAZOJABDPHNMHH-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD O=C(Nc1nccn1Cc1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 490120013 YOWZXQYKHCHVOU-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cnn(Cc2ccccc2)c1 503633245 LGZAXEBCCUGNLF-INIZCTEOSA-N 424.526 4.833 5 20 HJBD CCOc1cc(C(=O)NC2(c3ccc(Cl)cc3)CCCC2)c([N+](=O)[O-])cc1OC 509080152 DZBPCTBFUNPPNZ-UHFFFAOYSA-N 418.877 4.855 5 20 HJBD C[C@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1nc(-c2ccccc2)cs1 511406244 VMRUFGMPLAHPOD-HNNXBMFYSA-N 410.499 4.663 5 20 HJBD Cc1ccc2oc(C(=O)Nc3ccc([N+](=O)[O-])cc3C(=O)c3ccccc3)cc2n1 512060080 TUOHLTCGZAGYRX-UHFFFAOYSA-N 401.378 4.528 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(F)c(F)c3)s2)c1 514518745 DEVYFWLFVGJMRS-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD Cc1cccc(-n2ncc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2C(F)(F)F)c1 515681609 LTONJAABOHLEHG-CYBMUJFWSA-N 418.375 4.599 5 20 HJBD C[C@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 517649765 NBCACXBPJAMKOI-BUXKBTBVSA-N 400.818 4.597 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)c(C)c2c1 520732402 GUSKJHDBQQNSAI-HNNXBMFYSA-N 411.458 4.728 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)c2c(F)cccc21 522384397 WMTONKFIDDXJLT-LBPRGKRZSA-N 404.825 4.623 5 20 HJBD COc1cc(CNC[C@H](C)c2cccc(C)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 524947160 SFSFWBOXLROCBR-AWEZNQCLSA-N 412.408 4.746 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3CO)o2)c(Br)c1 532746848 VVPNWRKDHBIAHU-UHFFFAOYSA-N 403.232 4.722 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2cccc(Cl)c2Cl)cc1OC 533215034 SLBIFEWZJGPAJW-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 534808217 ICJTYHCZADPPOS-UHFFFAOYSA-N 413.437 4.618 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)cc(OC)c1OC 536390802 PYEPKNAHKWCTPQ-AWEZNQCLSA-N 409.442 4.856 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(OC2CCCC2)c(OC)c1 537051845 JZUIVRYDADJIBP-OAHLLOKOSA-N 400.475 4.784 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1)CC(F)(F)F 537649898 MSPMSVNSHMESPB-HNNXBMFYSA-N 411.424 4.561 5 20 HJBD C[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Br)cc1 540344883 FIJTXWMREHOPOZ-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD CCOc1ccc([C@@H](C)Nc2cccc(N3CCN(C(C)C)CC3)c2)cc1[N+](=O)[O-] 540746163 OLECGXRGLVHOIB-GOSISDBHSA-N 412.534 4.697 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 543173574 VNHLPWZZUZPFBK-UONOGXRCSA-N 405.882 4.590 5 20 HJBD CCc1ccc([C@@H](NCC2CCN(c3ccncc3)CC2)c2ccc([N+](=O)[O-])cc2)o1 544355902 UFEDUJRENGHYIZ-DEOSSOPVSA-N 420.513 4.741 5 20 HJBD CN1CC[C@H](c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c2ccccc21 547058845 GPICVQYSRDXMIG-INIZCTEOSA-N 419.466 4.740 5 20 HJBD COc1ccc(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cn1 548359850 WMFXUUVKBVVZTP-UHFFFAOYSA-N 417.824 4.873 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H](CO)c2c(Cl)cccc2Cl)c1 549998968 KBVYNWGSGJDMBR-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD Cc1ccc(C)c(-n2ncc(N[C@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)c2C)c1 560547917 IDNQHZVENDUOBR-JOCHJYFZSA-N 416.485 4.641 5 20 HJBD CC[C@@H](C)c1ccc([C@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 575795749 SATMJYSKJIJQOX-ACJLOTCBSA-N 410.499 4.865 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1sc(COc2ccc(F)cc2)nc1C 591589749 GSTUUMAQSFXPKS-UHFFFAOYSA-N 415.446 4.947 5 20 HJBD C[C@H]1CN(Cc2ccccc2NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C[C@H](C)O1 600854410 NZKMGYAPBSMBQN-GJZGRUSLSA-N 423.435 4.835 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)cc3)c(C)s2)c([N+](=O)[O-])cc1OC 603469013 FVZVFNWMEBYNSG-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H](C)c1cccc(C(F)(F)F)c1 603530403 ZOVWQWJNKBDQTK-CQSZACIVSA-N 410.392 4.772 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@H](c1ccccc1)c1ccc2ccccc2c1 609454768 DQZDIOVUEISLAW-XMMPIXPASA-N 414.465 4.549 5 20 HJBD Cc1ccc([C@H]2CSCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609700103 AYSSPRAXPWANLI-RDTXWAMCSA-N 420.918 4.641 5 20 HJBD COc1cccc(NC2CCN(C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CC2)c1 609921701 NECPGCGUJKTHTG-UHFFFAOYSA-N 411.483 4.535 5 20 HJBD CS(=O)(=O)c1cccc(Oc2ccc(Nc3ccc([N+](=O)[O-])cc3F)cc2)c1 609964369 SJNPAIPXWQATLA-UHFFFAOYSA-N 402.403 4.673 5 20 HJBD COCCOCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 611398434 XNIBHEIWSQPMGJ-UHFFFAOYSA-N 404.488 4.511 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccc(F)cc3)s2)c1 619791493 WZJMMGPYFJHQLC-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD O=C(NC[C@@H]1CCN(c2ccc(F)c(F)c2)C1)c1cc2ccccc2c2cccnc12 620487697 BLYHFQJZLAZXCO-INIZCTEOSA-N 417.459 4.923 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 727804048 PFGAQHBJHYEPAT-UHFFFAOYSA-N 410.430 4.535 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@H]2CCc3ccc(C)cc32)c([N+](=O)[O-])c1Br 730108799 KYPWNVZFNVTNRJ-LBPRGKRZSA-N 422.235 4.682 5 20 HJBD CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 734805072 RWVSJXCKLZMMOT-ZDUSSCGKSA-N 411.683 4.762 5 20 HJBD CC(C)c1oc([C@H]2CCCN2C(=O)c2ccc(N)c([N+](=O)[O-])c2)nc1-c1ccccc1 740727233 LSLWAMOBYSHSMD-GOSISDBHSA-N 420.469 4.933 5 20 HJBD Cn1c(SCc2nnc(-c3cccc(F)c3)o2)nc2cc3ccccc3cc2c1=O 741853667 FGAKUHDWHZQRPL-UHFFFAOYSA-N 418.453 4.568 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2nc(C)c(C)s2)cc1 744253290 MSMKHOPDZZHTNI-UHFFFAOYSA-N 414.439 4.826 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@@H]1c1ccc(F)c(F)c1 746851591 WJPIJSHEVFVNPF-MRXNPFEDSA-N 400.303 4.869 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)C1(C)CCN(Cc2ccccc2)CC1 753989349 AGKUFKDYHLSJTG-UHFFFAOYSA-N 415.921 4.509 5 20 HJBD Cc1ncc(-c2ccccc2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758891497 SXZOTBFCYNYXLH-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD COc1cc(COC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 762972468 JIMVZUXCXBTKPA-SNVBAGLBSA-N 415.776 4.705 5 20 HJBD CC(=O)c1sc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)nc1-c1ccccc1 764150786 ZYJQETOMWYARQG-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1Cl 773896054 DLDYVMHOFZFUEJ-UHFFFAOYSA-N 419.648 4.833 5 20 HJBD CCCOc1ccc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OC 781456754 JUZUANRAPKQBMY-UHFFFAOYSA-N 417.461 4.836 5 20 HJBD Cc1nc(NC(=O)OC(C)(C)C)sc1C(=O)O[C@@H](C)c1ccccc1[N+](=O)[O-] 782589248 SQBWABVIXLBFDN-NSHDSACASA-N 407.448 4.625 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)c1F 790864324 KUZBBDJRUQHJLC-UHFFFAOYSA-N 405.429 4.909 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1cc(F)c([N+](=O)[O-])cc1Cl 795247737 LWOFKLWCSRPFNV-UHFFFAOYSA-N 420.780 4.798 5 20 HJBD C[C@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809966312 XEOOBGDSCYJTBM-NWDGAFQWSA-N 418.321 4.637 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(F)c1 811374270 DSNRONSSGAHDFR-SECBINFHSA-N 415.204 4.533 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2)co1 913134407 ICICVPUKKZSSKU-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(COc3ccccc3)c2)cc1[N+](=O)[O-] 918050731 UFCZDHQMQWPZFX-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD C[C@@H](NC(=O)c1ccc(Br)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)cs1 1116007518 KUCWQQQXLNZTSJ-SECBINFHSA-N 412.309 4.602 5 20 HJBD CCN1CCC[C@H](CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 1116017445 OVHNHWZOMVIZRU-QGZVFWFLSA-N 403.910 4.862 5 20 HJBD CS[C@H]1CC[C@@H](N(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 1252198904 PFORIBHIABGZBH-KGLIPLIRSA-N 423.585 4.867 5 20 HJBD COc1cc(C(=O)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 1652154056 LZFIPZQOTFEFDU-BQDHKBFISA-N 416.861 4.823 5 20 HJBD CCn1c(S[C@H](C)C(=O)N(C(C)C)C(C)C)nc2cc3ccccc3cc2c1=O 7854095 HRSXBJCGOKCXIV-MRXNPFEDSA-N 411.571 4.696 5 20 HJBD CCn1ncc2c(C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 14207040 YTVZUOFSECHYKE-UHFFFAOYSA-N 401.426 4.587 5 20 HJBD Cc1ccc(Cl)c(OCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1 14376415 DUPSFEAHDAHSNJ-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD Cc1sc(NC(=O)c2ccccc2[N+](=O)[O-])nc1-c1ccc(OC(F)F)cc1 19206017 OMMHVAWARDGTAW-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 22161588 YSJPCOHGSJWKON-ZDUSSCGKSA-N 416.499 4.626 5 20 HJBD CCOc1ccc(CN(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 23571666 XIFJJYDSSGIDPI-UHFFFAOYSA-N 419.481 4.878 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)CCCOc1cccc(Cl)c1 53741655 GKZHNAVANZFRLA-CQSZACIVSA-N 416.865 4.760 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)Cn2cc([N+](=O)[O-])nc2C)c(C(F)(F)F)c1 60306187 BDQHLZKJZFSNOY-JTQLQIEISA-N 416.425 4.648 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])cc2)C1 72010102 AZNSKFBIJNMAJS-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD O=[N+]([O-])c1c(N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)ccc2ncccc12 302196057 SRSGCAWBYXIXRL-GOSISDBHSA-N 403.360 4.740 5 20 HJBD CCN(CC)CCN(CCC(F)(F)F)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 427453681 RFVWCMXOLHCBBB-UHFFFAOYSA-N 417.453 4.546 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(-c4nncs4)cc3)cc2[N+](=O)[O-])CC1 439301964 UPDQWVQLDZECCG-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCc2c([nH]c3c(F)cccc23)C1 439483232 GYLITEFGFYMKOE-CYBMUJFWSA-N 421.432 4.775 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1 440471008 OOXIGYPVIAYVDT-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD C[C@@H](CC(=O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442642164 DUFQIOZOURUBEV-AWEZNQCLSA-N 410.451 4.730 5 20 HJBD C[C@@H](CCO)C1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCC1 442889513 HJQHYFLVDWWISU-INIZCTEOSA-N 414.527 4.665 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444101694 QILNFDZFCOLWLM-QAPCUYQASA-N 400.453 4.839 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 446489965 GQTVKMOMNFUWQH-UHFFFAOYSA-N 418.331 4.793 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H]3CCC[C@@H]32)cc1[N+](=O)[O-] 460411245 YDYCMJOZFJMCKE-BEFAXECRSA-N 424.522 4.952 5 20 HJBD CCN(CC(C)(C)C)C(=O)c1cc(C(=O)N(CC)CC(C)(C)C)cc([N+](=O)[O-])c1 462999691 ZKOASBNBOYZYNJ-UHFFFAOYSA-N 405.539 4.611 5 20 HJBD O=C(NCc1nc2cc(Cl)ccc2s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463658083 NCTRFECKAUTWPT-UHFFFAOYSA-N 415.780 4.807 5 20 HJBD C[C@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 470582229 IRBXCWPRKNAYNM-VIFPVBQESA-N 401.410 4.859 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1noc(Cc2ccc(Br)cc2)n1 471296963 OJUHDYRLWFMCNS-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(F)c(NC(=O)c3ccoc3C)c2)cc1[N+](=O)[O-] 471337109 RAWKHXIYBAPASU-UHFFFAOYSA-N 411.389 4.702 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 472007357 LETFCAOLLFIFTN-UHFFFAOYSA-N 401.806 4.619 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cs1 475306570 YADQXNTXWSXLPR-UHFFFAOYSA-N 400.485 4.608 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@@H]1c1ccc(OCc2ccccc2)cc1 480228170 IHUHCWBKGZELQI-RTWAWAEBSA-N 418.449 4.925 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCC1(C(F)F)CC1)c1ccccc1 481610070 OLKBOIBCVNIELR-MRXNPFEDSA-N 409.820 4.563 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nnc(-c4cccc5ccccc45)o3)[nH]c2c1 484500620 UVSIWNNVHALMIF-UHFFFAOYSA-N 403.423 4.967 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 486581471 SOMOUSMMUCEUIR-UHFFFAOYSA-N 419.428 4.993 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)F)n2)c1)c1ccc([N+](=O)[O-])cc1Cl 490275225 VWBAOMNEEPMCJR-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CC(C)n1ncc2c(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc(C3CC3)nc21 490358950 AKBLSSKPRMXNQH-UHFFFAOYSA-N 419.485 4.603 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)n[nH]2)oc2c1ccc1ccccc12 490400524 RQZALEMGXJIAST-UHFFFAOYSA-N 413.393 4.840 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ncc(-c2ccccc2)o1 494547871 MNAUQJBMQXIRCG-HNNXBMFYSA-N 406.442 4.685 5 20 HJBD COc1cc([C@H](C)NCCc2ccc(Cl)cc2[N+](=O)[O-])ccc1OC(F)F 495439195 LDWVRRCFSPJVIV-NSHDSACASA-N 400.809 4.752 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cc1[N+](=O)[O-] 498563024 HJKXQKVHSBQFMD-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD Cc1ccc(-n2nc(C(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)c3c2CCC3)c(F)c1 499629065 YYHCAQIILYOZIK-UHFFFAOYSA-N 408.433 4.586 5 20 HJBD COc1cc(Br)cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 500060180 CDWBWKPPCUJUDD-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD COc1cc(C(=O)Nc2nc3ccccc3n2C2CCCCC2)c([N+](=O)[O-])cc1OC 501873486 VQRPTCLWLAPTFL-UHFFFAOYSA-N 424.457 4.719 5 20 HJBD C[C@H](CNC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 502366082 LUAISOVQFIQXPM-CQSZACIVSA-N 409.408 4.601 5 20 HJBD CCN(Cc1ccncc1)[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 502733895 ZEGFDZDZCCRHES-QFIPXVFZSA-N 404.470 4.500 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)nc1-c1c[nH]c2ncccc12 503896411 BVXCHAHYKOUPSY-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD Cn1cc(CN(Cc2ccco2)Cc2cccs2)c(-c2ccc([N+](=O)[O-])cc2)n1 505552984 HBDSOKUTNKWIFS-UHFFFAOYSA-N 408.483 4.852 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 505734901 YLLULXYKXZBRKX-CYBMUJFWSA-N 418.375 4.980 5 20 HJBD COc1ccc(Cl)cc1N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 510746906 KVMIMKTVCWMEJD-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD COc1cccc2c1CCCCN2C(=O)Cn1c2ccccc2c(=O)c2ccccc21 513909817 SCSZOGRTOCGUAM-UHFFFAOYSA-N 412.489 4.533 5 20 HJBD O=C(Cc1cccc(OCc2ccc(Cl)cc2)c1)NCc1ccccc1[N+](=O)[O-] 517301728 MSFZERVQHRPYJC-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=[N+]([O-])c1cc2c(c(C[S@@](=O)Cc3ccc(-c4ccccc4)cc3)c1)OCOC2 517325276 MFEUJXVUIZPNBI-LJAQVGFWSA-N 409.463 4.577 5 20 HJBD CC(C)(C)c1ccccc1OCCNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1 518705844 DDWKCDCTCTUCQE-UHFFFAOYSA-N 411.502 4.619 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nc(-c4cccc(C(F)(F)F)c4)no3)n2)cc1 521022747 NNJTYNULYDZYSY-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD O=C(Nc1ccc(Oc2cccc(Br)c2)nc1)c1ccc([N+](=O)[O-])s1 522886122 WUVBPZWQUCFEPN-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD C[C@@H](Nc1cccc2c1OC(C)(C)C2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 530435057 LDHRYIXSFHWTMI-LLVKDONJSA-N 423.391 4.766 5 20 HJBD COC(=O)CCC1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 530760153 ORIZYCRIPDVGTP-UHFFFAOYSA-N 422.547 4.538 5 20 HJBD O=C(Nc1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1)c1ccccc1 536508162 GNVXCPHOBOMEIG-UHFFFAOYSA-N 400.394 4.581 5 20 HJBD CC(C)OCc1ccc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1 539353959 JVCMPMRWBMYCCU-UHFFFAOYSA-N 411.483 4.920 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])NC1CCN([C@@H](C)c2ccncc2)CC1 540148686 AIVIUYLIRUGTPU-HKUYNNGSSA-N 414.575 4.676 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2cc(C)cc(Br)c2o1 541073744 GIMXCZHCOMQYPE-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD CN(C(=O)c1ccccc1[N+](=O)[O-])[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 541250829 CMBPIOOLRARRPA-AWEZNQCLSA-N 406.282 4.989 5 20 HJBD COc1cc(C(=O)N[C@@H](c2ccc(Cl)cc2F)C(C)C)c([N+](=O)[O-])cc1OC 542861022 CBAJJDCYOYAQSA-GOSISDBHSA-N 410.829 4.532 5 20 HJBD C[C@@H](Sc1cccc(O)c1)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 543691176 NYOQBMHULCOYAK-LLVKDONJSA-N 401.469 4.543 5 20 HJBD CC(C)(C(=O)Nc1cccc(OCc2cccnc2)c1)c1ccc([N+](=O)[O-])cc1F 545124036 WOLHBYYXCNGETE-UHFFFAOYSA-N 409.417 4.624 5 20 HJBD COc1ccc(CSCc2nc(Cc3cccc(Cl)c3)no2)cc1[N+](=O)[O-] 545554542 VYLMEGXSRZTMKH-UHFFFAOYSA-N 405.863 4.664 5 20 HJBD COc1cc(/C=C\c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)ccc1OC(F)F 546559242 QASLTTDSENFLJS-YVMONPNESA-N 417.368 4.657 5 20 HJBD C[C@H](O)[C@@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 546653202 VHZZDAJMKMTHLT-UONOGXRCSA-N 420.918 4.632 5 20 HJBD CCN(C(=O)[C@@H](C)SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 551344827 XCYRVUSZSMYHMM-OAHLLOKOSA-N 411.483 4.925 5 20 HJBD CC(C)CCOc1ccccc1CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 552045699 MFPDFNNMKIJCDJ-JOCHJYFZSA-N 408.502 4.632 5 20 HJBD Cn1ccnc1[C@@H](NCc1ccccc1Oc1ccccc1)c1cccc([N+](=O)[O-])c1 552045830 QGSCMJURVSBYJV-QHCPKHFHSA-N 414.465 5.000 5 20 HJBD COc1nc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)cc2ccccc12 553769662 ZBJUEBVQXCEPBA-UHFFFAOYSA-N 420.450 4.836 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 554809393 OVNMUGVWCOPQGT-WZRBSPASSA-N 408.804 4.595 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2CN2CCc3ccccc32)c1 554870811 JKYUKMAILRMXKF-UHFFFAOYSA-N 417.465 4.809 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cc(Br)cc2Cl)c1 554877522 YNOMSUXXQZVRIF-UHFFFAOYSA-N 413.655 4.970 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cc(-c2ccccn2)ccc1Cl 565333079 VJJGLCXHZGRIIO-UHFFFAOYSA-N 404.209 4.922 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1ccc(Br)cc1F)c1cccc([N+](=O)[O-])c1 569635151 QDFWPTSQRLVUGH-QWRGUYRKSA-N 410.243 4.618 5 20 HJBD COCCC[C@@H](CNC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(Cl)cc1 588112335 AWSREELNSBLVKA-YJBOKZPZSA-N 419.909 4.819 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cccc1[N+](=O)[O-] 603890301 YIBXOGKUWGZUEZ-FUHWJXTLSA-N 406.486 4.591 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604124373 CVLOPRYPDQSAJN-AOMKIAJQSA-N 405.454 4.753 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n(-c2ccccc2C(F)(F)F)n1 608962978 DFPDHJYIQOGWDR-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CCOc1ccc(Oc2ccc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)cc2)cc1 609010003 SUEHQRCTLIJHAE-UHFFFAOYSA-N 422.437 4.976 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccc(C(C)(C)C)cc2)C(F)(F)F)cc1[N+](=O)[O-] 609010683 BVXRTKGBGNGFGD-LJQANCHMSA-N 424.419 4.863 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)n2)cc1Cl 609441791 ZDEXAQUKKTUPNA-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 610046945 QDELLWWKHGVWPT-RTBURBONSA-N 406.404 4.856 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(/C=C\c2nc(COCC(F)(F)F)no2)s1 612874388 NIPQIXSSEZIUMK-VURMDHGXSA-N 411.361 4.956 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1)c1ccc(F)cc1 728245706 NQEADVPLOQUXRG-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734905679 HPASSWYVCHCGTL-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD CCCCCC[C@@](C)(CCC)C(=O)N1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 742539925 GJTRTEVOIMOYJP-OAQYLSRUSA-N 423.579 4.718 5 20 HJBD Cc1ccc(F)c(NC(=S)/C(=C(/O)c2ccc([N+](=O)[O-])cc2)[n+]2cccc(C)c2)c1 744116662 MCSQHDYFPBSCAU-UHFFFAOYSA-O 424.477 4.962 5 20 HJBD Cc1nc(CN2CCN(c3ccc([N+](=O)[O-])cc3)CC2)oc1-c1ccc(Cl)cc1 753904785 NKTXWCHLPMOOGB-UHFFFAOYSA-N 412.877 4.534 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 758181879 OAGXWKJXLAVPEP-UHFFFAOYSA-N 412.895 4.754 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1cccc([N+](=O)[O-])c1Br 758936023 UQFFJDOSLQKLJU-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccc(C(=O)N(C)c2ccc(OC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 762963621 VEGCQHIYVGAMQT-KRWDZBQOSA-N 418.449 4.889 5 20 HJBD CC(C)(C(=O)Oc1ccc(OCc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1F 762993176 DHDREEUFQGTHHG-UHFFFAOYSA-N 410.401 4.591 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 764235348 OZNGXRDBSCHXIC-KRWDZBQOSA-N 412.833 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(O)(c2ccccc2C(F)(F)F)CC1 769838721 PFJZBCVEWFXQHN-HNNXBMFYSA-N 408.420 4.967 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)cnn1-c1ccccc1 772217563 AHFXQFAIJQMACY-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD C[C@@H](CCOc1ccccc1)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139838 RNCQDJHOUDGTGS-HTAPYJJXSA-N 406.438 4.728 5 20 HJBD CC(C)(C)Sc1cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)ccn1 778795350 FYRILCFHADZQPG-GOSISDBHSA-N 403.529 4.768 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(COc2ccc(Cl)nc2)CC1 788681942 NRSJDIBPAQHBPE-UHFFFAOYSA-N 418.881 4.583 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)c1F 790248104 YTXWTTJRODANNF-HNNXBMFYSA-N 409.417 4.507 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2ccsc2)cc1)c1ccccc1[N+](=O)[O-] 796842932 PLRCYOPZBUMOMM-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1ccccc1 797448924 FNFOYXJBZXDZPE-OAQYLSRUSA-N 420.421 4.530 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cccc(C[S@@](=O)Cc2ccccc2)c1 804465935 VLSYRUOQCBSPOB-LJAQVGFWSA-N 411.439 4.696 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)c3ccc([N+](=O)[O-])nc3)cc2[N+](=O)[O-])cc1 808794361 FVDJTKUSBUSIGB-UHFFFAOYSA-N 424.438 4.634 5 20 HJBD COc1cc(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)ccc1OC(F)F 809912865 MQHRRHDXLKQRSK-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccccn1 809917945 RFXCOYVFSDCBBX-IBGZPJMESA-N 412.833 4.563 5 20 HJBD CC(C)(CCc1noc(Cc2cccc(OCc3cccc(Cl)c3)c2)n1)[N+](=O)[O-] 812815525 OPQOLOQTYCPRFW-UHFFFAOYSA-N 415.877 4.881 5 20 HJBD COC(=O)Nc1cc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc(C(F)(F)F)c1 813244955 XBYTXGWCLIDAKM-UHFFFAOYSA-N 417.727 4.698 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccsc1 813409300 QSIDCDJQXZZDTL-OAHLLOKOSA-N 416.330 4.776 5 20 HJBD CCn1c([C@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc2ccccc21 813444538 QWYKNLRBIDFDHV-JTQLQIEISA-N 407.257 4.762 5 20 HJBD CC(=O)c1ccc(N[C@@H](C)c2ccc([N+](=O)[O-])cc2)c(I)c1 864019227 VOHSQAMBTBYJFR-JTQLQIEISA-N 410.211 4.575 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1ccc(Cc2nnc3n2CCCCC3)cc1 864025801 KCQIDTHQLLSUGT-UHFFFAOYSA-N 411.893 4.769 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 917780451 VQLPUUQYZOKBFV-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc(Cl)c(Cl)c1 919209538 CQTSBALINVVDHY-VIFPVBQESA-N 423.208 4.832 5 20 HJBD COc1cc(/C=C(\Cl)c2nc3cc([N+](=O)[O-])ccc3[nH]2)c(Br)cc1O 921266438 YCJRBDVZCOTGLL-WCIBSUBMSA-N 424.638 4.685 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cs2)cc1 1330113126 LBFCSIKRXXTILY-UHFFFAOYSA-N 409.467 4.663 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCOc2ccccc2-c2ccccc2)o1 1349947218 JVZRDJCVCYUPDF-UHFFFAOYSA-N 417.421 4.845 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1ccc(C)c([N+](=O)[O-])c1 15647876 GWXIZAFOCSCSFL-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Cl)c2cccnc12 42708159 GPTFRDIQFWZTFA-UHFFFAOYSA-N 413.864 4.995 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1F 65782479 UIRDTNRWLOFHBT-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cn1c(S/C=C/c2ccc([N+](=O)[O-])o2)nc2scc(-c3cccs3)c2c1=O 106935769 IHLVWGVZJGKJNT-SOFGYWHQSA-N 417.493 4.988 5 20 HJBD CCCCOc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c(C)c1 108665176 FWVVHHSVVMVHAP-UHFFFAOYSA-N 411.502 4.937 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1 111120901 USUWHXXCSITENP-UHFFFAOYSA-N 403.410 4.582 5 20 HJBD CN(C)c1nc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cs1 237156073 WZBZDEMPBINTNQ-UHFFFAOYSA-N 418.906 4.853 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCc2cn3ccccc3n2)cc1 237732552 VLUGTLLGKXVHGA-UHFFFAOYSA-N 408.845 4.978 5 20 HJBD Cn1cc(CNCc2ccc(OC3CCCCC3)cc2)c(-c2ccc([N+](=O)[O-])cc2)n1 237777300 HYCQTGSUYOWHPT-UHFFFAOYSA-N 420.513 4.997 5 20 HJBD COc1cc(Br)ccc1Oc1ncc([N+](=O)[O-])c(C)c1Br 314095609 DHEXZVJCPOGCGN-UHFFFAOYSA-N 418.041 4.624 5 20 HJBD CN(Cc1ccccc1Cl)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426266017 IMMNJXLWFFSFLO-UHFFFAOYSA-N 401.772 4.609 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc(C(F)(F)F)cs1 426666193 OUXXWEZVPVBXDW-JTQLQIEISA-N 414.409 4.505 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)C/C(C)=C/c1ccccc1 427563127 KPIXTBYXFHNHAM-DTQAZKPQSA-N 400.500 4.509 5 20 HJBD CCCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(F)cc1 429349709 MXUIKDBLIVVPLC-UHFFFAOYSA-N 416.840 4.707 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1cccs1)CC(F)(F)F 437050796 OATTULLPSLEZFH-UHFFFAOYSA-N 412.311 4.880 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)c([N+](=O)[O-])c1 440848676 YGVGICPAVVNBHG-UHFFFAOYSA-N 417.632 4.775 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](CSc2ccccc2)C1 444153704 SVFGVZBDROGNMT-QGZVFWFLSA-N 414.530 4.936 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc2ncsc2c1 444337297 LQOXUEQKEDXTTN-LBPRGKRZSA-N 417.465 4.921 5 20 HJBD Cc1sc(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1-c1ccc(F)cc1 444630573 HSAWZOXZPFIWFV-UHFFFAOYSA-N 410.430 4.577 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCSCC2)cc1C 462484470 SANVNUVGXBGEHX-SFHVURJKSA-N 413.543 4.664 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](CO)Cc3c(F)cccc3Cl)o2)c1 462742349 WVMAMSOXYVPHJO-AWEZNQCLSA-N 418.852 4.588 5 20 HJBD CC[C@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 465248540 AFOYRHULFNOTCB-JTQLQIEISA-N 405.423 4.673 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 468178341 IAFIMWIEOVWDII-UHFFFAOYSA-N 406.282 4.871 5 20 HJBD CC[C@]1(C)CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 468250362 KJRMUHKDVQHWBP-OAQYLSRUSA-N 415.877 4.763 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Cc3ccc(F)c(F)c3)CC2)c1 469374075 WVRBASXOMYREQI-UHFFFAOYSA-N 406.454 4.690 5 20 HJBD Cc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c(C(F)(F)F)o1 472384047 GNEHPXMGRMMDCC-UHFFFAOYSA-N 411.361 4.915 5 20 HJBD Cc1c(CN[C@@H](C)c2ccc(OC(F)F)cc2OC(F)F)cccc1[N+](=O)[O-] 478495668 IQESWKIJIXSYLN-NSHDSACASA-N 402.344 4.957 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCCCc1ccc(F)c(F)c1 479247714 FWNSLSWQABHFEG-UHFFFAOYSA-N 420.459 4.572 5 20 HJBD O=C(N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc(Br)cc1[N+](=O)[O-] 479370760 DRRLTNILAQZXDJ-INIZCTEOSA-N 419.250 4.862 5 20 HJBD CCC[C@@H](NCc1cccc(NC(=O)c2ccccn2)c1)c1cccc([N+](=O)[O-])c1 480902839 WGBOGCIWWITYNX-OAQYLSRUSA-N 404.470 4.873 5 20 HJBD COC(=O)c1cc(C(C)(C)C)sc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 482992793 SVJQDDVODZUHHV-UHFFFAOYSA-N 408.501 4.715 5 20 HJBD COc1ccc(CN2CCSCC[C@@H]2C)cc1OCc1ccc([N+](=O)[O-])cc1 483425050 GQMWCGJZVJNIHE-INIZCTEOSA-N 402.516 4.510 5 20 HJBD CSc1cccc(C(=O)N2CCC(Cc3ccc(F)c(F)c3)CC2)c1[N+](=O)[O-] 485492874 RHJPXOJTGGTLKC-UHFFFAOYSA-N 406.454 4.690 5 20 HJBD O=C(N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 485691437 XGXCBPDXTBAJTC-STQMWFEESA-N 409.305 4.560 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 485948467 BOLUYTWVAPLYGQ-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD CC[C@H](NC(=O)c1cccc(SC)c1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 486435575 ZVYNZAJMZYBGAS-VIFPVBQESA-N 405.423 4.673 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 490157194 YTYWLJLWPCBHRA-UHFFFAOYSA-N 403.866 4.903 5 20 HJBD COc1cccc(Cn2ccc3ccc(NC(=O)c4ccc(F)cc4[N+](=O)[O-])cc32)c1 490332190 YBOXKVSKTJKHPE-UHFFFAOYSA-N 419.412 4.998 5 20 HJBD COc1cc(CNCc2ccc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OCC(F)F 491059694 LEQAPUMDUPUJIA-UHFFFAOYSA-N 418.396 4.797 5 20 HJBD Cc1ccc(-c2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)n[nH]2)s1 500866117 VGUQOGHVUXRMDG-UHFFFAOYSA-N 414.490 4.553 5 20 HJBD O=C(c1csc(-c2ccc(F)c(F)c2)n1)N1CCCc2c1cccc2[N+](=O)[O-] 503609033 DCXLDNWQMRWWBJ-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cccc(NC(=O)C(C)C)c2)cc1[N+](=O)[O-] 510004388 IQOMDZZORNCJGK-UHFFFAOYSA-N 420.263 4.513 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)S(=O)(=O)c1cc(Cl)ccc1Cl 511910884 MUSZDZRDMRKFOG-UHFFFAOYSA-N 403.287 4.501 5 20 HJBD CSc1ccc(C(=O)Nc2cc(-c3ccco3)nn2-c2cccc([N+](=O)[O-])c2)o1 522816079 NHVAXXOPRXTXQQ-UHFFFAOYSA-N 410.411 4.608 5 20 HJBD CCc1cc(N2CC=C(c3ccc(O)cc3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 524491214 FPVMVDPLMAFWLW-UHFFFAOYSA-N 402.454 4.614 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)Cc3cccc([N+](=O)[O-])c3)s2)c1Cl 525115566 XOCLHPRTUFYANB-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(Oc3ccccc3)cc2)cc([N+](=O)[O-])c1OC 525537068 ZDLYWNZEUVCVSB-UHFFFAOYSA-N 408.410 4.681 5 20 HJBD COc1ccc(CC(=O)N2C[C@H](C)C[C@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 532539214 QBMYNTLUTUMENA-DYVFJYSZSA-N 422.403 4.774 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)cc12 532825955 VWORKWUHVKTKLP-UHFFFAOYSA-N 410.430 4.719 5 20 HJBD Cc1c(CS(C)(=O)=O)cccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 535835416 YIUYZELPZKCEAO-UHFFFAOYSA-N 416.524 4.788 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 536507109 XFCNGANRFIAZNB-UHFFFAOYSA-N 406.442 4.847 5 20 HJBD CCCS(=O)(=O)Nc1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c1 538832863 QTQSKFAUPYWLRE-UHFFFAOYSA-N 415.471 4.619 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4ccc(F)cc4F)no3)cs2)c1 544961420 OBSFGPUMOOHWDB-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(Cc4nc5ccccc5n4C(F)F)no3)cc2c1 545616192 SHCIPJFKKQFSFT-UHFFFAOYSA-N 411.324 4.727 5 20 HJBD O=[N+]([O-])c1ccc(OCCN[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1 551425927 QHRALBOQMXGVJD-FQEVSTJZSA-N 424.419 4.750 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C3(c4ccccc4)CCOCC3)no2)cc(C(F)(F)F)c1 554839606 VOBSWDDAHFRDKX-UHFFFAOYSA-N 419.359 4.760 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(Cl)c(O)cc1F 561676720 WYEBWLJHBVTNAX-UHFFFAOYSA-N 421.734 4.552 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1)c1ccccc1[N+](=O)[O-] 572508701 JOACVPQUGBMGJX-LSDHHAIUSA-N 408.376 4.648 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)c2ccc(Br)cc2F)c1[N+](=O)[O-] 588093464 NMYDFFCGPTULHG-VIFPVBQESA-N 413.268 4.709 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2CC)cc1OC 589374097 OSHJWDYNSYWBQO-UHFFFAOYSA-N 407.854 4.540 5 20 HJBD COC(=O)CCSc1cc(Cl)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F 600857217 XKCRBORWWCLTHZ-UHFFFAOYSA-N 416.833 4.794 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NCc3ccc(C(C)C)cc3)cc2)c(C)c1[N+](=O)[O-] 603508836 YNVDCXRSRCEXKD-UHFFFAOYSA-N 406.486 4.510 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2ccccc2F)cs1 604445572 OHXYHTPENUXVDM-SNVBAGLBSA-N 421.837 4.917 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 604500704 XRXZVYNXAIASNF-UHFFFAOYSA-N 423.416 4.895 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(CSC2CCOCC2)c1 609699326 CERRGBUIAIVUPQ-UHFFFAOYSA-N 418.540 4.738 5 20 HJBD O=C(NC[C@H]1OCCc2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609781609 SAAHHSVKBHZEOQ-JOCHJYFZSA-N 419.437 4.823 5 20 HJBD Cc1cc(N2C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 610587640 OBBCADNYWNBAKI-BETUJISGSA-N 420.313 4.537 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828978 WWMCZDGZZCKASC-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD CC(C)[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 736867451 VGIGHWVWLUZEGY-INIZCTEOSA-N 411.241 4.722 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N[C@@H]3CCOc4c3ccc(Cl)c4Cl)cc2N1 752972706 COGTZDHBZPCYFI-GFCCVEGCSA-N 408.241 4.722 5 20 HJBD C[C@@H](c1ccccc1)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C1CC1 754993341 DBPBVHLKHGMGRR-NSHDSACASA-N 407.239 4.862 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCCC[C@@H]3[C@H](O)c3ccccc3)cs2)c1 769236705 CDKMZSVUXDTRAH-NHCUHLMSSA-N 409.511 4.806 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1C 769997337 JTRVKMJZICDTDA-UHFFFAOYSA-N 407.854 4.754 5 20 HJBD COc1ccc(NCc2csc([N+](=O)[O-])c2)cc1OCc1cn2ccccc2n1 770530017 OCCQGFIWTWBTEA-UHFFFAOYSA-N 410.455 4.504 5 20 HJBD Cc1ccc2c(CC(=O)O[C@@H](c3cccnc3)c3ccccc3[N+](=O)[O-])coc2c1 776135016 QEUPSZHHAHLHSP-QHCPKHFHSA-N 402.406 4.920 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(O[C@H]3CCCC[C@@H]3O)cc2)cc1[N+](=O)[O-] 777592720 UGRDYDNNFCZFQM-LVWPNOBMSA-N 400.475 4.849 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1ccc(Br)cc1[N+](=O)[O-] 778274790 NEYRAQAQZKNGED-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 782837249 ITCJBGSJHOEUPP-LJQANCHMSA-N 403.866 4.534 5 20 HJBD Cc1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(Cl)c1C 783269335 MOSOBTSZUCVSIK-NSHDSACASA-N 411.241 4.702 5 20 HJBD O=C(Nc1nnc(-c2cc3ccccc3o2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 787472079 KNLCABORYMRFAZ-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD COc1cc(C(=O)O[C@@H](C)c2nc3ccccc3n2C(F)F)cc([N+](=O)[O-])c1C 791695037 ZDLAMUIUXYIPKL-NSHDSACASA-N 405.357 4.575 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 792877688 MWUZHZCZHOQYQH-NSHDSACASA-N 416.434 4.925 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1sc(SC(F)F)nc1C 793523673 AHRCXQQMEBPKPB-UHFFFAOYSA-N 407.851 4.817 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NS(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1C 794601411 RVTPOYTZNDCPJJ-UHFFFAOYSA-N 417.874 4.728 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)Nc1c(C)cccc1C(C)C 795709951 RTNBHWCWJAQHLC-OAHLLOKOSA-N 414.458 4.609 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](Cc2csc3ccccc23)C1 801949108 BHOXZBQTDSKJMS-ZDUSSCGKSA-N 413.474 4.626 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)sc2c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 804721772 MLSLOKOVGNFRBG-UHFFFAOYSA-N 418.425 4.995 5 20 HJBD O=C(COc1ccc(Cl)c([N+](=O)[O-])c1)N[C@@H](c1ccccc1)c1cccs1 808056563 AEQPIIOHEKHFCG-IBGZPJMESA-N 402.859 4.594 5 20 HJBD CC(C)N(Cc1nc(O)c2ccccc2n1)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809956401 DVEXGHYCEFREQP-UHFFFAOYSA-N 421.284 4.961 5 20 HJBD COc1cc(F)c([C@@H](C)NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 812996982 HKGIGQIIKUTDHE-MRVPVSSYSA-N 417.220 4.549 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)nc1Cl 817869329 AKBHCQRNPKUJFG-RKDXNWHRSA-N 419.762 4.782 5 20 HJBD C[C@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)CC(C)(C)C 866532896 CPLZYNUTUASVJQ-LLVKDONJSA-N 404.385 4.558 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)cn2)c1 915614573 FBTISOKJTMVZKH-XFFZJAGNSA-N 403.438 4.729 5 20 HJBD CN(C(=O)C(C)(C)C)c1ccc(OCc2c(Br)cccc2[N+](=O)[O-])cc1 915659890 DKZCENJAYRZIFU-UHFFFAOYSA-N 421.291 4.945 5 20 HJBD CC(C)n1c(SCc2cc(F)ccc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 915791235 YIOXSNXYOVMMCV-UHFFFAOYSA-N 407.854 4.970 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cccc(F)c2F)cc1[N+](=O)[O-])c1ccccn1 920547659 PDYXFEYSDFTQDL-ZDUSSCGKSA-N 413.380 4.798 5 20 HJBD O=C(CCCOc1cccc(Cl)c1Cl)Nc1cc([N+](=O)[O-])c(F)cc1F 921146596 CGUUHHVCICKJQG-UHFFFAOYSA-N 405.184 4.978 5 20 HJBD C/C(=C/C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)c1ccc(F)cc1 921275484 KMDYMWBOJJAQNJ-PTNGSMBKSA-N 424.432 4.624 5 20 HJBD Cc1ccc2c(c1)S[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C2 1115850597 VJAHGABZNVUJDB-QGZVFWFLSA-N 410.417 4.511 5 20 HJBD Cc1ccc(OCc2nnc(-c3cc(F)cc([N+](=O)[O-])c3Br)o2)cc1C 1117174358 WOABQRCREGVLNJ-UHFFFAOYSA-N 422.210 4.742 5 20 HJBD CCc1ccc(-c2nc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)cs2)cc1 1117191296 HWHCFSBEQUGOOU-UHFFFAOYSA-N 408.439 4.910 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(O)(Cc4ccccc4F)CC3)o2)cc1 1256124490 XWVHJBJTYONZAO-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNc3nnc(-c4ccccc4)cc3C(F)(F)F)[nH]c2c1 1322013853 OBJWSGDBBVPPAZ-UHFFFAOYSA-N 414.347 4.559 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(-c2ccc(Br)cc2[N+](=O)[O-])o1 1328157475 QORJSAIUYDKKQX-VIFPVBQESA-N 408.183 4.686 5 20 HJBD COc1ccc([C@@H](C)OC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1Cl 1341886297 RHPXAZDJSJLAKR-SNVBAGLBSA-N 411.863 4.905 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(Br)cc1F 15586978 XFIZSLFFZYPBTH-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD NC(=O)c1ccc(CSc2nc(-c3cccs3)nc3ccccc23)c([N+](=O)[O-])c1 16805428 NHEMZNMRNIPUPJ-UHFFFAOYSA-N 422.491 4.658 5 20 HJBD COc1cc(CNC(=O)Nc2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 18174057 VIYLVCVMAPPYTE-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccccc2Br)o1 24221370 JCBGIJDFNFGERJ-UHFFFAOYSA-N 422.260 4.708 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)cc2[nH]1 53191929 IUIBWLALHGTARZ-CYBMUJFWSA-N 416.441 4.600 5 20 HJBD Cc1cccc(OCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1C 59253268 GTXQDWPRHNXLCK-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD C[C@H](Nc1ccc(N(C)Cc2ccccc2)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 108416747 HAYZVHFZLIHOCJ-KRWDZBQOSA-N 404.470 4.670 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1OCC 115521598 LQBBCEXPVJKVRG-UHFFFAOYSA-N 414.792 4.966 5 20 HJBD Cc1cc(C(=O)Nc2cccc(COCCOc3ccccc3)c2)cc([N+](=O)[O-])c1 195692430 AQHIUYCNVMGUAK-UHFFFAOYSA-N 406.438 4.751 5 20 HJBD Cc1sc(CCNC(=O)CCCNc2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 409667351 SIUCZAWVGGZIFM-UHFFFAOYSA-N 424.526 4.578 5 20 HJBD C[C@H](c1c(F)cccc1F)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426272784 AAGDDPLYKUGYEG-SNVBAGLBSA-N 417.334 4.794 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(C[S@@](=O)C(C)(C)C)c2)cc1[N+](=O)[O-] 428910510 YFUIUPNHVGHFJZ-MHTXLSKPSA-N 417.531 4.833 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN(Cc1nnc(-c2ccccc2Cl)o1)C(C)C 430201005 BOFTZKYMQVWWDI-UHFFFAOYSA-N 416.865 4.717 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CSCCC(=O)O)c1 431663659 VJGBQXFGAJCHPZ-UHFFFAOYSA-N 420.512 4.667 5 20 HJBD COc1cccc(Oc2ccc(CNc3ccnc4c([N+](=O)[O-])cccc34)cn2)c1 432317003 DAHVXXSKLBKTHA-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 437199934 FKWCLBHLBHZCKU-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)Nc2cc([N+](=O)[O-])ccc2C)c(C)c1 438835770 NSGVDOZZBGHXMQ-AWEZNQCLSA-N 401.488 4.679 5 20 HJBD O=C(Nc1cccc(Oc2cccnn2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444090016 WWZTWYJESWFSCZ-UHFFFAOYSA-N 408.417 4.516 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CC[C@H](Oc2cccc(Cl)c2)C1 445140438 SVGHETZPFXSXEI-KRWDZBQOSA-N 418.880 4.628 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCC1(c2ccc(Br)cc2)CCC1 446098306 DHCLYJYVIPQENN-UHFFFAOYSA-N 403.276 4.517 5 20 HJBD Cc1ccc(N[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cc1OCC1CCOCC1 447580955 RIMFEKNTMLYFGI-UXHICEINSA-N 414.506 4.501 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@@H]1CCCN(C2CCC2)C1 447681251 XPOQIVJEOJBIQH-NWDGAFQWSA-N 422.398 4.709 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Br)c(F)c1 460390306 SCRNHGJXKSMWPT-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 462988325 IFEGTQUHGJDWDU-FOIQADDNSA-N 420.868 4.556 5 20 HJBD O=C(NCCc1ccc(Cl)cc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463226671 MCWYBFUAZIHUFF-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD Cc1c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])cnn1C(C)(C)C 464436319 IRBQRQVIKPFMBD-UHFFFAOYSA-N 408.458 4.686 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(CCc1cccc(F)c1)C1CCCC1 465087780 GYTMMLGWUMJKBJ-UHFFFAOYSA-N 423.444 4.615 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc([C@H]3CCCOC3)[nH]n2)cc1[N+](=O)[O-] 473404242 CWBKCNVEBHMGBF-HNNXBMFYSA-N 418.519 4.602 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccc(Br)cc3)o2)c(F)c1 476476313 MZCCNDMWGATMPJ-UHFFFAOYSA-N 410.224 4.839 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1cccc([N+](=O)[O-])c1 481937578 KLSNBSMTJIQPPL-GFCCVEGCSA-N 419.363 4.682 5 20 HJBD CCc1ccc(CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C2CC2)cc1 482520412 SGMOVEJRLKFQNU-UHFFFAOYSA-N 400.500 4.693 5 20 HJBD COc1cccc(-c2noc([C@H](C)N[C@H]3CCC[C@H]3Cc3ccccc3[N+](=O)[O-])n2)c1 483662534 YUCHLIUBAKBMCJ-FTRWYGJKSA-N 422.485 4.715 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)c1[N+](=O)[O-] 485499574 YOMFXIGLOLVFTA-UHFFFAOYSA-N 424.866 4.880 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485894791 DDAGTWDVOFQMPD-MDZLAQPJSA-N 409.305 4.826 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)C(=O)Nc1ccccc1 487557075 KUORAGCLFZNBPV-HNNXBMFYSA-N 421.478 4.503 5 20 HJBD CC1(C)[C@H]2OCCC[C@@H]2[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 487940411 CNILKQAVRGKBHD-AHRSYUTCSA-N 412.511 4.679 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C)(c2ccccc2)CC1 489096995 CZZBHQNDEQXWKX-UHFFFAOYSA-N 414.527 4.527 5 20 HJBD O=C(Nc1nnc(-c2ccccc2Cl)s1)c1ccc([N+](=O)[O-])c2cccnc12 498188487 XYDMMWVADYZJEQ-UHFFFAOYSA-N 411.830 4.567 5 20 HJBD COc1ccc(CN[C@H](c2ccc(Br)cc2)c2ccco2)cc1[N+](=O)[O-] 498438509 HZNAMVGLWPOSFK-LJQANCHMSA-N 417.259 4.838 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(F)c1)c1ccccn1 499306610 BSRRVRQABQYEIV-JOCHJYFZSA-N 422.460 4.839 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H]1C[C@@H]1c1cccc(Br)c1 502019262 PZOTWUVBDUZNBJ-MOPGFXCFSA-N 417.303 4.898 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OC)ccc1Cl 502502632 QNPVIRVKDJXUKY-UHFFFAOYSA-N 408.863 4.789 5 20 HJBD CCOc1cc2c(cc1CN[C@H](C)c1ccc(OCC)c([N+](=O)[O-])c1)O[C@@H](C)C2 504900297 VIBKMYDXDGRAFX-LSDHHAIUSA-N 400.475 4.566 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)O[C@@H](CC)C(=O)N3)cc1[N+](=O)[O-] 504917929 DHSDDINSRCXOTP-NJSLBKSFSA-N 413.474 4.515 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(F)cc([N+](=O)[O-])c3)s2)cc1 505139636 FDISUQDZDUPREX-LLVKDONJSA-N 415.446 4.665 5 20 HJBD O=C(Nc1ccc2cccc(Cl)c2n1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 505451281 NUKVUSFWWHXVRJ-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD CCC(C)(C)c1ccc(C[S@@](=O)Cc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 505755351 DUGGMFOAGSAJLF-MUUNZHRXSA-N 403.500 4.598 5 20 HJBD Cc1ccc(S(=O)(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 507560898 QIRSCESWYVZFJG-NSHDSACASA-N 403.287 4.592 5 20 HJBD CCOc1cc(CS(=O)(=O)Cc2ccc(C(C)(C)CC)cc2)ccc1[N+](=O)[O-] 507803396 HZMXURCPCYDKHP-UHFFFAOYSA-N 405.516 4.796 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(-c4ccc(F)cc4C(F)(F)F)no3)c2c1 508280342 PZGUCJWJORQVRO-UHFFFAOYSA-N 420.278 4.724 5 20 HJBD CC(C)(NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Cl)c(Cl)c1 508634544 ZWAGITMJDXKDGV-UHFFFAOYSA-N 407.257 4.977 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccc(F)cc2)cc1 512150712 AAFKKISRUUGZIN-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cn1ccnc1[C@H](NCCCOc1ccc2ccccc2c1)c1ccc([N+](=O)[O-])cc1 513671569 CXLXNGDFNQHNMF-HSZRJFAPSA-N 416.481 4.630 5 20 HJBD O=C(NCCOc1ccccc1-c1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 519999215 VMSQMBLYWDMSJK-UHFFFAOYSA-N 401.422 4.552 5 20 HJBD CC(C)N(Cc1cccc(C(F)(F)F)c1)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 520151750 GFUWTZGIIRWPSZ-UHFFFAOYSA-N 406.364 4.541 5 20 HJBD CCc1cccc2c1N(C(=O)c1ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc1)CCC2 522876039 PVJVQNWQQPQBQO-UHFFFAOYSA-N 418.497 4.612 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)CNc2ccccc2[N+](=O)[O-])cc1 525087009 GYQPJBDZUGIHQE-QGZVFWFLSA-N 419.481 4.902 5 20 HJBD COc1ccc(NC(=O)CCSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 536304256 XXXMISNYRFAQAK-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD CN(C(=O)CCc1ccccc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251444 JQXQZDKNMDVJNB-UHFFFAOYSA-N 401.875 4.967 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 538528111 QUOJKSJXVAEACP-INIZCTEOSA-N 421.453 4.794 5 20 HJBD CCN(CC)[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccccc1 540453096 OTGWEQGQQWFHLT-FQEVSTJZSA-N 424.526 4.764 5 20 HJBD CC(=O)c1sc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccccc1 541584376 WHPBDBYDANXDPH-NSHDSACASA-N 413.430 4.802 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 541661641 SMMOYJLTGOSCEU-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCc3cnc(C)nc3O)cc2[N+](=O)[O-])c1 542310743 OSAKSTNYSPQARN-UHFFFAOYSA-N 422.485 4.913 5 20 HJBD COCCC[C@H](NCc1cc([N+](=O)[O-])ccc1OC)c1ccc(Br)cc1 544383958 STVZDBDCQHTWIE-SFHVURJKSA-N 423.307 4.623 5 20 HJBD C[C@H](C(=O)NCc1ccccc1CN1CCCC[C@H]1C)c1ccc([N+](=O)[O-])cc1F 544427345 NQAOPSHMFKVSLW-SJORKVTESA-N 413.493 4.528 5 20 HJBD CCc1nc([C@@H](C)N[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cs1 544468578 LPZGMRZAHYKEFI-QVKFZJNVSA-N 424.526 4.953 5 20 HJBD COC(=O)[C@@H](C)Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 546266800 MSTMVOFFTGHWMJ-AWEZNQCLSA-N 411.483 4.682 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 547166368 DZVUUUDKYNARMX-UHFFFAOYSA-N 409.398 4.782 5 20 HJBD CCOc1cc(/C=C/c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)ccc1OC 547199113 TXDYZYYQVWORMW-WEVVVXLNSA-N 420.425 4.628 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 561266848 YQTACVPTRKJVTL-IBGZPJMESA-N 416.359 4.520 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 572223177 BMGPFYZWSMNPAY-SJORKVTESA-N 417.465 4.828 5 20 HJBD CC(C)(NC(=O)Cc1csc(-c2ccccc2Cl)n1)c1ccccc1[N+](=O)[O-] 576643956 XPWIZAQQJCQMNU-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD Cc1cc(C)n(Cc2cccc(-c3noc([C@@H](C)c4cccc([N+](=O)[O-])c4)n3)c2)n1 577169441 CDMCQBLDMBDDEM-INIZCTEOSA-N 403.442 4.658 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC=C(c2ccccn2)C1 590399945 QPHGFDIXGULMSG-UHFFFAOYSA-N 409.511 4.954 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 603600965 SDHLXIXELFOFCR-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1cc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)ccc1[N+](=O)[O-] 603988019 WYOKRHJUSOOAES-CQSZACIVSA-N 415.877 4.824 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCc2sccc2[C@H]1c1cccs1 604048067 ZLBMKTFOXISVSS-IBGZPJMESA-N 409.492 4.987 5 20 HJBD COC(=O)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)c1cccc(Cl)c1 609782286 MBEKIHCEJZMYPY-LJQANCHMSA-N 424.909 4.747 5 20 HJBD COc1ccc(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(C)cc2)cc1[N+](=O)[O-] 609977849 XPGBQYGVRPTFIQ-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)COc2ccccc2[N+](=O)[O-])cc1 610189284 SSAQTLGYDJTOKL-MRXNPFEDSA-N 406.438 4.527 5 20 HJBD CCN(C[C@H]1CCOC1)C(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613449114 JAUZDRDLJWVGRJ-CQSZACIVSA-N 420.918 4.898 5 20 HJBD O=C(Cc1nc(CSc2ccc([N+](=O)[O-])cc2F)cs1)Nc1ccccc1 613527072 YFENFYWPQKKUMN-UHFFFAOYSA-N 403.460 4.664 5 20 HJBD CCOc1cc(CNC(c2ccc(OC)cc2)c2ccc(OC)cc2)ccc1[N+](=O)[O-] 617187454 YGILUFIQCBAMAP-UHFFFAOYSA-N 422.481 4.890 5 20 HJBD Cc1nc(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1c(Cl)cccc1Cl 728237812 CUVINBMMWNKILI-JTQLQIEISA-N 421.240 4.709 5 20 HJBD C[C@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1csc2ccccc12 732042597 IHWDSSWXGRSJKQ-JTQLQIEISA-N 412.439 4.979 5 20 HJBD CC(C)(C)OC(=O)N[C@@H](CCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1 733739888 FEQQZTTUNCNADW-SFHVURJKSA-N 424.457 4.843 5 20 HJBD O=C(CC1CCCC1)NC1CCC(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)CC1 734781429 YIXMMXKAVJQKSB-UHFFFAOYSA-N 422.909 4.547 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Oc1ccccc1Oc1ccccn1 748675693 NVGOPZPMJYBZLB-ZDUSSCGKSA-N 414.801 4.808 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1)c1ccsc1 749981095 OUVQZGQEJGJGBA-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N(Cc1ccccc1)C[C@H](O)c1ccco1 752775281 NNXJJJICGYYPDG-SFHVURJKSA-N 422.462 4.779 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)cs1 754989413 RDFFZHPSIJXQOO-UHFFFAOYSA-N 422.821 4.876 5 20 HJBD CSCCc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754997947 WAEDPVRXTYQFJO-UHFFFAOYSA-N 413.268 4.654 5 20 HJBD COc1ccccc1CN(C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1)C1CC1 755027015 DIDIXDPSLLUXOY-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCC[C@@H]3c3ccccc3Br)cc2N1 756135142 WJYOPEHZDMPEFR-MRXNPFEDSA-N 416.275 4.584 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1cccc(NC(=O)c2ccccc2)c1 756254247 BOLKBXDVMJEETD-UHFFFAOYSA-N 404.422 4.523 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1cncc(F)c1 759290708 SDJKJHNIVKJNML-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(C(=O)N2CCCCC2)c1 765531109 ZRLYAILKLGHDMW-HXUWFJFHSA-N 424.497 4.533 5 20 HJBD O=C(OCc1csc(-c2ccccc2F)n1)c1ccc([N+](=O)[O-])c2cccnc12 766233923 UOCIILRSMDDVCW-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD COc1cc(CN2CCCCC[C@@H]2C[C@H](O)c2cccs2)c([N+](=O)[O-])cc1OC 770295241 SRJSHSIMACSNHO-AEFFLSMTSA-N 420.531 4.542 5 20 HJBD Cc1nc(COc2ccc(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)cc2)no1 770567108 KCIXEFOTSBIIIB-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)co2)cc1 785954522 VHRFLTCIDHRGHN-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cc([N+](=O)[O-])ccc1F 787656229 WAZXQACCVGZRGO-IBGZPJMESA-N 419.368 4.730 5 20 HJBD CCCOc1cc(OC)ccc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 789663221 HDHSHBWCOWBQGA-JOCHJYFZSA-N 422.437 4.734 5 20 HJBD CCC[C@@](C)(CC)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 796334739 IFHDGWPBMXWCMV-PIGZYNQJSA-N 404.385 4.700 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(O)c2ccccc12 806356669 AVYDYCBZEXWYHB-UHFFFAOYSA-N 401.216 4.777 5 20 HJBD CC(C)Cc1ccc([C@@H](Nc2nccc(C(N)=O)c2[N+](=O)[O-])c2cccs2)cc1 811712966 MYTJPYZMKCOWFV-GOSISDBHSA-N 410.499 4.550 5 20 HJBD O=C(Nc1cc2c(cn1)CCCC2)c1cc(Br)cc([N+](=O)[O-])c1Cl 812735344 BHQRDKYNKHWTQY-UHFFFAOYSA-N 410.655 4.537 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNC1(Cc2cccc(F)c2)CCOCC1 815152430 SNQOGILNFVABKR-UHFFFAOYSA-N 413.276 4.922 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccnc3Cl)cc2[N+](=O)[O-])n1 819205353 LCJRKZBLWMZAPX-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(-c2ccccc2)nn1-c1ccccc1 825487488 DXSHOONPUCDZPE-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)Nc2c(Cl)cc(C)c3ncccc23)c1C 1323052361 MPAOJJBBAWQEBK-UHFFFAOYSA-N 405.863 4.522 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)OCc3cc(F)ccc3[N+](=O)[O-])n2)cc1 1323906824 XOQZZWZQIFITEO-UHFFFAOYSA-N 413.405 4.583 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10729684 BWOQEIAMAUPVBA-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11030113 BFBNMTLPAQUFOF-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1c(NC(=O)c2nc(-c3cccs3)n(-c3cccc(F)c3)n2)cccc1[N+](=O)[O-] 24503021 VCLSWDRJXGKUBS-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CCCCOc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC 42918592 TWNLPWXAPZVUDR-UHFFFAOYSA-N 410.373 4.636 5 20 HJBD Cc1ccc(Br)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 45625618 UWLSETRNDQCWSK-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1cc(C)n(-c2ccc(CNCc3ccc([N+](=O)[O-])cc3)c(C(F)(F)F)c2)n1 63566574 WYSXXSMNZKFSTK-UHFFFAOYSA-N 404.392 4.706 5 20 HJBD CCCCOc1ccc(NC(=O)c2cc(OC)c(OCC)cc2[N+](=O)[O-])c(C)c1 65729598 CRNLTRQPFLTJJK-UHFFFAOYSA-N 402.447 4.742 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2cccs2)CC1 72106866 NVSAQXKNTSIIHZ-KRWDZBQOSA-N 407.923 4.513 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@@H](c1ccc(Cl)cc1)c1cnccn1 427434883 KCTUIHZPPNSCFO-FQEVSTJZSA-N 422.828 4.646 5 20 HJBD Cc1cc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC1CCOCC1 428884784 GKCFSYWMJYXSSK-INIZCTEOSA-N 413.474 4.652 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](Cc1nc(C)no1)c1ccccc1 429462661 RLWGKZNSMVYFKK-ROUUACIJSA-N 412.515 4.731 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 430202135 FLGLKKMBMQPCPC-HNNXBMFYSA-N 424.297 4.895 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 435932104 AWPYRVOHJYBRIH-HXUWFJFHSA-N 404.466 4.787 5 20 HJBD CCC(CC)c1nnc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)s1 436146309 CGZZFQNNAFUCDC-UHFFFAOYSA-N 410.474 4.828 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438017083 ZJSLCEILGKDZMM-QGZVFWFLSA-N 407.392 4.963 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@H](C(=O)O)c3ccccc32)cc1[N+](=O)[O-] 439707930 RTEFUUVQMTWDSQ-INIZCTEOSA-N 414.483 4.562 5 20 HJBD O=C(Cc1csc(-c2ccccc2Cl)n1)Nc1ccc2cc([N+](=O)[O-])ccc2n1 440615031 BMZBGXKRBWAAGL-UHFFFAOYSA-N 424.869 5.101 5 20 HJBD Cc1c(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)oc2ccccc12 444018039 BYAYYNHQQAYXQT-UHFFFAOYSA-N 400.409 4.805 5 20 HJBD CCc1[nH]nc(C(=O)N2CCC[C@@H]2c2nc(-c3ccccc3)c(C(C)C)o2)c1[N+](=O)[O-] 444255710 DBNUBHCFHRYJPD-MRXNPFEDSA-N 423.473 4.636 5 20 HJBD O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 444531380 AMLFDLIOIAASMF-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(CCCCc3ccc(Cl)c(Cl)c3)n2)n1C 445614866 DNKVMGZIRVOPRZ-UHFFFAOYSA-N 410.261 4.559 5 20 HJBD Cc1cc(-c2ncccc2NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cs1 446610974 PCQRTPPUXONDSE-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C([C@H]1CC=CC[C@H]1c1nc2ccccc2s1)N1CCc2ccc([N+](=O)[O-])cc2C1 446889756 ZPFUNCAGDMYISK-MOPGFXCFSA-N 419.506 4.839 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1nc2ccccc2s1 447675766 OKKJCKMTTQULND-UHFFFAOYSA-N 404.320 4.866 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 460266084 JUGXEJKNFJBNDA-UHFFFAOYSA-N 410.499 4.983 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-])c1ccccc1 463728479 QYYRWUMRBVIADI-KRWDZBQOSA-N 405.454 4.810 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@@H](c3cccc(OC(F)F)c3)C2)cc1[N+](=O)[O-] 474155383 BVPTXPDLWWBQKK-LJQANCHMSA-N 420.412 4.533 5 20 HJBD COc1c(C(=O)Nc2cc(NC(=O)Nc3ccccc3)ccc2C)cccc1[N+](=O)[O-] 474869587 NOUHIMXRHALFOI-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2C)cnn1-c1cccc(C(F)(F)F)c1 482724669 STHXYMWJQLTUSP-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD CSc1cccc(C(=O)NCC2(c3cccc(C(F)(F)F)c3)CC2)c1[N+](=O)[O-] 486235881 UMIAWXZYBHXDCA-UHFFFAOYSA-N 410.417 4.797 5 20 HJBD COc1cc(F)c([C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC 494431362 DTLSLRBXTUTIHU-SNVBAGLBSA-N 402.344 4.621 5 20 HJBD O=C(Nc1cc(F)ccc1OCC(F)(F)F)c1cc([N+](=O)[O-])ccc1OC(F)F 497346924 IWNRKSBYMOXQEX-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD CCOc1ccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1Cl 504396943 ROQYPEGFBWEKGJ-UHFFFAOYSA-N 403.866 4.521 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OCC(C)C 505241677 ZWXIFRSVLCCDIU-OAHLLOKOSA-N 401.463 4.829 5 20 HJBD O=[N+]([O-])c1cccc(SCc2nc(Cc3cccc(Br)c3)no2)c1 509091384 YEENXWWOOGFBKC-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD CO[C@H](CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CC1 511492196 XDCCXKRNCRWQRE-MRXNPFEDSA-N 406.891 4.554 5 20 HJBD C[C@H](c1ccccc1)N(CC(=O)Nc1ccc([N+](=O)[O-])cc1Br)C1CC1 512292972 RHTAHKHIAUGXSP-CYBMUJFWSA-N 418.291 4.521 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1 513886053 CGRLVZWNZQEQFC-UHFFFAOYSA-N 402.878 4.596 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc(Br)cc1)C(C)C 513954545 HAPPUESAYRRGGH-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CCOc1ccc(N(CC)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 514423773 AEAHGKXPDOAPML-UHFFFAOYSA-N 412.364 4.601 5 20 HJBD CCC(CC)(CNC(=O)c1ccc([N+](=O)[O-])cc1Cl)N[C@@H](C)c1ccccc1 521177765 JEKZVALXRSIZQD-HNNXBMFYSA-N 403.910 4.888 5 20 HJBD O=C(NCCCNc1ccccc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 521980983 HWXGDMNUENIWOO-UHFFFAOYSA-N 407.495 4.978 5 20 HJBD Cc1noc(Cl)c1CCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522672393 KAKDAMSIPGXYBO-UHFFFAOYSA-N 401.806 4.908 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)N[C@H](C)c1ccccc1Oc1ccccc1 523245493 LAFKQDCXEWIRCF-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3ccncc23)cc1[N+](=O)[O-])c1ccccn1 531034986 LSIULVNCINFIFY-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD CCn1c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)nc2cc(Cl)ccc21 536563985 TZJUGCFSVFSMQE-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](N2[C@H](C)CC[C@H]2C)C1 537170818 ZICDBNNTFXWIIR-NUJGCVRESA-N 405.564 4.573 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)N[C@H](C)c4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 539244681 KLOIMWUGARDZRD-MRXNPFEDSA-N 404.470 4.944 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 539280497 MBCHJVGLBGMAQS-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3c(c2)C(=O)N(C)CC3)c2ccc([N+](=O)[O-])cc2)o1 541567854 SHHLJEPSYNKPRL-QFIPXVFZSA-N 405.454 4.580 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(Oc2ncnc3cccc([N+](=O)[O-])c23)cc1 542506847 SBAILOXLOLUMHO-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(OCC(F)(F)F)cc1 542710612 WUNPAXFQEMYKNI-JTQLQIEISA-N 401.316 4.558 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)CSc1ccccc1[N+](=O)[O-] 544093699 OHJSGFAJRVOZQD-SFHVURJKSA-N 422.510 4.756 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(CSCc3ccc(Cl)cc3Cl)n2)nc1 546484000 WWRZMACMWJGOJC-UHFFFAOYSA-N 411.270 4.704 5 20 HJBD O=C(Nc1cc2c(cc1Cl)OCCCO2)c1ccc2[nH]c3ccccc3c(=O)c2c1 547699354 XXYZBVBMYSALBG-UHFFFAOYSA-N 420.852 4.748 5 20 HJBD O=C(Nc1cccc2c1CNC2=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 554044945 PFFKJNABUMXQLK-UHFFFAOYSA-N 423.812 4.536 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)cn2c1 603730808 DBYRZMHOXMJPDW-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD CC(C)[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2[nH]1 604517728 RKWLSIPABCPLCB-GOSISDBHSA-N 421.482 4.722 5 20 HJBD O=C(Nc1ccc(CCCc2ccccc2)cc1)c1cc2c(cc1[N+](=O)[O-])OCCO2 608809098 QKBJIDJLIRQWLP-UHFFFAOYSA-N 418.449 4.794 5 20 HJBD Cc1ccc(NC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1OC(F)F 609724595 CMBKDVYDNUAZOO-UHFFFAOYSA-N 400.765 4.566 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610045983 LMFPIRJVMWCOAL-KGLIPLIRSA-N 403.276 4.684 5 20 HJBD CC1CCN(c2cccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)CC1 610048815 HFAZPQMFNHBANW-HNNXBMFYSA-N 417.893 4.891 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)cc([N+](=O)[O-])c1OC 610174377 FMTCKCSFUUPGRY-ZDUSSCGKSA-N 404.488 4.604 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC[C@H](C)[C@@H]2C)cc1[N+](=O)[O-] 610178695 UQPUDMWNAOFKHJ-GJZGRUSLSA-N 412.511 4.808 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@@H]2C2CCCCC2)cc1[N+](=O)[O-])c1ccccn1 610191619 ZOBVVNCQNUTVQR-RRMPHLOHSA-N 408.502 4.862 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 610604691 GZHNXOABDPTZEF-CHWSQXEVSA-N 400.319 4.555 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cnc4c(c3)COCC4)cc2[N+](=O)[O-])cc1 611474244 NKCLNUMXUSBSMW-UHFFFAOYSA-N 421.478 4.774 5 20 HJBD O=C(O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 617726712 ITHUYJUYZDTFIV-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD COc1ccc(CC(=O)N2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728033019 UVADOMWVJZPWJS-MRXNPFEDSA-N 408.376 4.528 5 20 HJBD O=C(c1cc(-c2ccccc2)nn1-c1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] 737580797 FAIUVXFTWFVDFX-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1C(F)(F)F 743835055 GUNFRFFLDAWDIW-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(NC1CC1)c1cc([N+](=O)[O-])ccc1N[C@@H]1CCCc2cc(OC(F)F)ccc21 746718927 YEYOIGOSEOKGSH-GOSISDBHSA-N 417.412 4.578 5 20 HJBD CC(C)Sc1ccc(C(=O)OCC2CCN(c3ccc([N+](=O)[O-])cn3)CC2)cc1 750436040 PZGMGROFWHACRM-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1)c1ccccc1 751093711 QGTWKTCMNSYGOR-UHFFFAOYSA-N 421.428 4.582 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccc(F)c(NC(=O)OC(C)(C)C)c2)cc1[N+](=O)[O-] 752056338 UZNFKKXCYKENQL-CYBMUJFWSA-N 417.437 4.880 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)C)c1C 755069002 PJDZPRJMUIUKEC-MGPUTAFESA-N 416.449 4.661 5 20 HJBD Cc1cc(F)cc(C(=O)Oc2ccc(N(C)C(=O)OC(C)(C)C)cc2)c1[N+](=O)[O-] 758503165 ABAMUTVFUIBRJH-UHFFFAOYSA-N 404.394 4.633 5 20 HJBD C[C@](O)(Cc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)c1ccccc1 762307890 ILBBQAOPGSGDFB-QHCPKHFHSA-N 417.421 4.887 5 20 HJBD Cc1nc([C@@H](C)OC(=O)COc2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 763920529 SSMHBNAGBLMZNU-CYBMUJFWSA-N 416.817 4.895 5 20 HJBD COc1ccc(NC(=O)c2cccc(NCc3ccc(Cl)c([N+](=O)[O-])c3)c2)cn1 764608279 PDKCTRHRNLMGDT-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 772235006 FUGUWXLOPPHAJB-SECBINFHSA-N 419.812 4.743 5 20 HJBD Cc1conc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(Cc2ccccc2)CC1 772734900 RUSVAUFZXKXLKX-UHFFFAOYSA-N 420.469 4.603 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C13C[C@H]4C[C@@H](CC(Br)(C4)C1)C3)CC2 774222480 KSJYHGKWHNHVGR-RCRDTURJSA-N 419.319 4.526 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774939895 PRNAABJLWCKQOP-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCC(O)(c2c(F)cccc2F)CC1 779242460 RWWFNJPPGJSMRK-UHFFFAOYSA-N 418.443 4.790 5 20 HJBD O=C(OCc1csc(C2CC2)n1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 784838910 UHFMLNJMIWREHP-UHFFFAOYSA-N 412.417 4.650 5 20 HJBD CC[C@@H](C)[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790557678 GJPBCUQEGUBHBX-WYRIXSBYSA-N 418.856 4.710 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@]2(C)[C@H](C1)C2(Cl)Cl 791251811 JGQUMLYWVLSDRP-GOEBONIOSA-N 403.331 4.753 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 792636101 SAWFEPDYLAWWQD-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD Cc1ccc([C@H](NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c2ccccn2)cc1 793251588 STLLOKXOPXHWBO-DEOSSOPVSA-N 402.498 4.646 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)N2CCc3ccc([N+](=O)[O-])cc32)n1 794097083 DUGFXYRJKQVCEY-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](C)c1ccc(C(F)(F)F)nc1 803060559 YYXLKHQLHHGYCH-QWRGUYRKSA-N 400.378 4.794 5 20 HJBD O=C(C1CCN(c2cc(Cl)ccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)C1CC1 804498595 GKJWXVZKIOCJJV-UHFFFAOYSA-N 413.905 4.656 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 810319025 VHHMMTSWEVXYAB-NSHDSACASA-N 415.837 4.743 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 812970844 SDPGJJKMNOZAPS-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD COc1ccc(Cn2cc([N+](=O)[O-])c(=O)c3ccccc32)cc1SC(F)(F)F 813578811 GXXRASJGYLGZGL-UHFFFAOYSA-N 410.373 4.579 5 20 HJBD CCn1nc(C)c(Cc2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)c1C 813887918 QUGCBFKOXGIROG-UHFFFAOYSA-N 419.441 4.861 5 20 HJBD CC(C)(c1nc(-c2cccc(Br)c2)no1)c1ccc([N+](=O)[O-])cc1F 904295062 ZFEYMMQYFPJBPI-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD CC(C)(C)C[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccc(F)cc1 915774781 ROEPBCVZIAJBNE-MRXNPFEDSA-N 421.856 4.620 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)CCOc2ccccc2[N+](=O)[O-])c1 917566870 TYZMXDOBPFBAOE-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)n[nH]1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 919846503 LCUCISLJGUCQSU-DTQAZKPQSA-N 418.497 4.870 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc([C@@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)c1C 1119556617 RMZJBRWDSAKWND-SFHVURJKSA-N 424.457 4.876 5 20 HJBD CCCn1c(C)c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)c2cc(OC)ccc21 1257769304 MSCXRQJMTDIBHX-UHFFFAOYSA-N 422.441 4.906 5 20 HJBD Cn1ccnc1C[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1319946974 OXFMTWPNXDTFSF-HNNXBMFYSA-N 414.893 4.696 5 20 HJBD O=C(CN1Cc2ccc([N+](=O)[O-])cc2C1)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 1322601350 LFFQLYAPSBRZFA-IBGZPJMESA-N 420.296 4.581 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1 1322982931 IFDNTJWDBWEVLF-INIZCTEOSA-N 420.263 4.735 5 20 HJBD O=c1nc(SCc2cccc([N+](=O)[O-])c2)[nH]c2scc(-c3ccc(F)cc3)c12 18022594 HFKUYQVGGGHFLO-UHFFFAOYSA-N 413.455 4.991 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2cc3c(cc2Cl)OCCCO3)cc1[N+](=O)[O-] 26984857 REWQBJWHLCTSKE-UHFFFAOYSA-N 404.850 4.959 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c(C)c1 31843340 XLQZDUHUAZQYNF-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 47599797 RTHZIYWRDXETPX-MRXNPFEDSA-N 412.490 4.740 5 20 HJBD COc1ccc(N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1OC 64321960 OQVLAKKNUAOAMH-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD CC(C)c1ccc([C@@H]2Nc3ccccc3C(=O)N2Cc2cccnc2)cc1[N+](=O)[O-] 139535615 GSNLAKIXAUVCOP-JOCHJYFZSA-N 402.454 4.880 5 20 HJBD CCN(C)Cc1cccc(CNCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 236881736 DZXQELIDWUVBBG-UHFFFAOYSA-N 409.486 4.605 5 20 HJBD CCOc1cc(CNc2cccc(C)c2C)ccc1OCCn1c([N+](=O)[O-])cnc1C 237041860 NNSXEIPHTQWARY-UHFFFAOYSA-N 424.501 4.806 5 20 HJBD C[C@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1nnc2ccccn12 237557417 AWNNBNIPMDIZDF-AWEZNQCLSA-N 423.860 4.934 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3coc(-c4ccccc4)n3)n2)cc1[N+](=O)[O-] 247992930 NQJIPZGDYKNKCJ-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD Cn1c([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)nc2ccccc21 303013954 KDHWLLFVNTVCDZ-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD COc1ccc(F)c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1OC 409574102 ZTYVHZBIPMOYHJ-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2NC(=O)C[C@H]2C=CCC2)cc1[N+](=O)[O-] 409989078 ZBRFSVYESQHTSK-AWEZNQCLSA-N 411.483 4.864 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccccc2)c2ccc3c(c2)CCCO3)cccc1[N+](=O)[O-] 410048387 ULZMROSFJRGQPX-HSZRJFAPSA-N 402.450 4.748 5 20 HJBD O=C(NCc1ccnc(N2CCCCCC2)c1)c1cc2cc([N+](=O)[O-])ccc2s1 410314337 IJQLDWKNVVILQZ-UHFFFAOYSA-N 410.499 4.515 5 20 HJBD Cc1ccc(COc2ccc(CN3CCC(n4ccc([N+](=O)[O-])n4)CC3)cc2)cc1 425977918 NIRADEVMJXFUQQ-UHFFFAOYSA-N 406.486 4.516 5 20 HJBD COCc1cccc2sc(NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)nc12 429077014 FYUKVUNVHXIUDV-GFCCVEGCSA-N 400.460 4.542 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(n2c(C)nc3ccccc32)CC1 432580919 PUPXRRWDLUGHFD-UHFFFAOYSA-N 407.474 4.739 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3ncc(Cl)cc3[N+](=O)[O-])cc2)n1 434941573 DNTZZJVDCYIKFB-UHFFFAOYSA-N 404.810 4.695 5 20 HJBD CCOc1cc(NC(=O)C2CCC(C(F)(F)F)CC2)c([N+](=O)[O-])cc1OCC 435663835 KCZHOCPIDKGQBO-UHFFFAOYSA-N 404.385 4.699 5 20 HJBD CCOc1cccc([C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 436237681 HLZJWQRXEIRGAV-ZDUSSCGKSA-N 422.431 4.849 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 436345156 LXXPIVJXYGOKGO-AWEZNQCLSA-N 421.297 4.605 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 437091650 KONKGQUBFRBYPN-UHFFFAOYSA-N 423.513 4.703 5 20 HJBD CCc1cccc2c(CCNC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)c[nH]c12 438003450 WROYHHKFXZVXSI-UHFFFAOYSA-N 406.486 4.555 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 441422175 KDRRJHMZRQUYPY-UHFFFAOYSA-N 418.755 4.664 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 442676982 HZXQYBFGXQNIBD-QGZVFWFLSA-N 413.543 4.550 5 20 HJBD O=C(NCCc1cc2ccccc2o1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444080089 GZZDZQRREPGDHF-UHFFFAOYSA-N 400.409 4.539 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@H](C)c1ccccc1Br 444898574 OWEOQLFROVTRDK-LLVKDONJSA-N 408.252 4.591 5 20 HJBD CC(C)Oc1cncc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 446431956 DUUTXSYQERSPFY-UHFFFAOYSA-N 407.426 4.608 5 20 HJBD CCOC(=O)c1ccnc(N2CCC(Sc3ccc(Cl)cc3)CC2)c1[N+](=O)[O-] 448075105 SNJNFSGCIHSSEO-UHFFFAOYSA-N 421.906 4.581 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 461301627 MKNOEVWQZVBNHI-LEWJYISDSA-N 424.522 4.920 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)NC2CCCC2)cc1C 462497909 BKGZXLKTXBWWFG-GOSISDBHSA-N 423.513 4.783 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463345726 XLXOFLGFIMBURD-UHFFFAOYSA-N 402.369 4.665 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2oc(C(F)(F)F)nc2c1 467148556 BKWVWSIHZAAJEJ-UHFFFAOYSA-N 414.727 4.730 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(NC(=O)c2ccccc2)c1 467167789 DZGBXKUJGLZUGD-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)c1ccc([N+](=O)[O-])cc1Cl 471659077 PBLUZHXOTTWSEI-UHFFFAOYSA-N 402.769 4.567 5 20 HJBD Cn1cnc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)ccc21 473707942 XXTJNFCOLQWDRM-UHFFFAOYSA-N 404.451 4.885 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1ncnn1C 473988739 WFHVVPZOPWQPLK-HNNXBMFYSA-N 409.490 4.664 5 20 HJBD CC1(C(=O)COc2ccc(Cl)cc2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 475985299 GJVPDEQROBDDGF-UHFFFAOYSA-N 423.252 4.902 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21 480116230 LKEVLPDVEVGFHP-AWEZNQCLSA-N 401.467 4.872 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1-c1ccccc1C 482731542 RTMCMRSFNDIZAR-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)NC(=O)c1ccc([N+](=O)[O-])cc1Cl 483283151 RPXREOAEZIBHTF-SECBINFHSA-N 415.646 4.511 5 20 HJBD O=C(N[C@H](c1ccccc1)c1cccnc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 485596170 XEEYXBUVXVQSDF-HXUWFJFHSA-N 416.462 4.633 5 20 HJBD CCc1cc(Oc2ccc3c(c2)CCCC(=O)N3)nc(-c2ccc([N+](=O)[O-])cc2)n1 487074477 LYQDHVXNBZPBDC-UHFFFAOYSA-N 404.426 4.681 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2)cn1 487384437 IAMDZRSZWDHEDY-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C)o1 488787264 XAHGSEIKJUALHP-MRXNPFEDSA-N 412.471 4.559 5 20 HJBD O=C(Nc1nnc(-c2cccc(Cl)c2)s1)c1ccc([N+](=O)[O-])c2cccnc12 498270330 KLUFBCFKXUNNMU-UHFFFAOYSA-N 411.830 4.567 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1 499724670 IQKPDHYGJJZSEJ-OAQYLSRUSA-N 424.888 4.617 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)CCCOc1ncc(C)cc1[N+](=O)[O-] 502125847 YJGSTFKHEKUTAA-UHFFFAOYSA-N 405.882 4.874 5 20 HJBD CCc1c(C(F)(F)F)noc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 505937632 ZCLUPYHWIYNWOC-UHFFFAOYSA-N 412.349 4.540 5 20 HJBD CC[C@@H](CC(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccc(OC)cc1 507538528 YWCBJYZPUUTRDS-BTYIYWSLSA-N 400.500 4.761 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)NCc3cnc4ccccc4c3)cc2[N+](=O)[O-])C1 508936591 YKHFONFBRFDQCB-IRXDYDNUSA-N 418.497 4.555 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4cnc[nH]4)cc3)cc2[N+](=O)[O-])C1 520614579 WEINITCPRFQQOR-IYBDPMFKSA-N 419.485 4.720 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)cc2C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 524386688 PXOXHSPYKFTMDW-UHFFFAOYSA-N 424.253 4.615 5 20 HJBD COc1ccccc1[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 525057669 WHPWVMGBHDMZDW-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN(Cc1ccc(C(=O)NC2CC2)cc1)C1CC1 530342090 ZKCDTLQXORITBD-GHRIWEEISA-N 405.498 4.555 5 20 HJBD CC[C@@H](CNc1cc2ncnc(O)c2cc1[N+](=O)[O-])Oc1cccc(C(F)(F)F)c1 530951255 AJMSTBBJFDEWHM-LBPRGKRZSA-N 422.363 4.532 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)[C@@H](C)Oc1ccc(F)cc1Br 531256651 SQMKVTNMVNOQQA-LLVKDONJSA-N 411.227 4.519 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNc3ccn(CCO)n3)cc2[N+](=O)[O-])c1 531917521 SAOMXHOUUDJOGY-UHFFFAOYSA-N 410.474 4.620 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c(C)c2c1 533941544 LRBOAQFMUKBVFZ-CYBMUJFWSA-N 407.426 4.559 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 534080176 LZBGETFRHPCSNP-LJQANCHMSA-N 409.442 4.604 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1csc([C@@H]2CCCO2)n1 534473895 DRGPYLOHASUXLT-SFHVURJKSA-N 411.439 4.947 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1Br)c1csc([N+](=O)[O-])c1 536091820 GDFWTNBHFTZTOL-UHFFFAOYSA-N 411.155 4.570 5 20 HJBD C[C@H](NC[C@@H]1CCN(c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1 536879156 WDPXGQGGIIXDPF-GJZGRUSLSA-N 404.308 4.534 5 20 HJBD Cc1ccc(OCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)cc1C 537151240 XIQSSHWNCAVHKN-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD Cc1cc(CN2CCSCC2)ccc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 537709801 JHZORKJZAMTOTL-UHFFFAOYSA-N 413.543 4.630 5 20 HJBD Cc1ccc(C)c(NC(=O)Cc2nc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)cs2)c1 538180065 RLDQKVLRXBEEEY-INIZCTEOSA-N 424.526 4.700 5 20 HJBD CC(C)[C@H]1C[C@H](NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 540136550 QPHSWAMDHSIKEJ-OXQOHEQNSA-N 418.877 4.974 5 20 HJBD COc1cccc(-c2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c1OC 540477554 PCWIPASACBTZER-CQSZACIVSA-N 413.499 4.895 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 541069225 UTTZMUYBIFNZKR-UHFFFAOYSA-N 421.400 4.842 5 20 HJBD C[C@]1(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO[C@@H]1C1CC1 542314374 OLIJFHSSZMYAEI-MOPGFXCFSA-N 421.291 4.665 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)c(Cl)c1Cl 544453753 PVMMWBXZVVSIDH-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cc1nc(-c2ccccc2Br)sc1[C@H](C)Nc1ncc([N+](=O)[O-])cn1 544841160 GRTFWUIVPOGNHC-JTQLQIEISA-N 420.292 4.752 5 20 HJBD CN(C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 545122706 AZLKOLGQPKUKBR-UZLBHIALSA-N 418.440 4.529 5 20 HJBD CC(C)(C(=O)Nc1cc(F)c(Br)cc1F)c1ccc([N+](=O)[O-])cc1F 545123552 DGIMJQUOJFSNLU-UHFFFAOYSA-N 417.181 4.691 5 20 HJBD Cc1nn(C)c(C)c1[C@@H](C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545780813 VOYPTDLKMSKOKJ-CYBMUJFWSA-N 419.441 4.939 5 20 HJBD COc1cc(-c2nc([C@H](C)c3ccc(Br)cc3)no2)ccc1[N+](=O)[O-] 546544708 ZBPZLHLGLDVQIQ-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD Cc1cc(Cc2noc(-c3cccc(C(=O)N(C)CC(C)(C)C)c3)n2)ccc1[N+](=O)[O-] 547262965 MKGVEKXDJOSRQI-UHFFFAOYSA-N 422.485 4.662 5 20 HJBD CCc1ccccc1OCCC(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 558159637 PCZDWYBLDVWLHP-UHFFFAOYSA-N 411.483 4.713 5 20 HJBD COc1ccc2oc(C(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c(C)c2c1 566832157 DUCJIRNEDBDUSK-UHFFFAOYSA-N 408.332 4.607 5 20 HJBD CCCN(CC(=O)Nc1ccc(F)c(F)c1F)Cc1ccc(Cl)cc1[N+](=O)[O-] 575128263 GBTMKBCDEULIOY-UHFFFAOYSA-N 415.799 4.516 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 577717400 WSFBKDFDJDQZBO-UHFFFAOYSA-N 404.382 4.829 5 20 HJBD Cc1ccc(/C=C\c2nc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n[nH]2)o1 591373517 QOWOJOKJCMRMGK-ZWLSGLHFSA-N 424.442 4.688 5 20 HJBD C[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc(C(C)(C)C)cs1 592648920 VOZPVIPWCROCIF-OAHLLOKOSA-N 419.550 4.786 5 20 HJBD CNC(=O)c1cc([N+](=O)[O-])ccc1N[C@@H](C)c1ccc(OCCC(C)C)c(OC)c1 603932325 DEYWRFMTUOXKPI-HNNXBMFYSA-N 415.490 4.561 5 20 HJBD CSc1ccc(-c2csc(NC(=O)CCOc3ccccc3[N+](=O)[O-])n2)cc1 603949346 CRZJWIJLYSBQSA-UHFFFAOYSA-N 415.496 4.848 5 20 HJBD Cc1nc2ccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)cc2nc1C 603997618 RABZMHSASIGGJN-UHFFFAOYSA-N 419.466 4.839 5 20 HJBD CCC[C@@H](NC(=O)Cc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 609011984 ZZUSIYLVKXLUIX-MRXNPFEDSA-N 410.392 4.822 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccccc1OCCN1CCCC[C@H]1C 609813918 HRDODVHNPMTCEG-GOSISDBHSA-N 411.502 4.717 5 20 HJBD COc1cc(CNC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1OC1CCCC1 609856871 XHODANXMSPBVOW-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)NC1(c2cccc(Br)c2)CC1 610108804 NAFQOFFKYKWXMZ-UHFFFAOYSA-N 410.655 4.822 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611200618 NRYLXAAMSDQBTK-UHFFFAOYSA-N 413.499 4.518 5 20 HJBD Cc1csc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n1 612494057 OPRJIYYXRKAJMV-GFCCVEGCSA-N 405.545 4.928 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3nc4cc(C(F)(F)F)ccc4s3)no2)cc1 612881846 OKASSQLWPNUYJT-UHFFFAOYSA-N 406.345 4.864 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1csc2nc(-c3ccccc3)cn12 613289717 LIEUTJLHOOXXMS-UHFFFAOYSA-N 406.467 4.769 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2cccn2)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 617837255 DYNKLMRLRQCZQY-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(Cc1ccc2c(c1)CCO2)C1CCCC1 728006183 IBJKQYNOSWDLOM-UHFFFAOYSA-N 400.862 4.768 5 20 HJBD O=C(COC(=O)[C@H]1C[C@H]1c1cccc2ccccc12)Nc1ccc([N+](=O)[O-])cc1Cl 730873481 LSBTZKBCQKTTOX-ROUUACIJSA-N 424.840 4.687 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(F)c(Br)c1 730981444 HKOLNXRSESIPRY-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CSc1ccc(C(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 731829078 CWYSZRBHOPJEJY-IBGZPJMESA-N 420.918 4.556 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731840051 RHQKZPZLSHBRFC-CQSZACIVSA-N 415.471 4.959 5 20 HJBD CC(C)[C@H](OC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 733691000 UQFBGSBDHITGIT-SFHVURJKSA-N 411.380 4.751 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C/c3ccc(-c4c(F)cccc4F)o3)[n+]2[O-])c1 734368221 QCUGYDLENOJZEP-BQYQJAHWSA-N 421.359 4.999 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)O[C@H](C)c2ccncc2)cc1[N+](=O)[O-] 735960709 MSMUBSBAYDJHJN-CQSZACIVSA-N 422.462 4.861 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)Cc2cccc([N+](=O)[O-])c2C)c1 747776230 NFVCSOYGDVWLGK-UHFFFAOYSA-N 403.438 4.645 5 20 HJBD Cc1c(C(=O)N2CCCSc3ccc(Cl)cc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 750935764 XLBQLKGLZRTOCN-UHFFFAOYSA-N 421.862 4.916 5 20 HJBD O=C(O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(CCC(F)(F)F)cc1 752516639 OKCYLDREOJUXES-CVEARBPZSA-N 411.380 4.627 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CC2(CCCC2)c2cccc(Cl)c21 752889561 WDFNPNLRESLRPM-UHFFFAOYSA-N 401.806 4.629 5 20 HJBD COc1ccc(OC(=O)CCC(=O)c2cc(Cl)sc2Cl)c([N+](=O)[O-])c1 756232097 QLMXHUCIJRMQPH-UHFFFAOYSA-N 404.227 4.540 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3cc(Br)cc([N+](=O)[O-])c3)CCO2)cc1 757520417 SHRGCEBIIDZWLS-QZTJIDSGSA-N 420.259 4.743 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)cc2)nc1 759105720 GYJFBGCSVYJAQP-UHFFFAOYSA-N 402.410 4.753 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cc(Oc2ccccc2)ccn1 760531799 XWWABMQSTRFLLP-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc(-c4cc[nH]n4)cc3)no2)c2ccccc12 761610536 GVQIFZIXNZXNFO-UHFFFAOYSA-N 419.444 4.643 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C 763806572 PUKOPGNXQNWHEH-HNNXBMFYSA-N 416.499 4.816 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccccc2NC(=O)Cc2cccs2)c1F 764500892 AOPZSHXBTLHGSS-UHFFFAOYSA-N 413.430 4.537 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@@H](O)c3ccccc3)CC2)nc1OCc1ccccc1 764999338 DOIHKCZOYIKCGG-QHCPKHFHSA-N 419.481 4.519 5 20 HJBD CS[C@H](C)CC(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 766916035 AYESUXRQASRYND-XIKOKIGWSA-N 422.890 4.613 5 20 HJBD O=C(c1cc(F)c(F)cc1[N+](=O)[O-])N1CCC(Sc2ccc(Cl)cc2)CC1 773190785 SQCYDXHCATWHGX-UHFFFAOYSA-N 412.845 4.923 5 20 HJBD O=C(CSc1ccc(F)c(F)c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135884 TZSZTCISFGZLRL-FQEVSTJZSA-N 416.405 4.693 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C 782255214 ROQUJMXAUIBXAR-UHFFFAOYSA-N 419.437 4.693 5 20 HJBD O=C(CCN[C@H](Cc1ccccc1)c1cccs1)Nc1cc([N+](=O)[O-])ccc1F 782531404 VNHQEFMGFLGTAC-LJQANCHMSA-N 413.474 4.698 5 20 HJBD O=C(N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 783851924 SGBYBLLJPZSJIS-UKRRQHHQSA-N 421.266 4.638 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 809217944 FXDJRUYSMRUAEO-LLVKDONJSA-N 400.784 4.970 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccc(N2CCCC2=O)cc1 809972535 WZGSDXSZSGGANO-GFCCVEGCSA-N 408.285 4.879 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 810931726 HNTLVKWKNBJLMR-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CN(C)CCOc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 864026903 LDSSOIJBLCTCOW-UHFFFAOYSA-N 417.815 4.820 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2ccc(OCc3cccnc3)cc2)no1 904469206 INRIIZOQHZTTSB-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD Cc1c(C(=O)N[C@@H](C)C(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914494298 PHIBHPUEZQUGTM-INIZCTEOSA-N 419.437 4.762 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)cn2)c1 916088248 BFFKEZSVBWSFCB-UHFFFAOYSA-N 422.397 4.813 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 917790982 WPOIMKQTPHZDBZ-UHFFFAOYSA-N 409.423 4.584 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)c1 920278901 CNQSRMDMNIEIKF-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(Cn1cc(Br)c([N+](=O)[O-])n1)C1CC1 920306412 SRRQZDZJURHIJP-ZDUSSCGKSA-N 415.291 4.890 5 20 HJBD CC(C)Oc1cccnc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 1320960198 AFYUSROKEXVPOD-HNNXBMFYSA-N 421.457 4.597 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)/C=C\c2cc(Cl)cc(Cl)c2)cccc1[N+](=O)[O-] 1321512922 UIAYOVQKNJTFHC-DGMVEKRQSA-N 423.252 4.794 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC 7306337 ZODDXOKHHOMCFI-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)/C=C/c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 9976542 XDJZKTJSRWPMFK-HQPKTYMTSA-N 422.890 4.522 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2nc(-c3ccc(C)s3)cs2)cc([N+](=O)[O-])c1 11512848 JLBWHFCKMOLBSU-UHFFFAOYSA-N 417.468 4.517 5 20 HJBD Cc1cccc(NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 16503981 NRPAURILDBAUCI-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(COc2ccc(Br)cc2)o1 59274570 XODSABRSDFPMNJ-UHFFFAOYSA-N 417.215 4.782 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)CCn3nc(C)c([N+](=O)[O-])c3C)ccc21 60364052 IXVVFXTUVNSYDN-UHFFFAOYSA-N 405.458 4.565 5 20 HJBD COc1ccc(C(=O)Nc2cccc(COCCc3ccccc3)c2)cc1[N+](=O)[O-] 65092696 OJUCRSMFYBXLNK-UHFFFAOYSA-N 406.438 4.615 5 20 HJBD C[C@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1cccnc1 248277958 ZKPWGDIAWIPAFJ-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1csc(CCc2ccccc2)n1 301674540 PQXMHZGVDQXVEO-UHFFFAOYSA-N 419.304 4.606 5 20 HJBD COc1cc(NCc2cccc(NC(=O)c3cccc(F)c3)c2)c(F)cc1[N+](=O)[O-] 301970930 RVKZJDOJFDKCKA-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)n1 431140169 ULYPADMXQCZBFV-AWEZNQCLSA-N 409.446 4.707 5 20 HJBD Cc1ccc(OCCCCNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 436074676 KRZMIQGZYMDGJC-UHFFFAOYSA-N 400.478 4.579 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(-c3cn4cccnc4n3)cc2)cc1[N+](=O)[O-] 439113985 RWWNIFARVWZASK-UHFFFAOYSA-N 401.426 4.680 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCc2c([nH]c3c(F)cccc23)C1 439935440 UQFNTLNNXZBXGN-UHFFFAOYSA-N 412.421 4.594 5 20 HJBD O=C(Nc1ccc(CCC(F)(F)F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 439984507 BMNHZQQZMFGZOV-UHFFFAOYSA-N 405.332 4.596 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444101689 QILNFDZFCOLWLM-CRAIPNDOSA-N 400.453 4.839 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](CC(F)(F)F)c1ccccc1C 444790275 IBMSGRVAOWTVCB-GOSISDBHSA-N 409.408 4.824 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)C3)cs1 446479830 LCVGVXLOYWRBOQ-UHFFFAOYSA-N 414.512 4.987 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])nc2ccccc21 460401523 MRLRYWYOKWJOLD-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2C(F)(F)F)nc1)c1cccc([N+](=O)[O-])c1 460450851 OYUITPSEQJYBOL-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc2c(c1)CCCCC2=O 463073280 YLDFUWJGZJEABI-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 470700011 TXCZABMVIBLYMQ-HXUWFJFHSA-N 404.850 4.682 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 476885414 GQXDEKLXNDDMEC-HXUWFJFHSA-N 420.469 4.508 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CC[C@@H](c3cccc(F)c3)C2)cc1SC 478249370 IRBCPOYXBPXAOM-UKRRQHHQSA-N 404.463 4.531 5 20 HJBD CC1(c2cccc(Cl)c2)CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 483228801 AGWRSHJWNVCSBC-UHFFFAOYSA-N 412.877 4.852 5 20 HJBD Cc1ccc(F)c(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)c1Br 485521032 NFPIYIADRYJXDU-UHFFFAOYSA-N 409.255 4.558 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1sc(Br)cc1[N+](=O)[O-] 485900563 PNDKLWOWKAVHRK-UHFFFAOYSA-N 409.183 4.776 5 20 HJBD C[C@@H](C(=O)Nc1cccc(Cl)c1Br)c1ccc([N+](=O)[O-])cc1F 486821054 HDXYPGFEOJXLOC-MRVPVSSYSA-N 401.619 4.892 5 20 HJBD CC1(C)[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)[C@H]2CCCO[C@H]21 487940415 CNILKQAVRGKBHD-NSISKUIASA-N 412.511 4.679 5 20 HJBD O=C1CCc2ccc(NC(=O)c3ccc(Sc4ccc([N+](=O)[O-])cc4)cc3)cc2N1 488227156 XFFIQNOWRWGJBR-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)Cc1c(C)nn(-c2ccc(Cl)cc2)c1C 490816606 CSBXLTSOWQBFKB-UHFFFAOYSA-N 412.877 4.849 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1)[C@H](c1ccc(Cl)cc1)c1cccnc1 496568550 FQMIITISKOGBJA-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCc2sccc2[C@@H]1c1cccs1 497557984 GWSFWTHSSHFIBT-OAQYLSRUSA-N 423.519 4.847 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])[C@@H](C)c1ccc(F)cc1 502449676 DQBXFMRITQXYLQ-NSHDSACASA-N 412.364 4.567 5 20 HJBD COCC[C@H](N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1ccco1 502646019 DLPATWGUEYMPMU-UGKGYDQZSA-N 423.469 4.543 5 20 HJBD CC(C)[C@H](c1ccccc1Cl)N(C)C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 503283799 POHILFPJNMSDRL-QGZVFWFLSA-N 424.284 4.696 5 20 HJBD COc1ccc(CSCC(=O)Nc2ncccc2-c2ccccc2)cc1[N+](=O)[O-] 504577189 HMJCBFHNJUDEMC-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD CC(C)c1cccnc1NC(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 504832300 SVZVCCKJPUYFKQ-UHFFFAOYSA-N 420.256 4.858 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(/C=C/c3ccc(OCc4ccccn4)cc3)n2)c1 504991553 NDDBEKLHGOCIKD-FMIVXFBMSA-N 400.394 4.789 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 505081802 MTQAYUSMEKQXGK-HUUCEWRRSA-N 420.556 4.629 5 20 HJBD Cc1cc(C(=O)COc2c(Cl)cncc2[N+](=O)[O-])c(C)n1CCc1cccs1 506602588 WUKBJKUDOZIVQP-UHFFFAOYSA-N 419.890 4.627 5 20 HJBD O=[N+]([O-])c1cc(CNCCn2cnc3ccccc32)ccc1Oc1ccc(F)cc1 507928641 DSVMTXYPRGENPQ-UHFFFAOYSA-N 406.417 4.666 5 20 HJBD Cc1nc(-c2ccccc2)c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)s1 514501657 NGASRKQJXNIVLU-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2cccc(Cl)c2)c1C(C)C 514883768 HOSOMNGWYFPVFD-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD COc1cc(CSCc2ccn(-c3ccccc3)n2)c([N+](=O)[O-])cc1OC(F)F 517175862 UXLRRTVYHKUVPF-UHFFFAOYSA-N 421.425 4.824 5 20 HJBD O=C(Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 517267377 PPSAGJVUWQNTII-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1cc(OCC(=O)N2CC[C@@H](C)Sc3ccc(Cl)cc32)ccc1[N+](=O)[O-] 519049581 YORHBRKTAANBFQ-GFCCVEGCSA-N 422.890 4.553 5 20 HJBD COc1c(C(=O)Nc2nc(-c3ccco3)c(-c3ccco3)s2)cccc1[N+](=O)[O-] 519679237 NQWOCQAJTKGQMV-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cc(Br)cc2cccnc12 536296579 FVUPQOXZSGMYCZ-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])NCC1(c2ccccc2Cl)CCOCC1 536858425 QLDJUKVJBMDWBY-QGZVFWFLSA-N 402.922 4.907 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(Cc2ccccn2)Cc2ccccc2C)c1 539514551 XFCYWVOFMHUXMK-UHFFFAOYSA-N 419.481 4.605 5 20 HJBD COc1ccc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)cc1OCc1ccncc1 539845932 MAWULTBSTIWCTE-UHFFFAOYSA-N 421.453 4.755 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](CN2CCCC[C@@H]2C)C1 540452330 YJWCEACOYNWLOD-ROUUACIJSA-N 419.591 4.822 5 20 HJBD C[C@@H](N(C)C(=O)c1cc(C(=O)N(C)[C@H](C)C(C)(C)C)cc([N+](=O)[O-])c1)C(C)(C)C 547307699 FGVXJMIDJWKHDK-HUUCEWRRSA-N 405.539 4.608 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)NCc1cccc([N+](=O)[O-])c1 548465220 CACIZVZABZQZIX-INIZCTEOSA-N 420.307 4.520 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc3nc(-c4ccccc4Cl)[nH]c3c2)c1[N+](=O)[O-] 550757425 XXHWPEQXLFKVAP-UHFFFAOYSA-N 424.848 4.719 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1Br 553259080 XOBOHHVVTYEPDR-UHFFFAOYSA-N 419.609 4.533 5 20 HJBD Cc1n[nH]cc1C1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 557173264 BYBRWKDBLNNBBJ-UHFFFAOYSA-N 416.547 4.784 5 20 HJBD COc1c(-c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)cnc2ccccc12 558326056 NWHIYRGKLVUZRE-UHFFFAOYSA-N 408.389 4.667 5 20 HJBD CC1(C)CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)[C@@H]1[C@H]1CCCO1 566037765 OTKRESKQGPQNML-WOJBJXKFSA-N 411.458 4.808 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CN(C(=O)c2ccccc2)C2CC2)no1 570316740 WOFTWVHASKWGHW-QGZVFWFLSA-N 420.469 4.519 5 20 HJBD CCCn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1ccncc1 573902552 CIGFYBMIRYRHOO-UHFFFAOYSA-N 423.420 4.969 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(Cl)cc1 577145006 YKCBJYYWOMRQDY-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1c(-c2cccs2)nc2ccccn12 583048053 KKUJLQHMSQUELM-UHFFFAOYSA-N 412.858 4.806 5 20 HJBD COc1ccc(Br)c(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600857165 KGLQDOFQSPYKLW-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD Cc1c(Br)cccc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 608872701 YTYIBADIDVFUHR-JTQLQIEISA-N 413.655 4.725 5 20 HJBD COc1ccc(C[C@H](NC(=O)Nc2cccc([N+](=O)[O-])c2)c2ccccc2)cc1OC 608983419 PHCZBZVHVQZSDS-FQEVSTJZSA-N 421.453 4.718 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 609036801 OUAOBUUQPITSDP-GOSISDBHSA-N 409.467 4.704 5 20 HJBD CCNc1ccc(C(=O)N[C@H](c2ccccc2)c2ccccc2OC)cc1[N+](=O)[O-] 609374068 GBMIENWMWFOKMW-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD Cc1cccc(COc2cccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)c2)c1 609508217 FFOQXEDMHKDNQB-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD COc1cccc(C[C@@]2(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)C[C@H]3CC[C@H]2C3)c1 609783479 HPTYHVBANJVZQX-HKARXFIJSA-N 410.470 4.600 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609881161 YCWDPVDMXXHHBL-OAHLLOKOSA-N 402.863 4.677 5 20 HJBD COc1cccc([C@H](C)c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c1 612918196 WQIORFFNNBZIGQ-LBPRGKRZSA-N 408.439 4.929 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(Br)c(Cl)c1 619607413 DFQFTQXNAUIORU-UHFFFAOYSA-N 413.655 4.591 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1cccc(OCC(F)(F)F)c1 727054218 YQTNAGXDXZFVRZ-NSHDSACASA-N 401.362 4.622 5 20 HJBD O=C(Nc1ccc2[nH]c(-c3ccc(F)cc3)nc2c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727708873 NPDZGJVAQIETQZ-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)c2)n1 729334266 KVYPFZHLHAVEIA-UHFFFAOYSA-N 423.498 4.956 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1cc([N+](=O)[O-])ccc1N1CCCC1 733611455 ZGKJQQMAIMSLKO-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD CC(C)(C)OC(=O)N[C@H](CCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1 733739887 FEQQZTTUNCNADW-GOSISDBHSA-N 424.457 4.843 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)N1CCCCC1 735510187 ZSZOPBRLQJCHNH-UHFFFAOYSA-N 407.829 4.875 5 20 HJBD CC(C)(CNC(=O)Cc1ccc([N+](=O)[O-])cc1)Sc1ccc(Br)cc1 742730642 QOWIYKGUERADCS-UHFFFAOYSA-N 423.332 4.587 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H](C)C(=O)c2ccccc2)cc1 744722491 MOQKQIXSLCSPQH-HNNXBMFYSA-N 421.405 4.824 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2Br)o1 744915651 OSBCPIKDOLXHET-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD Cc1ccc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])cc1NC(=O)OC(C)(C)C 745456378 VEGMBLFQXZKTQV-UHFFFAOYSA-N 404.394 4.746 5 20 HJBD O=C(Nc1ccccc1Cl)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 750647789 QIRMPFGETDQOKI-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 752359450 FLLSKRVJLIJZRV-AWEZNQCLSA-N 403.360 4.725 5 20 HJBD C[C@H](OC(=O)[C@H](C)Cc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 754305099 KFWCCQMYGRYGKC-OLZOCXBDSA-N 415.833 4.781 5 20 HJBD Cc1ccccc1-c1nc(C(=O)O[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cs1 759928842 HIAOTIJTBJVEGO-DLBZAZTESA-N 412.471 4.564 5 20 HJBD Cc1ncc([N+](=O)[O-])cc1-c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761860372 XYHZKTKKIQFVAE-UHFFFAOYSA-N 419.353 4.716 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2nnc([C@H]3CCCc4ccccc43)s2)c1[N+](=O)[O-] 762516087 WHAPZXAALSRDMI-HNNXBMFYSA-N 412.446 4.614 5 20 HJBD CC(C)(C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1)c1ccc([N+](=O)[O-])cc1F 763000437 QSPSRIRMAOBOAG-UHFFFAOYSA-N 420.343 4.688 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C[C@H](C)N(C)C(=O)OC(C)(C)C)no1 764915010 IYCYXTQOXVSVBA-HOCLYGCPSA-N 418.494 4.512 5 20 HJBD CC(C)n1ncc2cc(CN(C)Cc3cc([N+](=O)[O-])ccc3Cl)c(Cl)nc21 768214508 CMTGBVOQRDKUSK-UHFFFAOYSA-N 408.289 4.859 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCOC[C@@H]4C)cc3)o2)c([N+](=O)[O-])c1 770595200 SAHYVVRWMSRXBU-INIZCTEOSA-N 423.469 4.701 5 20 HJBD Cc1cccc(OCCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1C 776132067 HWLDPZWWETXZFH-QHCPKHFHSA-N 406.438 4.708 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1cccc([N+](=O)[O-])c1Br 782120701 BCKKPQRDUHKAQQ-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cc1cnc(COC(=O)CCC(=O)c2cc(Cl)sc2Cl)c(C)c1[N+](=O)[O-] 789444056 HHJSHXDPARKIKL-UHFFFAOYSA-N 417.270 4.681 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)c1F 790531132 WNHPULIQPAXOQC-GDLZYMKVSA-N 412.442 4.602 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(OCc2ccccc2)c(OC)c1 798540962 AARXJVFOYIBVNW-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNc2ncnc3sc([N+](=O)[O-])cc23)cc1 804541760 GINSNRLRMZYLSX-UHFFFAOYSA-N 415.475 4.601 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 805314111 DESQVWIPLOMPTN-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl 805351181 HRHYQNKFSPTRSB-OAHLLOKOSA-N 424.284 4.609 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(F)c1Br 815954191 VISYFQKHIYAXLP-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD COC1(c2noc(-c3ccc(N4C[C@@H](C)C[C@H](C)C4)c([N+](=O)[O-])c3)n2)CCCC1 904835525 XMUQARFKZPKGDS-GJZGRUSLSA-N 400.479 4.543 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1117189572 BLDIYOLWHJQTAE-SNVBAGLBSA-N 406.211 4.893 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCCCc2cccnc2)cc1 1317874716 VLODCDLIWRNORL-UHFFFAOYSA-N 408.410 4.580 5 20 HJBD CC(C)N(CCc1ccccc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 1318847770 CWXRTRSQCZLVDU-UHFFFAOYSA-N 417.314 4.543 5 20 HJBD CC(C)(C)Oc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 1319399420 KFDNDVABPIVBPX-UHFFFAOYSA-N 421.291 4.507 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)OCc3ccc([N+](=O)[O-])c(F)c3)n2)cc1 1326581200 YBDITLLZYRBZFT-UHFFFAOYSA-N 413.405 4.583 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Oc2ccccc2)cc1 10963275 UCHSJOYENVVJSX-UHFFFAOYSA-N 406.394 4.801 5 20 HJBD Cc1ccoc1C(=O)O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 16416166 JTEFKIJYAIRZAS-GOSISDBHSA-N 414.801 4.686 5 20 HJBD C[C@@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1cccc([N+](=O)[O-])c1 30449142 GZNYVPRMUSLLTP-OAHLLOKOSA-N 409.417 4.752 5 20 HJBD O=C(N[C@H]1CCCc2c1[nH]c1ccc(Br)cc21)c1ccccc1[N+](=O)[O-] 38334361 BPSDHWDIDIDDKB-INIZCTEOSA-N 414.259 4.646 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@H]1Cc1ccc(F)cc1 50589847 KYQXNAFPXONQGF-SFHVURJKSA-N 411.458 4.705 5 20 HJBD O=C(CSCc1cccc(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Br 57683882 RKWSCJFRHIWEDD-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)n(Cc2ccccc2)n1 65821255 HSEOHGMJTUGEOR-UHFFFAOYSA-N 407.474 4.591 5 20 HJBD CCCCc1nc2cc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)ccc2[nH]1 109000566 YXIUSPANCDCABH-UHFFFAOYSA-N 421.501 4.669 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)c(Cl)c1 110024820 XGKXSKYTEWCNSG-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCCCC[C@@H]1c1ccccc1 302998908 UIINNDBBUGJIKN-OAQYLSRUSA-N 407.514 4.955 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2nc(-c3cccc4ccccc34)cs2)c1[N+](=O)[O-] 433767996 WPVGTWUSRIPHRF-UHFFFAOYSA-N 407.455 4.970 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 436340783 VAZCNHYKLAQBPZ-GOSISDBHSA-N 418.375 4.512 5 20 HJBD CC[C@@H](Cc1ccccc1)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436418481 PCISMQPZVKZLNE-KRWDZBQOSA-N 406.432 4.711 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccccc2CN2C[C@H](C)O[C@H](C)C2)cc1[N+](=O)[O-] 439112212 BKICQXAPXCWEAW-CALCHBBNSA-N 411.502 4.580 5 20 HJBD CCN(Cc1c[nH]c2ccccc12)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 442891846 NXVPKEQRDUQWBV-ZDUSSCGKSA-N 400.866 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccnc1Oc1cccc(F)c1 443949859 TYQSHQNYHFPVQW-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD C[C@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Cc1cccs1 444087354 LEZOGWVUPSNMHB-LBPRGKRZSA-N 412.461 4.630 5 20 HJBD O=C(c1cccc2c(=O)c3ccccc3[nH]c12)N1CCC(c2c(F)cccc2F)CC1 445208211 DDDNRGDOTFMGLI-UHFFFAOYSA-N 418.443 4.979 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 445659865 IMMBPJDRUKDVHD-UHFFFAOYSA-N 411.849 4.743 5 20 HJBD C[S@](=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 463998497 OSRVMCLNMZYWLP-NDEPHWFRSA-N 412.492 4.736 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 464666194 RWROCQPVWNVECN-UHFFFAOYSA-N 417.893 4.891 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccc(Cl)cc2)c1CC 466498131 ASRNUBRYGYOEJV-UHFFFAOYSA-N 414.849 4.647 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)CC(=O)Nc1ccccc1[N+](=O)[O-] 469124698 YOUYGQBQHDUNIF-OAHLLOKOSA-N 410.499 4.658 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)cc1F 473819265 RMWUHIDXDJSMMM-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD C[C@H]1[C@@H](C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCN1c1ccccc1 475803459 DEQDUTUPPCIYMZ-RDJZCZTQSA-N 422.510 4.596 5 20 HJBD CCOc1cc(NC(=O)Nc2cnn([C@H](C)CC)c2C)c([N+](=O)[O-])cc1OCC 483505970 QNIBQBXDDDZFSD-GFCCVEGCSA-N 405.455 4.512 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CCC(C(F)F)CC2)c([N+](=O)[O-])c1 486121301 AEDUQGOIFBTVIL-IBGZPJMESA-N 403.429 4.560 5 20 HJBD COCCC1(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCC1 488067510 JMZQZOLZKVZXST-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD C[C@H](N[C@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccnc2)cc1 489797308 QMUCHZTYRMBZFT-SJORKVTESA-N 420.469 4.850 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c1 490174012 JQSYHYPAQGLRLC-UHFFFAOYSA-N 416.865 4.813 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F 491379539 JNKPINGHTDYOIS-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494194019 DTVXYQYHIXJQFF-UHFFFAOYSA-N 409.364 4.732 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NCc1cc([N+](=O)[O-])cc2c1OCOC2 500232935 ROXRRVXLCVBVEP-CHWSQXEVSA-N 410.392 4.762 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)c2ccc(CC(C)C)cc2)CC1 501789581 MHFRSJBSJWEIRK-UHFFFAOYSA-N 408.498 4.837 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2cc([N+](=O)[O-])ccc2C)cc1OC 502556852 OSOCEDNBFBPKRK-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC[C@@H]1/C=C/c1ccccc1 505365355 CFXQTCOLSWAKBW-XAVKZTDYSA-N 401.260 4.675 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C 505592840 VHOFNSVUZKLVGG-YWZLYKJASA-N 401.532 4.518 5 20 HJBD COc1ccccc1NC(=O)C1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 509522305 CQRHNQMABPWXBO-UHFFFAOYSA-N 411.502 4.578 5 20 HJBD Cn1cc(C(=O)N2CC=C(c3ccccc3Cl)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 509750158 IGZGJKNIRPCQJW-UHFFFAOYSA-N 422.872 4.578 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc12 512979324 NCXQVGLYNASNRC-UHFFFAOYSA-N 420.494 4.618 5 20 HJBD C[C@@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C(C)(C)C)cs1 518566380 LXSACIGBZXTEFL-LLVKDONJSA-N 416.528 4.963 5 20 HJBD C[C@H](NC(=O)c1ccoc1CN1c2ccccc2C[C@H]1C)c1cccc([N+](=O)[O-])c1 518575605 FLIJXOKQUUYKKQ-CVEARBPZSA-N 405.454 4.630 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NCc1ccc(-c2nc3ccccc3[nH]2)cc1 524475949 UERYVKAZVPXCCP-UHFFFAOYSA-N 418.478 4.547 5 20 HJBD CCOc1ccc(S(=O)(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c2ccccc12 536898021 RUKBBHQVQXJKGB-UHFFFAOYSA-N 400.456 4.564 5 20 HJBD CC(C)N(Cc1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1)C[C@@H]1CCCCO1 538218831 KBZKNIFQVBUHAY-FQEVSTJZSA-N 411.502 4.627 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCO)[C@@H]3CCCc4ccccc43)cs2)c1 539832752 YDBGBLDEELSDEW-OAQYLSRUSA-N 409.511 4.590 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccccc2Cn2cncn2)cc1[N+](=O)[O-] 539933192 IPLMNNCFJMQCBP-UHFFFAOYSA-N 414.469 4.615 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C)O[C@H](c2ccsc2)C1 540471602 NQRUQPSHFYSDBL-DYVFJYSZSA-N 406.529 4.759 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OCC(F)(F)F 543124031 YZSRZULLBYWKOL-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 544198487 ISPPGUCWOAMRRB-UHFFFAOYSA-N 408.907 4.582 5 20 HJBD Cc1nc(C)c(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)s1 544565546 WLXVCDLFNDLCNE-UHFFFAOYSA-N 405.545 4.880 5 20 HJBD C[C@@H](CNCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)c1nccs1 544613999 BIZGSWURUJOQCR-INIZCTEOSA-N 419.510 4.797 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@H]3CCCO3)no2)ccc1NCc1ccccc1Cl 546472581 JKWYSMORJYJBDU-QGZVFWFLSA-N 400.822 4.762 5 20 HJBD O=C(N[C@H]1CCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 548402318 UAUNVSRRZCFMFM-IBGZPJMESA-N 408.379 4.516 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc3cccc(Br)c3n2)c1 549006902 QJIJNGGLZJFLKK-UHFFFAOYSA-N 416.231 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)COc1c(Cl)cc(Cl)cc1Cl 555550188 XCEIITVJEXSBFZ-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@H]3c3ccc(Br)c(F)c3)ncnc2s1 557662339 LNBOJQYHXICTQL-ZDUSSCGKSA-N 423.267 4.843 5 20 HJBD CCS(=O)(=O)c1ccc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 557726296 LHEPVXPIQFFPRS-LLVKDONJSA-N 402.394 4.580 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)n(C)n1 560120351 AWDOVJPWIGJROS-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OC(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 561082821 MYGOKOMORWJVLF-SNVBAGLBSA-N 418.343 4.657 5 20 HJBD CCO[C@@H](c1noc(-c2ccccc2[N+](=O)[O-])n1)c1ccc(F)cc1C(F)(F)F 563876986 AUSFBNNYQGXNAM-OAHLLOKOSA-N 411.311 4.929 5 20 HJBD COc1cc(C(=O)Nc2c(-c3cccs3)nc3ccccn23)cc([N+](=O)[O-])c1C 565976820 DYQVRUQUTUAIAT-UHFFFAOYSA-N 408.439 4.540 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](c2cccnc2)C(C)(C)CO)cc1[N+](=O)[O-] 566397855 IGWVDTDDDNYKRK-HSZRJFAPSA-N 420.513 4.607 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCc2sc(Cl)cc21 578687105 NCDHHSXGEDDJDZ-NSHDSACASA-N 401.271 4.536 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3ccc(COCc4ccccc4)cc3)ncnc2s1 579575726 PRSCOZLMLKQLKP-UHFFFAOYSA-N 406.467 4.928 5 20 HJBD C[C@H](C(=O)Nc1nc(-c2ccc(-n3cccn3)cc2)cs1)c1cccc([N+](=O)[O-])c1 581406656 CIZXAIGKOACTCD-AWEZNQCLSA-N 419.466 4.646 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@H](CC(=O)O)c2cccs2)cc1[N+](=O)[O-] 585731806 DQCDEMMIXWRCKI-QGZVFWFLSA-N 411.483 4.730 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 600858363 POPCTPQWQGTYJE-UHFFFAOYSA-N 421.297 4.518 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(Oc2ccc(C(C)C)cc2)CC1 603826402 CNIDUGYIVOXXED-UHFFFAOYSA-N 413.474 4.802 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CCC[C@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])C1 604485653 OJFKITAWPRJTTD-FVJLSDCUSA-N 423.513 4.760 5 20 HJBD CCOc1cc(NC(=O)NCCc2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC 610092097 BPYQPQKOXRDFSU-UHFFFAOYSA-N 413.430 4.503 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@H](C(F)(F)F)O[C@H](C)C2)cc1[N+](=O)[O-] 610399334 WSKGEYFXWQXPHQ-WBMJQRKESA-N 420.453 4.525 5 20 HJBD CC(C)(C)c1nnc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)s1 611207102 AQHIJVLSXGQXPP-UHFFFAOYSA-N 420.560 4.709 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCc2ccc(F)cc2)CC1 613189588 DFCFHIVIHJWZCO-LJQANCHMSA-N 414.477 4.510 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1F 614654313 IPEJUQAZDZUZPM-LJQANCHMSA-N 418.808 4.651 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 725935505 LSFLRCFBPVJWBF-NEPJUHHUSA-N 406.385 4.571 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711453 YINMTXFISIJDMB-UHFFFAOYSA-N 423.231 4.846 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@H]1c1cccc2ccccc12)Nc1ccc([N+](=O)[O-])cc1Cl 730873482 LSBTZKBCQKTTOX-ZWKOTPCHSA-N 424.840 4.687 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1)c1ccc(F)c(Br)c1 731028139 FOTOQLMLICYOQR-SNVBAGLBSA-N 422.254 4.562 5 20 HJBD O=C(O[C@H](c1ccc(Br)cc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 731146335 UMHLKHFZEXLEFC-CYBMUJFWSA-N 404.138 4.818 5 20 HJBD Cc1c(NC(=O)N2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)cccc1[N+](=O)[O-] 731535529 MOVYWALWQMCVGU-IBGZPJMESA-N 403.866 4.534 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(-c2ccc(-n3cnc4ccccc43)nc2)no1 731805254 OTRQUIIGNVJZFN-UHFFFAOYSA-N 418.800 4.699 5 20 HJBD CC[C@@H](CC1CCCC1)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 734055056 WUQIMTAUMPCZKE-ZDUSSCGKSA-N 416.396 4.702 5 20 HJBD Cc1ccc(-c2ncc(/C=C/c3ccnc(-c4cccc([N+](=O)[O-])c4)[n+]3[O-])s2)cc1 734305709 DIDLWMLDLZGOAV-MDZDMXLPSA-N 416.462 4.893 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)cc1F 735444055 WMCLELIEOOSMGN-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1c(NC(=O)[C@H](C)[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cccc1[N+](=O)[O-] 742469285 UWTZLDOHHMONSN-DNVCBOLYSA-N 413.474 4.744 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C\C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 742872432 FMNZNJWQGRLGFB-ZHJQRIIBSA-N 424.497 4.855 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 745843587 HSZKLQCSJDXSLR-UHFFFAOYSA-N 421.419 4.876 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc(Cl)cc1[N+](=O)[O-] 754476758 AJMYQIKZXMGKHB-LBPRGKRZSA-N 401.633 4.809 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)C1 755026048 QZHPICNKAFDVTN-ZDUSSCGKSA-N 404.264 4.687 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)Nc1ccc([N+](=O)[O-])cc1F 758354953 UVVQRBJKSOUKNH-UHFFFAOYSA-N 410.858 4.585 5 20 HJBD CCN(CCC1CC1)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 760981309 DGSANJZYNPDURY-UHFFFAOYSA-N 415.877 4.763 5 20 HJBD COc1ccc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1Cl 761800179 FWVWJTSEMLNFNL-UHFFFAOYSA-N 407.853 4.560 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccc(Cl)cc3Cl)no2)C1 771198542 DJEPHLXUWBVOTQ-HNNXBMFYSA-N 405.197 4.817 5 20 HJBD C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nnc(-c2cccc(Cl)c2)o1 785937278 SWNDWIDFIFMCRV-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)c1F 788288718 RUVABJUSWNFRNE-OAHLLOKOSA-N 409.417 4.507 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1csc(-c2cccc(Cl)c2)n1 791620995 UMFXIWIBVQGXCS-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD C[C@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 803059673 WTUSLKPKLBLRDO-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1cc(F)c(Br)c(Cl)c1 808070238 LGOHPYXOOPBDCL-UHFFFAOYSA-N 409.545 4.680 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCc1nc(Cc2ccccc2[N+](=O)[O-])no1 809242224 PJVQBUCMLOAZIP-UHFFFAOYSA-N 424.457 4.701 5 20 HJBD O=C(Nc1ccc(-c2ccccn2)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 813304335 TZLKILGNUYDUIU-UHFFFAOYSA-N 402.454 4.899 5 20 HJBD Cc1nc(-c2ncccn2)sc1C(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 920329392 JHYSRCXWKJJLFA-UHFFFAOYSA-N 418.434 4.703 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 1118071219 RVCFKJVHQLPKAT-LBPRGKRZSA-N 406.354 4.846 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1nc(-c2ccccc2)oc1C1CC1 1318152623 ABOQBPHNQFSJDF-UHFFFAOYSA-N 415.409 4.770 5 20 HJBD CCOc1ccc(-c2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1OC 1349924009 YXTDLRBWFSVSBC-LLVKDONJSA-N 419.821 4.846 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1ccccn1 55515616 HHXSUNKCUSDJTJ-NRFANRHFSA-N 402.454 4.579 5 20 HJBD Cc1nc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)sc1Cc1ccccc1F 58545282 NWKWOLNLAJSKTA-UHFFFAOYSA-N 410.430 4.823 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc2[nH]c(-c3cc(F)ccc3F)nc2c1 59332453 LAENBUNVIHGCGJ-UHFFFAOYSA-N 408.364 4.598 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C(=O)Nc2cccc(F)c2)c1 59783605 NURODCGGRGUYEH-FMIVXFBMSA-N 405.385 4.638 5 20 HJBD COc1cc(CN(C)Cc2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1OC(F)F 105367607 GFWIOHXFIZDVDC-UHFFFAOYSA-N 420.334 4.856 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 302995054 NDWYNGKGFUGYMV-IRLDBZIGSA-N 404.470 4.579 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1ccc(Br)cc1[N+](=O)[O-] 430620407 HRRVZPLJXSTEOX-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCSc1nccnc1C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 430841700 XSAKCTZHGVKZFK-UHFFFAOYSA-N 418.525 4.962 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 433239712 ILFJPODJZWKWFE-UHFFFAOYSA-N 403.438 4.763 5 20 HJBD COc1cccc(C(=O)N(C)[C@@H](c2ccc(Cl)cc2)C(F)(F)F)c1[N+](=O)[O-] 435812549 XVZMGSKKSJIIKU-HNNXBMFYSA-N 402.756 4.632 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)ccc1OC1CCCC1 437124126 BDQUHXJFRRVWHY-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD CN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(C(F)(F)F)cc1Cl 439121454 YXCGGASTIKLJLC-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD C[C@H](c1nc(CC(=O)Nc2cccc3ccccc23)no1)c1ccc([N+](=O)[O-])cc1F 445350731 LPMBMJLZSUUXIV-ZDUSSCGKSA-N 420.400 4.603 5 20 HJBD CNc1c(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cccc1[N+](=O)[O-] 462903147 QSSOUGSTJDEEMQ-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Br)c1 463427424 VBPPTBFRABORGF-VIFPVBQESA-N 415.190 4.701 5 20 HJBD O=C(NCCCO[C@@H]1CCCc2ccccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463825453 PAJYANCILOKYQY-LJQANCHMSA-N 422.403 4.828 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])N(Cc1ccccc1C(F)(F)F)C1CC1 466148419 ROKZNFIMFRIKMR-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD O=C(NCc1cc2cc(Br)ccc2[nH]1)c1cc2cc([N+](=O)[O-])ccc2o1 466411971 JDZYXRUTODVDOF-UHFFFAOYSA-N 414.215 4.515 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccncc2OCC(F)(F)F)s1 466413988 NCUWWRBEVIOHEP-UHFFFAOYSA-N 423.416 4.949 5 20 HJBD CCc1ccc([C@H](NC2CCN(c3nccs3)CC2)c2ccc([N+](=O)[O-])cc2)o1 467434335 UUNCTIHTEDXGAQ-HXUWFJFHSA-N 412.515 4.555 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c(-c2nc(C3CC3)no2)c1 472849307 DIJSAKMYPCCDTG-CYBMUJFWSA-N 407.430 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O 476129933 BLLOLXLPFLDZJC-AEFFLSMTSA-N 424.284 4.640 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1N)[C@H](c1ccc(C(C)C)cc1)c1cccnc1 487639625 USOOVJUFAVKXBB-HSZRJFAPSA-N 418.497 4.947 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CC[C@H](C(F)(F)F)c2ccccc21 492144927 SBXPTTMKCSKLPC-INIZCTEOSA-N 403.360 4.632 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])[C@@H](C)c1ccccc1OC 500009293 QXUYYFKMFYHXJO-HNNXBMFYSA-N 416.474 4.624 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H]1C[C@H]1c1ccc(Br)cc1 502016363 ZGPLNARQYDPUDX-RBUKOAKNSA-N 417.303 4.898 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 502127298 NHKGYOVMVOAVOD-LLVKDONJSA-N 403.797 4.548 5 20 HJBD Cc1nc(COc2cccc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c2)cs1 509972233 NXSBRUQRENJXFP-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CCN(CC)C(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 512599758 IVBXSKHCIXYOPV-UHFFFAOYSA-N 410.499 4.812 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(Cn4ccnc4)cn3)o2)c(Cl)c1 513059002 UZBKEJWSDNCGGZ-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@H]3c3cccc(F)c3)cc2)c(C)c1[N+](=O)[O-] 515999303 YZCQAJUEAQRAPJ-NRFANRHFSA-N 422.460 4.573 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](C)c1nc(C(C)(C)C)cs1 517363533 FSNGSTCSQJNKNW-QWRGUYRKSA-N 411.911 4.647 5 20 HJBD COc1ccc(CN(Cc2ccccn2)C2CC2)cc1OCc1ccc([N+](=O)[O-])cc1 519723531 LKKINPVVQWOLNZ-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(=O)N1CCCCC1)c1ccc([N+](=O)[O-])cc1Cl 522236450 KIFBQIPSOFXDLB-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(CN2CCCCC2)c1 523976952 LZMDIKJTSUPXET-UHFFFAOYSA-N 417.893 4.642 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OCc1ccon1 525694134 BRFHHUQHFJGJSZ-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD Cc1nc(O)c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1-c1ccccc1 530924596 WFJHUQFYTOMABB-UHFFFAOYSA-N 405.454 4.722 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(CCc4ccc(O)cc4)CC3)o2)c1 532478843 RORHHCWKYNZHQD-UHFFFAOYSA-N 421.497 4.843 5 20 HJBD Cn1c(=O)oc2cc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)ccc21 532889442 QFHSCOCQYQZWMM-UHFFFAOYSA-N 409.373 4.583 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(C[S@@](C)=O)c3C)o2)c([N+](=O)[O-])c1 532897965 UAOGFYHTGGQFNK-GDLZYMKVSA-N 414.483 4.662 5 20 HJBD CC(C)[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1F 542904633 BOLVPLHOMUCJKU-IBGZPJMESA-N 417.824 4.768 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 543289560 MTNXZLYIPCMTBE-GFCCVEGCSA-N 417.874 4.873 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1 543370163 YZJXZKYQUQIYKR-KSSFIOAISA-N 418.877 4.635 5 20 HJBD O=C(Nc1cnn(-c2ccccn2)c1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 543439418 JRZJKESAOAICMG-UHFFFAOYSA-N 423.498 4.710 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(Cc2c[nH]c3cc(Br)ccc23)n1 545691035 KAYWDRDTFSTNQY-UHFFFAOYSA-N 413.231 4.788 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(-c3cccs3)nc3ccccn23)c1 549300236 OWUUXPSALSVLAJ-UHFFFAOYSA-N 408.439 4.622 5 20 HJBD CC(C)CCOC1CCN(c2nnc(-c3cccc([N+](=O)[O-])c3)n2CC(C)C)CC1 549446766 UCBKFCAKOCRYPN-UHFFFAOYSA-N 415.538 4.541 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CC[C@@H]3c3ccc(Cl)cc3)cc2)c(C)c1[N+](=O)[O-] 552989538 DDBUGTNUSLHUDP-HXUWFJFHSA-N 424.888 4.697 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 561135777 JQJMYDLYLUHVGN-PWRODBHTSA-N 405.498 4.603 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])s1 590456050 DBHDFSHQKMHBLU-UHFFFAOYSA-N 401.513 4.682 5 20 HJBD Cc1nc(NC(=O)Cc2ccccc2[N+](=O)[O-])sc1Cc1cccc(Cl)c1 603974404 SXIRSVFXLNPTAY-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604288676 NZOIZQHBPAURPI-OCAPTIKFSA-N 419.709 4.843 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C)nn2-c2cccc(Cl)c2)cc1[N+](=O)[O-] 604456048 ZXUCXLPTDSIJRP-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD O=[N+]([O-])c1ccc(OCCCN2CCCC[C@@H]2c2nc(-c3ccccc3)no2)cc1 609101988 KYCXGKFKYWCVKJ-HXUWFJFHSA-N 408.458 4.641 5 20 HJBD NC(=O)c1ccc(CSCCOC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 609235885 WDZRNGCWCQDNDU-UHFFFAOYSA-N 422.506 4.733 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)ccc1F 609555982 PGXZVTBNEOTHPS-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD O=[N+]([O-])c1ccccc1CNS(=O)(=O)c1ccc(Oc2ccccc2Cl)cc1 609691879 UDJZNWNDEJASEA-UHFFFAOYSA-N 418.858 4.519 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@@H]1Cc1ccc(F)cc1 610044606 FYZIXPBMURKXPK-OAHLLOKOSA-N 421.266 4.734 5 20 HJBD CN([C@H]1CCCc2ccccc21)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 610259597 OWWYAMIANDMTLV-HNNXBMFYSA-N 415.298 4.600 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(Oc2ncnc3ccc([N+](=O)[O-])cc23)cc1 611700035 MCCFXNFOQYUGGZ-UHFFFAOYSA-N 416.393 4.591 5 20 HJBD COc1cccc(C(Nc2ncnc3ccc([N+](=O)[O-])cc23)c2cccc(OC)c2)c1 611708341 NILXGFYSFVHELY-UHFFFAOYSA-N 416.437 4.757 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 616118989 HVPIUSVJNMRJLA-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H](C)Oc3ccc(F)cc3)n2)cc1[N+](=O)[O-] 619729497 QSYGVDNVJPATFP-GFCCVEGCSA-N 401.419 4.572 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)OCc3nnc(C(C)C)o3)cc2[N+](=O)[O-])cc1 726992515 VEZVLSIJDDRZPX-UHFFFAOYSA-N 413.455 4.918 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N(C)C 728006177 HTXCFCLGYKERRV-UHFFFAOYSA-N 423.444 4.940 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)CSc2ccccc2[N+](=O)[O-])cc1 729402296 PALQOMJGRDZAHR-UHFFFAOYSA-N 403.460 4.673 5 20 HJBD O=C(CCSc1ccc(F)cc1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735442156 GCGIVXLMURZSKL-UHFFFAOYSA-N 402.403 4.615 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(-c2ccccc2Cl)[nH]n1 735601043 DTTICYINENLYLT-UHFFFAOYSA-N 424.800 4.650 5 20 HJBD CC(C)(C)c1noc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 736297328 WBZAGLQQPKRONV-UHFFFAOYSA-N 421.409 4.628 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccccc2OCC(F)(F)F)c1 744530657 KFBFLNXDZLBAGR-UHFFFAOYSA-N 401.362 4.615 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cs2)o1 745564762 FKOPXCOXQQDOAQ-UHFFFAOYSA-N 412.345 4.996 5 20 HJBD Cc1c(C(=O)N[C@H](c2ccccc2)c2ccc(F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618947 HKPGCEQPGNWYQW-HXUWFJFHSA-N 423.400 4.778 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)cs2)cc1 749784228 FKYXPQNCXKJDEE-UHFFFAOYSA-N 401.419 4.899 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](SC[C@H]1CCCO1)c1ccccc1 754923405 NBQWMBLREHYUNU-QAPCUYQASA-N 406.891 4.840 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 757814679 JCOVDTXJMJPCJP-HNNXBMFYSA-N 406.360 4.790 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N(C1CC1)[C@@H]1CCc2ccccc21 758119539 QLVWRXDLFDWBAX-MRXNPFEDSA-N 401.260 4.649 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)Nc2cc(-c3ccccc3)cnc2C)cc1[N+](=O)[O-] 760270958 RVSLRFJPFUNMRG-UHFFFAOYSA-N 417.874 4.728 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)NCCC2CC(OC(C)(C)C)C2)CC1 762402230 YJXSRUJVNISYHM-UHFFFAOYSA-N 417.550 4.545 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 762963412 SJHFDFGFKMEGHJ-LBPRGKRZSA-N 405.497 4.791 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1[N+](=O)[O-] 762975528 YEYABYIGEPUPAF-GFCCVEGCSA-N 412.427 4.677 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(OCc3cccnc3)c(Cl)c2)c1F 764604345 LRFHHQNUIWEXGZ-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC(O)(c4ccccc4C(F)(F)F)CC3)sc2c1 764913768 KTWWOZGBQQTIFW-UHFFFAOYSA-N 423.416 4.711 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781706022 RQUVUGGPVJGXLC-KBPBESRZSA-N 419.865 4.740 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])ccc12 783363389 DOFUWXUWIMEAAX-UHFFFAOYSA-N 408.801 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(F)c(NC(=O)C3CCCCC3)c2)c1F 790250517 WYXVVIIZWGLJRS-UHFFFAOYSA-N 417.412 4.953 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCC(OCC2CCCCC2)CC1 790791439 HPFIDMNJOBWORB-UHFFFAOYSA-N 412.889 4.518 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1NCc1cn(-c2ccccc2)nc1-c1ccncc1 807950071 PZUMLKAYZBTDQP-UHFFFAOYSA-N 413.437 4.657 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(OCc2ccccc2)CC1 812843520 YPUMXBQGVKASSL-UHFFFAOYSA-N 409.269 4.723 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2c(c1)CN(C(=O)OC(C)(C)C)C2 813292342 PFBCGCFCCXLQCY-UHFFFAOYSA-N 411.458 4.660 5 20 HJBD CC(C)(C(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1ccccc1[N+](=O)[O-] 816481896 MAUILISUZMXOJE-CQSZACIVSA-N 409.269 4.819 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2 916702937 JKHSNNDYNMQYBZ-CXTCDGGRSA-N 407.308 4.884 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1OC(=O)c1nc(-c2cccs2)n(-c2ccc(F)cc2)n1 917048926 LRACBEYIEQALEI-UHFFFAOYSA-N 424.413 4.571 5 20 HJBD CC(C)Oc1cc(Br)ccc1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 919994548 UXIFEXKFARTJNR-UHFFFAOYSA-N 415.190 4.675 5 20 HJBD CCOc1cc(-c2nnc(COc3ccc4ccccc4c3)o2)c([N+](=O)[O-])cc1OC 1117209479 IBVINDPYMYURLN-UHFFFAOYSA-N 421.409 4.784 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1-c1nnc(CCSc2ccc(F)cc2)o1 1322289881 QWQBZAKXMZRQTP-UHFFFAOYSA-N 424.251 4.881 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccnc(N3CCCC3)c2)o1 1323795277 WISHPOXQCZFHEE-UHFFFAOYSA-N 412.877 4.793 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cccc(NC(=O)OC(C)(C)C)n2)n1 1324274598 MEFHHTLDJDOIQQ-UHFFFAOYSA-N 411.418 4.671 5 20 HJBD C[C@H](NC[C@@H](O)c1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)Nc2ccccc2)cc1 1346108926 GMXPIMUYDHRVAD-KSFYIVLOSA-N 420.469 4.623 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2sc(C(=O)O)cc2c1 1346522553 QHQWNYNDPRETOR-JTQLQIEISA-N 402.453 4.627 5 20 HJBD CC1(C(=O)NC2(c3ccc(NC(=O)/C=C/c4ccccc4[N+](=O)[O-])cc3)CCC2)CC1 1792315590 DNJQCWRHPHYRNO-KPKJPENVSA-N 419.481 4.542 5 20 HJBD CCn1c(SCc2cn3cccc(C)c3n2)nc2cc3ccccc3cc2c1=O 6973184 ZXALCXCCZVPDQY-UHFFFAOYSA-N 400.507 4.818 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)Cc1coc2ccc3ccccc3c12 21152573 ODJJVFCEPCZAKP-UHFFFAOYSA-N 418.405 4.527 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1Sc1nnc(NCc2ccco2)s1 22420220 SVMOZMLAQVBBIB-UHFFFAOYSA-N 402.379 4.821 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 22793087 BVQUUHYHZHRUJA-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccccc3)CCCCC2)cc1OC 25251346 FSXMOWNNDORRKJ-UHFFFAOYSA-N 412.486 4.634 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1sc2ccccc2c1Cl 28614493 IYWNTSOEBAQHNK-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD CCc1cc(N[C@@H](c2ccccc2)c2nccn2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 54389619 QFLMCSJLOFJYMJ-NRFANRHFSA-N 414.469 4.549 5 20 HJBD Cc1sc(NC(=O)c2ccc(OC(F)F)cc2)nc1-c1cccc([N+](=O)[O-])c1 195704086 ABJLBADXNXMNPD-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD C[C@H](NCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1cc(Cl)ccc1Cl 426133030 FSWLZZWEXSSMCW-NSHDSACASA-N 410.257 4.550 5 20 HJBD Cc1c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cnn1CCC(C)C 426982193 RFAGXGBWNHBQMX-UHFFFAOYSA-N 422.485 4.977 5 20 HJBD CCc1c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)cnn1CCC(C)C 427211651 HVFBJTBRXKVWQS-UHFFFAOYSA-N 423.311 4.723 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NC[C@@H]2CCC(F)(F)C2)cc1 431097419 BHNKVXVCUUDJPW-CYBMUJFWSA-N 406.385 4.561 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 436154529 PTMOLPNWCSAWBM-UHFFFAOYSA-N 419.868 4.936 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](CC)Cc2ccccc2)c([N+](=O)[O-])cc1OCC 437618116 PXPQSWNHXCSQNY-KRWDZBQOSA-N 415.490 4.877 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccc2c(c1)OCO2)C1CCCCC1 437704034 RDCIMFNPIQFZBZ-UHFFFAOYSA-N 411.458 4.999 5 20 HJBD CCC(CC)[C@@H](CCNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)OC 444255206 YKXPUMZOCUDDKT-HXUWFJFHSA-N 416.468 4.531 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 446005158 XFHSUADDFKYWTQ-IEBWSBKVSA-N 421.419 4.502 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)c1sc(Br)cc1[N+](=O)[O-] 446106065 XPOHIXODMHWAJW-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CCc1c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cnn1-c1ccccc1 466668890 ZMFGMIIBMCOLKD-UHFFFAOYSA-N 405.458 4.539 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCCC[C@@H]2c2ncon2)cc1[N+](=O)[O-] 469817253 DSDPXYJNUWMJGN-MRXNPFEDSA-N 418.519 4.874 5 20 HJBD CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])[C@@H](C)c1ccc(-c2cccc(OC)c2)cc1 470886370 PSPXAKKIWCFLIW-HNNXBMFYSA-N 408.458 4.525 5 20 HJBD COc1ccc(-c2nc(COc3c(Cl)cccc3[N+](=O)[O-])cs2)cc1OC 471286737 KSVIGTMODPTRSQ-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD Cc1c(COc2ccccc2)cccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 471467700 OCOBXWGCXDWAAR-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD CCN(c1ccc2[nH]ccc2c1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 471581831 QYLWWONQJXRFGI-UHFFFAOYSA-N 414.270 4.598 5 20 HJBD CN(C)Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1Cl 478034957 KYDSFVVXBWQHJX-UHFFFAOYSA-N 401.772 4.581 5 20 HJBD CSc1ccc(C(=O)Nc2cc(-c3ccc(OC(F)F)cc3)no2)cc1[N+](=O)[O-] 479851247 MIOXZEKIOXURQR-UHFFFAOYSA-N 421.381 4.825 5 20 HJBD COc1cc(C(=O)N[C@H](c2oc3ccccc3c2C)C(C)C)c([N+](=O)[O-])cc1OC 482914532 YFILQLXKSLHVML-FQEVSTJZSA-N 412.442 4.794 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1C 483438143 LQKRCRBDELBYDC-UHFFFAOYSA-N 417.487 4.625 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)NC(C)(C)C 488032547 OPDHTJFEWSRUKA-HNNXBMFYSA-N 412.490 4.623 5 20 HJBD C[C@H]1CN(c2ncnc3sc([N+](=O)[O-])cc23)C[C@@H](c2cccc(C(F)(F)F)c2)O1 488707811 FMMZYGRMXRDDSI-HZMBPMFUSA-N 424.404 4.585 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489095398 NKIBKPZJKJMGQT-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD CC(C)c1c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)cnn1-c1ccccc1 489405856 BOJHFDIQFNYCRT-UHFFFAOYSA-N 419.485 4.756 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])CCC2 498467670 GLGNJIKJHOKHNW-OAHLLOKOSA-N 422.938 4.529 5 20 HJBD C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 499763402 OPHKQADKSQEFTP-UONOGXRCSA-N 409.408 4.947 5 20 HJBD Cc1ccccc1[C@@]1(F)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 506331482 YQBBGJZWLGUSJN-RUZDIDTESA-N 418.443 4.840 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccco2)nc2ccccn12 507915718 VCLIQVJAKOXSCS-CYBMUJFWSA-N 408.439 4.622 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 511922320 KEKTVRMOMXEFIX-NSHDSACASA-N 415.298 4.816 5 20 HJBD CCCCOc1ccc([C@H](C)NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1OC 515576916 AWIMTNFCNMMWIO-ZDUSSCGKSA-N 406.866 4.927 5 20 HJBD Cc1cc(NCc2ccc(Oc3ccc(C(C)(C)C)cc3)c([N+](=O)[O-])c2)n(CCO)n1 518784907 YHXXBPWHKZYATH-UHFFFAOYSA-N 424.501 4.794 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCSc2ccc(F)cc21 520433496 BQLRSKYZGBFJCG-QMTHXVAHSA-N 410.854 4.508 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)C(=O)NCCc1ccc([N+](=O)[O-])cc1 520579896 RHKZSNAUXFMWJX-UHFFFAOYSA-N 403.482 4.858 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccc2)cc1)c1ccc([N+](=O)[O-])s1 521869194 OGQPTPHTOBAZFA-GOSISDBHSA-N 421.478 4.886 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@@H]1CCCCN1C(=O)c1ccccc1[N+](=O)[O-] 522269388 KKKLWQWZGQMBHH-KRWDZBQOSA-N 422.268 4.535 5 20 HJBD C[C@@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 524265572 VFOVMWRGYCXYNZ-GFCCVEGCSA-N 404.264 4.657 5 20 HJBD C[C@H]1[C@H](c2ccccc2)C[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 525130970 YUBISZZDOQYCJC-SELNLUPBSA-N 410.517 4.948 5 20 HJBD CCCN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@H](C)C(=O)Nc1ccccc1 533498288 DQMQGBSTHPMYJY-QGZVFWFLSA-N 422.485 4.532 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(CC)c(C(=O)OC)s1 537461131 GAOYDOYHMPDTFT-UHFFFAOYSA-N 423.516 4.545 5 20 HJBD C[C@@H](N[C@H](C)c1ccccc1OC(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 538144059 KYKSOKKEJZNZKZ-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD CCc1ccc([C@H](NCc2cccc(Cn3cccn3)c2)c2ccc([N+](=O)[O-])cc2)o1 542480064 ZIRDABMCQAGJAV-XMMPIXPASA-N 416.481 4.874 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1)[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 543107891 MZAQGJMBLHVDMM-AWEZNQCLSA-N 406.282 4.989 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)Nc3ccccc3)s2)cc1[N+](=O)[O-] 543926323 DVSJANUMGGNZPI-UHFFFAOYSA-N 412.427 4.561 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3c[nH]c4cc(Cl)ccc34)no2)cc1 544691592 ULVGCLHOZHFGDU-UHFFFAOYSA-N 400.847 4.996 5 20 HJBD Nc1ccc(-c2noc(CCCC(=O)c3ccc(Cl)c(Cl)c3)n2)cc1[N+](=O)[O-] 546964719 MUNZDDOYFBFEID-UHFFFAOYSA-N 421.240 4.739 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 547242487 MHDQJHZEQYOWSS-AWEZNQCLSA-N 420.506 4.565 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Br 549224508 GWCCRBIHYAIZKY-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD COCCC[C@H](CNC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(Cl)cc1 554245890 AZHIHBOBQULVBD-QGZVFWFLSA-N 419.909 4.600 5 20 HJBD CC[C@@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1ccccc1Cl 556872574 ZRZZYMDFMQHJAV-FXAWDEMLSA-N 414.893 4.518 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 567577894 LEURLWIMDNHXHX-JKSUJKDBSA-N 400.475 4.619 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 568687005 HJHGBOHLPPYBSI-IBGZPJMESA-N 421.457 4.966 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@@H](c4ccc(Cl)cc4)C3)o2)c1 571653457 LGRSUBNKKRARHE-HXUWFJFHSA-N 413.861 4.519 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 576783716 OXSVNJQMVFATGL-JOCHJYFZSA-N 424.478 4.669 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)Cc1cc(OC)c(OC)cc1[N+](=O)[O-] 577443293 MYBRYARFEABTDR-UHFFFAOYSA-N 407.470 4.696 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(c4c[nH]c5ncccc45)CC3)o2)c1 578688662 CBQHVTSAJQDPNE-UHFFFAOYSA-N 417.469 4.548 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc(NC)c([N+](=O)[O-])c2)c2ccccc2)cc1 603613072 NALHDUAVFVMCQU-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613681 HQNOUZQWFZCQDH-UHFFFAOYSA-N 406.282 4.818 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H](Oc1ccccc1)c1ccccc1 603742806 QTDMHALZBQQLLK-LJQANCHMSA-N 414.364 4.955 5 20 HJBD Cc1c(Cc2ccc3c(c2)OCO3)sc2nc(-c3ccc([N+](=O)[O-])cc3)nc(O)c12 603919087 QRUXZFZKGXLMKA-UHFFFAOYSA-N 421.434 4.600 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 604456808 AUTLXZSGEVLLHS-OAQYLSRUSA-N 412.392 4.595 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc(C)c([N+](=O)[O-])c1 604493708 BHECHVVJSRFVKU-CYBMUJFWSA-N 416.421 4.694 5 20 HJBD CCc1ccc(-c2csc(NC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)n2)s1 609009636 ALIOEQAUQBRDOP-UHFFFAOYSA-N 403.485 4.532 5 20 HJBD O=C(CCCSc1ccc(Br)cc1)NCc1cccc([N+](=O)[O-])c1 609372251 GSEXPQKGQHNFBY-UHFFFAOYSA-N 409.305 4.546 5 20 HJBD COC(=O)CC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 609599666 NIQDYIGQXWPFSU-IBGZPJMESA-N 411.483 4.502 5 20 HJBD Cc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)n(-c2ccc(Cl)cc2)n1 609783367 QKYRWUSMEOERMG-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD C[C@H](O)C[C@H](C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610126250 QTXVXLAOURGTCY-STQMWFEESA-N 408.907 4.536 5 20 HJBD Cc1[nH]nc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1-c1cccc(Cl)c1 611164895 SFMHURKADQSKNI-UHFFFAOYSA-N 409.833 4.609 5 20 HJBD Cc1ccc2nc(CNC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cn2c1 611201390 AHOAYDMPEZRVJG-UHFFFAOYSA-N 410.499 4.516 5 20 HJBD O=C(OCCOc1cccc([N+](=O)[O-])c1)c1csc(-c2ccccc2Cl)n1 727562005 TYFCACAVXRBQBI-UHFFFAOYSA-N 404.831 4.608 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3C)c2)n1 729336217 DPKNKXVPBYFJGD-UHFFFAOYSA-N 413.415 4.572 5 20 HJBD CC(C)[C@@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc2c(c1)CCC2 730645221 SMNDCDHUBYGWPQ-LJQANCHMSA-N 416.861 4.557 5 20 HJBD O=C(Cc1c(Cl)cccc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735441932 BEHOTQWPXLLVAT-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2CCO[C@H](c3cccc(Br)c3)C2)c1 735490114 FRAJLICRDBEKIY-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD Cc1nc(COc2ccccc2C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cs1 747444009 BWAUXNZJRYVPKI-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1ccc(Br)cc1[N+](=O)[O-] 750838895 SAWKUWAZIGXHNX-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1noc(C)c1[C@H](C)CC(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 753911623 RYSKXXTZMQDPLR-CYBMUJFWSA-N 423.425 4.551 5 20 HJBD COc1ccc2c(c1)OC[C@@H]2CC(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 760431664 XTEZJXYBXKXXRC-AWEZNQCLSA-N 416.861 4.566 5 20 HJBD C[C@H](C(=O)Nc1ccc(N2CCc3sccc3C2)cc1)c1cccc([N+](=O)[O-])c1 761690741 RIVVOKOFFKFIAQ-HNNXBMFYSA-N 407.495 4.961 5 20 HJBD CSc1ccc(-c2csc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)n2)cc1 763380253 AVFKXUYPFVKTDK-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765038784 QPKNLQPBYPRMRD-SJORKVTESA-N 421.592 4.573 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1cc([N+](=O)[O-])ccc1F 766870403 PPJQJHNXPWKEFN-MRXNPFEDSA-N 415.421 4.804 5 20 HJBD O=C(CCc1ccc2ccccc2n1)OCc1ccc(Br)c([N+](=O)[O-])c1 773381843 UHSJZVYNCZGELZ-UHFFFAOYSA-N 415.243 4.582 5 20 HJBD C[C@@H](NCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 775423745 QNBCFFYYEVLAJH-CQSZACIVSA-N 410.861 4.746 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496116 SMPRSDNTOABEIN-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccccc3OC[C@@H]3CCCCO3)CC2)cc1 777653519 XLZNGZXSQIZUOP-NRFANRHFSA-N 411.502 4.624 5 20 HJBD O=C(OCc1ccc(Br)c2cccnc12)c1c(F)ccc([N+](=O)[O-])c1F 785361141 GTZBZLHYCXAYOJ-UHFFFAOYSA-N 423.169 4.541 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H]3CCOC(C)(C)C3)cc2[N+](=O)[O-])n1 785906044 PFLJIIPVSOMMFO-CYBMUJFWSA-N 408.501 4.625 5 20 HJBD Cc1nc2ccc(Cl)cn2c1NC(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 786649427 WRYAMGZESFFAFL-UHFFFAOYSA-N 423.654 4.528 5 20 HJBD CC(C)c1noc([C@H](C)OC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n1 787244594 BMCVURIEWBLJTC-AWEZNQCLSA-N 411.414 4.598 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790558560 GURYRTAKSADIPA-QVKFZJNVSA-N 420.868 4.522 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccc(Cl)cc2)no1 792182040 WMQXMPLHHQVPGU-SNVBAGLBSA-N 424.791 4.750 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cnn1-c1ccc(Cl)cc1 792515437 HDFMWVZSEIDYHS-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(NC[C@@H](O)c1cccc(Br)c1)c1cc2ccccc2c2cccnc12 802318196 CUQMYEUUGUKONT-HXUWFJFHSA-N 421.294 4.614 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1ccnc(-c2ccc(Cl)cc2)n1 805658591 INQZJZLRFQFAJD-UHFFFAOYSA-N 404.209 4.716 5 20 HJBD O=C(Cc1cc(Cl)ccc1Br)Nc1cccc([N+](=O)[O-])c1Cl 813242542 SEDVYRKUJUKHCX-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD CN(C)C(=O)Sc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 815352595 VHACZBLCNJZQDB-UHFFFAOYSA-N 413.377 4.640 5 20 HJBD O=C(Nc1cccc(CNc2cc(Cl)c(F)cc2[N+](=O)[O-])c1)c1ccncc1 820635327 VECNXXUDKKZZLG-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD O=C1N[C@@H](c2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)Nc2cc(F)c(F)cc21 877858921 LSSNETORRZOZFK-KRWDZBQOSA-N 405.744 4.641 5 20 HJBD Nc1c(Cl)cc(-c2nc(-c3ccc(OCc4cccnc4)cc3)no2)cc1[N+](=O)[O-] 904471130 AGNVNQSTJRAADU-UHFFFAOYSA-N 423.816 4.521 5 20 HJBD COc1ccc(COC(=O)c2cnc3ccccc3c2C(F)(F)F)cc1[N+](=O)[O-] 918987810 JVXJLBMNPGRPAF-UHFFFAOYSA-N 406.316 4.527 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 920286182 QQBJUNKPJZCQPM-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD C[C@H]1CN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])Cc2ccccc21 922309519 KHCGAUQXGRBMNN-LBPRGKRZSA-N 410.417 4.852 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o1)N2 1115952817 CNKFTBHTJHBUFJ-LJQANCHMSA-N 413.817 4.713 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CN[C@H]1CCCc2ccc([N+](=O)[O-])cc21 1321283096 PGZCZSOGORIGHP-NRFANRHFSA-N 411.502 4.787 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)s2)cc1 1323497543 XUQMPYJWXMMARE-UHFFFAOYSA-N 409.467 4.557 5 20 HJBD Cc1occc1C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 5397598 XAINVWMLLMUSSY-SFHVURJKSA-N 414.801 4.686 5 20 HJBD C[C@@H](c1ccc(F)cc1)N(C)C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 11509409 JPJFXUFBBIOOSC-NSHDSACASA-N 405.476 4.655 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)N(Cc2ccccc2)c2ccccc2)ccc1Cl 20923575 MYKFWYNRIUAYQB-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD O=C(Nc1ccc(Cl)c2ncccc12)C1CCN(c2ccccc2[N+](=O)[O-])CC1 26082594 CVNMCVADOIHIPZ-UHFFFAOYSA-N 410.861 4.652 5 20 HJBD CCOCc1ccccc1CNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 30180163 IJCMWTKMYUHQTJ-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccccc3Cl)cs2)c([N+](=O)[O-])cc1OC 36726784 OOPYZCQDPTYLCR-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)c1cc(C)n(CCc2cccs2)c1C 72068033 YHOZPDLWGVPBKV-UHFFFAOYSA-N 414.483 4.588 5 20 HJBD COc1ccc(OCc2coc(-c3ccc(Br)cc3)n2)c([N+](=O)[O-])c1 109125091 AFHNRLAYWWBPIQ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cn(Cc3ccccc3)nc2-c2ccccc2)cs1 237996889 GRZAKGAZMNECNF-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N1CCC[C@H]1c1cccc(Cl)c1 301266624 UJODKUPVKCDFIC-AWEZNQCLSA-N 416.833 4.586 5 20 HJBD CCOc1cc(NCCC(=O)Nc2cc(Br)ccc2C)ccc1[N+](=O)[O-] 301500877 DSNNWXHSWAQKPE-UHFFFAOYSA-N 422.279 4.505 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Oc1ccc(F)c(C(F)(F)F)c1 302619442 DDNFYZSNOPIILL-UHFFFAOYSA-N 415.267 4.541 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2nnc(Cc3cccc(F)c3)s2)cc1[N+](=O)[O-] 303282385 IXUVTUNIQDXIFK-UHFFFAOYSA-N 414.462 4.726 5 20 HJBD CCCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1ccccc1C 429276867 UNWPXLQZSFVNEO-UHFFFAOYSA-N 408.458 4.611 5 20 HJBD O=C(Nc1nnc([C@@H]2CCCc3ccccc32)s1)c1ccc([N+](=O)[O-])cc1Cl 432630875 LGZYCVZCUAIPSE-CQSZACIVSA-N 414.874 4.820 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN3C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)[nH]c2c1 436231255 KQCJFDDDBQMWPO-QHCPKHFHSA-N 422.488 4.843 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)ccc1Cl 436319763 SVZWDVZIELJQIY-UHFFFAOYSA-N 405.841 4.545 5 20 HJBD CC(C)(C)c1noc(CCCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)n1 440603979 TUDSMZRRRNWMFQ-UHFFFAOYSA-N 422.485 4.831 5 20 HJBD C[C@@H](Cc1cccc(O)c1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442639824 YNEKFJLDEXFYKI-HNNXBMFYSA-N 408.479 4.813 5 20 HJBD COc1ccc(N(C(=O)c2sc(Br)cc2[N+](=O)[O-])C(C)C)cc1F 447340704 MJXXLKFHHDDQBV-UHFFFAOYSA-N 417.256 4.622 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2ccc3c(c2)CCCO3)c1 460150960 NXYFETSVSVBTEN-HSZRJFAPSA-N 402.450 4.748 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 460243464 PFBVZRMJDLZFJP-UHFFFAOYSA-N 402.472 4.568 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc2cc(Cl)ccc2s1 462436072 KCEGCQIIPHTDGK-AWEZNQCLSA-N 417.918 4.786 5 20 HJBD O=C(NCCc1ccccc1C(F)(F)F)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463172159 LTIOIZJARWUNKT-UHFFFAOYSA-N 406.282 4.605 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1c(-c2cccc(Cl)c2)noc1C 466509870 KIJRWATVWBQJCI-UHFFFAOYSA-N 401.806 4.863 5 20 HJBD CC(C)n1c([C@H]2CCCN2C(=O)CSc2ccc([N+](=O)[O-])cc2)nc2ccccc21 467504277 RULDGHRDFPSIII-HXUWFJFHSA-N 424.526 4.981 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 470402690 BDOQZGSHVCBRBW-GFCCVEGCSA-N 407.854 4.923 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 470523682 NGLOVBOTYOBYED-STQMWFEESA-N 407.854 4.545 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)Nc3cc(F)cc([N+](=O)[O-])c3)ccc21 470699300 OEZCWSZJWWNUOD-UHFFFAOYSA-N 410.327 4.936 5 20 HJBD CSc1cc(C(=O)Nc2n[nH]c(-c3cccc([N+](=O)[O-])c3)n2)c(Cl)cc1Cl 473426505 ILKZGUUITWZWAH-UHFFFAOYSA-N 424.269 4.661 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)s2)cc1 482950733 AVWHESXRNVPXPE-UHFFFAOYSA-N 423.498 4.542 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1csc(-c2ccc(F)cc2)n1 485378887 DKHGVQLGZPISBI-ZDUSSCGKSA-N 420.487 4.842 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC(F)F)c1 487173560 VMQVUSLBFBBVPH-UHFFFAOYSA-N 406.307 4.513 5 20 HJBD CCCCn1c(SCc2c(Cl)cccc2[N+](=O)[O-])nnc1[C@@H](CC)N(C)C 487554170 DCZBFTFUAFJUSO-OAHLLOKOSA-N 411.959 4.945 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 488894389 IBZCOGRBIRHJED-UHFFFAOYSA-N 420.347 4.899 5 20 HJBD Cc1cccc([C@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)c1 498231412 KWEFAOYLBBLWMK-JTSKRJEESA-N 404.470 4.756 5 20 HJBD Cc1ccc(O)c(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 498831834 QIDZLTUHYYTZDM-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2N2CCSCC2)c1 499468273 CGDHMWOWYKOYTP-UHFFFAOYSA-N 423.947 4.776 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@@H]3CN(C(C)C)CCO3)no2)cc1[N+](=O)[O-] 500913816 CWSHDNSCHSOFTL-KRWDZBQOSA-N 420.535 4.565 5 20 HJBD Cc1c(NC(=O)[C@@H](C)NCC2(c3c(F)cccc3F)CCCC2)cccc1[N+](=O)[O-] 501980918 ISYNAKUSGDWQJI-OAHLLOKOSA-N 417.456 4.610 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 509867988 UDHIPDBASDZBKO-AWEZNQCLSA-N 400.438 4.990 5 20 HJBD Cc1cc(Br)cc2cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)oc12 510003165 ROZCSBPEJUOKBP-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(Cc1ccccc1)Cc1ccccc1 518891774 GWHAMWLKFHPPIC-UHFFFAOYSA-N 413.433 4.691 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])n1C 520729563 OFBWLHTUHVUJPH-JTQLQIEISA-N 404.879 4.510 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccco3)c(-c3ccco3)s2)ccc1[N+](=O)[O-] 522242361 ZDTJAFZBFXAMET-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522740434 XYGSSGWAODYJDA-OAHLLOKOSA-N 401.894 4.804 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1C[C@@H]1c1c(F)cccc1F 523844563 MVVAHYZIPDJCEN-QWHCGFSZSA-N 401.394 4.739 5 20 HJBD O=C(COc1ccc(Cl)cc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525084317 OXFYQTMMTKZGDU-KRWDZBQOSA-N 413.282 4.555 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533969598 UFZZIOTWQWRSFZ-LBPRGKRZSA-N 405.376 4.857 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccccn2)ccc1OCc1ccc([N+](=O)[O-])cc1 534331878 DXDODZLSZFEXIK-QFIPXVFZSA-N 419.481 4.915 5 20 HJBD C[C@@H]1C[C@@H](C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCO1 537338477 JXBWFNBMJFOAIL-OCCSQVGLSA-N 422.840 4.611 5 20 HJBD CC(C)CN(C(=O)c1ccnc(C2CC2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540447304 FEAPZTSPPUDRIL-UHFFFAOYSA-N 423.498 4.689 5 20 HJBD C[C@H](C[S@](C)=O)NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 542309274 CTJGQLTZELTRCP-JMGYQRAPSA-N 404.532 4.541 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 543668760 PXDKLJQMAMIPKA-ZRCAFCQKSA-N 415.465 4.665 5 20 HJBD Cc1ccc(C2(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)CCOCC2)cc1 543855053 WNVLWALZGORZTF-UHFFFAOYSA-N 408.376 4.609 5 20 HJBD CCCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnn1-c1cccc(F)c1 544296483 YEYUAXAVZMYTOR-UHFFFAOYSA-N 408.389 4.871 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550393486 MTYNIVHTEUMQBG-ABAIWWIYSA-N 418.293 4.918 5 20 HJBD COc1cc(CNC(C)(C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC(F)F 551463131 BLQIYFGYKPXEBT-UHFFFAOYSA-N 400.809 4.883 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](c2cncc(Br)c2)C2CC2)cc1OC(F)F 553252286 GGDUBTVPQNCHKH-HNNXBMFYSA-N 414.206 4.917 5 20 HJBD COc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc(OC)c1C 554438551 DPBYALIPAPJXJK-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1ccccc1COc1ccccc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 558356744 PJXZZVGNSPBLAG-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3cccc(Cl)c3)o2)cccc1[N+](=O)[O-] 598674219 OAKSNXYCJNVPNG-NSHDSACASA-N 418.862 4.726 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC[C@H]3Cc3ccccc3)cc2[N+](=O)[O-])CC1 603839647 SJWJMOLWFRMMCR-NRFANRHFSA-N 407.514 4.678 5 20 HJBD C[C@H](Sc1ccc(Br)cc1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 603967189 WREBIIKYMUREEK-RYUDHWBXSA-N 409.305 4.715 5 20 HJBD Cn1c(-c2ccc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)cc2)nc2ccccc21 608954302 IFRVOUPXVLIDOE-UHFFFAOYSA-N 418.478 4.879 5 20 HJBD CCC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1ccccn1 609179360 KXBQRYHPCAZSBX-ZWOKBUDYSA-N 410.518 4.743 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 609507519 SFAQWDRBUTVWHE-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD Cc1nn(CCC(=O)Nc2ccccc2O[C@@H](C)c2ccccc2)c(C)c1[N+](=O)[O-] 609652335 OTMIGIVDMPMUNM-KRWDZBQOSA-N 408.458 4.577 5 20 HJBD Cc1ccc(C[C@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 609653070 XENRAUYIUHULNH-JTSKRJEESA-N 421.522 4.879 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@H](c2cc[nH]n2)C1 609780997 JARSYHZAARFJKP-HNNXBMFYSA-N 407.430 4.522 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 609976224 BKERZPAHRCQVOT-AZUAARDMSA-N 407.514 4.524 5 20 HJBD CC(C)(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1 609976507 SRQPNVGWZHEPML-UHFFFAOYSA-N 401.894 4.516 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCC[C@@H]3c3ccc(Br)c(F)c3)c2c1 619527841 QPCVQPQSWLUJOL-QGZVFWFLSA-N 417.238 4.781 5 20 HJBD CC1CCN(c2nnc(SCc3cc([N+](=O)[O-])ccc3Cl)n2C2CC2)CC1 735415152 VWMFGZJMUFGYAK-UHFFFAOYSA-N 407.927 4.703 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc2cccnc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 735935974 HTDXMHLUWBETKU-NSHDSACASA-N 424.800 4.764 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cs1 736864027 QBGNOVWDMOFHIF-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCOC(=O)c1ccnc(Nc2cccc(CC[C@@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 737405544 GKFKCWLZEYOTML-HXUWFJFHSA-N 421.453 4.576 5 20 HJBD Cc1cc(C)c(C(=O)[C@@H](C)OC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1C 740421563 GXYJYGPLFZLSSM-CYBMUJFWSA-N 420.259 4.711 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2F)cc1 742890805 GDULVEWALQFSTG-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD CCc1nncn1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746290042 UWFPEYOGBFDXBO-UHFFFAOYSA-N 424.504 4.517 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)OCc1cc([N+](=O)[O-])ccc1Cl 756463848 UQMMGXQTBZOPSM-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD C[C@H](OC(=O)CCc1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 756530677 YQRDSTQCUDTJOU-LBPRGKRZSA-N 401.806 4.535 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@H](c1cccc(F)c1)c1cccc(Cl)c1 758069735 QVQBVXZLKPGKMG-FQEVSTJZSA-N 417.824 4.615 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c(Cl)c1 762900630 VSHCCUCTZURMJE-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD CCN(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1)C(C)C 763336187 PPFJBRCKOFOBSN-UHFFFAOYSA-N 417.531 4.820 5 20 HJBD Cc1c(C(=O)N(c2cccc(C(F)(F)F)c2)C(C)C)cc([N+](=O)[O-])cc1[N+](=O)[O-] 764306442 WVQNPTVXPYBWLX-UHFFFAOYSA-N 411.336 4.885 5 20 HJBD Cc1oc(-c2ccccc2F)nc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770317667 JKCPERRSZIEWAE-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)c1cc([N+](=O)[O-])ccc1F 776406096 VCEOONFIGPNBIG-ZDUSSCGKSA-N 409.255 4.590 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)COc1ccccc1OCC(F)(F)F 778793849 FICXEWHFAHUINU-ZDUSSCGKSA-N 413.348 4.528 5 20 HJBD CCc1cnc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 784196546 KBFLDTUHBKUGBQ-ZDUSSCGKSA-N 412.471 4.539 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)cc2cccnc12 790920486 KTBUFLJTHULEKF-NRFANRHFSA-N 403.369 4.624 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(CCC(=O)OC(C)(C)C)c2)c1[N+](=O)[O-] 791887117 BCJFGYIGGYBKML-UHFFFAOYSA-N 402.422 4.569 5 20 HJBD Cc1cc(C)c2cc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]c2c1 797635105 CBBFAZQTICCQTP-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD C[C@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1 798365324 QFVNYSQSNHFKRQ-NSHDSACASA-N 410.348 4.517 5 20 HJBD O=C1OCc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc21 809351562 DENXNYQGIIZTEA-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1cccc([N+](=O)[O-])c1Br 809356579 PTQDFTHGYYHMFE-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 809920208 VGHSSGDGIBBKLG-YOEHRIQHSA-N 407.829 4.507 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(O)(c3ccccc3Cl)CC2)c1 815103806 ZITRXRIOOAVQNY-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD O=C(COC(=O)[C@@H](Sc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 864314511 NTVVFQKMQWUMKW-NRFANRHFSA-N 407.447 4.854 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 917755394 AMTQLAOXVVEELM-CQSZACIVSA-N 411.845 4.713 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCCOc1ccccc1Cl 920150832 VGAXBKDONSDHKO-UHFFFAOYSA-N 421.880 4.820 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(CCNC(=O)c2ccc(C(C)(C)C)cc2)n1 1321126869 YPUGNDPKTZMQIA-UHFFFAOYSA-N 422.485 4.532 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(Cc2cccc3ccccc23)o1 1333058947 KYIMVADGQYJWPE-UHFFFAOYSA-N 409.829 4.997 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1-c1nnc([C@@H]2CCCN(C(=O)OC(C)(C)C)C2)o1 1346043657 IFFLDTRORVFRQU-OAHLLOKOSA-N 416.478 4.685 5 20 HJBD C/C=C(\C)C(=O)NC1(c2ccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)cc2)CCC1 1786601035 FQBKJUBXZQIKJB-IJUHEHPCSA-N 421.497 4.628 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)cs1 7256923 QJDHJFGLMSXOCL-UHFFFAOYSA-N 408.483 4.879 5 20 HJBD Cc1ccc(OCC(=O)N(C)[C@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])c1 26585736 NCTFFVOHMAQLOL-HSZRJFAPSA-N 408.429 4.669 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C[C@@H]3CCOC3)C3CC3)cc2[N+](=O)[O-])cc1 63089372 DGZHFMXEMQXBGA-INIZCTEOSA-N 412.511 4.696 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccccc2)nc1 108298226 OKRWKLQGSVHVIE-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnc(-c4ccccc4)nc3)n2)cc1[N+](=O)[O-] 109318526 ZRTIHIUPZSANGB-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD CC(C)NC(=O)[C@@H]1CCC[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 236679228 GCKJFUVHUTZVNJ-HUUCEWRRSA-N 419.909 4.681 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CC=C(c2ccccc2C(F)(F)F)CC1 301647603 DRZCDVJEFNDOCQ-UHFFFAOYSA-N 416.359 4.556 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)cc1-c1nc2ccccc2[nH]1 303978759 GJIRMPKMCJIZDE-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCOc3c(-c4ccccc4)cccc32)c1[N+](=O)[O-] 435722002 LCGULJOJLGZFFA-LJQANCHMSA-N 404.422 4.524 5 20 HJBD COC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CC1 441569105 HVJPJMIVUADAKQ-QGZVFWFLSA-N 406.891 4.554 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(OC(F)(F)F)c1 441825258 OTZOGDJXVVVMHV-ZDUSSCGKSA-N 423.391 4.903 5 20 HJBD CSc1ccc(-c2nc(Cn3c(C(C)C)nc4ccccc43)no2)cc1[N+](=O)[O-] 445657412 NGEZVOLOPRYFSJ-UHFFFAOYSA-N 409.471 4.888 5 20 HJBD CCCN(CC)Cc1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1 460345016 ANYBXGPTTJJPNW-JOCHJYFZSA-N 413.543 4.715 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NS(=O)(=O)c1c(Cl)cccc1C(F)(F)F 463433002 BANWKZXNFUOAHB-UHFFFAOYSA-N 408.785 4.685 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C)nc2c1cnn2Cc1cccs1 464720988 UFVPOPOVKIPMQC-UHFFFAOYSA-N 421.482 4.572 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])s2)c1Cl 466425584 LYSZINNXRMOTET-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 471831832 MYCLUXQYOUTDRF-SFHVURJKSA-N 421.501 4.853 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)CC1(O)CCCC1 474365006 FDBDLDIELOBWQP-UHFFFAOYSA-N 403.866 4.587 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@H](c2ccc(C(F)(F)F)cc2)C1 475637367 KQYLCTXILODIPD-SFHVURJKSA-N 423.391 4.836 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479280125 KEUWORMRXKDUSU-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD C[C@@H](NC(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1cccc([N+](=O)[O-])c1 481006273 XQBWVGKHADJKRC-CQSZACIVSA-N 410.449 4.865 5 20 HJBD COCCC[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 482517711 FBVOLLYKTBRGHI-LJQANCHMSA-N 407.308 4.657 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 485917789 ZDBJRPUSTKYARW-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD COc1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(SC)cc1OC 487190300 RDBKNOIROVRGGK-UHFFFAOYSA-N 416.421 4.643 5 20 HJBD Cc1ccc(NC(=O)[C@H](Sc2nc3c([nH]2)CCC3)c2ccccc2)c([N+](=O)[O-])c1 487917662 XYBJZAQPHDUCIV-LJQANCHMSA-N 408.483 4.587 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1c1ncc([N+](=O)[O-])cc1Cl 488476721 KEQUKQOYIXXWJO-MEBBXXQBSA-N 401.772 4.628 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H](c1cccnc1)c1ccc(F)cc1F 496576540 ZNPJHRUYEXYBFM-NRFANRHFSA-N 411.408 4.828 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)c(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 498794667 ZBNGSFSRHPIQOR-UHFFFAOYSA-N 424.253 4.615 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2c(C)cc(Br)cc2C)cc1OC 500069634 JCLHSHFKXYTGER-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(Cl)c(F)c3)cc2)c(C)c1[N+](=O)[O-] 500137022 YGODYZGLUNAZGH-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2cccc(F)c2)C2CCCC2)cc1OC 500571791 SPYRPNRVDWISNS-UHFFFAOYSA-N 416.449 4.726 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](c1c(F)cccc1Cl)N1CCCC1 501038686 YAOUWFDYLQSEDP-SFHVURJKSA-N 420.872 4.654 5 20 HJBD CC(C)N(CCOc1ccc([N+](=O)[O-])cc1)Cc1nnc(-c2ccccc2Cl)o1 502780104 GWOKTYAEMTXRLF-UHFFFAOYSA-N 416.865 4.588 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(Cl)cc2)nc1C 511748759 TVLFAWJMDJRBQR-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@H](c2ccccc2)C1 514074837 VPRAKMGSZWCWFI-QFIPXVFZSA-N 404.422 4.601 5 20 HJBD C[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 514815413 DCHRYKZJBRCQJW-MRXNPFEDSA-N 410.495 4.631 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3ccc(O)cc3)o2)c(Br)c1 518777622 MPLDUSQASHHXPJ-UHFFFAOYSA-N 417.259 4.655 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 519976738 BYEJAUFMXGCERF-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD CCC[C@H](CC)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 520095385 MRVUANKDZRBSDH-HNNXBMFYSA-N 401.429 4.525 5 20 HJBD C[C@H]1Cc2ccccc2CN1C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 525080128 XUECFQHBNOWVHS-DLBZAZTESA-N 416.481 4.750 5 20 HJBD COc1cc(C(=O)Nc2ccccc2N2CCc3ccccc32)cc([N+](=O)[O-])c1OC 525558962 QPUJPBCXZQPIDF-UHFFFAOYSA-N 419.437 4.559 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cc1ccc(OCc2c(C)noc2C)cc1 530783758 NJWWWOGZJPGVFI-UHFFFAOYSA-N 409.442 4.577 5 20 HJBD COc1ccc(CC(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 532148370 MDTQYFFSPAQYAX-UHFFFAOYSA-N 422.403 4.571 5 20 HJBD CCOc1ccc(NC(=O)CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 536303873 IXPQROIXUKGYNF-UHFFFAOYSA-N 413.455 4.520 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])nc2ccccc21 537732438 VBFIATDTOZUGBC-OAHLLOKOSA-N 415.453 4.517 5 20 HJBD Cc1ccc(-n2nc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2C)cc1 538353859 OKWTYPPZDYHYJA-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F 538695964 OTBTUUVYDUFTCM-HUUCEWRRSA-N 412.795 4.729 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccn(-c4ccccc4)n3)o2)c(Cl)c1 539506634 BNNSIDLUXMDQTE-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD COc1ccc(C[C@H](NCc2cccc([N+](=O)[O-])c2C)c2ccc(OC)cc2)cc1 540341854 ZLPKPNIZWRDLLK-QHCPKHFHSA-N 406.482 4.994 5 20 HJBD C[C@@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C2CCCCC2)no1 541261976 OIVHUKBPMQZUHI-LLVKDONJSA-N 424.910 4.648 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cc([N+](=O)[O-])c1 542174247 BGOCOFLHDXDVDZ-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H]1CCSc2ccc(Br)cc21 544384916 GPXJZCYMJFLSLX-HNNXBMFYSA-N 409.305 4.693 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 545070193 LFOTXZCORCJXRR-HNNXBMFYSA-N 400.822 4.569 5 20 HJBD COc1cc(Cc2noc([C@@H]3C[C@@H]3c3ccccc3C(F)(F)F)n2)ccc1[N+](=O)[O-] 547195730 ZJKCLEKTJGBPJU-ZIAGYGMSSA-N 419.359 4.867 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ncccc1-c1ccccc1 551637748 YDOPIYJYGPPNRA-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD O=C(CC1CCCC1)NC1CCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 553390385 XXAAKVVKHPNKFX-UHFFFAOYSA-N 400.306 4.567 5 20 HJBD C[C@@H](Nc1ccc(Nc2nccc(C(N)=O)c2[N+](=O)[O-])cc1Cl)c1ccccc1 558597059 WRVSQIWPUBJQSM-GFCCVEGCSA-N 411.849 4.659 5 20 HJBD CCC[C@@H](Nc1cc(Cl)cc(C(=O)N(C)C)c1OC)c1cccc([N+](=O)[O-])c1 571409879 RKMVTFDSGUVZRH-QGZVFWFLSA-N 405.882 4.912 5 20 HJBD CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 598686217 DNYOENGIDXQZFW-CJNGLKHVSA-N 404.850 4.680 5 20 HJBD O=C(Cc1ccc(-n2cccc2)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603741895 DBJUCOBFXMCBEJ-UHFFFAOYSA-N 417.387 4.519 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCC[C@H]3c3ccc(O)cc3)cc2[N+](=O)[O-])CC1 604485210 BSTBWUHEORPHEL-FQEVSTJZSA-N 409.486 4.514 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1c[nH]c2cc(Cl)ccc12 604487075 XIKFJMNJOASTKD-NSHDSACASA-N 422.268 4.509 5 20 HJBD Cn1c(C(=O)Nc2ccc(Oc3cccc(F)c3)c3ccncc23)ccc1[N+](=O)[O-] 609105508 MCLSQDKTIHPELI-UHFFFAOYSA-N 406.373 4.665 5 20 HJBD O=[N+]([O-])c1ccccc1CSCc1noc(Cc2ccc(Br)cc2)n1 609548790 PYMVDVJOUGQXJL-UHFFFAOYSA-N 420.288 4.765 5 20 HJBD COc1cc(C(=O)N2C[C@H](c3ccccc3C)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 609976423 ODYUWPZQDCEMKT-UONOGXRCSA-N 420.412 4.531 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)[C@H](C)c1cccc(OC)c1 610039089 UIDXYWMTLMYFCY-GFCCVEGCSA-N 407.264 4.589 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 610052295 CBJJXLJLQPXSNY-UHFFFAOYSA-N 416.462 4.710 5 20 HJBD COc1ccc(NC(=O)c2cnc(-c3ccc(C(F)(F)F)cc3)s2)c([N+](=O)[O-])c1 610434066 YFXAUJRGXBJQTK-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD COc1cc(C)cc(CNCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c1 611040008 WSMQAHKZMIAEMG-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nc(Cc3nc4cc(F)ccc4s3)no2)c(Cl)c1 612932048 KRBJDQUHIKPAER-UHFFFAOYSA-N 404.810 4.562 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc(C(F)(F)F)c1 617127107 PHTVSDQYTVLRSG-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)CN(C(=O)c1ccc2[nH]c4ccccc4c(=O)c2c1)CC3 681957561 QZANPIJGXSEAIO-UHFFFAOYSA-N 407.473 4.670 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1c1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 725789476 FPCCJQWXQICRPE-AWEZNQCLSA-N 422.235 4.616 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 731578961 XMWZIHJJAXCSJH-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD O=C(C[C@@H]1OCCc2ccccc21)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 734320618 KGJOZGBCFWOWTR-FQEVSTJZSA-N 400.862 4.695 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)C[C@@H](C)c1cccc(C(F)(F)F)c1 738740655 BGSWTYCZCBNIKP-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc([C@H](C)NC(=O)OC(C)(C)C)cc1 742264367 ZHKORUOTAABYIT-AWEZNQCLSA-N 401.463 4.801 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744956531 NEVMHQZYAVRIEW-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD C[C@@H](CCc1ccccc1F)C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745574631 VMVPMRJHDZMJHN-STQMWFEESA-N 422.840 4.526 5 20 HJBD CS(=O)(=O)CCc1ccc(NC(=O)c2c3ccccc3cc3ccccc23)cc1 747167709 QLZFOXQWQUBPGB-UHFFFAOYSA-N 403.503 4.832 5 20 HJBD O=C(NCc1ccon1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 747341046 QVMCOUWRFSRQRK-UHFFFAOYSA-N 423.372 4.683 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1cccc(Cl)c1[N+](=O)[O-] 749923617 GQXFJYXPUTZELA-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CN(c1ccccc1-c1ccccc1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 751270508 AXDAURADKNYPEU-UHFFFAOYSA-N 402.859 4.740 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1csc(-c2ccc(Cl)cc2)n1 751635365 MMGMDMFBBGHUIE-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD Cc1cc(F)cc(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 752557121 LMQPOZGNZBUAGP-UHFFFAOYSA-N 408.385 4.505 5 20 HJBD CN(Cc1cc(Br)ccc1Cl)C(=S)Nc1ccc([N+](=O)[O-])cc1 754858897 UGAKHQAASHSYEV-UHFFFAOYSA-N 414.712 4.840 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754982312 RIPJGFPUSYZXHA-QMMMGPOBSA-N 417.181 4.608 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)cc1OCC 756917451 YJADTIFOADHSAB-ZDUSSCGKSA-N 421.881 4.606 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2Br)oc2ccccc12 758086497 GAZVNFDKTQDQOE-LLVKDONJSA-N 403.232 4.903 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1coc(-c2ccc(Cl)cc2)n1 758737394 MYYKFDURBQXDPC-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)c2)n1 760070400 TVQRAYJNNWIHJZ-UHFFFAOYSA-N 410.386 4.644 5 20 HJBD O=C(c1cnc([C@@H]2CCCO2)s1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 760430782 RUMHGMHFLLEKEA-HNNXBMFYSA-N 407.879 4.613 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ccc3c(c2)COC3)cc1[N+](=O)[O-])c1ccccn1 762158132 AESZPPSPMRIEOG-OAHLLOKOSA-N 419.437 4.550 5 20 HJBD Cc1cc(Cc2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)ccc1[N+](=O)[O-] 762297318 GMCLUMBLXABMEB-LBPRGKRZSA-N 400.416 4.639 5 20 HJBD COc1cc(C(=O)OC[C@H]2CCCOC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 766302251 FYSUBWSAKAHBCC-ZDUSSCGKSA-N 421.833 4.633 5 20 HJBD O=C(N=c1cc(C2CC2)[nH]c2ccc(Cl)cc12)c1cc(F)c(F)cc1[N+](=O)[O-] 766544965 QRQDFNWTGXVPIA-UHFFFAOYSA-N 403.772 4.626 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 769143499 DXGLVCNYPAMROP-FQEVSTJZSA-N 407.470 4.715 5 20 HJBD Cc1cc(C)c(CC(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c(C)c1 774071843 AXOMGWYAACHXNE-UHFFFAOYSA-N 422.485 4.550 5 20 HJBD O=[N+]([O-])c1ccc(CSc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 774667887 BDQLBLHHBVLCKI-UHFFFAOYSA-N 409.292 4.844 5 20 HJBD CS[C@H](C)CCC(=O)O[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 779108885 PSKVEHKDPAFCFZ-QRWLVFNGSA-N 416.499 4.658 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ncoc1-c1cccc(C(F)(F)F)c1 784674463 KQRCSUIGOCCRMY-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CCN(C)C(=O)[C@@H](C)NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 789876023 UDMHGQUPBXKMOE-MRXNPFEDSA-N 414.505 4.530 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 789907972 MEOATIDGJMVKHY-LJQANCHMSA-N 412.396 4.631 5 20 HJBD Cc1nnc(-c2cccc(C(=O)OCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])c2)o1 811083150 HALAJMYECQXLKC-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CC(C)(CCc1nc2cc(-c3ccc(Cl)cc3Cl)sc2c(=O)[nH]1)[N+](=O)[O-] 812449585 QVEGKAMKIIYULO-UHFFFAOYSA-N 412.298 4.946 5 20 HJBD COc1cccc(C(N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2cccc(OC)c2)c1 815586114 ZNJIRNLXLHPFPZ-HNNXBMFYSA-N 407.470 4.634 5 20 HJBD CC(C)c1[nH]nc(-c2nc(-c3ccccc3OCc3ccccc3)no2)c1[N+](=O)[O-] 904463410 KTSWDKSJUWDMLV-UHFFFAOYSA-N 405.414 4.737 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914498299 XGEJLFCNJPGYRB-NSHDSACASA-N 411.336 4.663 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc2ccccc2n1C(F)F 915307584 MANCSMGMTQCZJQ-SECBINFHSA-N 409.780 4.876 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1cccc2ccccc12 916523161 LXGGHTNNUOSZEF-CABCVRRESA-N 424.478 4.799 5 20 HJBD CCCOc1cc(NC(=O)NCc2ccc(Cl)nc2)c([N+](=O)[O-])cc1OCCC 916673091 DWNQGTKGBVHETA-UHFFFAOYSA-N 422.869 4.543 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(-c3ccccc3)ns2)CC1 917685269 YWMIZZSPKJZURD-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD O=C(Nc1ccc(-c2ccccc2)cc1F)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 921279060 NHSFCIACAPEQIO-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD O=C(CCC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccc(-c2ccccc2)cc1 1323222454 USAHYQJNVGGPSO-UHFFFAOYSA-N 400.434 4.767 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1cnc(-c2ccccc2)s1 1341928148 YBXMODFYLNVHQX-CQSZACIVSA-N 402.497 4.703 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(-c3csc(C)n3)c2)c([N+](=O)[O-])cc1OC 15765887 GRADTPFGLBNROA-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCCN(CC1CC1)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 21197768 UKGCTHJENTVMGH-UHFFFAOYSA-N 418.497 4.639 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N(C)[C@@H](c2ccccc2)c2ccc(F)cc2)c1 26334059 RZFPHFFWFXLSFX-QHCPKHFHSA-N 408.429 4.669 5 20 HJBD CCn1c(-c2ccccc2)nn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1=S 27533054 ZVWHLGIFBYCGPN-UHFFFAOYSA-N 417.922 4.752 5 20 HJBD C[C@H](c1nnc(Sc2ccccc2[N+](=O)[O-])n1-c1ccc(Cl)cc1)N(C)C 29001653 PBGXJPSZABELKB-GFCCVEGCSA-N 403.895 4.603 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)Nc2ccccc2)cc1 42025316 ULMNRXSMTIRWES-UHFFFAOYSA-N 419.441 4.680 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)Nc1cccc([N+](=O)[O-])c1 47519049 RSCMZSPSINOIGB-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccccc1)Cc1ccco1 64780268 AEARXCIGHXNURR-OAHLLOKOSA-N 414.845 4.838 5 20 HJBD O=C(N[C@H](c1ccccc1)C1CCCC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 71935080 NXPDWGLABWPJRQ-HSZRJFAPSA-N 407.514 4.859 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)Nc2cccc([N+](=O)[O-])c2)cc1OC 72075061 HMCNAXQXVOHPHL-SFHVURJKSA-N 413.474 4.631 5 20 HJBD COc1ccc(CSCC(=O)N2CCSc3ccc(Cl)cc32)cc1[N+](=O)[O-] 105986510 NZQYVNOFZIHYOR-UHFFFAOYSA-N 424.931 4.629 5 20 HJBD CC1(C)[C@H]2OCC[C@@H]2[C@H]1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 236707563 CWPYGRVICPKPHZ-OLMNPRSZSA-N 421.291 4.520 5 20 HJBD COc1cccc(Oc2ncccc2CNc2ccc3cc([N+](=O)[O-])ccc3n2)c1 301377229 WMJDFFBHCYZWSA-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CC(C)[C@H](Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(-c2ccncc2)no1 301656454 AYLQSFRSMHLCDB-HNNXBMFYSA-N 407.352 4.868 5 20 HJBD O=[N+]([O-])c1cncc(Br)c1NCc1ccnc(Oc2ccc(F)cc2)c1 301826117 DYPQEIPGAAUXNG-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)c3ccco3)cc2)c2cccc([N+](=O)[O-])c2n1 301996196 HPTQKNGGEQYHSK-UHFFFAOYSA-N 402.410 4.909 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)[C@H](C)c1ccc(Cl)s1)c1ccccc1[N+](=O)[O-] 426018352 FWRKJUXZOWXRRR-OCCSQVGLSA-N 424.906 4.612 5 20 HJBD C[C@@H]1CN(Cc2nc3cc([N+](=O)[O-])ccc3o2)C[C@H](c2cccc(C(F)(F)F)c2)O1 428937502 UOAKZJMAKXZJNJ-KZULUSFZSA-N 421.375 4.717 5 20 HJBD O=C(Nc1cccc(CNc2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1)[C@H]1CCCO1 429200240 QICUDOSNVUWKQY-MRXNPFEDSA-N 410.257 4.631 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431773884 XGBKJANRHIHXEN-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC(c4ncc5n4CCCC5)CC3)cs2)c1 435061429 ZCPIMASUQOPQMQ-UHFFFAOYSA-N 423.542 4.631 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc([C@H](C)Sc2ccccc2)cc1 437962132 LLRQPZUHMCMLSI-HNNXBMFYSA-N 410.499 4.982 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCC[C@H](C(F)(F)F)C1 438517578 MKBVQQFUVIMVDG-RYUDHWBXSA-N 408.804 4.502 5 20 HJBD CO[C@@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 442260470 ZELAQVYRINHMOK-IBGZPJMESA-N 408.479 4.864 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])cc(Cl)c1Sc1nnc(-c2ccccc2C)o1 447819234 YEABHIPTMNSQJG-UHFFFAOYSA-N 405.819 4.544 5 20 HJBD C[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC[C@@H]1c1ccccc1 460638739 GCEDDDJDAKMRIE-FPOVZHCZSA-N 410.517 4.807 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 461559881 KWLSVUBVLKVGNP-IRXDYDNUSA-N 424.526 4.755 5 20 HJBD Cc1ccc(-n2c(Sc3ncc(C)cc3[N+](=O)[O-])nnc2-c2ccccn2)c(C)c1 463057573 QLCGYBDKEZJRAP-UHFFFAOYSA-N 418.482 4.709 5 20 HJBD COc1cc(CNc2ccc(C(=O)N3CCc4ccccc43)cc2)c([N+](=O)[O-])cc1F 464098016 UJGPVVWCELMBJK-UHFFFAOYSA-N 421.428 4.558 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(F)c2)C2CCCC2)cc1OC 465528122 SIEQYUOBKXFCJT-NRFANRHFSA-N 416.449 4.803 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)c(F)cc2F)c1 467017198 PLGIUVJIBGTZCT-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C\c2ccc(-c3cccc([N+](=O)[O-])c3)s2)nc1O 470297782 KEEREXOQKDMKRC-XFXZXTDPSA-N 411.439 4.556 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(C)c(Cc2ccccc2F)s1 470830351 HNFIXWDQQSDSIA-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(NC(=O)N(C)C)c1 478652846 QTUPNINGTFQMDD-UHFFFAOYSA-N 416.503 4.751 5 20 HJBD CCOc1cc(OCC(=O)Nc2ccccc2Cc2ccccc2)ccc1[N+](=O)[O-] 478733520 RSLNVJTUXNWYCU-UHFFFAOYSA-N 406.438 4.602 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(COc3cc(C)ccc3C)o2)c([N+](=O)[O-])c1 479941678 PULJDJLGQNTGEU-UHFFFAOYSA-N 413.455 4.918 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(-c2cncnc2)cc1F 481895683 XMEISKKOFHALAM-UHFFFAOYSA-N 421.413 4.567 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(Cl)c1 484749309 QLEGRHKKDHSSGG-UHFFFAOYSA-N 401.271 4.892 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485634202 MOIIBARNVPEAOD-SFHLNBCPSA-N 416.481 4.579 5 20 HJBD C[C@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])Oc1ccccc1F 485716945 HLSOPCFUZMOLKP-MRXNPFEDSA-N 424.428 4.510 5 20 HJBD O=C(Nc1cccc(OCCF)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485721146 ZAXRZQGUEVKWTF-UHFFFAOYSA-N 410.401 4.774 5 20 HJBD O=C(Nc1cnc2c(c1)CCCC2)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486114065 HBPQKLFFLXBPLG-UHFFFAOYSA-N 403.438 4.700 5 20 HJBD CSc1cccc(C(=O)NC[C@@H](c2ccccc2)N2CCC(C)CC2)c1[N+](=O)[O-] 486488144 NEJYPUCWWCSQNQ-IBGZPJMESA-N 413.543 4.520 5 20 HJBD O=C(Nc1cccc(Cl)c1)N1CCCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 492019932 BUQWVIRJQKIPTR-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)CCc2ccc(Br)cc2)cc1[N+](=O)[O-] 493240152 VFYKFQPOSOOCBD-AWEZNQCLSA-N 420.307 4.611 5 20 HJBD CC(C)CN1Cc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)c2C1 494461094 HGZGMQNPLDGOEJ-UHFFFAOYSA-N 418.291 4.581 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1cccc([N+](=O)[O-])c1 502484410 HAKLIAJEFURYHQ-UKRRQHHQSA-N 412.421 4.525 5 20 HJBD COCC[C@@H](NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1ccco1 505678964 BMKCQKOHHLVDGN-LJQANCHMSA-N 412.467 4.847 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)NC(C)(C)C)cc1 510036740 ZMMIZMJCZSFYST-UHFFFAOYSA-N 412.490 4.566 5 20 HJBD CN(Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1)[C@@H](c1cccc(F)c1)c1cnn(C)c1 513107476 WKQNXTBXYABFFX-QHCPKHFHSA-N 420.444 4.949 5 20 HJBD O=C(Nc1sc2c(c1-c1nc3ccccc3[nH]1)CCCC2)c1ccc([N+](=O)[O-])o1 515325398 WLZZBPPBVUQQJC-UHFFFAOYSA-N 408.439 4.924 5 20 HJBD Cc1cc(C)n(-c2ccc(Cc3noc([C@H](C)c4cccc([N+](=O)[O-])c4)n3)cc2)n1 516114032 XLTUBSQMKYXLQE-MRXNPFEDSA-N 403.442 4.523 5 20 HJBD Oc1nc(Cc2cc3c(cc2Br)OCO3)nc2cc3ccccc3cc12 516376467 HGGBSEBHLAQVRN-UHFFFAOYSA-N 409.239 4.571 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCCOc3ccccc3-c3ccccc3)cc2N1 519980706 DAOYBGBQCZTKJC-UHFFFAOYSA-N 403.438 4.637 5 20 HJBD CC(=O)N1CC[C@@H](Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c2ccccc21 534509943 BAAVYQYQBNRPTB-HXUWFJFHSA-N 415.449 4.736 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@H](C)c1nc(-c2ccccc2)cs1 536868595 IQNMWYYVECURIB-LSDHHAIUSA-N 410.499 4.786 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)NCCC)cc1 538533297 XKJGICYMJHPDEZ-UHFFFAOYSA-N 415.515 4.879 5 20 HJBD CC(C)CN(C(=O)[C@@H]1COc2ccccc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539357064 RIZSGYYRQIXIHB-QGZVFWFLSA-N 423.494 4.884 5 20 HJBD Cn1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c(-c2ccccc2)n1 540235108 KSXPNWHENVDWGJ-UHFFFAOYSA-N 413.437 4.991 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1Cl 542247939 UAMMBPCNJZSOQI-NSHDSACASA-N 412.273 4.813 5 20 HJBD Cc1c(C(=O)N2CCc3c2cccc3[N+](=O)[O-])oc2c(Br)cccc12 543859951 LIYLNDIZPYCSAB-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(NCc3ccc(Cn4cnc5ccccc54)cc3)c12 544409654 BRNJGGAXPIHQRY-UHFFFAOYSA-N 410.437 4.548 5 20 HJBD COc1ccc(CSCC(=O)N2CCCC[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 545970158 MPBGSXTXHSIWHW-GOSISDBHSA-N 400.500 4.590 5 20 HJBD COc1ccc(-c2ccc(CNCCc3cc(C(F)(F)F)ccn3)o2)c([N+](=O)[O-])c1 550271400 NCHKFXSPTLUGON-UHFFFAOYSA-N 421.375 4.610 5 20 HJBD C[C@H](CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)c1ccc(C(F)(F)F)cc1 552284377 YADUUOZJTDIBTR-AUUYWEPGSA-N 418.419 4.830 5 20 HJBD CCc1ccc([C@@H]2CCN(C(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])C2)cc1 554153021 BKPSECMSWQWUJL-MRXNPFEDSA-N 400.500 4.517 5 20 HJBD CC(C)[C@@H]1N(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)CC12CCC2 557369677 WYQOEYCFKJEQCE-IERDGZPVSA-N 408.502 4.814 5 20 HJBD CC(=O)c1cc(NCc2ccc(-n3ccc(C(F)(F)F)n3)cc2)ccc1[N+](=O)[O-] 557526569 DEMJVADXXHNYIW-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(N[C@@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)cc1 566369677 DZDAMCUQDKOLAZ-JPYJTQIMSA-N 419.529 4.904 5 20 HJBD O=C(Nc1cccc2cc(Br)cnc12)c1ccc([N+](=O)[O-])c2cccnc12 577777272 IFLMSVSADYNSHD-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@]4(CCc5ccccc5O4)C3)cs2)c1 579173861 IRABJEBDGJXPSB-JOCHJYFZSA-N 407.495 4.688 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 581760372 BBMWOJVODUYNFW-MRXNPFEDSA-N 403.438 4.614 5 20 HJBD C[C@H](N[C@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 603744505 OYFVUYVYMRLHFK-MNOVXSKESA-N 415.799 4.945 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1Cl 603873280 WMYAUBIOOQVVNL-UHFFFAOYSA-N 414.849 4.721 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 603990256 KMDKOJJIEVFBPZ-ROUUACIJSA-N 410.518 4.523 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1C)[C@H](c1cccnc1)c1ccc(F)c(C)c1 609155260 LUFRRRRIUVBZMN-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD COc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1Br 609859147 LVFBOPNQEYISKX-UHFFFAOYSA-N 419.275 4.955 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 610044470 POEMJUOVOOUEDX-QGZVFWFLSA-N 419.275 4.733 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(C)ccc2[N+](=O)[O-])sc2c1CC[C@@H](C)C2 610220574 ISRFYSCZSQZKDF-GFCCVEGCSA-N 402.472 4.519 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(Cc2ccc(Cl)cc2)c1 610303858 VGPZIRYAXMTLCL-CYBMUJFWSA-N 416.890 4.612 5 20 HJBD O=C(Nc1nnc(C(F)F)s1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202208 QESCQUYZHTZNGG-UHFFFAOYSA-N 400.432 4.671 5 20 HJBD C[C@@H](C(=O)N(C)CCCc1cc(-c2ccc(F)cc2)no1)c1cccc([N+](=O)[O-])c1 617717006 NFRWMLRQRHXMTF-OAHLLOKOSA-N 411.433 4.584 5 20 HJBD CCOc1ccc(-n2c(Sc3ccccc3[N+](=O)[O-])nnc2-c2cccnc2)cc1 619673856 PHEBQSBJSYIZKF-UHFFFAOYSA-N 419.466 4.787 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2cccc(C(F)(F)F)c2)cc1SC 728658808 YPXDIIHMUQOITO-UHFFFAOYSA-N 401.362 4.701 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](O)c3ccc(Cl)cc3Cl)o2)c1 732230421 PACCLFXSNVOOFK-SFHVURJKSA-N 407.253 4.985 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 734771827 UAQWJTSUUCKGRL-QGZVFWFLSA-N 422.485 4.884 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 739729551 XQTXGIBBJJYEHY-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 744374880 HUJJTPSJZWFSLK-UHFFFAOYSA-N 423.425 4.598 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1nc(C2CC2)n(-c2ccccc2Cl)n1 749056998 SGBKIHNCLLKBCD-UHFFFAOYSA-N 419.224 4.579 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 750825878 HTARZUZHEYDCLY-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD C[C@H](CC(C)(C)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 751109777 DBMHMGHRKJMKHB-CYBMUJFWSA-N 405.292 4.844 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 754989310 PKYCLZGNIFLXAF-NSHDSACASA-N 422.840 4.696 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)Nc1cccc([N+](=O)[O-])c1 759700375 RKIRRVSQXXFXGT-MRXNPFEDSA-N 405.886 4.778 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc2sccc2c1 760301880 OJXUVMJTPIPSGI-UHFFFAOYSA-N 422.466 4.910 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)C[C@@H](O)c3cccs3)o2)c([N+](=O)[O-])c1 763530897 LNJNRQLAPYHCNP-FZKQIMNGSA-N 402.472 4.527 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)n1 769470677 UZRUOVVKPHPZBH-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1 770443030 WIHQIBGVYRESJV-UHFFFAOYSA-N 412.309 4.907 5 20 HJBD Cc1ccc2c(c1)CCN2C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 773383613 QEHHWWVHRHVHGT-MRXNPFEDSA-N 402.454 4.674 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)nc(Cl)c1 776218440 QTNICIOAXSICHS-KRWDZBQOSA-N 404.209 4.638 5 20 HJBD Cc1ccc(Nc2nnc(SC[C@@H](O)c3ccc([N+](=O)[O-])cc3)s2)cc1C 776270965 GQIXSGBMWQYIEH-MRXNPFEDSA-N 402.501 4.632 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 776391924 ZAKMBELFYVDPIB-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD CCOCCOc1ccc(Br)cc1NCc1cc(F)ccc1[N+](=O)[O-] 778617117 OAUOZGPPTBBHRU-UHFFFAOYSA-N 413.243 4.524 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(F)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 780408483 ITLQWFSMMNVVGL-UHFFFAOYSA-N 401.441 4.673 5 20 HJBD O=C(Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C1=CCCCC1 782207588 PLMOQSQJMGDGKY-UHFFFAOYSA-N 400.818 4.906 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1C(F)(F)F 790579741 PFRHYCWQGVWJPC-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1c(Cl)ccc(Cl)c1Cl 791444443 CDCLIJYKFATZSK-UHFFFAOYSA-N 405.577 4.820 5 20 HJBD COCCCOc1ccc(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 797028620 XUGPUFZSSALEFK-LJQANCHMSA-N 400.475 4.853 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1ccc(N2CCCCC2=O)cc1 810204699 FNZFOQAHMHUKAR-MRXNPFEDSA-N 410.474 4.611 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc2ccccc2c1 822393592 PCNDIKAMMASSIY-OKSSKVDZSA-N 418.449 4.570 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C(C)C)cc1 915734066 BTJUOYQHTBDSTB-LJQANCHMSA-N 417.893 4.824 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCC(C)CC2)c1 916058447 OXEAISSUDWYBBW-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD Cn1c(COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)nc2cc(Cl)ccc21 918991662 YWNBBKBXSYSXBX-UHFFFAOYSA-N 401.831 4.707 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)ccc1Oc1cccnc1 920016129 UGKBYCJQDRHADC-UHFFFAOYSA-N 408.370 4.559 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H]4OCCC[C@@H]4C3)cc2[N+](=O)[O-])cc1 1253208107 NDKFSEDVNWZPCR-XLIONFOSSA-N 412.511 4.696 5 20 HJBD COc1ccc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)cc1OC1CCCC1 1322247192 FZMHFZREIYLVJF-UHFFFAOYSA-N 411.414 4.554 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc(F)cc2Br)o1 1326986283 BYPRYUMJLMDJDG-UHFFFAOYSA-N 406.211 4.872 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 1338320843 RQCZANJXOYDWHN-QFBILLFUSA-N 423.282 4.849 5 20 HJBD C[C@H](c1nnc(COc2ccccc2[N+](=O)[O-])o1)c1ccc(Br)s1 1345740066 LBCVWYYAFCQTSB-VIFPVBQESA-N 410.249 4.533 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CSc1ncc(C(F)(F)F)cc1Cl 9160158 BEGNRFRRBMXARD-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ccc(Cl)nc3)cc2[N+](=O)[O-])n1 23382213 KQUYYHISTAAEJK-UHFFFAOYSA-N 421.887 4.916 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](c1ccccc1)N(C)Cc1ccccc1[N+](=O)[O-] 26346359 JYVNQHOVXNXYOH-QHCPKHFHSA-N 419.481 4.805 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)o1 29952367 RMTQGMQYRZOLGT-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@H]2C2CCCC2)cc1[N+](=O)[O-])c1ccccn1 54138878 AFWWVZZTGAYZPH-KKSFZXQISA-N 408.502 4.958 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1cccc(OCc2ccccn2)c1 55645515 SZOYWRURLAMSLX-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1NC(=O)c1ccco1 57830490 QXSBAEITXDIWMQ-CQSZACIVSA-N 408.414 4.631 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3ccccc3C(F)(F)F)C3CC3)c1)OCOC2 108085606 ZVCQAMKFDAICHY-UHFFFAOYSA-N 408.376 4.645 5 20 HJBD Cc1c(CN(CC(=O)Nc2ccc(Cl)cc2)Cc2cccnc2)cccc1[N+](=O)[O-] 112613308 MQUJIJRQVNXMCN-UHFFFAOYSA-N 424.888 4.593 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)cc1 117211468 VPHAFZYWICWSBP-JOCHJYFZSA-N 420.469 4.547 5 20 HJBD CCOc1cc(CNC(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OCC(F)(F)F 236909764 HSVRBGNQGBZSJE-UHFFFAOYSA-N 412.408 4.960 5 20 HJBD CO[C@H](C)C(=O)Nc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 237176310 PGUPFHAGALVVJA-CQSZACIVSA-N 411.483 4.909 5 20 HJBD CCc1ccc(OCC(=O)Nc2ccc(Cl)cc2C(F)(F)F)c([N+](=O)[O-])c1 303639459 QOYMBENSVVPGGV-UHFFFAOYSA-N 402.756 4.847 5 20 HJBD COc1ccc(-c2ccc(CNCCc3nc4c(C)cccc4o3)o2)c([N+](=O)[O-])c1 410349251 AOBJDEGLNKGKOH-UHFFFAOYSA-N 407.426 4.645 5 20 HJBD CCc1cc(=NC(=O)c2c(Cl)cc(F)cc2Cl)c2cc([N+](=O)[O-])ccc2[nH]1 433000239 OFBCZWGBJVNYAH-UHFFFAOYSA-N 408.216 4.826 5 20 HJBD CN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2cc(Cl)ccc2s1 435964154 GPQYCCMNDYMFSG-UHFFFAOYSA-N 416.890 4.735 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437972708 SDXJVLRXAUBOQX-LJQANCHMSA-N 408.483 4.515 5 20 HJBD Cc1ccsc1CCCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 438840992 YBXASVVTJDKVLL-UHFFFAOYSA-N 400.422 4.879 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 440944443 WPROVGSDCPGRJA-UHFFFAOYSA-N 421.434 4.595 5 20 HJBD Cc1n[nH]c(C)c1[C@@H](C)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 445229133 POJPQGQKFYDZNJ-GFCCVEGCSA-N 405.414 4.929 5 20 HJBD CSc1cccc(C(=O)N2C[C@H](c3cccc(Cl)c3)OC[C@@H]2C)c1[N+](=O)[O-] 446246265 FCBXYNVYGUUHPZ-BLLLJJGKSA-N 406.891 4.572 5 20 HJBD Cc1c(Br)ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1F 447638619 BZEMLIOSBBPFEM-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)c1 448189352 GMIMBGCKVXTLKI-UHFFFAOYSA-N 406.891 4.654 5 20 HJBD Cc1cc(Sc2nnc(-c3cccs3)n2C[C@@H]2CCCO2)c(F)cc1[N+](=O)[O-] 461732042 QGPIGSBUFPDWNJ-LBPRGKRZSA-N 420.491 4.693 5 20 HJBD CN(CC(=O)N[C@H](c1ccc(Cl)cc1)c1ccsc1)c1ccccc1[N+](=O)[O-] 463143980 PLRSSOJKIKRBTA-HXUWFJFHSA-N 415.902 4.652 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3csc(Cc4ccccc4)n3)CC2)cc1 464783874 RYDBVDLGYVYRLG-UHFFFAOYSA-N 409.511 4.686 5 20 HJBD CC[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 465644310 YVZGSZBFBXNSDX-BXKDBHETSA-N 402.398 4.582 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1F 466312603 QRADFLYKXPZBDP-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])cc2)cc1 466357790 QLXJUZUFTHMTNM-UHFFFAOYSA-N 410.401 4.967 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(OCc2cscn2)c1 467146544 JBSQOHADTSODBJ-UHFFFAOYSA-N 418.862 4.605 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1Cl 468751073 AAHMSGPZCPHDHZ-UHFFFAOYSA-N 422.224 4.806 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C(=O)N3CCc4ccccc43)c2)cc1[N+](=O)[O-] 471923960 QZGQLFXNBKIWLF-UHFFFAOYSA-N 415.449 4.612 5 20 HJBD CCn1c(Sc2nc3ccccc3cc2[N+](=O)[O-])nnc1-c1ccccc1OC 473509478 QODGIZKAGNTITL-UHFFFAOYSA-N 407.455 4.581 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@H]2CCN(Cc3ccccc3)[C@@H](C)C2)cc1[N+](=O)[O-] 473827504 IENDUGKYPGDQRN-RXVVDRJESA-N 409.530 4.843 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](c2ccccc2)C1 476184755 YMAAWYWWWWUEFD-AWEZNQCLSA-N 410.417 4.722 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CC[C@H](c2cccc(F)c2)C1 478250266 LLWZVMSHWYUMLP-ICSRJNTNSA-N 414.480 4.746 5 20 HJBD CCCOc1cc(NC(=O)N(C)[C@H](C)c2ccccn2)c([N+](=O)[O-])cc1OCCC 479998616 AWCDUITVRSVSLS-OAHLLOKOSA-N 416.478 4.792 5 20 HJBD O=C(CCCc1c[nH]c2ccccc12)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486727980 GXCBRMYCURDJAY-UHFFFAOYSA-N 405.376 4.734 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c1 486741957 BRJRHDOKXKMXKF-UHFFFAOYSA-N 421.457 4.761 5 20 HJBD Cc1ccc(-c2nc(CC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cs2)cc1 490403105 UNDNFUGVRYDLSQ-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD C[C@@H](C1CC1)n1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cn1 491023395 YJBAJUADXWNASN-HNNXBMFYSA-N 405.458 4.627 5 20 HJBD Cc1ccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1S(=O)(=O)C1CCCC1 491239588 MYUSZUPXJAAQEK-UHFFFAOYSA-N 422.890 4.525 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)[C@@H]1c1ccccc1 495348590 NOJWLBAVDOARNB-LIRRHRJNSA-N 400.862 4.769 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(C)=O)c(Cl)c1 502605398 YHCYMKBUMYYPMO-UHFFFAOYSA-N 407.879 4.961 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 503160587 FKQGIYKKQDXEAR-UHFFFAOYSA-N 422.491 4.772 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCCc1cc2ccccc2s1 506681323 KBVWSZZPQBQFLZ-UHFFFAOYSA-N 412.514 4.728 5 20 HJBD C[C@@H](NC(=O)NCc1ccc(CN2CCCCCC2)cc1)c1cccc([N+](=O)[O-])c1 510510557 NQEFYKZLTJBUOA-GOSISDBHSA-N 410.518 4.531 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSc2nncn2C(C)(C)C)cc1OC 514113816 YXLDFCJUAWMIIN-ZDUSSCGKSA-N 408.524 4.667 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)ccc(F)c2C1 518265181 VGSQIYPXLHJUII-UHFFFAOYSA-N 422.254 4.649 5 20 HJBD C[C@@H](NC(=O)c1ccoc1CN1c2ccccc2C[C@H]1C)c1cccc([N+](=O)[O-])c1 518575607 FLIJXOKQUUYKKQ-HZPDHXFCSA-N 405.454 4.630 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N(C1CC1)[C@@H]1CCCc2ccccc21 518892353 DQSHLFDVPQAPEC-OAQYLSRUSA-N 403.438 4.531 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 520010674 UYGNCLMLLYKFKI-MRXNPFEDSA-N 404.470 4.828 5 20 HJBD COc1cccc2sc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)nc12 522108781 KRWBLDYRHKDBIG-UHFFFAOYSA-N 412.452 4.589 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1cccc(COC(C)(C)C)c1 523186703 SBWIOMOOOFBZRB-CQSZACIVSA-N 420.893 4.647 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1ccc(Cl)cc1)CC1CC1 523886245 GAGOZWVRADQUNH-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc2c1OCCO2)N1CCC(c2cccc3ccccc23)CC1 524295277 VGEFGOCNQGQBCP-UHFFFAOYSA-N 418.449 4.539 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1nc(-c2ccc(F)c(F)c2)cs1 535419555 URHKUETWRBPBCK-UHFFFAOYSA-N 414.393 4.659 5 20 HJBD CSc1ccc(C)c(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 538344945 HATRALLFBSZUOT-UHFFFAOYSA-N 410.499 4.739 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CCCN(C)C)CC1 539707399 HVIRNVRNCMMLSB-UHFFFAOYSA-N 407.580 4.681 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@H]1NCCCc1nc(-c2ccc(F)cc2)no1 542497767 DCAXDCDFUCMOLQ-YLJYHZDGSA-N 424.476 4.718 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)nn1-c1cccc(Cl)c1 543457027 HFRXSJXSQMLPJQ-GFCCVEGCSA-N 402.813 4.624 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nc(CCC(F)(F)F)no3)n2)c(Cl)c1 545050660 YQYDGVDFAFGCKE-UHFFFAOYSA-N 422.150 4.632 5 20 HJBD COCC1(c2noc(-c3csc(-c4cccc([N+](=O)[O-])c4)n3)n2)CCCCC1 569056064 SBBMTSIVOZTDIU-UHFFFAOYSA-N 400.460 4.617 5 20 HJBD CC(C)Oc1ccccc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603638555 YQKXCRVZADWTJG-UHFFFAOYSA-N 411.380 4.842 5 20 HJBD O=C(CCc1cc2ccccc2o1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742486 ZMOOJECDHGOXHN-UHFFFAOYSA-N 406.360 4.865 5 20 HJBD O=C(CSc1c(F)cc(F)cc1F)Nc1ccc([N+](=O)[O-])cc1Br 603939102 VJLYZYKFSNJNPS-UHFFFAOYSA-N 421.194 4.505 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 604469707 BKGNAHRQQNNIFM-CQSZACIVSA-N 410.861 4.800 5 20 HJBD Cc1sc(NC(=O)c2ncoc2-c2ccccc2)nc1-c1cccc([N+](=O)[O-])c1 609558608 QKGKMVJRQYSGPZ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD CCc1ccc(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 609650602 KARJZETVXHCAPH-QHCPKHFHSA-N 422.506 4.772 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 609755610 PKKWWBQTFXNSTL-UHFFFAOYSA-N 420.421 4.506 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Sc1ncc(C(C)C)n1C(C)C 610034190 YGLAANAXKDIQEC-ZDUSSCGKSA-N 406.508 4.624 5 20 HJBD C[C@H](C[C@H]1CCOC1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610741018 IYKDPNDVVHZDJY-ZIAGYGMSSA-N 404.850 4.585 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc2ccccc2n1C 611478112 IFZWBDVMEAHYIR-UHFFFAOYSA-N 412.515 4.646 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(F)(F)CC3)o2)c(Br)c1 619434087 FZSPSMHOWKCSNV-UHFFFAOYSA-N 401.207 4.848 5 20 HJBD O=C1Cc2cc(CCCC(=O)OCc3nc4ccccc4c4ccccc34)ccc2N1 729757747 GYBHUIHRINVXLJ-UHFFFAOYSA-N 410.473 4.949 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1c(Cl)cccc1[N+](=O)[O-] 730644520 LMMCPCBYUKVERO-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(cccc2NC(=O)Nc2cccc([N+](=O)[O-])c2)C1 734160857 CDTZEFKFVWTGMM-UHFFFAOYSA-N 412.446 4.532 5 20 HJBD C[C@@H](c1ccccc1)N1CCC(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 734926548 PZLSKMHIYVGMSC-AWEZNQCLSA-N 402.882 4.595 5 20 HJBD O=C(COc1ccccc1)Nc1ccccc1OCc1cc([N+](=O)[O-])ccc1Cl 735490014 PGXMLZXUSSZHQG-UHFFFAOYSA-N 412.829 4.845 5 20 HJBD Cc1cccc([C@H](C)C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)c1 739473476 JLIJALQLDUVJNN-INIZCTEOSA-N 404.422 4.865 5 20 HJBD O=C(Nc1cccc(N2CCCS2(=O)=O)c1)c1c2ccccc2cc2ccccc12 746514928 IHPNXXGZFBVFFP-UHFFFAOYSA-N 416.502 4.785 5 20 HJBD Cc1c(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 747318570 LQVIIBYUGZZFNY-YPMHNXCESA-N 405.838 4.729 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2cccc(Cl)c2[N+](=O)[O-])C1 750178084 PSZCCGJHDRPCOH-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CCCCCOc1ccc([C@H](C)NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1OC 751086923 MQRMQTWRJNPBBU-AWEZNQCLSA-N 404.438 4.803 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1noc(-c2ccc(Cl)cc2)n1 752621006 XWIXGUHCYNHCEQ-SECBINFHSA-N 422.228 4.834 5 20 HJBD CN(C(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cn[nH]c1 754349084 JRIJQIHENHTAGO-UHFFFAOYSA-N 422.388 4.765 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3ccc(Br)cc3[N+](=O)[O-])CCO2)cc1 757517572 HSVQBZPQYKTERQ-QAPCUYQASA-N 420.259 4.743 5 20 HJBD CN(C)C(=O)c1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1 764191440 HNVFQDGPQSCEJR-UHFFFAOYSA-N 414.483 4.551 5 20 HJBD CN(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 768160514 QRINBTRLCWBLGJ-UHFFFAOYSA-N 406.467 4.604 5 20 HJBD CCc1ccc(Oc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c([N+](=O)[O-])c1 774665901 BWDSDXSFLJGOJZ-UHFFFAOYSA-N 407.251 4.907 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)C(=O)Nc1cc(F)ccc1F 775091752 VJIDTHVBRJWMFV-LLVKDONJSA-N 424.425 4.558 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 776217133 FSGBLNHZTHPDDF-SFHVURJKSA-N 400.337 4.538 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 776389907 IFOJNVRYABVOFH-UHFFFAOYSA-N 416.437 4.649 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccn1)c1ccc(N(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 776389917 IKQODNBEOZFITJ-MRXNPFEDSA-N 422.460 4.532 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(CN2CCCCC2)c1 790535709 OEQJPFVDMRCILG-UHFFFAOYSA-N 405.857 4.554 5 20 HJBD Cc1cc(C)c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(Br)c1 790957662 MDBGZZAFKOHUJI-UHFFFAOYSA-N 415.646 4.948 5 20 HJBD C[C@@H](OC(=O)c1nc2ccccc2cc1Br)c1ccccc1[N+](=O)[O-] 808704271 OTOAMGGIKGHJRG-LLVKDONJSA-N 401.216 4.824 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 809917293 IEXFGXPDNPPZDZ-OAHLLOKOSA-N 414.220 4.646 5 20 HJBD CC1(C)C[C@@H](O)c2c(OCc3ccc(Br)c([N+](=O)[O-])c3)cccc2O1 814265454 LBXKPTNLYZZYQH-CQSZACIVSA-N 408.248 4.531 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 915871537 XFQIWHIPAKIPPO-UHFFFAOYSA-N 424.501 4.740 5 20 HJBD CC(C)CO[C@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 917609587 BRMPJWIRYCZHBE-CQSZACIVSA-N 406.866 4.827 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1)C1CCCCC1 918176090 LWEZKWABEOTEAZ-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD Cc1cccc(Nc2nn(CN3CCc4ccc([N+](=O)[O-])cc4C3)c(=S)s2)c1 1116244506 RRWOWIPCQRJBRK-UHFFFAOYSA-N 413.528 4.650 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1317901946 GHIGWNSNVKPRLK-UHFFFAOYSA-N 412.396 4.715 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CC[C@H](O)c2ccccc2)c1 1319680906 QCDHSEDCGZGWKE-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(Nc2ccccc2Cl)CC1 1319876512 UZLLWTANXZEMED-UHFFFAOYSA-N 410.861 4.513 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)c12 1330115117 TYLKIEYDGOTQHJ-UHFFFAOYSA-N 421.501 4.682 5 20 HJBD Cc1ccc(-n2ccnc2SCC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1C 6979240 ACMRXCAXRVXQIL-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(F)cc3Br)c1)OCOC2 12667656 HRERKALKJGQTAZ-UHFFFAOYSA-N 414.252 4.796 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nnc(-c2cccs2)o1 26466893 BTLZWFNJGXXUAH-VIFPVBQESA-N 401.425 4.839 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](Cc1ccccc1)c1ccccc1 26923699 ZQNHISXYHONIJX-OAQYLSRUSA-N 419.481 4.506 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)o1 31741072 QRXSGVCHLWEUEI-UZLBHIALSA-N 423.513 4.719 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1OCC(F)(F)F 43996848 IGUAZJIRBDETHW-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD CCCN(C(=O)CCn1cc([N+](=O)[O-])nc1C)[C@H](C)c1ccc(Cl)c(Cl)c1 61164706 GRNOQFGGDHXQIN-GFCCVEGCSA-N 413.305 4.796 5 20 HJBD CN(Cc1cccc(Cl)c1Cl)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 64691979 GVQSNRQGAPIECK-UHFFFAOYSA-N 419.268 4.574 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)CCOc2ccccc2[N+](=O)[O-])c1C 117563023 KXYRQUYBOPRQCB-UHFFFAOYSA-N 407.426 4.807 5 20 HJBD O=C(Cc1ccc(NCc2csc([N+](=O)[O-])c2)cc1)N1CCCc2ccccc21 238117059 LPUMVMWMRKPUCL-UHFFFAOYSA-N 407.495 4.790 5 20 HJBD C[C@H](Nc1nc2sccn2c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 301105789 MWUSRMPOMXIGHN-ZDUSSCGKSA-N 407.455 4.729 5 20 HJBD C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc([N+](=O)[O-])c2cnccc12 301755603 XNZITPVEYRWITE-MRXNPFEDSA-N 419.485 4.572 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccc3cc([N+](=O)[O-])ccc3n2)CC1 301965358 XFCVCQCQSCSPIQ-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)Nc1ccc([N+](=O)[O-])c2cccnc12 301971904 GUYUXVGJQAJRNF-INIZCTEOSA-N 419.485 4.572 5 20 HJBD Cc1cccc(N2CCC(C(=O)Nc3ccccc3Br)CC2)c1[N+](=O)[O-] 302481854 GZONVEUCOFMOQW-UHFFFAOYSA-N 418.291 4.521 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1Cc2ccccc2[C@@H](c2ccccc2)C1 303043449 HKLLHXQELBXRBA-HSZRJFAPSA-N 424.460 4.568 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cc(Cl)ccc2Cl)cc1OC 409937019 HTYZELZKZWEKIP-JTQLQIEISA-N 413.257 4.800 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(c2ncccc2Cl)CC1 429213526 UIYMCLLEKRAXQV-LBPRGKRZSA-N 423.300 4.503 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 429923262 JKMSTJKIMZCAJN-LLVKDONJSA-N 403.232 4.937 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2s1)c1ccc(Br)cc1[N+](=O)[O-] 429948535 WDWGRGYTLILHKC-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(c2nc3ccccc3[nH]2)CC1 435938258 UPXRSKIWJGQZLY-UHFFFAOYSA-N 422.488 4.577 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nc(-c2ccc(Cl)cc2)n[nH]1 436276950 VZGZIOGSUASNPR-UHFFFAOYSA-N 401.757 4.598 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437754228 AVSJKXXIXZPUKJ-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CC(C)C[C@@H](CCO)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442632945 BBCRKNKGQYJKJV-MRXNPFEDSA-N 402.516 4.521 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](COc2ccccc2Cl)C1 446495850 CHSOJGHGXQMJGX-OAHLLOKOSA-N 403.866 4.880 5 20 HJBD CCn1c(SCc2cc(OC)c(F)cc2[N+](=O)[O-])nnc1-c1cccc(C)c1 463415686 LRIJYWKRNJCCMK-UHFFFAOYSA-N 402.451 4.622 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463830614 MMWBCMNPOIMQHV-SNVBAGLBSA-N 420.309 4.993 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc(Cl)cc1[N+](=O)[O-] 464344021 UNXIJUVVFQZUOL-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCC3CC3)c(F)c2)c1 469441996 BSKNTXQZBWJCDV-LBPRGKRZSA-N 404.463 4.736 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(F)ccc1OC(F)F 470138293 OUQWRNJDNDNAKT-UHFFFAOYSA-N 412.367 4.534 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1nc2ccccc2cc1[N+](=O)[O-] 473519897 BFMQHZSPKQBODU-UHFFFAOYSA-N 419.268 4.915 5 20 HJBD Cc1nc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)oc1-c1ccc(Cl)cc1 476928638 SZMORZCKVBUDHB-UHFFFAOYSA-N 413.861 4.806 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(C)c(-c3nc(C)co3)c2)cc1OC 478520583 WLDCSOWBXCWWNR-UHFFFAOYSA-N 411.414 4.526 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CNCc2cccc([N+](=O)[O-])c2C)cs1 480493198 DFJHBWNCVCNUPS-UHFFFAOYSA-N 410.499 4.643 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccco2)Cc2cccs2)cc1SC 482361240 ZNIDQDNMFZKXEN-UHFFFAOYSA-N 418.496 4.822 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1C(=O)Nc1ccc([N+](=O)[O-])cc1F 483420068 RJHCZAIXQMPCTG-UHFFFAOYSA-N 405.769 4.612 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc(F)cc1 485410983 URNVXVLBLSVXTD-NRFANRHFSA-N 421.407 4.870 5 20 HJBD CCNC(=O)Nc1ccc(C)c(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1 487158628 BKSRUQZYITXBMQ-UHFFFAOYSA-N 424.457 4.925 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N2CCCCC2)c1 488253758 SYYSBGZJWOQSAE-UHFFFAOYSA-N 413.499 4.585 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 488638174 AKGFVBLEVILMTD-ROUUACIJSA-N 410.518 4.523 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])o2)cc1 488802378 QVAFSMFQEQFJMH-ZDUSSCGKSA-N 412.471 4.888 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nnc(-c3ccc(Cl)s3)o2)cccc1[N+](=O)[O-] 488845743 ZDGJHKZSLHKSAI-SECBINFHSA-N 424.891 4.787 5 20 HJBD COc1ccc(C(=O)N[C@H](Cc2cccc(F)c2)c2cccc(F)c2)cc1[N+](=O)[O-] 489885914 OWQTYELZXWAREX-LJQANCHMSA-N 412.392 4.595 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N[C@@H](CC1CCCC1)c1ccccc1 503632149 JDGVJPCYNPPLLX-IBGZPJMESA-N 415.877 4.625 5 20 HJBD COc1cc(CN(C)Cc2ccccc2[N+](=O)[O-])cc(OC)c1OCc1ccccc1 507174729 SJJCQQNUUFKDSC-UHFFFAOYSA-N 422.481 4.823 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2cc(F)ccc2F)c([N+](=O)[O-])cc1OCC 509751819 PPBORXYRKXMODQ-LBPRGKRZSA-N 423.416 4.895 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 520156024 KYWUFRVQBAZBCL-SECBINFHSA-N 423.705 4.937 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 520375839 JYDLTZAYQIFRSX-UHFFFAOYSA-N 417.421 4.807 5 20 HJBD CC(C)(C(=O)Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1 523506189 GULJJYHQXJKHJQ-UHFFFAOYSA-N 409.486 4.527 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(F)cc1OCC1CC1 525377059 HLZSDWIFKXNBFA-LLVKDONJSA-N 408.813 4.582 5 20 HJBD CCN(Cc1ccc(OC)c(OC)c1)C(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537095561 DVDSSZGOPIISIH-UHFFFAOYSA-N 418.515 4.775 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 540542727 GPKNDVVMOAKTKG-IEBWSBKVSA-N 422.453 4.634 5 20 HJBD CCN(CC(F)F)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 541648055 DAOVRWONEBMTPQ-UHFFFAOYSA-N 414.792 4.776 5 20 HJBD C[C@H](C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 543588753 QPQNTQVDASSAPM-FPTDNZKUSA-N 418.428 4.620 5 20 HJBD COc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1OC1CCCC1 544084321 APXJWZHVWQSSNV-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD C[C@@H](c1nc(Cc2c[nH]c3ccc(Cl)cc23)no1)c1ccc([N+](=O)[O-])cc1F 545746555 KQOQQWCQAOODTG-SNVBAGLBSA-N 400.797 4.994 5 20 HJBD COc1ccc(Cc2nc(-c3cccc(-c4csc(C)n4)c3)no2)cc1[N+](=O)[O-] 546397482 GUDRQSKFHMWUEK-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3cccc4cccnc34)no2)c2ccccc12 547014505 FQNWYHCGDBSLMF-UHFFFAOYSA-N 418.456 4.725 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 547241400 IFNCEIHBUOOFBR-UHFFFAOYSA-N 402.466 4.643 5 20 HJBD CC(=O)c1sc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)nc1-c1ccccc1 547948113 CVLLGMOPGUXXEV-UHFFFAOYSA-N 406.423 4.655 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCCc1ccccc1[N+](=O)[O-] 548418361 ODUZZFOEDVGECA-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD Cc1nc(-c2cccc(N[C@@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)c2)oc1C 552070762 CUHRPVBPMWJORY-FQEVSTJZSA-N 403.442 4.802 5 20 HJBD Cc1cc(C(=O)N2C[C@H](c3cccc(C(F)(F)F)c3)O[C@H](C)C2)ccc1[N+](=O)[O-] 553721192 AMTYLNDJJRVJAB-FZKQIMNGSA-N 408.376 4.524 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccccc1[N+](=O)[O-] 556207840 LIYMXBNWQUTXSB-PMERELPUSA-N 422.506 4.819 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)o2)cc1 561496445 OHNYMOPSABGKPQ-GOSISDBHSA-N 424.482 4.666 5 20 HJBD COc1cc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]32)ccc1OCc1ccc([N+](=O)[O-])cc1 576148502 JGEWRNBATCJKRM-GVXSCFBNSA-N 416.477 4.610 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(Cc2nc3cc(Cl)ccc3s2)no1 589085291 JSCHJAJLDYXLJN-UHFFFAOYSA-N 402.819 4.507 5 20 HJBD CC(C)(C(=O)Nc1ccc(N2CCc3sccc3C2)nc1)c1ccccc1[N+](=O)[O-] 590064694 RGWTWQPZJVUTHH-UHFFFAOYSA-N 422.510 4.530 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ncc(-c2cccs2)o1 609769928 RXYCPPVULWSRLS-UHFFFAOYSA-N 417.468 4.543 5 20 HJBD CC(C)OC(=O)c1ccc(Cl)c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1 610043899 WTGZKEQYUMQULD-UHFFFAOYSA-N 404.850 4.730 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCC1(c2cccc(Cl)c2)CC1 610050939 RXBDEMQIIPXXFB-UHFFFAOYSA-N 409.269 4.519 5 20 HJBD O=[N+]([O-])c1cc(NCc2nnc(-c3ccccc3Br)o2)ccc1Cl 727101380 MMOAGJNWSMRFCS-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)c2)n1 729333386 BFKSJTKLJQIINX-UHFFFAOYSA-N 420.454 4.541 5 20 HJBD O=C(Nc1ccc(N2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1Br 739473987 ZAYYGVWIIYBSBA-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD Cc1ccc(C(=O)OCc2ccccc2[N+](=O)[O-])cc1NC(=O)Nc1ccccc1 741351463 MDBDZDHRNDMWTB-UHFFFAOYSA-N 405.410 4.904 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc([N+](=O)[O-])ccc1Br 741627518 HDRNLWWPQAIEEA-XJKCOSOUSA-N 403.276 4.764 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3cccc(Cl)c3[N+](=O)[O-])cc2)cs1 749879875 VKGCYUDDGKRFQI-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)o1 750841549 HXCVCLZCZUSHLW-LDYMZIIASA-N 413.655 4.657 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1 751254122 GCYJKIKKYSUQOO-UHFFFAOYSA-N 415.515 4.552 5 20 HJBD CCc1ccc([C@@H](Nc2ccc(NC(=O)COC)cc2)c2ccc([N+](=O)[O-])cc2)o1 753720655 POTINESTKZNQAK-QFIPXVFZSA-N 409.442 4.537 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)c2cccc([N+](=O)[O-])c2Br)C1 753827574 WYFSKSXZLVLKCZ-KGLIPLIRSA-N 403.276 4.684 5 20 HJBD O=C(Nc1ccc(Cl)c2ncccc12)c1cc(F)cc([N+](=O)[O-])c1Br 754978347 RXXNWROHNDSTQB-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD O=C(N[C@H]1CCC[C@H]1Cc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 755004633 CQHCSIOLJXSNBK-BBRMVZONSA-N 421.266 4.638 5 20 HJBD COc1cc2c(cc1NC(=O)COc1cccc(C)c1[N+](=O)[O-])oc1ccccc12 755244594 ZWZJEZPGIBYTPU-UHFFFAOYSA-N 406.394 4.829 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756967932 XIHNGIVPFIFULT-UHFFFAOYSA-N 415.799 4.999 5 20 HJBD CCN(Cc1ccccn1)[C@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 764292179 GUXYEOXOFSNGAJ-NRFANRHFSA-N 424.888 4.845 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2nc(-c3ccccc3)cs2)c([N+](=O)[O-])cc1OC 767984570 OVJQQSKZVVLHRO-GFCCVEGCSA-N 414.439 4.654 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)Nc2cccc(Br)c2)C2CC2)c1 768481369 YGPAWKCDJCETSA-UHFFFAOYSA-N 406.305 4.719 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(Oc3cccnc3)nc2)ccc1Br 777520998 KCNRCCLFDUPHAM-UHFFFAOYSA-N 401.220 4.552 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](OC(=O)[C@H]1CC=CCC1)c1ccccc1 788497482 NARWGKBNETVHDH-KXBFYZLASA-N 414.845 4.828 5 20 HJBD CC[C@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1 791175258 YHOIQVZKHDGQGH-CQSZACIVSA-N 417.303 4.826 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1[N+](=O)[O-])Nc1ccc(N2CCCC2)cc1 794496241 FHFYKKGPNWKDEM-UHFFFAOYSA-N 410.257 4.519 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc(Br)cc([N+](=O)[O-])c1Cl 798024449 MHERSBGAQBNWOX-AULYBMBSSA-N 409.667 4.687 5 20 HJBD COc1cc(-n2cccc2)c(Cl)cc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800147224 KQKYOTWGTKYAMJ-UHFFFAOYSA-N 403.797 4.747 5 20 HJBD COc1ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1OC1CCCC1 801611304 DOCUQRDIQFYATL-UHFFFAOYSA-N 413.474 4.631 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1OC 916446507 IXLZQMCIIZSUMB-CYBMUJFWSA-N 406.866 4.927 5 20 HJBD COCc1c(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)sc2cccc(F)c12 1257768919 HZBCDZVBHBVMTM-UHFFFAOYSA-N 415.402 4.724 5 20 HJBD C[C@H](OC(=O)CCCc1c[nH]c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17527045 PRIWFQAMUBRIHO-AWEZNQCLSA-N 420.425 4.753 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)Cc3ccccc3[N+](=O)[O-])s2)c1Cl 26037513 PVAQDWXKTJYDFF-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@H](NC(=O)c1ccccc1[N+](=O)[O-])c1ccc(NC(=O)Nc2ccccc2)cc1 47285660 IBXABIIALJYSLZ-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 55465560 MXLDNQTWQXMWEK-SNVBAGLBSA-N 403.797 4.842 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3coc(-c4cccc(F)c4)n3)c1)OCOC2 56244741 CRRMYTGBVRZZIH-UHFFFAOYSA-N 402.403 4.689 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 56364330 NVIIQZSGXBQOBK-GJZGRUSLSA-N 401.463 4.514 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 56404484 APYLACVVXKNLTI-LSDHHAIUSA-N 401.463 4.514 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1nc(-c2ccccc2F)cs1 65808468 GUQDVELUWFUSNH-NSHDSACASA-N 403.460 4.977 5 20 HJBD COc1ccc(Oc2cc(CNc3ccc4cc([N+](=O)[O-])ccc4n3)ccn2)cc1 301068873 GNTHDRAUCJOMCF-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303515025 UFPPHQWIGMBRKJ-UHFFFAOYSA-N 420.252 4.818 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429839748 MOLNWJCINRVKLX-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(Nc1ccc(-n2cccn2)c(Cl)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436338365 KIXQGNWPUUMDJD-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD CC(C)c1ccc(C(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 438970443 VGXSLZOVFVRGCX-LBPRGKRZSA-N 402.401 4.954 5 20 HJBD O=C(NCCC[C@@H]1CCCCO1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441289697 WNGSTLPURKDDST-INIZCTEOSA-N 400.500 4.825 5 20 HJBD CCc1nnc(-c2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)o1 444114688 RMHBRVDSYGXFKA-UHFFFAOYSA-N 410.433 4.546 5 20 HJBD CCOc1cc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)cc(Cl)c1O 445411160 IXLFCSBVRNWLET-UHFFFAOYSA-N 411.748 4.543 5 20 HJBD C[C@H](NC(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(N2CCCC2)c1 446816895 FNBFRBVCZDGOAA-ZDUSSCGKSA-N 420.416 4.679 5 20 HJBD CCn1nccc1C1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)CC1 447729897 IRSQMVKSPIGQIJ-UHFFFAOYSA-N 419.485 4.575 5 20 HJBD CC(C)(C)c1noc(COc2ccc(OCc3c(F)cccc3[N+](=O)[O-])cc2)n1 462646702 HZAFGZCRUOEEKL-UHFFFAOYSA-N 401.394 4.572 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1ccc(OC)c(OC)c1 462902136 ZZHKBJMYDAEGSV-XLIONFOSSA-N 412.486 4.544 5 20 HJBD Cc1cc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 463666227 RHCVDBKMJQHWML-UHFFFAOYSA-N 405.376 4.950 5 20 HJBD COc1cc(CN[C@H](COc2ccccc2F)c2ccccc2)c([N+](=O)[O-])cc1F 464496667 VXNBTGZFOPWRTE-LJQANCHMSA-N 414.408 4.792 5 20 HJBD C[C@H]1OCC[C@]1(C)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466236039 LNDJDWYUWMBOGR-BLVKFPJESA-N 406.891 4.697 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(Br)c(F)cc1F 467033346 MBBQOFRUVVUUMG-MRVPVSSYSA-N 417.231 4.755 5 20 HJBD O=C(CCc1cnc2ccccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 470819801 AGNAAQAWVKFIIQ-UHFFFAOYSA-N 417.387 4.667 5 20 HJBD COC(=O)C[C@H](NC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccc2ccccc2c1 471622215 ZQMALKBJRAPOLS-IBGZPJMESA-N 424.478 4.504 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc2ccccc2c1 479165296 PJMHZJJROCFADY-UHFFFAOYSA-N 410.495 4.759 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)[C@H](C)CC)c1 480402044 FPVIVMLGWAAWQO-CQSZACIVSA-N 415.515 4.621 5 20 HJBD C[C@@H](NC(=O)c1occc1CN1c2ccccc2C[C@H]1C)c1cccc([N+](=O)[O-])c1 482962672 LICRBEAPKNVHCG-HZPDHXFCSA-N 405.454 4.630 5 20 HJBD CC(=O)c1c(C)cc(C)c(CS(=O)(=O)[C@@H](C)c2cc([N+](=O)[O-])ccc2C)c1C 485215450 DZVMWVCOUGVJNB-KRWDZBQOSA-N 403.500 4.707 5 20 HJBD CC(=O)c1ccc(NC(=O)[C@@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])cc1 485262403 BWRRXXCVSPEHIS-LLVKDONJSA-N 423.288 4.679 5 20 HJBD Cc1ccccc1[C@H](CC(C)C)NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 485548283 VCKXSCHCNDEBIU-NRFANRHFSA-N 406.486 4.821 5 20 HJBD CC[C@@H](NCc1cc(OC)c(F)cc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485806275 OILGQCDUYWKMFS-OAHLLOKOSA-N 415.234 4.885 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1sc(Br)cc1[N+](=O)[O-] 486085387 DUQPKROUZFDGID-UHFFFAOYSA-N 415.240 4.599 5 20 HJBD Cc1cccc2c(CCC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c[nH]c12 486683481 KBIPMXDGMHUGAO-UHFFFAOYSA-N 405.376 4.652 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(OCC)c(OC)c1 502809666 CZSMSTIPUUSCDB-CQSZACIVSA-N 418.515 4.995 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC(OC2CCCC2)CC1 503300401 DNGJQHIKYIBENC-UHFFFAOYSA-N 410.470 4.951 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 504719659 CCZNCAYLIBEUMZ-OAHLLOKOSA-N 424.526 4.987 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(F)cc1Br 509763220 QVUKGKHQSHJFRC-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD Cc1nc(C)c(-c2nnc(SCc3cc([N+](=O)[O-])ccc3OC(C)C)o2)s1 511071388 DNCSHCDCWJJGGF-UHFFFAOYSA-N 406.489 4.798 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1sc(C2CC2)nc1-c1ccccc1 513020822 UWPIQNOVQZDOQY-UHFFFAOYSA-N 407.495 4.910 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](C[C@H]3CCOC3)c3ccccc3)cs2)c1 513538542 LMAMPRADGGNFNW-IIBYNOLFSA-N 409.511 4.976 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)ccc1F 516987222 KQIBIDYXCVCNHB-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Cl)cc1)c1ccsc1 518479133 NOSZTRCIXNGHSU-IBGZPJMESA-N 415.902 4.941 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC(c2ccc(Cl)cc2Cl)CC1 520479540 WELBPRNJCFJPRN-UHFFFAOYSA-N 419.268 4.934 5 20 HJBD Cc1ccc(SCC(F)(F)F)c(NC(=O)c2cc3c(cc2[N+](=O)[O-])OCO3)c1 522965378 WWEHZBSADLHVKL-UHFFFAOYSA-N 414.361 4.539 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccc(NC(=O)C2CC2)c1 524214340 GZMADJMUWOTVNJ-UHFFFAOYSA-N 417.421 4.988 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)C1(c2cccc(Br)c2)CCCC1 524229256 HHPZWEFQKTXZIN-UHFFFAOYSA-N 407.239 4.947 5 20 HJBD CN(C(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(Br)cc1Cl 524235436 CUMHDCBZRGDMPZ-UHFFFAOYSA-N 404.047 4.941 5 20 HJBD Cc1ccc(C(=O)Nc2ccnn2-c2ccc([N+](=O)[O-])cc2)cc1Oc1ccncc1 524488366 PDGHCVCIFRBVMP-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CN(C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc2ncccc12 534107376 CLKFGKDLQYSJFL-UHFFFAOYSA-N 418.375 4.627 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1c(F)cccc1F 536303655 AEKSTNHTAAJKRF-NSHDSACASA-N 419.409 4.788 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3O)ccc21 537769334 SWKSHSAZOLYNDJ-UHFFFAOYSA-N 408.336 4.502 5 20 HJBD O=C1CCN(C(=O)c2ccccc2Sc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 538705148 CEBCRKMONNVOTF-UHFFFAOYSA-N 424.400 4.570 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nn1-c1ccccc1Cl 539649320 MOXYUAMFIFTWGB-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1nc2ccccc2[nH]1 540480862 GRLDUIATOXSGPL-LJQANCHMSA-N 415.453 4.660 5 20 HJBD O=C(Nc1ccc(OC2CCC2)c(F)c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540587516 KAKYBJZWXCPYPS-UHFFFAOYSA-N 407.401 4.980 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)c(Cl)c1Cl 541634964 LWCYJEAMWAJSKM-UHFFFAOYSA-N 404.047 4.845 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)n2)s1 542537569 ZJXDXNYXCKNUGZ-MRVPVSSYSA-N 406.464 4.673 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1C1CCCC1 544084886 JHAKKANQGVNNKN-UHFFFAOYSA-N 408.405 4.713 5 20 HJBD Cc1ccc(Cn2nccc2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 553335664 NBSIGGRUPXTKSL-UHFFFAOYSA-N 410.499 4.901 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2CF)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 564749746 LIJRDVPRNFTPAV-AWEZNQCLSA-N 408.813 4.623 5 20 HJBD C[C@H](Cc1nc2ccccc2s1)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 566574402 IMNWMVWICSOSRR-SNVBAGLBSA-N 409.389 4.584 5 20 HJBD CN(C(=O)c1ccc(Br)cc1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 569854508 LSTMRKUDIDDGEQ-JGZJWPJOSA-N 409.202 4.550 5 20 HJBD CNC(=O)[C@H]1CCCc2sc(/C=C\c3ccc(-c4cccc([N+](=O)[O-])c4)o3)nc21 576006914 YDUHUNFUMLEVTI-SLWQEBJJSA-N 409.467 4.648 5 20 HJBD O=C(NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cccc2c(=O)c3ccccc3[nH]c12 584026103 PKDNINFVLMAFRP-MRXNPFEDSA-N 424.422 4.832 5 20 HJBD Cn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2c1=O 586841201 NYVBGAKYKCYNQE-UHFFFAOYSA-N 423.494 4.734 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CC[C@@H](Sc2cccc(Cl)c2)C1 590461773 OYNGOEQXEBYPSS-OAHLLOKOSA-N 401.875 4.736 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 598404287 VCGJAHZKNSCSLX-LBPRGKRZSA-N 406.866 4.960 5 20 HJBD Cc1nc2cc(F)cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c2nc1C 603946647 QEMCOHVIQRLLBO-UHFFFAOYSA-N 423.429 4.670 5 20 HJBD Cc1c(C(=O)N[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cccc1[N+](=O)[O-] 609186829 UYSSFSOXYPVSAN-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609191852 KHQDFJXQJWXTPQ-DOMZBBRYSA-N 404.850 4.968 5 20 HJBD CCc1noc(-c2ccc(CCNCc3ccc(-c4cccc([N+](=O)[O-])c4)o3)cc2)n1 609223713 LBQFPLPYPXPHAT-UHFFFAOYSA-N 418.453 4.800 5 20 HJBD CC[C@H]1c2ccccc2CCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 609621374 JMPKVTSYCXURHD-QFIPXVFZSA-N 418.497 4.606 5 20 HJBD COc1cc(C(=O)NC(C)(C)C2CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 610183834 AVFJWLQFLTYFAN-UHFFFAOYSA-N 404.850 4.968 5 20 HJBD Cc1cc2ncc(C(=O)Nc3c4ccccc4n4c(C)nc5ccccc5c34)c(C)n2n1 644438296 WOZADXLBMTZJAW-UHFFFAOYSA-N 420.476 4.861 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3ccc(Cl)c([N+](=O)[O-])c3)cc(C3CC3)nc21 735801150 TWOGNFLKWOSDTN-UHFFFAOYSA-N 414.849 4.808 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1cccc(Oc2ccccc2)c1 742392462 LNPINLWFLNHMMJ-UHFFFAOYSA-N 405.410 4.956 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)NCc2ccccc2[N+](=O)[O-])cc1 743226053 XWCRVXQLPXJMMR-CQSZACIVSA-N 414.462 4.502 5 20 HJBD Cc1ccc2c(CCC(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c2c1 745952625 YFECSLZKWQRTQU-UHFFFAOYSA-N 405.410 4.716 5 20 HJBD CCC(=O)c1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)ccc1F 756991817 XVOCWLUCYAYEEB-UHFFFAOYSA-N 412.339 4.531 5 20 HJBD Cc1nc(COc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)no1 770567240 MFBXCMDISZOEMA-UHFFFAOYSA-N 406.398 4.737 5 20 HJBD C[C@H](NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1)[C@@H](O)c1c(Cl)cccc1Cl 773036597 DPFKWOMVTYWTEQ-RNODOKPDSA-N 424.328 4.582 5 20 HJBD C[C@@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])C(=O)c1ccc(Cl)cc1 773604612 LFAIXPOVBZAPJK-SSDOTTSWSA-N 418.652 4.500 5 20 HJBD CC(C)Oc1ccc(C(=O)COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 781259983 PSSYQAYPWXMQGE-UHFFFAOYSA-N 415.445 4.508 5 20 HJBD Cc1ccc(OC(=O)CCc2ccccc2NC(=O)OC(C)(C)C)c([N+](=O)[O-])c1 790667536 BZYNWOZBCYJUKP-UHFFFAOYSA-N 400.431 4.788 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1cccc([N+](=O)[O-])c1Br 791117841 UIXKXQAJJBAHED-STQMWFEESA-N 416.275 4.598 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3Br)C2)c(C)c1 792553939 BLOZBCDDYXKOTA-CYBMUJFWSA-N 421.266 4.743 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C(=O)N[C@H](CC(C)C)c1ccc(Cl)cc1 800607362 GTOOWMUXHREMBT-MRXNPFEDSA-N 421.856 4.538 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nccn1-c1ccccc1 803071825 NGVFXVQRJJVLEA-MRXNPFEDSA-N 409.445 4.785 5 20 HJBD CCc1nc(C)cc(Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 804322795 MSGXVTHFVIWICU-UHFFFAOYSA-N 403.394 4.821 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccc(O)c4ccccc34)cc2)c(C)c1[N+](=O)[O-] 807579370 QZZXNLKUBGYTOS-UHFFFAOYSA-N 416.437 4.568 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc2c(c1)CCCCC2=O 810656321 DRXGVHHGJJWJHF-QHCPKHFHSA-N 416.433 4.845 5 20 HJBD CCOc1ccc(-c2nc(CC)c(-c3nc(CCC(C)(C)[N+](=O)[O-])no3)s2)cc1 812811835 LOTSYNNXYFCYRM-UHFFFAOYSA-N 416.503 4.809 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1cccc([N+](=O)[O-])c1Cl 816947081 FYOQPFCVKGMLBQ-SFHVURJKSA-N 402.237 4.816 5 20 HJBD COc1cc(OC)c(NCc2c(Br)cccc2[N+](=O)[O-])cc1Cl 864018468 WIVCWCCFVUTVHA-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CC(C)c1ccc(OC2CCN(c3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)CC2)cc1 917083246 BODXRSKHLHBUQA-UHFFFAOYSA-N 409.486 4.651 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 921194566 KXEDSASSEQINTI-WTKPLQERSA-N 403.481 4.701 5 20 HJBD CC(C)c1ccc(Cn2nccc2NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1116699651 SEUODZALPZCPRF-UHFFFAOYSA-N 405.458 4.511 5 20 HJBD O=C(N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1261096196 FOPXNUUVONNWSJ-SFHVURJKSA-N 421.375 4.670 5 20 HJBD COc1cc(C(=O)O[C@@H]2CCSc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 1319611619 FQZQFKRMDBWSAA-CYBMUJFWSA-N 411.382 4.599 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H](c3nc4ccccc4[nH]3)C2)ccc1Br 1321410158 GWNHZPHZXJVGTD-CQSZACIVSA-N 415.291 4.613 5 20 HJBD O=[N+]([O-])c1ccc(OCC(F)(F)F)cc1-c1nnc(-c2cccc3ncccc23)o1 1341545423 ZQROSERURAAQIF-UHFFFAOYSA-N 416.315 4.801 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCc3cccnc3)cc2)c1 32677737 PTPLMZJBMWZEDZ-HNNXBMFYSA-N 423.494 4.782 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 105399480 REXUYLHZZJOZFS-UHFFFAOYSA-N 423.860 4.632 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107799234 VFZVNQMXJIIELG-HUUCEWRRSA-N 412.795 4.943 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)C1CC1 108878194 OGVWCEQWIDZWJW-LLVKDONJSA-N 418.375 4.856 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 236654837 ZTHUXYJKXNTWGT-UHFFFAOYSA-N 424.526 4.993 5 20 HJBD Cc1cc(Sc2nnc(-c3cccs3)n2C[C@H]2CCCO2)ccc1[N+](=O)[O-] 301112482 UTGSBGMINKDOGD-CYBMUJFWSA-N 402.501 4.553 5 20 HJBD Cc1cnc(N2CCc3c(cccc3NC(=O)Nc3ccccc3)C2)c([N+](=O)[O-])c1 301415688 BUQIFBPREMOEGF-UHFFFAOYSA-N 403.442 4.505 5 20 HJBD CC(C)c1ccc([C@H]2CCCCN2c2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 302087261 NXKZVASCXYAHOH-MRXNPFEDSA-N 408.545 4.915 5 20 HJBD O=C(NC[C@@H]1CCCC(F)(F)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 410263902 YGSBOJZFZYGURA-MRXNPFEDSA-N 404.413 4.729 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426277886 NULNMVVWOKZRPK-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 428012684 FSEFZWWWNUFAIY-UHFFFAOYSA-N 422.210 4.629 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 432765651 NASLRLDNAOVAOU-UHFFFAOYSA-N 421.881 4.720 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(F)cc1F 437991109 WYTVFLSDRUOBIB-FQEVSTJZSA-N 403.429 4.944 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)C(=O)Nc1ccccc1-c1ccccc1 438653977 GUYIZKHGBGEFTQ-UHFFFAOYSA-N 417.465 4.638 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)Cc2cccc([N+](=O)[O-])c2C)cc1 438842201 NWWRWTUWWYMECD-KRWDZBQOSA-N 404.466 4.999 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CN(c1ccccn1)C2 439871896 AECYVWUWYVWHFP-UHFFFAOYSA-N 419.441 4.553 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)c1cccc([N+](=O)[O-])c1 441252377 WBCBJODFLKGLEE-XIKOKIGWSA-N 413.865 4.732 5 20 HJBD CCc1noc(CC)c1CNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 447077222 MROPAXILVYGBMU-UHFFFAOYSA-N 408.458 4.880 5 20 HJBD CC(C)(C)C(=O)NC1CCC(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)CC1 448347988 FVTSCRJFVPLSSZ-UHFFFAOYSA-N 415.534 4.592 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)Nc1ccnn1Cc1ccc(Cl)cc1 460463731 WLMDBDKDTCIXSQ-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD Cc1cc(N2CCC[C@H](c3nnc4n3CCCCC4)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461715800 BQKLJKZFMQRZCN-AWEZNQCLSA-N 423.439 4.624 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 462489478 NVQJJPAIFSMGKM-MGPUTAFESA-N 408.458 4.530 5 20 HJBD Cc1cc(=NC(=O)c2ccc(Cl)cc2Br)c2cc([N+](=O)[O-])ccc2[nH]1 462581120 ZGEQKIYEUDDNAK-UHFFFAOYSA-N 420.650 4.542 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccnc(OC(C)C)c1)C1CC1 462779769 TWEQYOCARGLNRF-LJQANCHMSA-N 411.502 4.537 5 20 HJBD CSCc1cccnc1C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 464440595 IFLUUMQZSFLXHY-UHFFFAOYSA-N 409.467 4.684 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(F)c(Br)c3)CC2)cc1 464783002 DWAQPBIRRDODRY-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(CCCO)[C@@H]1CCCc2ccccc21 467227487 FJLFVTRKKPBFHM-LJQANCHMSA-N 403.866 4.542 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-c3ccncc3)cc2)cc1OC 470196403 UUXWFMOWYFRCAY-OAHLLOKOSA-N 421.453 4.555 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N(c1ccccc1)C1Cc2ccccc2C1 470776939 OMPSAJKSVBDIGP-UHFFFAOYSA-N 424.460 4.595 5 20 HJBD Cc1ccc([C@H]2CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)c(C)c1 471328896 PMFGUPTUJFEXEN-FQEVSTJZSA-N 410.517 4.788 5 20 HJBD CCc1onc(-c2ccc(Br)cc2)c1C(=O)Nc1cccc([N+](=O)[O-])c1 474088866 LIHIUBVILPMSKV-UHFFFAOYSA-N 416.231 4.827 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 475634151 KUTWDEFJSQDGJI-IMMZDGJCSA-N 400.784 4.603 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1)N1CCC(COc2ccccc2C(F)(F)F)CC1 475858635 WHFVAECEZBEPMQ-UHFFFAOYSA-N 408.376 4.545 5 20 HJBD CCOC(=O)[C@@H](CC)S[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 476557490 ANPDKYRNJVYFGL-RTBURBONSA-N 416.499 4.658 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(Br)cn1 479524589 IBSKCPSZCMFQSA-OCCSQVGLSA-N 410.337 4.974 5 20 HJBD CCCN(C)C[C@@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487961867 WZZKUOSTLCUEEA-KRWDZBQOSA-N 413.543 4.550 5 20 HJBD C[C@@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2sc([N+](=O)[O-])cc12 488484594 UYVNPKNBYKOIIQ-YGRLFVJLSA-N 424.404 4.585 5 20 HJBD C[C@@H](C(=O)Nc1cc(-c2ccc(Cl)s2)nn1C)c1ccc([N+](=O)[O-])cc1F 489311004 FRKONGIOULXGSH-SECBINFHSA-N 408.842 4.592 5 20 HJBD CC(C)NC(=O)Cc1ccc(N[C@@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc1 495011401 WLGRRCKWEMRKIL-DEOSSOPVSA-N 403.482 4.863 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccccc2CN2CCCCCC2)c([N+](=O)[O-])c1 496916584 OYNCJKRLKJMYNW-UHFFFAOYSA-N 424.545 4.721 5 20 HJBD CC(=O)NCc1ccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1 497977149 YCFPLNKRIDTTQY-UHFFFAOYSA-N 415.515 4.621 5 20 HJBD O=C(CCc1ccc(Br)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498141211 WIPAPVIYZWUAJV-GOSISDBHSA-N 421.316 4.564 5 20 HJBD COc1cccc([C@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 498316100 OAGHLNPTWBABHW-INIZCTEOSA-N 405.454 4.707 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OC 498937523 AQLWUMKWZJARMX-LBPRGKRZSA-N 404.850 4.631 5 20 HJBD Cc1noc2nc(C3CC3)cc(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)c12 499485762 FOLUWSXXUWFSCU-UHFFFAOYSA-N 406.320 4.588 5 20 HJBD COc1cc(CN[C@@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OCC(F)F 505596581 MOMHCEJDPYVQKM-NSHDSACASA-N 400.809 4.752 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCc3cc([N+](=O)[O-])cc4c3OCOC4)cc2)cs1 509591823 KVRWNWGEZFLNLN-ZDUSSCGKSA-N 411.483 4.744 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510861714 PVHKUPVDLCPSLR-VIFPVBQESA-N 401.316 4.699 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1OC(F)F 513345198 HUCKAWZJKLXXGZ-SNVBAGLBSA-N 400.765 4.564 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccs2)Cc2ccccc2OC)c1 518651593 GFZDCWPPKULIMW-UHFFFAOYSA-N 412.467 4.516 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCO[C@@H](c4ccccc4Cl)C3)o2)c1 533410130 WMHHNFXJTQWHEF-HXUWFJFHSA-N 413.861 4.519 5 20 HJBD C[C@H](Cc1cc(Br)ccc1F)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 533688398 NCFULLZQXQEWEV-SECBINFHSA-N 415.646 4.511 5 20 HJBD C[C@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1cccc(OCc2ccccn2)c1 534963805 MTVFYDLHRVFJII-HNNXBMFYSA-N 416.437 4.541 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cn1 534984052 WVXMOMVTPVMVCL-UHFFFAOYSA-N 418.409 4.833 5 20 HJBD C[C@H](NCCOc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 538130505 ZXMGBWGVFJXBDZ-KRWDZBQOSA-N 405.454 4.577 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(Br)s1 539291763 DSWJFPMTZACLFM-UHFFFAOYSA-N 415.334 4.849 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)cn2n1 539919767 IGFFYWVHSDVGOL-UHFFFAOYSA-N 423.816 4.644 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(N3CCO[C@@H](c4cc(F)c(Cl)cc4Cl)C3)c12 543437039 YMYZTLHQSRJOAM-MRXNPFEDSA-N 423.231 4.562 5 20 HJBD CCCc1c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cnn1-c1ccccc1 543974659 NHTBPUWPJZMZLS-UHFFFAOYSA-N 419.485 4.930 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCc3ccn(C(C)C)n3)cc2[N+](=O)[O-])cc1 554880646 MVFNYODMWCUNEG-UHFFFAOYSA-N 410.499 4.762 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCc2cc(Br)ccc21 555537355 NITUHAYUBSZUQR-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1cc(C(C)(C)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)ccc1Br 571333311 BIZLLEXVDLXBHA-UHFFFAOYSA-N 403.280 4.567 5 20 HJBD O=C(c1cccc(CSCCCOc2ccc([N+](=O)[O-])cc2)c1)N1CCCCC1 604065747 FYCKXRCBMCSZSR-UHFFFAOYSA-N 414.527 4.923 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)NCc2ccc([N+](=O)[O-])cc2)cc1 604460272 UEMQWKOHOSACOD-QFIPXVFZSA-N 423.444 4.526 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CN1CCO[C@H](c2ccc(Br)cc2)C1 609705185 CIETVWGXLHLSKM-KRWDZBQOSA-N 411.683 4.584 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610046428 JERRMLFVGXJPPU-UHFFFAOYSA-N 401.513 4.841 5 20 HJBD CC(C)(C)c1ccccc1NC(=O)COc1ccc(Br)cc1[N+](=O)[O-] 610219533 KGENZRXMVSXVAO-UHFFFAOYSA-N 407.264 4.672 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1OC(F)F)C[C@@H]1CCCO[C@H]1c1ccccc1 611266739 GJFYFNNZQPTLIJ-JXFKEZNVSA-N 406.429 4.796 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)cc2)cs1 619503564 JDAXGHNHRIBLMZ-UONOGXRCSA-N 410.499 4.705 5 20 HJBD COc1ccc(CC(=O)OC(c2ccc(F)cc2)c2ccc(F)cc2)cc1[N+](=O)[O-] 727956580 HADZKYKBJJAARN-UHFFFAOYSA-N 413.376 4.757 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ccc(N(C)C)cc2)cc1[N+](=O)[O-])c1ccccn1 729809932 PQPGQVHYJRHXLU-INIZCTEOSA-N 420.469 4.586 5 20 HJBD O=C(OCc1csc(-c2ccoc2)n1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 731066234 SIAZNANWPPMHHN-UHFFFAOYSA-N 413.455 4.659 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cc([N+](=O)[O-])ccc4Cl)CC3)c2c1 732575634 JHRKOKPVDWDVCJ-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(C)cc1)c1cccnc1 732960831 BJBBEBDELGRBIG-YUKPMKIRSA-N 401.466 4.950 5 20 HJBD Cc1c(C(=O)Nc2ccc(COC3CCCC3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 739661546 IHJRDDWQUNUELG-UHFFFAOYSA-N 413.430 4.831 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCCCc1ccc(Cl)cc1 740953229 IOGRYNIZJIVLHW-UHFFFAOYSA-N 405.881 4.984 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)cc1 744562673 PTRDDPOBEFWIKI-UHFFFAOYSA-N 407.426 4.515 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(N3CCc4sccc4C3)cc2)cc1[N+](=O)[O-] 748650849 WKZQPWBRMMFWDQ-UHFFFAOYSA-N 423.494 4.870 5 20 HJBD Cn1c(SC[C@@H](O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(C(C)(C)C)cc1 749639057 IQGHFZMZRMFIHI-GOSISDBHSA-N 412.515 4.514 5 20 HJBD Cc1ccc(-n2ccnc2SCc2nnc(-c3ccccc3[N+](=O)[O-])o2)cc1C 753851650 YMCHELMULGDNIC-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 756764750 BPDDWIJXSDZXQD-ZIAGYGMSSA-N 409.442 4.774 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(-c3cc[nH]n3)cc2)no1 761596277 HEIMJCBDJNNMLH-NSHDSACASA-N 411.805 4.828 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nc(-c2cccs2)no1 765522410 OADGVIZZFDXJOS-TZMCWYRMSA-N 401.444 4.579 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CN(C(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 768231579 WBVHLLMVXQVKSD-MRXNPFEDSA-N 413.474 4.522 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(C(=O)C(C)(C)C)cc1 769629696 BIMYBCDHRBEXNN-UHFFFAOYSA-N 410.879 4.586 5 20 HJBD CCCN(C(=O)c1cccs1)C1CCN(Cc2ccc(Cl)c([N+](=O)[O-])c2)CC1 770251772 LOLVSRZVFBYQBP-UHFFFAOYSA-N 421.950 4.827 5 20 HJBD CC(C)(C)OCc1ccccc1C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 773704418 JCADJMWOVRRNCN-UHFFFAOYSA-N 410.426 4.922 5 20 HJBD COc1cc(C(=O)NOC2CCCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 774869962 UPBILAGSRHHTPX-UHFFFAOYSA-N 406.822 4.653 5 20 HJBD CCOc1ccccc1[C@@H](CC)N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775088054 UJEWYMFUBYWVQB-CXAGYDPISA-N 405.882 4.715 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1cc(F)ccc1F 775091753 VJIDTHVBRJWMFV-NSHDSACASA-N 424.425 4.558 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cncc(-c2ccccc2)c1 776136354 JNQRCTLCDFCHAH-HSZRJFAPSA-N 411.417 4.998 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1nccnc1Sc1ccccc1 784005201 ILNKTHPIZJKVGI-UHFFFAOYSA-N 401.831 4.546 5 20 HJBD O=C(Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])[C@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 785883493 BMVISMMXPXTEEQ-BDXSIMOUSA-N 407.344 4.523 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1CCOc2c1ccc(Cl)c2Cl 792692712 VLBNUTKQSXYVEN-AWEZNQCLSA-N 413.282 4.634 5 20 HJBD Cc1nc(N[C@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)ccc1[N+](=O)[O-] 811869661 USUOECPQFODJJI-QGZVFWFLSA-N 400.479 4.756 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)OCc1csc(Cc2ccc(Cl)cc2)n1 915232824 AFRVLEZATDSDSK-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)s1 916076891 CQPNMKPMBGOTKK-UHFFFAOYSA-N 400.441 4.790 5 20 HJBD O=C(NC1CC1)c1cccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 917078213 BNUZARYKSPXPPW-UHFFFAOYSA-N 413.783 4.771 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3cccc(OC4CCCC4)c3)[n+]2[O-])c1 917518534 BQDMIDFPMSLUNP-QXMHVHEDSA-N 403.438 4.782 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)cc2s1 918161123 AQLXAQNPJMBJIO-IZZDOVSWSA-N 410.455 4.595 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OC[C@@H]2CC2(Cl)Cl)cc1[N+](=O)[O-])c1ccccn1 920547452 OEHAQDMDCYKRHS-YPMHNXCESA-N 410.257 4.514 5 20 HJBD O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)C1(c2ccc(Br)cc2)CCCC1 1252189310 AZAJVNQSVQXXFA-UHFFFAOYSA-N 415.287 4.712 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1319729241 WFAGCBQDVPNAIA-SFHVURJKSA-N 400.866 4.887 5 20 HJBD CSc1cccc(N2C[C@@H](c3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)CC2=O)c1 1320329548 XFKKBPCVPPSFRZ-AWEZNQCLSA-N 424.482 4.504 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 1322242819 JKAPWDBGHSDVNL-CQSZACIVSA-N 417.425 4.889 5 20 HJBD Cc1c(Cl)cccc1NC(=O)CCNc1ncnc2c(Cl)cc([N+](=O)[O-])cc12 1323544896 WIWAJWQQIBSDFR-UHFFFAOYSA-N 420.256 4.594 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)c1 1328429604 PCYWXIGNEUCPRD-UHFFFAOYSA-N 422.260 4.805 5 20 HJBD CC(=O)N(c1nc(COc2cccnc2[N+](=O)[O-])cs1)c1c(C)cc(C)cc1C 7545348 JPZBUFBWYDUBOO-UHFFFAOYSA-N 412.471 4.635 5 20 HJBD O=C(COC(=O)C(c1ccccc1)c1ccccc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 28300634 WKHBXFFXENTBKD-UHFFFAOYSA-N 424.840 4.562 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53166449 QXUSJSXXZXIKHH-QRWLVFNGSA-N 402.454 4.580 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 63479566 URNUNVZVCGORIO-UHFFFAOYSA-N 408.336 4.674 5 20 HJBD Cc1sc(NC(=O)C(C)(C)Oc2ccc(F)cc2)nc1-c1cccc([N+](=O)[O-])c1 195703869 NWZWBULTATXFHZ-UHFFFAOYSA-N 415.446 4.962 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccccc2F)c1)c1ccc([N+](=O)[O-])cc1 426864366 MRKUOLFBRQJJPK-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1CCO)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 428799092 VJJHGACEEGHWTA-CXAGYDPISA-N 420.918 4.680 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 429085304 BIYOECMRGJOLEN-LBPRGKRZSA-N 417.874 4.802 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC(c2ccccc2Br)CC1 439143199 AUXXPKVIQLLOQU-UHFFFAOYSA-N 422.254 4.908 5 20 HJBD C[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccccc1Cl 444099284 KESBVBIUSBZQER-ZDUSSCGKSA-N 424.859 4.671 5 20 HJBD CC(C)[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1cccnc1 447680886 SWTISKFBUVQBTM-GFCCVEGCSA-N 404.339 4.891 5 20 HJBD Cc1ccccc1[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[C@H](C)CO1 460398714 GSPAFDVAQGYFQB-FOIQADDNSA-N 423.494 4.629 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NS(=O)(=O)c1ccc(OCC(F)F)c2ccccc12 460420425 NIFDABOGXGYIBG-UHFFFAOYSA-N 422.409 4.501 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462536051 KNJVEVJCDXHEJJ-SECBINFHSA-N 423.705 4.937 5 20 HJBD Cc1nc(COc2ccc(CNCc3ccc([N+](=O)[O-])cc3Cl)cc2)cs1 466462183 DIFNATYGPQZVGD-UHFFFAOYSA-N 403.891 4.882 5 20 HJBD Cc1ccc([C@@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(S(C)(=O)=O)c2)cc1 467858420 ITPAEZKIUHAFPH-UZUQRXQVSA-N 424.522 4.747 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1cccc(C[S@@](=O)C2CCOCC2)c1 468584913 HARWMGCEWRAWSX-VPQROWECSA-N 414.527 4.538 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNC/C(C)=C\c1cccc([N+](=O)[O-])c1 468750474 ZYVHVFZFMFLVRW-ZROIWOOFSA-N 417.893 4.587 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)c1 472272162 CXTPAFCILFZSKG-LJQANCHMSA-N 413.886 4.885 5 20 HJBD COc1cccc(C=C2CCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)c1 472941259 FWUHEUNJILRWDX-MRXNPFEDSA-N 412.511 4.790 5 20 HJBD Cc1c(CN[C@H](C)c2cccc(OCc3cn4ccccc4n3)c2)cccc1[N+](=O)[O-] 477725836 JZJQCLIEJIIHHZ-GOSISDBHSA-N 416.481 4.981 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nc4cc(F)cc(Cl)c4o3)o2)cc1 478834300 GUYJGZZGJKWMNW-UHFFFAOYSA-N 406.782 4.871 5 20 HJBD COc1ccc(C)cc1NC(=O)COc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 481959658 RVJVVJBEJBSSHE-UHFFFAOYSA-N 406.438 4.520 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(CN3CCCCC3)c2)cc1SC 482749222 FGXKKUHYPOQUKK-UHFFFAOYSA-N 415.515 4.564 5 20 HJBD C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 483339373 ITUULTACFGNWKV-QMTMVMCOSA-N 410.449 4.846 5 20 HJBD Cn1[nH]c(-c2ccccc2Cl)nc1=NC(=O)c1cc2ccccc2c2cccnc12 484885455 OFFDWWOVXJNUHG-UHFFFAOYSA-N 413.868 4.511 5 20 HJBD COc1cccc(C(=O)NCC2(c3c(Cl)cccc3Cl)CCC2)c1[N+](=O)[O-] 487606346 OWVIOWUCVCDUKA-UHFFFAOYSA-N 409.269 4.762 5 20 HJBD COc1ccc(C(=O)N2CCCCCC2)cc1NCc1c(Cl)cccc1[N+](=O)[O-] 488152472 QSSOZYCFRBWOIP-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)cc1F 496492398 JXBGYKCEGDILEO-HXUWFJFHSA-N 415.371 4.659 5 20 HJBD O=C(NC1CCC(c2ccccc2)CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 499164706 QYPLBLYTQRXHJM-UHFFFAOYSA-N 407.514 4.654 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 500626498 ZCKUCMAFJVHQGO-UHFFFAOYSA-N 418.406 4.859 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 505061153 GXUJERXZZNFTPH-CYBMUJFWSA-N 400.456 4.508 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCC(C)C 505246074 DPUJUAILLWZXNI-OAHLLOKOSA-N 401.463 4.829 5 20 HJBD CCN(CC)[C@H](C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 510483379 SWTBLKMNOTWJNK-LIRRHRJNSA-N 423.435 4.874 5 20 HJBD C[C@H](N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 511588460 OYTLSWVWVHXREU-SGTLLEGYSA-N 423.391 4.561 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512281065 ISFJKHCGBABDJN-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 514182909 PYYGLWBDDYFCQO-SFHVURJKSA-N 405.376 4.566 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1NC(C)=O 515547278 MNKOGMIYRVHDTR-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 517902033 XDUZQOMSYRVNKP-ZDUSSCGKSA-N 418.881 4.611 5 20 HJBD COc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2)cc1NC(=O)c1cccc(F)c1 520167168 NELBNOHJFWDULT-UHFFFAOYSA-N 424.388 4.639 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 522502632 MIXNOUGHVSMSNC-OAHLLOKOSA-N 409.511 4.765 5 20 HJBD COc1ccc(CC(=O)N2CCC(Sc3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 532986367 VBFDRNMPORMDBM-UHFFFAOYSA-N 420.918 4.583 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(SCc2cccnc2)cc1 533925132 PFWHVRATMCCALU-UHFFFAOYSA-N 418.478 4.945 5 20 HJBD CCOC(=O)c1c(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc2c1C 537175341 DYMDWNQLSWRCCY-MRXNPFEDSA-N 407.470 4.787 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(COc2cccc(F)c2)c1 538304581 JLOKUWLHLAXSGV-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](C)c1ccc(SC(C)C)cc1 539305561 UCUWYOUCRDVTAS-HNNXBMFYSA-N 417.531 4.783 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)NCCc1ccc(Cl)cc1[N+](=O)[O-] 539794772 PIFUZKZNQMMWCE-UHFFFAOYSA-N 424.309 4.803 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)CC1 540111247 BNHWPDMDJLLZFE-MRXNPFEDSA-N 421.501 4.615 5 20 HJBD COc1ccc(-c2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1OC 540475684 BBTADBDMGBFDNB-AWEZNQCLSA-N 413.499 4.895 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 541303798 JKWWIRZXZYTTON-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(Cl)c(O)c(Cl)c1 544256412 MNPWQINCBJXARK-UHFFFAOYSA-N 407.662 4.991 5 20 HJBD CCc1ccc([C@H](NCc2ccccc2-n2ccnc2)c2ccc([N+](=O)[O-])cc2)o1 544366118 QSGUDBYHRUSWCV-HSZRJFAPSA-N 402.454 4.815 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 544961384 USEUEOSKVNZPEI-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3c[nH]c4ccc([N+](=O)[O-])cc34)n2)c1)c1ccco1 546314513 CGZLRYPOPIKCPB-UHFFFAOYSA-N 415.365 4.638 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551798018 NWWZDLUBBGMFHB-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(Cl)cc2)CCC1)c1ccc([N+](=O)[O-])cc1 552248262 AUVHSYGYCPKNJB-HXUWFJFHSA-N 410.905 4.783 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@H]3c3cccc(Br)c3)ncnc2s1 552937733 MNAMIICBKJTTGJ-ZDUSSCGKSA-N 405.277 4.704 5 20 HJBD COc1cc([C@H](C)Nc2ncnc3sc([N+](=O)[O-])cc23)ccc1OCC(C)C 552974154 QHNZANITRNFPFO-LBPRGKRZSA-N 402.476 4.816 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H](Oc2ccccc2)c2ccccc2)n1 567789169 ATFWGLNVAZTVDC-JOCHJYFZSA-N 416.437 4.801 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 569652800 FRETVHNXANFSES-YLJYHZDGSA-N 410.518 4.674 5 20 HJBD CCC(CC)(CNC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OC)c(OC)c1 574982157 CXKYEIQNSAOPNW-INIZCTEOSA-N 414.502 4.590 5 20 HJBD O=C(Nc1ncc(C2CCCCC2)s1)N1CCOc2ccc([N+](=O)[O-])cc2C1 581972983 QSXLGIZOFLWCGW-UHFFFAOYSA-N 402.476 4.526 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)F)ccc2Cl)cc1OC 582750368 OAPDBZCVYHBTIZ-UHFFFAOYSA-N 400.765 4.845 5 20 HJBD CCOc1ccc(Oc2cc(CNc3ncnc4sc([N+](=O)[O-])cc34)ccn2)cc1 587368715 SAKBDGJQLXXIDA-UHFFFAOYSA-N 423.454 4.798 5 20 HJBD COC(=O)CCSc1cc(Cl)ccc1NCc1cc([N+](=O)[O-])ccc1OC 600855466 DZFVVMUYJGINOH-UHFFFAOYSA-N 410.879 4.524 5 20 HJBD Cc1oc(-c2cccs2)nc1CSCc1cc([N+](=O)[O-])cc2c1OCOC2 603435850 INQJFJALMLFXLI-UHFFFAOYSA-N 404.469 4.920 5 20 HJBD CCOc1ccc(NC(=O)CNc2cccc(Oc3ccccc3)c2)c([N+](=O)[O-])c1 603472014 DLCZYMIMYAAPGH-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD COc1cccc([C@H](CNc2cccnc2[N+](=O)[O-])c2c[nH]c3ccccc23)c1OC 603837355 LCEMSSLJIFGFAD-SFHVURJKSA-N 418.453 4.732 5 20 HJBD C[C@H](Sc1ccccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603961111 FDOOHPLAWOEHBW-VIFPVBQESA-N 402.806 4.969 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N[C@@H](CC(F)(F)F)c2ccccc2)cc1[N+](=O)[O-] 604041831 CXBWFTLQUGYCFT-AWEZNQCLSA-N 422.812 4.529 5 20 HJBD COc1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1OC 608971645 MZTSYAGQZPEPAS-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cc(F)c(F)cc3F)cc2[N+](=O)[O-])C1 609182857 WJRSAHMUIRDTPZ-RYUDHWBXSA-N 407.392 4.747 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)C2CCC2)cc1OC 609218351 HNAMWTAFOLQEHJ-HXUWFJFHSA-N 418.877 4.927 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 609666939 QKSVQXLGXGEHIV-AWEZNQCLSA-N 402.410 4.863 5 20 HJBD Cc1nn(-c2ccc(Cl)c(Cl)c2)c(NC(=O)c2ccccc2[N+](=O)[O-])c1C 609795055 JPQFXIPHIIHNAZ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 609856603 OKXQJJDETJYKDA-ZDUSSCGKSA-N 415.877 4.681 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCCN(c2ccccc2Cl)C1 610178521 FOWQPFYRFXJLAJ-CABCVRRESA-N 419.934 4.514 5 20 HJBD O=C(Nc1ccc(-c2nc[nH]n2)cc1F)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 619252808 NPGQULBMQRVTPS-UHFFFAOYSA-N 418.388 4.515 5 20 HJBD O=C(N[C@H]1CCc2cc(O)ccc2C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619674179 DGFIVHVBNLPEDS-KRWDZBQOSA-N 420.490 4.739 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])c1csc(-c2ccccc2Cl)n1 727562229 UZNMINOLJIWOHW-UHFFFAOYSA-N 404.831 4.608 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 731487265 IERXRCMLRVJFGY-SFHVURJKSA-N 424.284 4.879 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cc(Cl)nc(Cl)c1 733433097 YBVAECPTTNJJPD-UHFFFAOYSA-N 413.260 4.600 5 20 HJBD CCOc1cccc(NC(=S)/C(=C(/O)c2cccc([N+](=O)[O-])c2)[n+]2ccccc2)c1 743052342 KMRFLJLIQLLVIC-UHFFFAOYSA-O 422.486 4.604 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc2ccccc2c1)C(=O)Nc1ccccc1[N+](=O)[O-] 745415278 HRFGULAASHYIKS-OAHLLOKOSA-N 406.438 4.596 5 20 HJBD CN(Cc1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1)Cc1cscn1 749991022 YGJWKNOPDQAWQM-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C(Nc1ccc(F)cc1)[C@@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 756886153 XHJCAIQHUOIVIM-FQEVSTJZSA-N 413.836 4.857 5 20 HJBD C[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2n1C(F)F 756916864 WLFAWYQEQBUGBY-SNVBAGLBSA-N 423.807 4.553 5 20 HJBD COc1cc(C(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 757060243 ASQLHNDKMPDVHW-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2ccc(COCc3ccccc3)cc2)c1[N+](=O)[O-] 758418954 TVFLSRYMNFPBLP-UHFFFAOYSA-N 408.429 4.689 5 20 HJBD Cc1noc(C)c1CCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761856347 IXLNYIRUTDHYMT-UHFFFAOYSA-N 406.398 4.827 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)cc1 768468676 YLRGILLVXOFMBN-CYBMUJFWSA-N 402.545 4.507 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1ccc(Br)c([N+](=O)[O-])c1 770340864 YBOGVXAEKOKSQX-OAHLLOKOSA-N 419.256 4.760 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 773988348 JZTINKSOBRSLKE-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD Cc1cccc(CSCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776131544 DWDPYAJHXDOPFA-QFIPXVFZSA-N 408.479 4.864 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc(Cl)c([N+](=O)[O-])c1 776402517 HORWUBRWJSTFFI-UHFFFAOYSA-N 413.217 4.812 5 20 HJBD O=C(N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1cc([N+](=O)[O-])ccc1Cl 779328750 JUJJSVCTJWEOIO-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD Cc1cc(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)co1 780262668 PMBQWMOEHOEWGI-UHFFFAOYSA-N 400.774 4.621 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1C 781310879 SVIYGQAMNMNLPQ-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)[C@H]1CC(c2ccccc2[N+](=O)[O-])=NO1 781561150 FLKAVWNYONKLGI-GOSISDBHSA-N 401.850 4.505 5 20 HJBD O=C1OCCN1c1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 782967364 NWBJKBQXAUNBRT-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1ncoc1-c1cccc(C(F)(F)F)c1 783940254 OEPAQVZOSMKWGI-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)cc1 787459437 OUUJHWBHUAISQW-UHFFFAOYSA-N 402.319 4.599 5 20 HJBD Cn1c(SCc2ccc(Br)c([N+](=O)[O-])c2)nnc1-c1ccc(F)cc1 788097162 SCCJNXHNMBWZIB-UHFFFAOYSA-N 423.267 4.584 5 20 HJBD O=C(NC[C@@H]1COc2ccccc2C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799299665 AVNGBCWABVXIBM-MRXNPFEDSA-N 420.490 4.727 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nnc1-c1ccc(C(C)(C)C)cc1 799835115 FQZNUOIOFXAVSY-UHFFFAOYSA-N 413.459 4.747 5 20 HJBD C[C@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1 803057261 GDDMYUKSDAXDEO-LBPRGKRZSA-N 409.364 4.527 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 805372312 YBXXDLWXBZYWPX-JTQLQIEISA-N 402.319 4.595 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NC/C=C/c2ccccc2[N+](=O)[O-])CC12CCC2 813785736 HPTXLGFBNUXAQD-YOCVDRLZSA-N 401.507 4.520 5 20 HJBD Cc1ccc2c(c1)[C@H]1CN(C)CC[C@@H]1N2C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 824409786 VNZXJGJIAXUFAH-MJGOQNOKSA-N 407.495 4.566 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)CCc2ccc(-c3ccccc3)o2)cccc1[N+](=O)[O-] 856521348 RYAZJRWVNIBNEL-INIZCTEOSA-N 422.437 4.666 5 20 HJBD O=[N+]([O-])c1ccc2c(cnn2CN2CCC[C@H]2c2cccc(Br)c2)c1 917483295 PWYXBUAGVPJYMT-KRWDZBQOSA-N 401.264 4.502 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(c2ccc([N+](=O)[O-])c(C(F)(F)F)c2)CC1 1318886709 JPDKRKYSKQPZJT-SFHVURJKSA-N 405.376 4.566 5 20 HJBD CCc1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1CC 1354027686 OIRGMIYMRBRAGL-UHFFFAOYSA-N 422.485 4.820 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2ccccc2Cl)c([N+](=O)[O-])c1Br 14205979 GBWUOYONAHNKGM-UHFFFAOYSA-N 416.615 4.540 5 20 HJBD C[C@H](OC(=O)c1cc2cc(F)ccc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17981890 ZUSLJQDAZBIIDQ-JTQLQIEISA-N 413.386 4.917 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1ccc(SC)c([N+](=O)[O-])c1 22161584 YSJPCOHGSJWKON-CYBMUJFWSA-N 416.499 4.626 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1Cc2ccccc2[C@H](c2ccccc2)C1 29227085 HIGOSVPPXANMFB-DEOSSOPVSA-N 415.493 4.961 5 20 HJBD C[C@@H](Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)o1)c1ccccc1 31843248 SCFKXWNKJLVKIX-ZDUSSCGKSA-N 423.454 4.666 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2c2ccsc2)c([N+](=O)[O-])cc1OCC 45984681 XCFUOPCPNPVKGM-OAHLLOKOSA-N 405.476 4.823 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 46758181 VQURZBCOMPCVCY-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@@H]1CCCc2cc(OC(F)F)ccc21 60457838 CPNVLYOXCGGMDJ-LJQANCHMSA-N 419.428 4.582 5 20 HJBD CC(C)N(CC(=O)N[C@@H](c1ccccc1)c1cccs1)Cc1ccc([N+](=O)[O-])cc1 64350220 NFLTZKJLULFYJX-QHCPKHFHSA-N 423.538 4.773 5 20 HJBD COC(=O)c1cc(C(=O)N(C2CC2)[C@H](C)c2ccc3ccccc3c2)cc([N+](=O)[O-])c1 65889208 AJPAJBQXWKVYTE-OAHLLOKOSA-N 418.449 4.900 5 20 HJBD Cc1occc1-c1nnc(SCCOc2ccccc2[N+](=O)[O-])n1-c1ccccc1 71960581 FAVJNJXZHVTADA-UHFFFAOYSA-N 422.466 4.915 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cn2)c1 107581561 KNLWQTFLEXQTLK-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N[C@H](Cc1ccccc1)c1cccs1 303689807 VAPZDDSYEXTNOZ-HXUWFJFHSA-N 409.511 4.949 5 20 HJBD C[C@@H](CO)N(CC1CCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 430097170 GQYMHZGDVSUCHN-AWEZNQCLSA-N 418.877 4.664 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc2nc(C3CC3)oc2c1 430569910 JKVSSNVYNKSLHS-JTQLQIEISA-N 401.806 4.673 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H](c3ccc(F)cc3)C2)c([N+](=O)[O-])cc1OCC 431575280 QOZFACCYVJSRJH-HNNXBMFYSA-N 417.437 4.553 5 20 HJBD C[C@@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)c(F)c1 435828836 VTEIFYIZRGNHFR-SNVBAGLBSA-N 414.358 4.729 5 20 HJBD Cc1onc(-c2ccc(Cl)c(Cl)c2)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 436128803 MNWNEKRKBCBHIW-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD CCOc1cccc([C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 436244991 ZQWPOQBTLNNHMT-ZDUSSCGKSA-N 422.431 4.849 5 20 HJBD CCS[C@H]1CC[C@H](N(C)C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 437117100 PZYCNZFQLBJXKT-STQMWFEESA-N 422.494 4.838 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1ccc(Br)cc1 437303552 KHDXNZNHVGDIMZ-GOEBONIOSA-N 421.316 4.755 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437675636 PMFZKIWYMNSNLE-UHFFFAOYSA-N 403.479 4.590 5 20 HJBD CCCN(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc([N+](=O)[O-])c1 441439279 TVWXXRDAHNYFMS-UHFFFAOYSA-N 415.449 4.506 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1C1CC1 444289718 NYSKXGJHAIYMCZ-AWEZNQCLSA-N 400.482 4.979 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1ccc(F)c(F)c1F 446425550 SOOSOMGIPZRGNJ-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC3(CCC3)[C@H]2C2CC2)cc1[N+](=O)[O-])c1ccccn1 461195188 QPDHFNUQJLJBBG-YCRPNKLZSA-N 406.486 4.568 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1OCC 466570872 YDHIVWTVRSOQQO-ZDUSSCGKSA-N 421.881 4.606 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C 468984760 NVCIBYLZNFXPDR-INIZCTEOSA-N 424.526 4.967 5 20 HJBD CC[C@H](Cc1ccccc1Br)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 470175531 ZMZOIGSJLJGTJB-MRXNPFEDSA-N 420.307 4.520 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1[C@H]2CC[C@H]1CC(N(C)C(=O)c1ccccc1)C2 470206394 QRHBUDYKTRFZLK-ZJKDUQGASA-N 419.525 4.766 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cccc(Cl)c1Oc1cccnc1 477459624 ZNBQQPJDWHUMAA-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CCC[C@H](C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1)C(C)C 484649860 FSKKTUSVMDXSDH-KRWDZBQOSA-N 405.520 4.770 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2nccs2)CC1 485899601 XITVTYILGIBNQD-UHFFFAOYSA-N 405.545 4.819 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1)c1ccccc1 490394637 CZIIGYFQYXVBDZ-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD COc1ccc(CNc2cnn([C@@H](C)C3CC3)c2)cc1OCc1ccc([N+](=O)[O-])cc1 494389577 YSEPIVQPHYOPOI-INIZCTEOSA-N 422.485 4.962 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)n2)cc1 499043155 UHNULXGBGGDCDP-UHFFFAOYSA-N 423.479 4.636 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)O[C@@H](C)C2 499629082 ZFYOBCVUVYKNRO-QILLFSRXSA-N 410.470 4.676 5 20 HJBD COc1cc(CSc2nnc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OCC(F)F 502861364 JYDAZLPZBRDDLM-UHFFFAOYSA-N 423.397 4.590 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2cccc(Cl)c2)cc1[N+](=O)[O-] 503021718 RZENCTCUXZQHHB-KRWDZBQOSA-N 420.918 4.854 5 20 HJBD COc1cc(C(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)ccc1[N+](=O)[O-] 505371526 FBZCERWHBRTEQE-CQSZACIVSA-N 422.281 4.656 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 507501269 MWILSHZQZPIFIO-HUUCEWRRSA-N 417.531 4.782 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC(C)(c2nc3ccccc3s2)CC1 511062929 FTZOMXABUXHSNB-UHFFFAOYSA-N 424.526 4.505 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)NCc3nc4ccccc4s3)cc2[N+](=O)[O-])C1 512504263 ORQQBAUEJURAID-GASCZTMLSA-N 424.526 4.617 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 512614828 RSWZTQSJKFPMHA-UHFFFAOYSA-N 410.499 4.614 5 20 HJBD CCc1ccc([C@H](NC(=O)COc2ccc(C)cc2[N+](=O)[O-])c2cccs2)cc1 515423874 CJCLIGYTOMHXMI-QFIPXVFZSA-N 410.495 4.812 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](C)c2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 515778752 XUXAEBJONDZPCC-NSHDSACASA-N 412.273 4.813 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1ccc([N+](=O)[O-])cc1Br 524606943 UODNYIAAJBUAMG-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 531986283 UUPFHSARVUPLKA-JOCHJYFZSA-N 416.481 4.888 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cccc3c2OCCCO3)ccc1Oc1ccc(F)cc1 532705483 KCMABVFZSAZPLR-UHFFFAOYSA-N 424.428 4.977 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)NCCc2cccc([N+](=O)[O-])c2)cc1 533984150 QXIPOCXUNAEBLN-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cccc(Cl)c2Cl)c(C(F)(F)F)c1 534207243 QEZALWHVGORQCV-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1ccc(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)cc1[N+](=O)[O-] 535135680 YWHCUBZUNMJRTP-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCC(=O)Nc3c(C)cccc3[N+](=O)[O-])cc2)cs1 537078184 VPJIEDRXGSMEIE-CQSZACIVSA-N 410.499 4.624 5 20 HJBD O=C(CCN1CCCCC1)Nc1ccc(NC/C=C/c2ccccc2[N+](=O)[O-])cc1 538834588 HCUTZVSWWHWEGW-SOFGYWHQSA-N 408.502 4.535 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(NC(=O)CC)c1 539636252 YCSUAPOOGDYFOV-UHFFFAOYSA-N 415.515 4.766 5 20 HJBD CCC[C@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 540828065 SUYIJTIZISNGHY-IRXDYDNUSA-N 419.547 4.684 5 20 HJBD COc1ccc(-c2csc(CNC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)c2)cc1 541580259 ZYWLHHAHFNKFMM-ZDUSSCGKSA-N 414.458 4.891 5 20 HJBD COc1ccc2oc([C@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c(C)c2c1 542582691 XJVMENSSPYNPNO-ZDUSSCGKSA-N 408.414 4.559 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1F 544620271 UGMNPRKWXRTULG-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cnc2N)cc1Cl)c1ccccc1 545377656 GSLLHKYZYLSCIG-LBPRGKRZSA-N 411.849 4.651 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(-c3ccc(COCC(F)(F)F)cc3)no2)cc1 547013998 NYPIQZVBUDUAET-BJMVGYQFSA-N 405.332 4.894 5 20 HJBD CCS[C@@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)C(C)C 547152433 YDJUJQYRPBGFQG-CQSZACIVSA-N 400.298 4.781 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1ccncc1OCCC(C)(C)C 567080580 RFOBIEUXKYHXPT-UHFFFAOYSA-N 400.479 4.743 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@H](C)N(C)C(=O)c3c[nH]nc3[N+](=O)[O-])c2)cc1 567182034 WROSJDMZMRWYIQ-HNNXBMFYSA-N 408.458 4.605 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 569652797 FRETVHNXANFSES-PXNSSMCTSA-N 410.518 4.674 5 20 HJBD COc1ccc(CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C(C)(C)C)cc1 580799645 CMYFCSQJCVPFFC-UHFFFAOYSA-N 418.515 4.775 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccc(F)cc2)C2CC2)c([N+](=O)[O-])cc1OCC 603651304 FVZFLXPIMMLRPN-UHFFFAOYSA-N 417.437 4.728 5 20 HJBD C[C@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 603743441 UMDJFRKJLRVURI-UWVGGRQHSA-N 400.237 4.719 5 20 HJBD C[C@@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 603955332 REDVWUXVYDTABF-QGZVFWFLSA-N 405.454 4.751 5 20 HJBD CC[C@@H](C)n1c(Sc2ncnc3ccc([N+](=O)[O-])cc23)nnc1-c1ccccc1 611711148 SARJIAPNAAZYDS-CYBMUJFWSA-N 406.471 4.919 5 20 HJBD Cc1nc2c(cnn2C(C)C)cc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 619123246 SNZWPQHEOQKQAW-UHFFFAOYSA-N 422.470 4.605 5 20 HJBD CC(=O)Nc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 725912941 DAOBJBITJMXRQT-UHFFFAOYSA-N 419.437 4.522 5 20 HJBD C[C@H](NC(=S)/C(=C(/O)c1cccc([N+](=O)[O-])c1)[n+]1ccccc1)c1cccs1 726582025 ZQGWVHQYUQMQDB-AWEZNQCLSA-O 412.516 4.506 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1 728460715 QEZKURWDPQKHQH-UHFFFAOYSA-N 403.866 4.763 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NC[C@H](O)c1cccc(C(F)(F)F)c1 730805977 LAWNXJRLPAZUAG-AWEZNQCLSA-N 405.170 4.522 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)C(=O)Nc1cccc(Cl)c1Cl 736864957 WHBZSJOGJQERCI-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 741609781 FFEYSBWLFQXUQD-UHFFFAOYSA-N 421.409 4.781 5 20 HJBD O=C(Nc1nc2ccccc2n1-c1ccccc1F)c1cc(Cl)ccc1[N+](=O)[O-] 742004545 OJSGSHPAQASUFJ-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD COc1ccc(CNCc2c(C(C)C)nn(-c3ccccc3)c2Cl)cc1[N+](=O)[O-] 742718726 YETHOHDFXFWMQW-UHFFFAOYSA-N 414.893 4.856 5 20 HJBD COc1cccc(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1OC(F)F 744150949 INSHLNPITVPFAR-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD Cc1[nH]c2c(C(=O)O[C@@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cccc2c1C 744668605 ICADLGMHDGVKMH-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](c1c(F)cccc1Cl)N(C)C 747822242 DAUSOAPPMSSAOI-INIZCTEOSA-N 400.237 4.591 5 20 HJBD C[C@@H](c1cccs1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 749420624 BTIFOYDQNLPHBW-QMMMGPOBSA-N 403.685 4.905 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1cccc(Cl)c1Cl 756767261 TUAFZFFYLQWYLI-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=C(Nc1cc(F)ccc1N1CCCCC1)c1cccc([N+](=O)[O-])c1Br 758237785 ZNSIQPLFOVHMGZ-UHFFFAOYSA-N 422.254 4.739 5 20 HJBD Cc1c(-c2nc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)no2)cccc1[N+](=O)[O-] 762287178 QQACANMSFRGIOH-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)cc1 762288746 YDZYBGYPHXKRIJ-UHFFFAOYSA-N 414.393 4.722 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3cccc(-c4cscn4)c3)no2)C1 762301363 ZRTROUOBHWTLPV-SFHVURJKSA-N 419.422 4.634 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 770103971 HAFNNHBGPNZMEI-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nccs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 770184957 IREADTNGBHZJFF-LJQANCHMSA-N 409.467 4.598 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(F)c(F)c1 770304487 NGKKYBQMKLZHSG-INIZCTEOSA-N 411.202 4.613 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777935849 SUWYDYIUTHMEMV-LLVKDONJSA-N 413.218 4.669 5 20 HJBD COc1cccc(-c2nc(COC(=O)C(F)(F)c3ccc([N+](=O)[O-])cc3)cs2)c1 778168951 GRBILBXTPQOYQM-UHFFFAOYSA-N 420.393 4.562 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2NC(=O)OCc2ccccc2)cc1[N+](=O)[O-] 781926852 ARCGFDLDFMWTSF-UHFFFAOYSA-N 405.410 4.904 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 787911107 BEQPKCHOURMZJG-YXAHYSCSSA-N 402.454 4.752 5 20 HJBD Cc1ccc(OCc2ccccc2)c(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c1 799497976 AZRVKHNFURJTMC-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(C3(c4cccc(C(F)(F)F)c4)CC3)no2)cc1F 809510537 DWEYRUDNYKJLCR-UHFFFAOYSA-N 423.322 4.795 5 20 HJBD Cc1c(C(=O)N2Cc3ccccc3[C@H](c3ccccc3)C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 901384943 CLQRMBSYSAIQGD-NRFANRHFSA-N 417.421 4.599 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(Br)s1 914525749 IYNXHIURXKBVGZ-VIFPVBQESA-N 402.291 4.643 5 20 HJBD O=C(CCCOc1cccc2ccccc12)OCc1cc([N+](=O)[O-])cc2c1OCOC2 915583154 JQTOVNAIUDPTMQ-UHFFFAOYSA-N 423.421 4.517 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 915615625 NGSOOAAFEHVUSK-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)c3csc([N+](=O)[O-])c3)cs2)cc1OC 917283731 SPKAFPAZUQBIAO-UHFFFAOYSA-N 420.468 4.544 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CN(C(C)=O)C2 1254236826 ALFCXFHVELSDMJ-UHFFFAOYSA-N 413.499 4.601 5 20 HJBD Cc1onc(-c2ccccc2)c1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 1320177687 WPBZAHNAERHEBP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(COc3ccc4ccccc4c3)o2)C1 1322243322 LPCBQASPZOSBGR-FQEVSTJZSA-N 416.393 4.576 5 20 HJBD CCc1ccc([C@@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])c2cccc(F)c2)cc1 1326408352 NHNDRLPBAXLDJL-OAQYLSRUSA-N 408.429 4.824 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(NC(=O)c2cccs2)c1 15389791 YYZFSYBVMBMXRA-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1c(NC(=O)N(Cc2cccc(Br)c2)C2CC2)cccc1[N+](=O)[O-] 43347122 JDICHQRREJHWJU-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD Cc1sc2ncnc(SCCC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 56083726 BFHJPBZZXITECB-LBPRGKRZSA-N 416.528 4.576 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Cc1csc(-c2ccc(Cl)s2)n1 57386745 RINZDPLRNMSDCK-UHFFFAOYSA-N 407.904 4.634 5 20 HJBD CC(C)(NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccc(Br)cc1 58251668 IGSNOKSFFBDZIH-UHFFFAOYSA-N 402.248 4.504 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H]1CCc1ccccc1 64736400 YBFMCGCPPUFOSN-YJBOKZPZSA-N 402.878 4.639 5 20 HJBD Cc1cccc(C)c1NC(=O)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 237635404 HKNQQUQNMRHWEX-UHFFFAOYSA-N 413.861 4.853 5 20 HJBD C[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1c(F)cccc1Cl 301488257 JFCLYDOTUXPESB-SNVBAGLBSA-N 423.231 4.760 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 301781671 NHGRUHQTTLFTQQ-LLVKDONJSA-N 401.410 4.601 5 20 HJBD Cc1cccc(CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)c1Br 302790600 YGONJFYARAEIKN-UHFFFAOYSA-N 418.291 4.514 5 20 HJBD O=C(Nc1cc(F)ccc1Oc1ccccc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 303176724 KBDAIIXHAJQHRG-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD C[C@H](NC(=O)c1ccc(Br)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 327858290 VZYXRXJJPJLBJC-VIFPVBQESA-N 417.181 4.867 5 20 HJBD O=C(Nc1c(F)cccc1Oc1ccccc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 410037875 LJAXLIRBWYZRRX-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 426291322 RGUHUWQYHIDRAJ-UHFFFAOYSA-N 411.502 4.784 5 20 HJBD CCCn1ccnc1CCCN(C)C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 430495910 MSALCBKQAYNRHS-UHFFFAOYSA-N 421.501 4.650 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1C 436308746 CTUBLUKLJPPJDV-UHFFFAOYSA-N 413.477 4.981 5 20 HJBD O=C(NCCCC(F)(F)F)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 440542546 DHBSDVMRSWHOBD-UHFFFAOYSA-N 415.799 4.933 5 20 HJBD Cc1nc(-c2ccc(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)[nH]n3)cc2)cs1 443275142 OXIIAAPXOPBVFP-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2CCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)c1 444247688 GMSPPUOSFOFTOR-NRFANRHFSA-N 406.486 4.695 5 20 HJBD CCc1cccc2c(C3CCN(C(=O)CCc4cccc([N+](=O)[O-])c4)CC3)c[nH]c12 446014153 WUJZNJJQUUZBBX-UHFFFAOYSA-N 405.498 4.977 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCN(c2cccc3cnccc23)C[C@H]1C 446174810 KAJDCOPXIQWDDJ-QGZVFWFLSA-N 419.485 4.502 5 20 HJBD COc1cc(C(=O)N2C[C@@H]3[C@@H](C2)C3(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 460642568 KHAMAFJNNQTBIM-HUUCEWRRSA-N 416.861 4.777 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](c2ccccc2)c2nccs2)nc1OCc1ccccc1 462515650 XRHLPOUQOCKRQW-FQEVSTJZSA-N 418.478 5.227 5 20 HJBD CN(CC(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1)c1ccccc1[N+](=O)[O-] 463286915 MKBFREZKQROOFY-INIZCTEOSA-N 408.285 4.702 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(Cc3nnc4n3CCCCC4)cc2)c(Cl)c1 463726935 IIOBNZFLLNGPIP-UHFFFAOYSA-N 411.893 4.769 5 20 HJBD COc1cc(CN[C@H](Cc2ccc(Cl)cc2)c2ccccn2)c([N+](=O)[O-])cc1F 464486339 IQQSTCVOXNAKBS-LJQANCHMSA-N 415.852 4.865 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H]2CC[C@H](SCC)C2)c([N+](=O)[O-])cc1OCC 467196154 OMBXMNWQWZVMQQ-KGLIPLIRSA-N 411.524 4.530 5 20 HJBD C[C@H](NC(=O)N[C@@H](C)c1ccc(OCC2CC2)c(F)c1)c1cccc([N+](=O)[O-])c1 469223039 DHXCFGPLVHSUOB-KBPBESRZSA-N 401.438 4.644 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)Cn3c4ccccc4c(=O)c4ccccc43)C2)c(C)c1 471328897 PMFGUPTUJFEXEN-HXUWFJFHSA-N 410.517 4.788 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1 474465837 CWADQHWSUMPBGE-CQSZACIVSA-N 423.473 4.503 5 20 HJBD Cc1[nH]nc(-c2ccccc2)c1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 477179980 PIQZFJSXVCKELM-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(COc3ccccc3)n2Cc2ccco2)c1 481340301 NMRZSWFWNCUHKI-UHFFFAOYSA-N 422.466 4.699 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)NCc2cccc([N+](=O)[O-])c2C)cs1 481492821 OBFFUZNHINQSOC-UHFFFAOYSA-N 413.524 4.890 5 20 HJBD C[C@H](NC(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1cccc([N+](=O)[O-])c1 481559133 FOTGWADNPGFTOO-JTQLQIEISA-N 403.851 4.649 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 485178235 WHHUHASSLMZXCS-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1cc(Br)cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 486596471 BNZDMKNDVLPVSE-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H]1CSc2ccc(Br)cc21 488612328 MBPAUIOUOZLWJA-CQSZACIVSA-N 408.277 4.634 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c(C)c2c1 488819694 PNLYYDIGECOJFQ-CQSZACIVSA-N 411.458 4.954 5 20 HJBD CCCN(C(=O)c1cc(SC)ccc1[N+](=O)[O-])[C@@H](C)C(=O)Nc1ccccc1C 489987611 QVYGLOBKEJXTQR-HNNXBMFYSA-N 415.515 4.505 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(OC(F)F)cc1F 490269391 ITKWTRXDIBGYQS-LLVKDONJSA-N 400.328 4.682 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(-c2ncco2)cc1 500409414 HOTYWDQGKGSQNA-UHFFFAOYSA-N 423.372 4.999 5 20 HJBD CCCn1c(SCc2c(F)cccc2[N+](=O)[O-])nc2cc(Cl)ccc2c1=O 504503411 RLDGTCSUQMXBKX-UHFFFAOYSA-N 407.854 4.800 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@H](c2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1=O 504980246 JCYWXMVVCCYSIN-GDBMZVCRSA-N 406.442 4.679 5 20 HJBD CCCN(C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)[C@@H]1CCCN(Cc2ccccc2)C1 505030254 UCYRPBLYAQVQHB-HXUWFJFHSA-N 420.513 4.593 5 20 HJBD O=C(CC[S@@](=O)Cc1ccc(-c2ccccc2)cc1)Nc1ccccc1[N+](=O)[O-] 507703205 BJAGCSKXSIPBTC-GDLZYMKVSA-N 408.479 4.539 5 20 HJBD O=C(Cc1csc(-c2cccc(C(F)(F)F)c2)n1)NCc1ccc([N+](=O)[O-])cc1 511815833 ASZQEZSGJDULEB-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD O=C(NCC(F)(F)F)c1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1 512345716 IKFCXPOXYOBOAD-UHFFFAOYSA-N 419.359 4.759 5 20 HJBD CC(C)N(Cc1cccc(Br)c1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 513460061 LPLOPFIUMOVKGY-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1Cl 514578182 WUBFOJVKFVEVJY-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2Cl)C(C)C)cc1OC 524510120 DCGPQXSTWLHSSQ-LJQANCHMSA-N 406.866 4.783 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 525112170 AVIGQVLBEVKGRC-UHFFFAOYSA-N 404.138 4.638 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1ccccn1 525651402 PCODAKVZZKXCOQ-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD CSCc1cccc(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 525751333 FLHVWCJHMOROIN-UHFFFAOYSA-N 407.300 4.565 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)[C@H](C)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 531205165 ZUTCAALFKNZRJT-KGLIPLIRSA-N 405.882 4.551 5 20 HJBD CC(=O)N1CC[C@H](NCc2ccc(Oc3cc(C)ccc3C(C)C)c([N+](=O)[O-])c2)C1 532374695 QZVUBRLSIXQJON-IBGZPJMESA-N 411.502 4.529 5 20 HJBD Cc1cccc(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)c1[N+](=O)[O-] 534399565 NYLSESUEGVGGLR-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD CN(C(=O)c1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1)c1ccccc1 536044301 TZHUKABXMTYAPQ-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD Cc1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c(OCC(F)(F)F)c1 536161265 YZOZUTAMFDSNDL-UHFFFAOYSA-N 407.348 4.507 5 20 HJBD COc1cc(CNc2ccc(SC)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 537719843 ITDDUESTMBZPQZ-UHFFFAOYSA-N 402.394 4.879 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCO[C@H](c2ccccc2Cl)C1 538346016 ZXJFHYUMMPVRCY-IBGZPJMESA-N 423.856 4.524 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(NC(C)=O)ccc1F 538500700 VVSGFQHUMKIQSG-UHFFFAOYSA-N 405.451 4.837 5 20 HJBD Cn1cnnc1[C@H]1CCCN1Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 538723328 WRYIUQXYCYTLQK-MRXNPFEDSA-N 413.865 4.506 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)CCC2 538749871 KQXSMHYBCATLHE-GOSISDBHSA-N 411.531 4.524 5 20 HJBD CCc1ccc(C(=O)N2CCC(n3c(CC)nc4ccccc43)CC2)cc1[N+](=O)[O-] 540135854 GEHONYJFAXBNFR-UHFFFAOYSA-N 406.486 4.547 5 20 HJBD Cc1ccc(-c2ccc(C(=O)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)s2)o1 541942990 BULDFIYEWKEGRI-UHFFFAOYSA-N 412.467 4.908 5 20 HJBD O=C(NCCC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544824543 KZXUWNSUQULOFS-SFHVURJKSA-N 406.548 4.956 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1c[nH]c2cc([N+](=O)[O-])ccc12 544869692 SYOBIPHTHLMRDI-UHFFFAOYSA-N 414.324 4.585 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccccc2C[S@](C)=O)cc1[N+](=O)[O-] 545112361 RTNALAHNSHQADT-NDEPHWFRSA-N 420.556 4.864 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cccc(C(F)(F)F)n3)no2)c2ccccc12 545751345 GZGPIFTVNGFHBO-UHFFFAOYSA-N 422.366 4.667 5 20 HJBD CC(=O)c1cc(NC[C@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 553144958 MFEHEDCWGDJTDW-VLIAUNLRSA-N 405.857 4.695 5 20 HJBD Cc1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)nn1-c1cccc(Cl)c1 553873809 OQYWFLUIZLUZJE-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2cccc(C(F)(F)F)c2)OC[C@H]1C 557438752 KPNIEPSOCWJGLA-ACJLOTCBSA-N 408.376 4.524 5 20 HJBD C[C@H](N(C)C(=O)c1ccc(C(=O)N(C)[C@H](C)C(C)(C)C)cc1[N+](=O)[O-])C(C)(C)C 559939619 NOTFAYWVMBVHJX-CABCVRRESA-N 405.539 4.608 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])C(F)(F)F 569765475 MXLGOCXRMWBCKT-ZDUSSCGKSA-N 411.380 4.631 5 20 HJBD C[C@@H](C(=O)N(C[C@H]1CCCO1)c1nc2ccccc2s1)c1cccc([N+](=O)[O-])c1 570579605 PPFRVIKKOIBDFW-RHSMWYFYSA-N 411.483 4.520 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(C)Cc1cc(Br)ccc1OC 576438094 MHPMWNCGOAHOSV-UHFFFAOYSA-N 422.279 4.592 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3-n3ccnc3)cc2[N+](=O)[O-])C1 603883317 WVZLLOKLYWTDNK-CALCHBBNSA-N 419.485 4.515 5 20 HJBD COc1cc(C(=O)NC(C)(C)c2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OC(F)F 609219145 IKUUYEFAKFLRJS-UHFFFAOYSA-N 422.428 4.993 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2Cl)C(C)C)c([N+](=O)[O-])cc1OC 609645462 YGXBWSCCXHWWCO-LJQANCHMSA-N 406.866 4.783 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)[C@@H]1C 609819911 UIOQYCICSVHSHV-KGLIPLIRSA-N 419.934 4.826 5 20 HJBD CCn1cnnc1CCNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 609851519 UPAUGXQKVHWDNW-UHFFFAOYSA-N 423.517 4.628 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610041678 OODYZIPSTSAPQL-AWEZNQCLSA-N 417.181 4.781 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 611510900 JEOIDCPUZVEXPV-AWEZNQCLSA-N 415.396 4.697 5 20 HJBD COCCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2-c2ccccc2)cc1OC 619564171 SPSVXIRXWJXDPU-UHFFFAOYSA-N 422.437 4.548 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N(CCc1ccccn1)Cc1ccc(F)cc1 727314530 ZVZNQJODYDOJJA-UHFFFAOYSA-N 413.836 4.667 5 20 HJBD CCc1ccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 727587163 VYARJXOOEPTGQH-UHFFFAOYSA-N 422.407 4.530 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1 728616360 TVNZRONCEQULPA-NSHDSACASA-N 420.393 4.752 5 20 HJBD COc1ccc(-c2nc(C(=O)OCc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 734889251 XRGOBXTWSHYTPT-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877762 ZSAHZTGBDIQRMF-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1OCC1CCOCC1 741203563 OCFJNJBYEBWANK-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD Cc1c(C(=O)N2CCCN(C(=O)OC(C)(C)C)c3ccccc32)cccc1[N+](=O)[O-] 741737679 CDTCUIALSIMXGM-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1ccccc1OCc1cscn1 741933838 ALYDZEGPXDMHHJ-UHFFFAOYSA-N 403.847 4.844 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)cc1[N+](=O)[O-] 742465034 ZXPVWPSKAWBHEQ-DNVCBOLYSA-N 413.474 4.744 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2ccccc2)no1 747123884 JGWWREDQHHGQAQ-JTQLQIEISA-N 407.304 4.582 5 20 HJBD COc1cc(Oc2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C 747287559 TUARWYPGIJUBIP-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD C[C@H](OC(=O)Cc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccccc1[N+](=O)[O-] 749058194 XBTBUOYJAYYTNG-AWEZNQCLSA-N 400.431 4.789 5 20 HJBD O=C(Nc1cccc2cccnc12)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410850 PGSQFKCNEFWXPB-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CCc1ccc([C@@H](NC[C@@H](O)c2ccc(Cl)cc2)c2ccc([N+](=O)[O-])cc2)o1 753614713 WFCZWXHQRZSFQS-CTNGQTDRSA-N 400.862 4.816 5 20 HJBD COc1cc(COC(=O)CCc2cccc([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 755152968 CCCODADTLQVNMY-UHFFFAOYSA-N 415.776 4.534 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)CCc2cccc([N+](=O)[O-])c2)cc1 755358118 XDKGSCJQDBPQIF-OAHLLOKOSA-N 413.474 4.752 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)OCc1coc(-c2ccc(C(F)(F)F)cc2)n1 756202190 ZTOZRHCTFSILRF-UHFFFAOYSA-N 420.343 4.945 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc(Br)cc(C(F)(F)F)c1 756505100 OOGAGASSWCPLCO-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)c1C 759947323 WYCLOEHMUYMZKY-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CN(C)CC1CCN(c2ccc(NCc3ccc([N+](=O)[O-])c(Cl)c3)cc2)CC1 761515418 KUXGCLXQKVSNGA-UHFFFAOYSA-N 402.926 4.638 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)c1[N+](=O)[O-] 762995256 NMEVEKGUUVCLEB-NRFANRHFSA-N 408.429 4.961 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 763982484 CFRDRZWCAAHWGH-UHFFFAOYSA-N 415.471 4.510 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c1 767126767 ZDXVUHKCRGVIJF-CYBMUJFWSA-N 410.417 4.965 5 20 HJBD Cc1nc(CN(C(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccc(F)cc2)cs1 770074656 IYYLVKJQJNMPSI-UHFFFAOYSA-N 407.373 4.624 5 20 HJBD Cc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775086084 KPAORELUJYWIHN-CQSZACIVSA-N 402.472 4.588 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)c1cccc(Cl)c1 783314567 BNDKPBQFMBXLPF-LLVKDONJSA-N 416.842 4.800 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCc2cc(Br)ccc2C1 792203817 ZPDHUKAAWXVDRA-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD COc1cc(Cl)c(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 792634880 JWDREGURRCYXHK-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCn2c(cc3c(Cl)cccc32)C1 809915036 RBFQFIWLGVXRRF-UHFFFAOYSA-N 405.241 4.904 5 20 HJBD O=C(c1ccc(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])nc1)N1CCCC1 809954905 KGUPSUVUWIOKLX-UHFFFAOYSA-N 412.298 4.825 5 20 HJBD COc1ccc2c(c1)[C@H](C(=O)OCc1csc(-c3cccc([N+](=O)[O-])c3)n1)CC2 811218207 QURXPZMWBVAJPY-GOSISDBHSA-N 410.451 4.500 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(Cc1cccs1)C[C@@H]1CCCO1 812837027 JRDQFVHEUDTUSP-LBPRGKRZSA-N 415.298 4.785 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl)O[C@@H](C)C2 813246351 IZZQSXWYYTXJDM-WHOFXGATSA-N 416.861 4.713 5 20 HJBD Cc1cc(C(=O)NCC(C)C)ccc1NCc1c(Br)cccc1[N+](=O)[O-] 864040378 KSDINLXGMYDIKA-UHFFFAOYSA-N 420.307 4.664 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc1-c1cccc([N+](=O)[O-])c1 917792217 YHGAMFZJDPJWDB-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD C[C@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)CC(C)(C)C 919495684 FGYREIXIRUZPMA-VXGBXAGGSA-N 418.412 4.946 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318836194 KIKZAQYSAKASEV-UHFFFAOYSA-N 417.465 4.537 5 20 HJBD CCc1ccc([C@H](NCc2ccc(NC(=O)C3CC3)cc2)c2ccc([N+](=O)[O-])cc2)o1 1323786009 QQWKKNWMXKBWGK-HSZRJFAPSA-N 419.481 4.978 5 20 HJBD COc1ccc(Cl)cc1CCNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 1343652147 LHDHBLYLOFFMDI-UHFFFAOYSA-N 403.649 4.536 5 20 HJBD O=c1c2sccc2nc(SCc2ccc([N+](=O)[O-])cc2)n1Cc1ccccc1 2909003 AMIVAXMYUDABRJ-UHFFFAOYSA-N 409.492 4.707 5 20 HJBD Cn1c(SCC(=O)c2ccc([N+](=O)[O-])cc2)nnc1-c1ccc(C(C)(C)C)cc1 6364101 ZCZSBULWILLCNA-UHFFFAOYSA-N 410.499 4.663 5 20 HJBD Cc1c(C(=O)Nc2ccc(Br)cc2Br)cccc1[N+](=O)[O-] 13950688 HTIIHATVCIITRC-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n(CC(C)C)c1C 21432490 ZUMOPRJLWRLQCY-CYBMUJFWSA-N 410.927 4.837 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 50490540 PRONSLHPUFFUOJ-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3ccccc3Cl)s2)c1 56248946 ULIOQGDVYMUBKY-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD O=C(CCc1ccccc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 106189496 QEXTXCWYNHYKSI-UHFFFAOYSA-N 400.784 4.772 5 20 HJBD CC[C@H](NCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1)c1ccncc1 236962063 HJUKXQOKJFZZJC-NRFANRHFSA-N 407.470 4.818 5 20 HJBD COc1cc(CNCc2c(C)cc(C)cc2C)c([N+](=O)[O-])cc1OCC(F)(F)F 237287417 FEPJBWHPFKRSPS-UHFFFAOYSA-N 412.408 4.760 5 20 HJBD CN(C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])[C@H]1CC[C@@H](C(F)(F)F)CC1 426471953 NFULHXPWZCGZLH-GASCZTMLSA-N 407.392 4.850 5 20 HJBD Cc1cc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)ccc1Oc1ccncc1 428198273 JFNWNBCIQIAQCN-UHFFFAOYSA-N 416.393 4.597 5 20 HJBD COc1ccccc1-c1noc(C2CCN(c3ccc(Cl)cc3[N+](=O)[O-])CC2)n1 432851370 JUPJQNVGOXHWLE-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])cc1 434233427 FZZKFFKUZBKMMC-AWEZNQCLSA-N 408.458 4.608 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(OCc2ccccc2)c1 434791330 CAYNYONURGQSKZ-KRWDZBQOSA-N 420.465 4.891 5 20 HJBD O=C(NC[C@@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435876311 IIACOKRTLOBIPS-HNNXBMFYSA-N 418.443 4.809 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2[C@H]3CCC[C@H]2CC3)cc1[N+](=O)[O-] 437948409 QEWKXSDPEDCAAP-HOTGVXAUSA-N 410.495 4.705 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 441278118 MLMQROODLGEXGD-MRXNPFEDSA-N 400.500 4.635 5 20 HJBD CCn1c(SCc2nc(C(F)(F)F)cs2)nnc1-c1ccc([N+](=O)[O-])cc1 443417282 PPQSVOXLWIVMNW-UHFFFAOYSA-N 415.422 4.641 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@H](Cc2ccccc2)C1 444079794 ZGMMTNWRGZHTER-MRXNPFEDSA-N 418.443 4.664 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(Br)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 444770487 ZHRPEXGGXCPOCR-UWVGGRQHSA-N 423.292 4.881 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC(c2nc(-c3ccoc3)no2)CC1 445457880 UQDNTIJOQSQXCC-UHFFFAOYSA-N 408.336 4.641 5 20 HJBD CSCCN(C)C(=O)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 446549278 SJQUYXSIBBYMBT-UHFFFAOYSA-N 419.553 4.791 5 20 HJBD CCc1ccc(CNC(=O)COc2ccc([N+](=O)[O-])cc2-c2cccs2)s1 462692306 OTUDGYUNPCLRQY-UHFFFAOYSA-N 402.497 4.642 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1cccc(F)c1)C1CCCC1 465088101 ILZYHSAQZLFXSK-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD Cc1ccc(C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1NC(=O)c1ccccc1 466282610 GCRNRZSSTZASHX-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccc(Cl)cc1C(F)(F)F 467426315 AWZTWYIJORMBFF-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 470490870 BYOKIRQGVMDMNG-UHFFFAOYSA-N 413.474 4.595 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](OCc2ccccc2F)C1 470679022 NTMWTLYFGMCGBL-KRWDZBQOSA-N 418.490 4.667 5 20 HJBD CC(C)(C)c1csc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)n1 475220754 TTWOKVDFQSTCOJ-UHFFFAOYSA-N 402.398 4.689 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 476129986 BLLOLXLPFLDZJC-WMZOPIPTSA-N 424.284 4.640 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C1CC1 477236959 UXBSBKCXVFISEP-JTQLQIEISA-N 407.268 4.655 5 20 HJBD CC[C@@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1cc(F)ccc1F 485528181 DXOCHIRSNRTZLQ-SNVBAGLBSA-N 405.220 4.578 5 20 HJBD CC(C)SCCN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 488252542 IRZIUXXSUVRNBX-GOSISDBHSA-N 407.923 4.659 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SCCOC)cc21 489078798 WLMSNTZUKYFJCS-UHFFFAOYSA-N 413.499 4.950 5 20 HJBD C[C@H](N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1cccnc1 499063706 CNWIGZOUUPKZPM-XOBRGWDASA-N 410.861 4.674 5 20 HJBD CCN(C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)[C@@H](C)c1ccc(F)cc1 502483189 BVFQWTASNJLTID-AWEZNQCLSA-N 406.479 4.585 5 20 HJBD CC(C)Oc1cc(CN(C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)C2CC2)ccn1 503566241 WJYBNEGJRUEDHL-UHFFFAOYSA-N 423.391 4.601 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1nccn1C 504927822 VGOGBXMUHGPTLK-PXNSSMCTSA-N 414.506 4.717 5 20 HJBD CNC(=O)c1ccc(N[C@H](c2ccc(F)cc2)c2ccccc2Cl)c([N+](=O)[O-])c1 506977306 KGUXOHKMHQDQMP-HXUWFJFHSA-N 413.836 4.948 5 20 HJBD CCc1cc(Sc2nnc(-c3ccco3)n2CC)nc(-c2ccc([N+](=O)[O-])cc2)n1 507132363 RUIPKZCPGXSHAJ-UHFFFAOYSA-N 422.470 4.637 5 20 HJBD Cc1ccc(-c2ccnn2C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 512136400 RGHXYZNWAKRVRX-UHFFFAOYSA-N 404.348 4.575 5 20 HJBD C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCCC1 516389770 KEBXERQQUMSYLJ-CQSZACIVSA-N 419.934 4.614 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517705096 HSKAJIREIQVLHZ-LLVKDONJSA-N 419.359 4.701 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 520016242 BHBHDWVTIPXFCT-MRXNPFEDSA-N 420.412 4.962 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 521767382 HKYIVZWUUFCYRT-CQSZACIVSA-N 420.487 4.748 5 20 HJBD COc1cc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)ccc1OC1CCCC1 523497379 ALJUTSYHBBBWNO-UHFFFAOYSA-N 412.486 4.678 5 20 HJBD CSc1ccc(C(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)cc1[N+](=O)[O-] 537457609 JKDPUWYMQNBHEO-UHFFFAOYSA-N 406.423 4.772 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ncccc1-c1ccccc1 538952131 TWFFYPQGKRBLNS-UHFFFAOYSA-N 405.457 4.855 5 20 HJBD CCCN1C(=O)CCc2cc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)ccc21 540249139 UJQQCCTWTUAWJY-UHFFFAOYSA-N 409.467 4.751 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc(C4CC4)no3)cc2C)c1 541384446 ILEDQJBQVASCQQ-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(Nc3cccc(COCC(F)(F)C(F)F)c3)c12 541591488 HYBFCOVVBOIFDV-UHFFFAOYSA-N 410.327 4.699 5 20 HJBD O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)Nc1ccccc1Cc1ccccc1 541783139 QKLRTVYVIKNCQI-UHFFFAOYSA-N 420.856 4.827 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)CSc3ccccc3[N+](=O)[O-])cn2)cc1 542195761 VTPWOWHRQZYKGG-UHFFFAOYSA-N 411.439 4.522 5 20 HJBD CC(C)(C)C(=O)NCc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 542926403 QBXQZRYYYJQHRH-UHFFFAOYSA-N 423.391 4.528 5 20 HJBD C[C@@H](SCC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc2ccccc2[nH]1 542930513 ZNDISBOKDSMSBG-SNVBAGLBSA-N 424.404 4.923 5 20 HJBD CCOc1cccc2cc([C@H](C)NCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)oc12 543518614 XPKONWYVJRFCJG-ZDUSSCGKSA-N 408.414 4.641 5 20 HJBD CCOc1cc(NC(=O)c2scnc2C2CCCC2)c([N+](=O)[O-])cc1OCC 543694351 XSRQGOMRDDOSBM-UHFFFAOYSA-N 405.476 4.759 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)ccc1OC(F)F 546035990 IMEYKLSONKWUJV-UHFFFAOYSA-N 409.389 4.567 5 20 HJBD Cc1ccc(-c2noc(CCc3ncc(-c4ccc(F)cc4F)o3)n2)cc1[N+](=O)[O-] 546277316 YLGOPTCJZGNCIV-UHFFFAOYSA-N 412.352 4.672 5 20 HJBD C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 546829525 NLDOAYKOTSABOT-CQSZACIVSA-N 400.862 4.648 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 546939861 SVQSVIQMWBTZCD-UHFFFAOYSA-N 415.837 4.885 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 557512215 SKEOYOUSUQLFKN-VSKRKVRLSA-N 419.525 4.606 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 557982511 ZSSOXZVXNNBPFR-UHFFFAOYSA-N 422.460 4.809 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2C[C@H](c3ccccc3)[C@@H]3COCC[C@H]32)cc1[N+](=O)[O-] 563544213 CNXIJWRADFWGDM-SVFBPWRDSA-N 408.498 4.537 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3ccccc3)c(C)n2)cc1SC 571696956 MNZFUZCBJLFMCE-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD CN(CCCn1ccnc1)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 574319770 KYBVCCJIMJRIDD-UHFFFAOYSA-N 400.866 4.759 5 20 HJBD Cc1nc(C2(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)CCCCC2)no1 592888273 IAUANROCQXJDJI-UHFFFAOYSA-N 421.457 4.619 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N(c1ccccc1)c1nc2ccccc2s1 603564836 BRRHPBWNHAJNJI-UHFFFAOYSA-N 405.435 4.948 5 20 HJBD C[C@H](Nc1ccc(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc23)cc1)c1ccccc1 604445468 HNRKKHZUVWKZSZ-AWEZNQCLSA-N 401.426 4.897 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c2cccnc12 604446660 NQOAKOWYUNLZDP-SNVBAGLBSA-N 406.225 4.856 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 609785574 GMLBLKSBFDGSEK-UHFFFAOYSA-N 414.874 4.679 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc([N+](=O)[O-])c1 609881004 RQMRVWIUEIBLPV-LJQANCHMSA-N 410.861 4.924 5 20 HJBD CC(C)(C(=O)Nc1nnc(SCc2ccccc2)s1)c1ccc([N+](=O)[O-])cc1 610037066 SUTQUOKMFMXRNY-UHFFFAOYSA-N 414.512 4.655 5 20 HJBD COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 610271460 KHCMWMGZCRBRMZ-NRFANRHFSA-N 419.481 4.985 5 20 HJBD O=C(N[C@@H]1CCc2cc(O)ccc2C1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 619674180 DGFIVHVBNLPEDS-QGZVFWFLSA-N 420.490 4.739 5 20 HJBD C[C@@H](Sc1nnc(-c2ccccc2)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 729941448 YYJSPDFIVODUEY-SNVBAGLBSA-N 420.903 4.886 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1ccc(Br)c([N+](=O)[O-])c1 733233105 OMLMSGNCDBOYEN-UHFFFAOYSA-N 409.255 4.591 5 20 HJBD C[C@H](OC(=O)CCc1cc(Cl)cs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 736937723 UQVFKLSNPGDOFU-JTQLQIEISA-N 407.835 4.597 5 20 HJBD C[C@H](OC(=O)C[C@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 738743003 HGVDKNJAAMVBFO-STQMWFEESA-N 424.375 4.678 5 20 HJBD Cn1c(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)ccc1-c1ccc(F)cc1 741958978 YEKWNPWEOHLXEX-OAQYLSRUSA-N 411.458 4.627 5 20 HJBD COc1ccc(CN2CC[C@H](NC(=O)c3c4ccccc4cc4ccccc34)C2=O)cc1 746526882 NKCQKDCJKKCRNL-DEOSSOPVSA-N 424.500 4.533 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)Oc2ccc(Sc3ccncc3)cc2)c1C 749700268 ICLIMRSHOFOJQV-UHFFFAOYSA-N 416.480 4.526 5 20 HJBD Cc1sc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc1-c1cccc([N+](=O)[O-])c1 750180368 OBDSRQMBGOMKFB-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD COc1cc2nc(/C(Cl)=C/C=C\c3ccccc3[N+](=O)[O-])nc(O)c2cc1OC 750901235 PYQWOOVPLOIXCI-ZFASXLDDSA-N 413.817 4.554 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751645108 FCODMRPGMPDPTM-SECBINFHSA-N 411.197 4.554 5 20 HJBD Cc1c([C@H](C)N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)oc2ccccc12 754624124 DUFNGWJIAVSQTK-QWHCGFSZSA-N 401.850 4.981 5 20 HJBD O=C(N[C@@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755518925 DGKZWNBJCWWWAQ-KRWDZBQOSA-N 420.556 4.792 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(Cc3ccc([N+](=O)[O-])c(Cl)c3)C2)cc1 761506056 NOKRVFBUBBEIKN-KRWDZBQOSA-N 416.909 4.590 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](O)c1cc2ccccc2s1 775542643 NKFLCNDDWJQJGU-INIZCTEOSA-N 416.524 4.773 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2cccc(OC(F)(F)F)c2)C2CC2)cc1 783750018 VJQSLJNFZMJQFM-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD O=C(OCc1nnc(-c2ccco2)o1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 784222506 SFBAPOQYDCMLCE-UHFFFAOYSA-N 423.406 4.746 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(CN(C)C(=O)OC(C)(C)C)c2)c1F 791211359 NGKJHZLPXUNEPW-UHFFFAOYSA-N 417.437 4.662 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)COc2cccc([N+](=O)[O-])c2)c(Cl)c1 792136597 BWZZRQPAGYNQFN-UHFFFAOYSA-N 422.821 4.580 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl)c1ccccc1[N+](=O)[O-] 808940962 OSBCAZYAKGEZIK-HNNXBMFYSA-N 412.660 4.917 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 809217946 FXDJRUYSMRUAEO-NSHDSACASA-N 400.784 4.970 5 20 HJBD O=C(NC1CCCCC1)C1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1 809957112 SQXQLQLODHKIMX-UHFFFAOYSA-N 414.333 4.563 5 20 HJBD CCOC(=O)/C(=C/c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccncc1Cl 812245226 RNYZSEJXSHECDM-CXUHLZMHSA-N 415.858 4.870 5 20 HJBD CON(Cc1ccccc1Cl)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 812427172 SQJDIKZSMGLGOU-UHFFFAOYSA-N 403.847 4.576 5 20 HJBD CC(C)(C)NC(=O)c1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812929013 OXAALVQIKAFNTQ-UHFFFAOYSA-N 410.257 4.682 5 20 HJBD Cc1c(NC(=O)c2cccc([N+](=O)[O-])c2Br)cccc1-c1ncco1 821634516 JPRLCRREPSOKDU-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)(C)OC(=O)NCCOc1cccc(NCc2ccc(Cl)cc2[N+](=O)[O-])c1 864011164 ULOWENVGRMYZLN-UHFFFAOYSA-N 421.881 4.764 5 20 HJBD Cc1c(C(=O)Nc2nc3ccccc3n2C2CCCCC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 916466709 CUUJMUTZQAJNBX-UHFFFAOYSA-N 423.429 4.919 5 20 HJBD Cc1c(OC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)cccc1[N+](=O)[O-] 917048622 YANPDGOJHXGJKN-UHFFFAOYSA-N 401.806 4.535 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2Cc3ccccc3[C@@H](C)C2)cc1[N+](=O)[O-])c1ccccn1 919682264 XFSPXYNPDMEZRJ-IRXDYDNUSA-N 416.481 4.922 5 20 HJBD COc1ccc([C@@H](Nc2ncc(C)cc2[N+](=O)[O-])c2ccc(OC)cc2OC)cc1 1319113472 RSWFNAWBTLUNQZ-OAQYLSRUSA-N 409.442 4.526 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(Cn2c3ccccc3c(=O)c3ccccc32)o1 1329040285 VNTQLHKGVNSCSH-OAHLLOKOSA-N 415.424 4.865 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2n1 4562836 GFROGTWIEKJWME-SNVBAGLBSA-N 402.863 4.619 5 20 HJBD O=[N+]([O-])c1ccccc1CSc1nnc(-c2ccncc2)n1Cc1ccccc1 6531477 RDBQQWLUAZOKHR-UHFFFAOYSA-N 403.467 4.589 5 20 HJBD Cc1ccoc1C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 16416174 OGUKDFBSKZDCIK-GOSISDBHSA-N 414.801 4.686 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(NC(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 105614763 VDLBEEDADYNZCV-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C\c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 210548265 TUIGUYQLMXOSJD-ODFVOIPKSA-N 416.433 4.840 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccc(F)cc1)C(F)(F)F 261420499 HPUOBVWYESKKDO-BMIGLBTASA-N 402.369 4.634 5 20 HJBD O=C(Nc1ccc(CNc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1)c1cccnc1 301445203 PGIMYSFSKSONAO-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]2[C@@H]2CCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 302983697 KCFHWHVAAMVGAD-FGRWOAKISA-N 420.513 4.717 5 20 HJBD O=C(CCSCc1cccc(OCC(F)(F)F)c1)Nc1ccccc1[N+](=O)[O-] 409845515 WBVXGCYOLFQHAO-UHFFFAOYSA-N 414.405 4.798 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cc3ccccc3s2)c([N+](=O)[O-])cc1OC(F)F 410288554 ATNVZUIKZINOFA-SNVBAGLBSA-N 422.409 4.911 5 20 HJBD COc1cc(Cl)c(Br)cc1C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 428284201 KJGVSOJKRPTUQG-UHFFFAOYSA-N 413.655 4.888 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@H](C)[C@H]2C)cc1SC 430652325 NLVYPMCZSSJOBP-VXGBXAGGSA-N 404.513 4.855 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(N[C@@H](C)c3ccccc3[N+](=O)[O-])cc2)cc1 439558722 XCEQNVLWCRVYRO-INIZCTEOSA-N 411.483 4.877 5 20 HJBD COCc1nc(CNc2cc(OC)c([N+](=O)[O-])cc2F)c(-c2ccccc2)s1 440657313 FLSSKRODSBEMSP-UHFFFAOYSA-N 403.435 4.625 5 20 HJBD CCCN(C(=O)c1cccc(-n2ccc(C(F)(F)F)n2)c1)c1cccc([N+](=O)[O-])c1 441474505 QNYANRPIAQYDST-UHFFFAOYSA-N 418.375 4.856 5 20 HJBD Cc1cc(CN(C)C)cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 444256579 MTRFAABIJNJSBS-UHFFFAOYSA-N 421.447 4.582 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(-c3ccc(Cl)cc3Cl)nc2)c1[N+](=O)[O-] 444410754 CMZFQVXONIZGPM-UHFFFAOYSA-N 406.229 4.501 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@H]1CCOC2(CCCCC2)C1 447691799 VUYQDBHZWQYBSO-NSHDSACASA-N 409.355 4.938 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 447859467 WDMGIGXPCYXAHP-UHFFFAOYSA-N 419.235 4.647 5 20 HJBD CCC[C@@H](C)N(C(=O)c1cc(C)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 460124254 JHZWCHASAZKSII-CYBMUJFWSA-N 419.503 4.680 5 20 HJBD CN(C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(OC(F)(F)F)c1 463491611 RARLEGCIVJRIMJ-UHFFFAOYSA-N 408.254 4.789 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 464664736 PCURABJOJRRJAT-UHFFFAOYSA-N 422.407 4.806 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3coc(-c4ccc(Cl)cc4)n3)CC2)cc1 464772901 HPBGOMKGFHHEMP-UHFFFAOYSA-N 413.861 4.947 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](C)Oc1ccc(Cl)cc1 466373916 OYJOQYSUCYODNS-OAHLLOKOSA-N 405.882 4.636 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3CCN(c4nccs4)CC3)o2)c(Cl)c1 466415855 HZNJHYBXYUZLIR-UHFFFAOYSA-N 418.906 4.723 5 20 HJBD COCc1ccc([C@@H]2CCCN2c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)o1 466635731 QLVGVRSGVGGZNS-SFHVURJKSA-N 409.442 4.650 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@H]1O 467757195 GWICDHFNLWGTHL-SMMRIJLZSA-N 420.575 4.889 5 20 HJBD O=C(CCCc1nnc(-c2ccc(Cl)cc2Cl)o1)Nc1ccc([N+](=O)[O-])cc1 471001355 OLASVNIUURYDCL-UHFFFAOYSA-N 421.240 4.913 5 20 HJBD COCCC1(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCC1 472192411 QERFXDXGYFJHIY-UHFFFAOYSA-N 418.877 4.977 5 20 HJBD COc1c(C(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cccc1[N+](=O)[O-] 474429561 WIMQHSSSUYTDFM-LJQANCHMSA-N 415.449 4.647 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 478404452 XTNHDTIHAPZNCC-LJQANCHMSA-N 405.458 4.656 5 20 HJBD Cc1c([C@H](C)N[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cccc1[N+](=O)[O-] 482096407 QXMAAXJEDPUIOW-WMZOPIPTSA-N 410.518 4.571 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)c2ccc(NC(=O)c3ccncc3)cc2)cc1[N+](=O)[O-] 482945131 NNSBBAUIVXCZOX-SJORKVTESA-N 404.470 4.962 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 483055213 DXCQNYYLSHRIBO-UHFFFAOYSA-N 420.347 4.951 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccccc2C(F)(F)F)cc1SC 483384895 WDAVJQYLPWDLCO-JTQLQIEISA-N 414.405 4.835 5 20 HJBD COc1cccc(Oc2ncccc2CNc2ccc3ncccc3c2[N+](=O)[O-])c1 484640534 QRELKJQVVCLBGS-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@H]1c1ccccc1 485349885 JWLVDBGICGWWKT-UTKZUKDTSA-N 414.527 4.851 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)c1cccnc1 492906245 IOADXYANDXDDFF-CQSZACIVSA-N 410.861 4.746 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494550891 WGTHQQGKLLYJTL-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1c(O)c(Cl)cc(Cl)c1Cl 497159162 WSKKUEZCONZMLY-UHFFFAOYSA-N 414.632 4.854 5 20 HJBD CC(=O)c1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)nc1C 497499341 IPNUCMFPKHZRDH-UHFFFAOYSA-N 421.478 4.582 5 20 HJBD CC(C)Oc1cc(Br)ccc1C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 502479847 UJRXMDWZVXQRKB-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD Cc1cc(Cl)ccc1Cc1cnc(NC(=O)Cc2ccccc2[N+](=O)[O-])s1 502567902 XGBCIDBZPQUOKW-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 506158984 DCWBYGBYUFVDSB-HOTGVXAUSA-N 420.469 4.663 5 20 HJBD O=C(NCCCO[C@@H]1CCCc2ccccc21)Nc1ccc([N+](=O)[O-])cc1Cl 506294093 WKTBGVPJSYZTOR-LJQANCHMSA-N 403.866 4.854 5 20 HJBD COCC[C@@H](NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccco1 506661155 KSHUYNARMJOKGC-LJQANCHMSA-N 411.414 4.879 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@H]1CCCCN1Cc1ccccc1[N+](=O)[O-] 507650098 KKLKGTIMCHEZAU-GOSISDBHSA-N 408.285 4.895 5 20 HJBD CC[C@@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)s1 510752193 UPCAWEBLWFODBK-GFCCVEGCSA-N 414.936 4.819 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(Oc3ccc(C)cc3OC)nc2)cc1[N+](=O)[O-] 512523238 FSTIOVKNXYSFKI-UHFFFAOYSA-N 422.441 4.783 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(C1CC1)[C@H]1CCCc2ccccc21 515372348 PEAAXRNRJSVMPB-QFIPXVFZSA-N 419.525 4.880 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)n1 517625310 RSRQYHJPAQGQQG-UHFFFAOYSA-N 408.439 4.769 5 20 HJBD CN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])[C@@H]1CCCc2ccccc21 518164689 BTVKPJDAEJLCDH-QGZVFWFLSA-N 408.376 4.686 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)n(C(C)(C)C)n1 518284826 GULNRSYKSJZOHK-HUUCEWRRSA-N 413.522 4.589 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])cc2)cc1 521717082 WFTQQOAKHYFANV-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc3c(ccn3CCN(CC)CC)c2)cc1[N+](=O)[O-] 522214041 IZWLYZAFLNZOSJ-UHFFFAOYSA-N 424.501 4.542 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 523957744 CGXQUBJCLGTKNA-UHFFFAOYSA-N 416.783 4.695 5 20 HJBD CCN(CC)c1nc2sc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)cc2s1 531260834 ZPBLJQHZPPXETM-UHFFFAOYSA-N 404.517 4.981 5 20 HJBD COc1cc([C@@H](C)NC(=O)CCc2cccc([N+](=O)[O-])c2)ccc1OC1CCCC1 531262597 KEUIEEULCCOESH-MRXNPFEDSA-N 412.486 4.735 5 20 HJBD CN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Cc1ccccc1OC(F)(F)F 534076729 IAPQKJLYTHPAKT-UHFFFAOYSA-N 407.348 4.780 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1)c1ccc([S@@](C)=O)cc1 535951777 KOKZNEMQMIDNAW-DHMKHTPVSA-N 424.478 4.616 5 20 HJBD CC[C@@H](NCCC(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539256656 GIYNCAZLDSDXDX-OAHLLOKOSA-N 407.417 4.574 5 20 HJBD CC[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)[nH]1 539292477 MOHREDZUXMSYDK-CQSZACIVSA-N 402.252 4.706 5 20 HJBD COc1ccc(NC(=O)c2oc3c(Br)cccc3c2C)c([N+](=O)[O-])c1 542010537 VGNCNWBBGZKOMA-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ncc(-c2ccccc2)o1 542568352 GLBWASCANWNILD-ZIAGYGMSSA-N 405.414 4.712 5 20 HJBD CC(C)[C@@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Cl)cc1F 543848065 XHOPEMAUJWDXGS-GOSISDBHSA-N 404.829 4.924 5 20 HJBD COc1ccc(NC(=O)N[C@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 543891069 OKCGBLLGCZHREI-GOSISDBHSA-N 401.419 4.715 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 544205461 SURSEZJNZLPQDT-UHFFFAOYSA-N 419.934 4.599 5 20 HJBD COc1cccc([C@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2ccccc2[N+](=O)[O-])c1 544250149 DQPXXHNYJIHNNS-DHLKQENFSA-N 416.477 4.903 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)s1 544448096 MUXPCNGIFQZQLA-IUODEOHRSA-N 414.462 4.870 5 20 HJBD COc1ccc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1[N+](=O)[O-] 551619166 CYLIFPVDHLMQAU-HXUWFJFHSA-N 424.419 4.880 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NC[C@@H](OCC1CC1)c1ccc(Cl)cc1 552679817 SJUSPVDHZPFJJX-LJQANCHMSA-N 418.877 4.545 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccccc3Cl)s2)c1 554885054 IOMUEWHLCYUYGJ-UHFFFAOYSA-N 417.874 4.947 5 20 HJBD CCN(CC)CCOc1ccccc1-c1noc([C@H](C)c2cccc([N+](=O)[O-])c2)n1 565447929 UXVZICNNONXQIM-MRXNPFEDSA-N 410.474 4.517 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)Nc1ccc([N+](=O)[O-])cc1Cl 568331876 VLIMTVPCSVXMKN-LBPRGKRZSA-N 401.772 4.791 5 20 HJBD C[C@@H]1COCC[C@@H]1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 572589065 DDXMAOCZBXNKLI-ZIAGYGMSSA-N 420.918 4.802 5 20 HJBD COc1cc(C)c(NS(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c(C)c1C 572795222 UGJJHOSOIRXLJK-UHFFFAOYSA-N 419.286 4.636 5 20 HJBD CC(C)(c1nc(Cc2ccccc2OC(F)F)no1)c1ccc([N+](=O)[O-])cc1F 576191968 IRPBOMGJZNLIIU-UHFFFAOYSA-N 407.348 4.635 5 20 HJBD Cc1ncc2n1CC[C@@H](c1nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no1)C2 580981473 FZYYQVYYYFKYTD-MRXNPFEDSA-N 417.425 4.672 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cs1 589089822 DOUMZLPTMPFVHL-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=C(NC[C@@H]1COc2ccccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 589121783 BCXAEGXHJOLBKI-OAHLLOKOSA-N 406.463 4.652 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 603966889 KCXAXVDGWUUOEY-NSHDSACASA-N 416.275 4.567 5 20 HJBD O=C(NCc1ccc(-c2ccccc2)o1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603997540 GYUFOEYORMSETE-UHFFFAOYSA-N 420.343 4.726 5 20 HJBD CCOc1cc(NC(=O)c2cccc(OC3CCCC3)c2)c([N+](=O)[O-])cc1OCC 609407523 OAGHBSAMLVVCDX-UHFFFAOYSA-N 414.458 4.966 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2ccc3c(c2)CCO3)n1)c1ccc([N+](=O)[O-])cc1 610044174 AETWOTIOQFBFAN-UHFFFAOYSA-N 403.438 4.508 5 20 HJBD CCN(CC)Cc1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)o1 610365328 QZKVUBDCPFOZJQ-UHFFFAOYSA-N 407.470 4.877 5 20 HJBD O=C(Nc1cc(Br)c(F)cc1Cl)c1ccc([N+](=O)[O-])c2cccnc12 611229108 SHXPKNPBGYSVFR-UHFFFAOYSA-N 424.613 4.950 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 612443323 RFYSBOAMGPBBAR-NYHFZMIOSA-N 408.429 4.752 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2)c1 613175655 YXDGLWCUKRGNJP-LJQANCHMSA-N 405.454 4.667 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NCC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 725794730 MULOSVQLLXCBCJ-UHFFFAOYSA-N 417.487 4.573 5 20 HJBD COc1c(C)cnc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1C 727430957 HSBAYRPBROGHCT-UHFFFAOYSA-N 420.469 4.788 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccccc1C(F)(F)F 731686687 KSKAVCBRSVMWDU-GOSISDBHSA-N 423.391 4.539 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC[C@@H](O)c3ccccc3C)c([N+](=O)[O-])c2)cc1 732806205 ZXTLBINXVVZHIQ-JOCHJYFZSA-N 405.454 4.609 5 20 HJBD CC[C@@H](C)[C@@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 733141167 ZIZNWIQRQBRERC-CHWSQXEVSA-N 403.866 4.665 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)C(F)(F)F 744356808 BPGHSUOMBKTTHT-JTQLQIEISA-N 402.756 4.964 5 20 HJBD O=C(NC[C@H]1CC1(Cl)Cl)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 754977057 BZLRWYYXTZPCMA-GFCCVEGCSA-N 403.331 4.801 5 20 HJBD Cc1ccc([C@H]2C[C@H](OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CCO2)cc1 757533938 VUZDGIMUUPLFOV-CRAIPNDOSA-N 409.360 4.999 5 20 HJBD CC(C)(C)Oc1cc(F)ccc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758912315 ZIYQKELRKZMNLF-UHFFFAOYSA-N 411.227 4.926 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc2cc(C(F)(F)F)ccc2n1C 763195067 KTCBUJHHMWYLDI-NEPJUHHUSA-N 421.375 4.908 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 763321807 MQWKOHZEHFJCDG-OAHLLOKOSA-N 421.409 4.824 5 20 HJBD Cc1c(C(=O)Nc2cccc3oc(C(F)(F)F)nc23)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 766507369 MQLPLKDSLYNASU-UHFFFAOYSA-N 424.291 4.532 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc(-c2ccc(Cl)cc2)no1 770012558 BPHUSGOKOYDSBF-VIFPVBQESA-N 422.228 4.834 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1 778796856 QZPKECADDLMDKQ-AWEZNQCLSA-N 421.409 4.936 5 20 HJBD C[C@H](Sc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 800837838 CBFWOPLEVQMCGX-VIFPVBQESA-N 415.349 4.641 5 20 HJBD O=C(NCc1ccc(Br)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812926482 GJUARIWWZBGVHZ-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD CCOc1ccc(C(C)(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OCC 813243867 BXRPZSJPQWYXOY-UHFFFAOYSA-N 406.866 4.962 5 20 HJBD O=C(NCc1ccsc1Br)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813247399 WLLGBGALENHRMF-UHFFFAOYSA-N 410.076 4.656 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914498298 XGEJLFCNJPGYRB-LLVKDONJSA-N 411.336 4.663 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)NC1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 914743466 ADANFEQBYFCQBX-YXOPQZBOSA-N 410.314 4.634 5 20 HJBD CN1CCCN(c2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cc2)CC1 916455766 XFSIHJYIRDPDMS-UHFFFAOYSA-N 410.521 4.782 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1F 1116028582 YIXUDEFSMDDHIQ-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc([C@@H]3Cc4ccccc4CN3Cc3ccccc3)o2)o1 1117259141 GGCYUWVMEJUWSZ-SFHVURJKSA-N 402.410 4.537 5 20 HJBD Cc1nc(-c2ccc(Cl)s2)sc1C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1318777575 VMUBOVSMJSCXJA-UHFFFAOYSA-N 405.888 4.898 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3ccc(Br)s3)o2)c2ncccc12 1324134096 SLRXGDAJSUEMPF-UHFFFAOYSA-N 403.217 4.684 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 15193735 FXQAYHYFQKPSBX-UHFFFAOYSA-N 414.464 4.846 5 20 HJBD CC(C)C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 16967619 YDOOYDLTHUHILG-KUHUBIRLSA-N 412.515 4.855 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc(C(=O)N(C)C)c2)cc1[N+](=O)[O-] 21138569 QOWXYTDFIYBZGX-UHFFFAOYSA-N 415.515 4.687 5 20 HJBD CCn1c(S[C@H](C)C(=O)NC2CCC(C)CC2)nc2cc3ccccc3cc2c1=O 26581159 IJEOWWGLWWVIDB-KOHRHEQBSA-N 423.582 4.745 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 64420722 WOJQLZMDONYAIB-NSHDSACASA-N 401.806 4.618 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CCC[C@H]1c1cccc2ccccc12 65884755 SZWZTPLETFGDCB-QHCPKHFHSA-N 412.449 4.911 5 20 HJBD Cc1ccc(CN(C(C)C)S(=O)(=O)c2cc(Cl)c(C)c([N+](=O)[O-])c2)s1 66001994 JKIYRBAXTUEFFK-UHFFFAOYSA-N 402.925 4.526 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Br)cc1Br 219573710 FGXRMQKGXYMUAN-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cn1ccnc1C(=O)c1ccc(N2CCC[C@H]2c2cccc(Cl)c2)c([N+](=O)[O-])c1 301220254 AXEMATRBYTYCPX-KRWDZBQOSA-N 410.861 4.554 5 20 HJBD CCOc1cc(NC(=O)c2oc(-c3ccccc3)nc2C)c([N+](=O)[O-])cc1OCC 429926080 MBIAUMHQVNOJNX-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCC[C@@H](c2ccccc2)C(F)(F)F)cc1[N+](=O)[O-] 432088958 MIYOJDJZUPGAIE-PBHICJAKSA-N 409.408 5.000 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1nc(-c2ccccc2)cs1 436093289 NMHOIWIRDBRXJN-CQSZACIVSA-N 402.497 4.703 5 20 HJBD C[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)s1 437120873 VJTCGCWOMDMXQP-NSHDSACASA-N 409.305 4.714 5 20 HJBD C[S@@](=O)c1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 440618438 AOJSRYOIPIIKCK-MUUNZHRXSA-N 412.492 4.736 5 20 HJBD O=C(NCCCNc1ccccc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442734241 SJILHVGXBBAHPT-UHFFFAOYSA-N 407.495 4.978 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCCc2cc(Cl)cc(F)c21 443876900 AWCFYNVRJJCRRZ-UHFFFAOYSA-N 402.731 4.999 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)[C@H]1Oc2ccccc2[C@H]1C 444220281 BMJGQSPFUFMUAG-CDEUHXGRSA-N 416.452 4.503 5 20 HJBD CC(C)n1c([C@@H]2CCCN2C(=O)CCc2cccc([N+](=O)[O-])c2)nc2ccccc21 446252761 LJCORFFHUSNVRC-NRFANRHFSA-N 406.486 4.822 5 20 HJBD Cc1c(F)ccc(Cl)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 448517886 WTHVDEHESGFAGG-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)Nc1cccc(CSC(C)C)c1C 463922672 JHDJJGOSSSPGRF-HNNXBMFYSA-N 417.531 4.993 5 20 HJBD C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 473514051 SAEBJSLYMDWNAR-HNNXBMFYSA-N 413.437 4.963 5 20 HJBD O=[N+]([O-])c1ccc(COc2ccc(Oc3ncc(Br)cn3)cc2)cc1 473670822 RRCNOPCYYMVYDN-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD COc1cc(OCc2ncc(-c3ccccc3Br)o2)ccc1[N+](=O)[O-] 475879567 FUUBGDRUGTXBLW-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD COc1cc(CSCc2csc([C@@H](C)OC)n2)c([N+](=O)[O-])cc1OC(F)F 476939311 JTLYRNPKOXDCCQ-SECBINFHSA-N 420.459 4.802 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cc(F)ccc1OCC(F)(F)F 478278620 UIONCHGGNGLSHM-UHFFFAOYSA-N 415.343 4.506 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(CNc3ccccc3)cc2)cc([N+](=O)[O-])c1 478807685 WOPYEWLQJMICKC-UHFFFAOYSA-N 419.437 4.636 5 20 HJBD C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480263129 LBUUMGUUZPPDPL-HNNXBMFYSA-N 409.511 4.876 5 20 HJBD Cc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1-c1ncco1 483375008 HXSOVHHICIDIBS-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 483412731 VYTXOYMTLRBROS-XOBRGWDASA-N 417.893 4.698 5 20 HJBD COc1c(F)cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 485772320 FIWSYWLWVXVKBA-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC(c2c(F)cccc2F)CC1 485856493 DOYFJSPJNZYMDN-CYBMUJFWSA-N 414.412 4.864 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@H](C)c2cc3ccccc3o2)c(C)c([N+](=O)[O-])c1 486857492 KMSJGASRWJQKGB-CYBMUJFWSA-N 411.414 4.661 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccncc2)ccc1C 486978439 SQKCAFAALDSXQS-UHFFFAOYSA-N 423.494 4.956 5 20 HJBD COCC1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)CC1 488939514 UMSSGHTYVSPHOO-UHFFFAOYSA-N 400.500 4.553 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 489306953 VPAHFLNBYZUWFF-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 500133477 POCAPWIWDINXMB-UHFFFAOYSA-N 407.829 4.750 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(-c2ccc(COc3ccccc3)cc2)no1 504866797 FQJAPGQOROLYJA-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD CCCN(C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)[C@@H]1CCCN(Cc2ccccc2)C1 505001263 QQIIEMIKMZQPFI-HXUWFJFHSA-N 420.513 4.593 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 505047428 FLOFFAAFECQAQZ-QGZVFWFLSA-N 422.491 4.694 5 20 HJBD C[C@@H](NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1ccc(Cl)cn1 507176003 GFIRSUJCRRNYNF-CQSZACIVSA-N 411.845 4.713 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)Nc2cc(-c3cncc(F)c3)ccc2C)cc1C 509397783 WOTNFYMDZCGGNV-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1ccccc1C(F)(F)F)C1CC1 516177754 JTDVVUMSWGNCGX-UHFFFAOYSA-N 403.360 4.900 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)COc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 516784110 PIELGWUFCFOLSX-INIZCTEOSA-N 406.438 4.527 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1c(F)cccc1[N+](=O)[O-] 519890341 UOWFETGMSZBTCU-ZDUSSCGKSA-N 406.435 4.642 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2nc(C(F)F)[nH]c2c1 536217044 WSWSAOIOJJNLJB-UHFFFAOYSA-N 418.403 4.607 5 20 HJBD CC(C)c1n[nH]c(C(=O)Nc2ccc(-c3nc4ccccc4s3)cc2)c1[N+](=O)[O-] 536430030 OIBZHCWIPGENKG-UHFFFAOYSA-N 407.455 4.970 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H](Cc2ccccc2)c2ccccc2F)c2c(c1)COCO2 537157139 OTBXWYCVZFIBAA-QFIPXVFZSA-N 408.429 4.674 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCn1c(C)nc2ccccc21 537446029 WBXVMHRNYDEXPI-UHFFFAOYSA-N 412.515 4.575 5 20 HJBD Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)c(OCC(F)(F)F)c1 537729851 FGNTWSYHAHWPSH-UHFFFAOYSA-N 420.391 4.857 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(NC(=O)c3ccccc3)c2)c1 539502179 CQAUHHVIQLCLAH-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(S(=O)(=O)c3cc4cc(Cl)ccc4s3)c2)c1 540868430 RXQGDXLDHCJPOV-UHFFFAOYSA-N 419.871 4.563 5 20 HJBD COc1cc(CN[C@@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)ccc1[N+](=O)[O-] 542945147 IUCCEQWMKRWDBA-HNNXBMFYSA-N 424.297 4.895 5 20 HJBD COc1cccc([C@@H]2[C@@H](c3ccccc3)CCN2C(=O)Cc2cccc([N+](=O)[O-])c2)c1 543306117 GFQAFLPSNOWDDM-ILBGXUMGSA-N 416.477 4.903 5 20 HJBD C[C@H](C(=O)N(C)[C@@H](C)c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 543457407 KNJGAXWAMWPSQT-RYUDHWBXSA-N 409.255 4.820 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 543508030 QJHJSRWXPTXYDC-GFCCVEGCSA-N 410.405 4.712 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NCc1cc([N+](=O)[O-])cc2c1OCOC2 544416351 WTATXEQVXNGAIM-FQEVSTJZSA-N 410.495 4.905 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4cc(OC5CCC5)ccn4)no3)cs2)c1 545803594 PKKBSVAMMZAKBS-UHFFFAOYSA-N 421.438 4.762 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cn(Cc4ccccc4)c4ccccc34)n2)nc1 547193046 YYULZRUYSVVLOV-UHFFFAOYSA-N 411.421 4.634 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)NC12C[C@H]3C[C@@H](CC(O)(C3)C1)C2 562214892 QMGNQXUGPNPZMT-SNTCSEMISA-N 423.469 4.592 5 20 HJBD Cc1oc(C(C)C)nc1C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1 576783862 WTFOYFXGISCDLE-UHFFFAOYSA-N 421.413 4.713 5 20 HJBD C[C@@H](C(=O)Nc1cccc(CSC2CCOCC2)c1)c1cccc([N+](=O)[O-])c1 581347470 VDOXKQHPMDFHNA-OAHLLOKOSA-N 400.500 4.749 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590196158 AWTFZSHGGYNEIL-BEFAXECRSA-N 422.403 4.854 5 20 HJBD CC[C@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1cccc(Cl)c1 603568732 MSHVNVPFIUAOJE-INIZCTEOSA-N 408.907 4.758 5 20 HJBD COc1cc(CN2CCc3[nH]c4ccc(C)cc4c3C2)c([N+](=O)[O-])cc1OC(F)F 609196052 VBTPYZHRNBTOHF-UHFFFAOYSA-N 417.412 4.553 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1cccc(Sc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 609402997 ZSELCKOEWLWDAA-HNNXBMFYSA-N 421.478 4.562 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1csc([N+](=O)[O-])c1)C2 609464326 QVZSJXSJFKHARW-UHFFFAOYSA-N 408.483 4.859 5 20 HJBD Cc1cc(NC(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)no1 609805780 LKZDOCHYIAVNOI-UHFFFAOYSA-N 420.784 4.798 5 20 HJBD CC(C)(C(=O)Nc1cccc(C(=O)Nc2cccc(F)c2)c1)c1ccc([N+](=O)[O-])cc1 610041499 TXQIAYCJXBLWRF-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 610052670 NNILILTVNLHSIL-UHFFFAOYSA-N 416.462 4.710 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC[C@H](c2nc3ccccc3o2)C1 610290275 FQVDBSWVSFOTIX-LBPRGKRZSA-N 419.359 4.775 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C)(C)CC(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 614897525 NDRZLKWDBPGSBB-GFCCVEGCSA-N 410.396 4.624 5 20 HJBD CCOc1cc(CN2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 617196100 SKDALNKBCNKOCK-RYUDHWBXSA-N 400.319 4.556 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1COC(=O)c1cc(C2CC2)n(C(C)(C)C)n1 729120195 QODAGFBWTRXWBW-UHFFFAOYSA-N 401.463 4.568 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 730292704 JUGXXTZNPOVYQU-LLVKDONJSA-N 419.846 4.522 5 20 HJBD CCc1cccc(NC(=O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 732230830 BVLIIKRSRGYFOF-UHFFFAOYSA-N 413.861 4.799 5 20 HJBD O=C(Cc1ccc(Cl)c(Cl)c1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444610 ZLZVBZMVJWOGAK-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1cc(F)c(S(=O)(=O)N(Cc2cccs2)c2ccc(F)cc2)cc1[N+](=O)[O-] 742028174 VHMBIIUGWZIOOY-UHFFFAOYSA-N 424.450 4.638 5 20 HJBD O=C(COc1cc([N+](=O)[O-])ccc1Cl)N[C@H](c1ccc(F)cc1)c1cccs1 747811269 AYYDISADSVFOGG-LJQANCHMSA-N 420.849 4.733 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)CCCC(F)(F)F)CC1 756794542 STSPNFNAXORHCZ-AWEZNQCLSA-N 401.429 4.682 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)OCc1csc(CCc2ccccc2)n1 758737589 GTLYDHZACDVNSK-UHFFFAOYSA-N 421.478 4.604 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)O[C@H](c1cccnc1)c1cccc(C(F)(F)F)c1 759598190 ROMBSOBTZPSPGY-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD CC(=O)c1ccc(NC[C@H](O)c2cccc(OCc3ccccc3)c2)c([N+](=O)[O-])c1 760490409 AGORYDCIYLCAEE-QHCPKHFHSA-N 406.438 4.522 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 761344271 NBVTVOGJJRCMIC-UHFFFAOYSA-N 410.430 4.506 5 20 HJBD COc1ccc(CN2CCC[C@H]2c2cc(C)on2)cc1OCc1ccc([N+](=O)[O-])cc1 768438042 OYSGLHILPCFAQD-NRFANRHFSA-N 423.469 4.816 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCC(=O)c1cc(Cl)sc1Cl)CC2 773985934 TWNDWHCAQQZKKQ-UHFFFAOYSA-N 413.282 4.824 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccc(-c3noc(CC(C)(C)C)n3)c1)CC2 773991314 WDCDFDXUTBFUIT-UHFFFAOYSA-N 420.469 4.745 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cc1ccc(OCc2ccccn2)cc1 778829779 UIGQTPACCQCQNZ-QGZVFWFLSA-N 406.438 4.724 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)C(=O)Nc1ccccc1C 780283532 RXFSMKMVXLSCJF-AWEZNQCLSA-N 402.472 4.589 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(Cc3nnc4n3CCCCC4)cc2)cc1Cl 783675724 AWHHLPRZXURNRI-UHFFFAOYSA-N 411.893 4.769 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1F 790369360 IYURSTNJQQMXRO-UHFFFAOYSA-N 407.785 4.661 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(F)cc1 790857161 KWKSZAAFTLNLGV-UHFFFAOYSA-N 417.799 4.697 5 20 HJBD CC(C)O[C@@H](C(=O)OCc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 796411406 SNUXKJHAECFADC-QGZVFWFLSA-N 408.248 4.567 5 20 HJBD Cc1ccc([C@@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 799694169 WKGZBZJJKHNWLG-IBGZPJMESA-N 408.479 4.518 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 807687970 VZTDCMXTHPNBGC-DJIMGWMZSA-N 415.287 4.766 5 20 HJBD COc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 812480354 NXTLLGIVTARBGQ-LJQANCHMSA-N 410.392 4.572 5 20 HJBD COc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 875874294 KPOCWVKYKBADFL-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2)n1 900873113 DLHSIWNISPHNPR-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD O=[N+]([O-])c1c(-c2nc(-c3ccc(Br)cn3)no2)ccnc1-c1ccccc1 904846060 BWJBNCRYQZWRNR-UHFFFAOYSA-N 424.214 4.531 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1 914707368 SKOUKYPQVQHFBA-RKDXNWHRSA-N 415.359 4.791 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C2CC2)o1 915169020 FXCMMLXYQPYTHF-ABAIWWIYSA-N 419.275 4.879 5 20 HJBD Cc1c(C(=O)Nc2ccc(N3CCCCC3)c(Cl)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 920005821 PZRYSJJEXITAOZ-UHFFFAOYSA-N 418.837 4.707 5 20 HJBD O=C(NCCc1nc(Cc2ccccc2)no1)c1c2ccccc2cc2ccccc12 1121179497 PKGWPCXTOBFBGU-UHFFFAOYSA-N 407.473 4.939 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc(-c2c[nH]c3ccccc23)cs1 1317946839 WNUJVLFFYZCGPC-UHFFFAOYSA-N 408.439 4.607 5 20 HJBD C[C@H]1Cc2ccccc2N1Cc1occc1-c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1326096369 QVFXNXGVPPJMPG-AWEZNQCLSA-N 402.410 4.856 5 20 HJBD O=C(O)c1ccn(-c2cccc(NC(=O)c3cc4ccccc4c4cccnc34)c2)n1 1326363708 IGSFUKSSJZEWAZ-UHFFFAOYSA-N 408.417 4.524 5 20 HJBD Cc1ccc(NC(=O)[C@@H](Sc2nncn2C(C)C)c2ccccc2)c([N+](=O)[O-])c1 4768365 IZYSZFNAEIHUNR-SFHVURJKSA-N 411.487 4.548 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)cc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1 10964726 MDUGXVKHUYPKMN-UHFFFAOYSA-N 419.315 4.711 5 20 HJBD CCn1c(SCC(=O)NC2CCCCCC2)nc2cc3ccccc3cc2c1=O 22177908 ZHTAZTOKXGQCEB-UHFFFAOYSA-N 409.555 4.501 5 20 HJBD Cc1c([C@@H](C)NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cnn1C 24998770 PDURQCCZXWNNSC-GFCCVEGCSA-N 414.849 4.573 5 20 HJBD C[C@H](Sc1nc2ccccc2n1C(F)F)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 25980802 VDJKOZDOKMWDDU-VIFPVBQESA-N 410.377 4.598 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3)n2Cc2ccco2)cc1 33540541 DQKYZKBGKCUXIX-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C(Nc1nc(-c2cccc(F)c2)cs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 45501488 RKNKFYMSKFRPEH-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 48096981 MEEXESDKVVGJSK-MRXNPFEDSA-N 418.453 4.754 5 20 HJBD C[C@@H](CCc1ccccc1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 52932539 ALDCRHCLWISMDN-ROUUACIJSA-N 418.497 4.914 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H](C)CCc2ccco2)cc1[N+](=O)[O-])c1ccccn1 53028428 LYSCAEZNCHONMS-CVEARBPZSA-N 408.458 4.507 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)c2ccc([N+](=O)[O-])cc2Cl)c1C 72021528 DQGKNEXPUSICKE-UHFFFAOYSA-N 412.877 4.631 5 20 HJBD Cc1ccc(-c2nnc(SCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)o2)c(C)c1 72090003 VUXAZCXXWYPHHT-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD CCn1c(SCCOc2ccc([N+](=O)[O-])cc2)nnc1-c1cccc(Cl)c1 195713891 DNIVGXJFEVIDPP-UHFFFAOYSA-N 404.879 4.698 5 20 HJBD O=C(Nc1ccc(CNc2ccc(Br)cc2[N+](=O)[O-])cc1)c1ccco1 301101819 ZAIIFRMJSGPNOH-UHFFFAOYSA-N 416.231 4.815 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2Br)c1 326032093 ACLIFHWADBJBKZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(CCn1nc(-c2ccccc2)nc1-c1ccccc1)Nc1ccccc1[N+](=O)[O-] 410041465 LQNMBZGFNHRFRR-UHFFFAOYSA-N 413.437 4.549 5 20 HJBD Cn1ccc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc21 436154589 QIPBQWASGFRNMO-UHFFFAOYSA-N 403.388 4.704 5 20 HJBD Cn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2cc(Cl)ccc21 436365067 SXDOYNADZUMWKV-UHFFFAOYSA-N 420.831 4.613 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)N(C)C(=O)c3cc([N+](=O)[O-])ccc3O)s2)cc1 436970713 FYHDHRQSGUIOOM-AWEZNQCLSA-N 411.483 4.874 5 20 HJBD Cc1cc(N2CCC(N[C@H](C)c3ccc(-c4ccccc4[N+](=O)[O-])s3)CC2)n[nH]1 439144510 VDAAKTQPCCMHKJ-OAHLLOKOSA-N 411.531 4.674 5 20 HJBD Cc1ccc(-c2n[nH]c(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])n2)o1 443304961 DTLBEPSKLJVJMX-UHFFFAOYSA-N 421.438 4.685 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(OCc2ccccn2)cc1 460858576 OQXIOFPKHVUHDT-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD C[C@@H](CC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1)c1ccccc1C(F)(F)F 461406504 KIAPHWOSQHPUIO-ZDUSSCGKSA-N 408.376 4.528 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)c(C)c1 462487965 GNGLFORVELHURB-KRWDZBQOSA-N 421.453 4.956 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ncccn2)cc1C 462503248 RHXDTSCMZWWQTN-QGZVFWFLSA-N 406.442 4.693 5 20 HJBD COc1cc(NC2CCN(Cc3ccc(Cl)c(Cl)c3)CC2)ccc1[N+](=O)[O-] 462840939 SZEVMDGIWILEGZ-UHFFFAOYSA-N 410.301 4.987 5 20 HJBD O=C(NCC1(c2ccc(F)cc2)CCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463382082 PSUMDAWZZWJNKG-UHFFFAOYSA-N 410.367 4.995 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N(C)[C@H](C)c1ccc(Cl)cc1Cl 464043389 RADVIKIKMNSGKE-GFCCVEGCSA-N 424.284 4.677 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](CSc3ccccc3)C2)cc1SC 464327502 MMFKUEPULYVHCV-AWEZNQCLSA-N 418.540 4.580 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@H](CO)c2cccc(Cl)c2F)s1 466383448 MSEKBVZNDLFIGO-MRXNPFEDSA-N 406.866 4.939 5 20 HJBD O=C1NCCN1c1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)ccc1Cl 466409390 XFUQICBSCMZNCJ-UHFFFAOYSA-N 412.833 4.650 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2-c2nc(C3CC3)no2)c1 471652560 FOFQZKOEEBWSCZ-UHFFFAOYSA-N 410.455 4.805 5 20 HJBD CC[C@H](NC(=O)Nc1nn([C@H](C)c2ccccc2)cc1C)c1cccc([N+](=O)[O-])c1 471857531 PGCBXBMLLLNMEO-UZLBHIALSA-N 407.474 4.982 5 20 HJBD Cc1ccc(SC[C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 472281253 ZGMGSZUDNDEGCK-GFCCVEGCSA-N 409.305 4.576 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C4CCCCC4)[nH]c3c2)cc([N+](=O)[O-])c1OC 480083281 JSSLGTRDADJTRT-UHFFFAOYSA-N 424.457 4.788 5 20 HJBD CC(C)n1cc2c(n1)C[C@@H](Nc1ccc(C(=O)c3ccccc3)cc1[N+](=O)[O-])CC2 481964528 LXZPXWPCVWXQHH-IBGZPJMESA-N 404.470 4.573 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc(F)cc2)C(C)(C)C)c([N+](=O)[O-])cc1OC 484336690 APBOPZXATOFYSB-UHFFFAOYSA-N 404.438 4.582 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(COc2ccc(F)cc2)c1 485158450 DMCLGXXFVNOOGT-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD CSc1cccc(C(=O)Nc2cc(C)n(-c3cccc(Cl)c3)n2)c1[N+](=O)[O-] 485499957 ZAADOBHWGBHFHC-UHFFFAOYSA-N 402.863 4.717 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCc2ccc(Br)cc21 489631575 DMUJAXDNAINPCR-LLVKDONJSA-N 415.247 4.531 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](OCCCc2ccccc2)C1 489902964 DLYNYJRFFDFVAJ-IBGZPJMESA-N 414.527 4.571 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)[nH]c2c1 493029531 FMWSEWXIHWRQJD-DNVCBOLYSA-N 407.474 4.696 5 20 HJBD O=C(N[C@H](c1ccccc1OC(F)F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 493536507 IWDPVGYPLDQGBH-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 497159884 HPEDSDVNTNJETC-QKKBWIMNSA-N 414.893 4.515 5 20 HJBD CC(C)c1ccc(-c2nc(CS(=O)(=O)c3cccc([N+](=O)[O-])c3)cs2)cc1 509147492 UPXZGTIHKCSQLP-UHFFFAOYSA-N 402.497 4.816 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Br)c(F)c1 509420743 NMTWAWPPCHROBI-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)CCC2 510478625 WTPIARGDNBEYOX-AWEZNQCLSA-N 424.320 4.580 5 20 HJBD COc1cc(C(=O)Nc2ccc3[nH]c(-c4ccc(F)cc4)nc3c2)ccc1[N+](=O)[O-] 510835830 GLBVDWQXLGYONE-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])CC2)cc1 513784364 PYQPPEWFCBGKGQ-OFNKIYASSA-N 421.541 4.509 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4ccc(N5CCCCC5)nc4)no3)cc2c1 517630777 LNSZLRHJLOCXOJ-UHFFFAOYSA-N 407.455 4.912 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CNCCCCn2ccnc2)cc1 518263412 OBXNIMWDMCMPIO-UHFFFAOYSA-N 400.866 4.807 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1Br 523490642 XWFZEONLFWWVBQ-GFCCVEGCSA-N 407.264 4.636 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1cccc(N2CCCC2=O)c1 524265573 VFOVMWRGYCXYNZ-LBPRGKRZSA-N 404.264 4.657 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)c1 525575870 YWFOTECZBKFNJF-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@H](C)NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1 532467313 IDYHSYRXVQMGHG-ZDUSSCGKSA-N 418.881 4.613 5 20 HJBD Cc1nc(-c2ccc([C@H](C)Nc3sc(S(C)(=O)=O)cc3[N+](=O)[O-])cc2)cs1 536684882 KJKDQVMUAFJYLB-JTQLQIEISA-N 423.541 4.665 5 20 HJBD C[C@@H](OC1CCCCCC1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537153517 JZUBDJWSSPDXIP-CQSZACIVSA-N 403.504 4.809 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(OC)c(OC)cc1F 537419662 ADKLLRVWFFSVEL-UHFFFAOYSA-N 408.451 4.896 5 20 HJBD COc1ccc(CC(=O)N[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 539678495 LBPLOZKWUMZXPI-IAGOWNOFSA-N 422.403 4.617 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N[C@H](C[C@H]2CCOC2)c2ccccc2)c1Br 543438172 JEGUBQZNHXFHOJ-UKRRQHHQSA-N 406.280 4.641 5 20 HJBD CCc1noc(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)c(Cl)c2)n1 544364163 IKGANXVOWUXZRZ-UHFFFAOYSA-N 421.240 4.695 5 20 HJBD CCc1ccc([C@H](NCc2ccn(-c3ccccc3)n2)c2ccc([N+](=O)[O-])cc2)o1 544388641 VRTFBENOLNTLPH-HSZRJFAPSA-N 402.454 4.815 5 20 HJBD Cn1cc2c(n1)CCC[C@H]2C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 544926563 CWEVGUVWFIISHZ-CYBMUJFWSA-N 414.512 4.600 5 20 HJBD O=C(N[C@H]1C[C@@H]1C(F)F)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 553715220 ZSLOHIPELZNIPT-JQWIXIFHSA-N 421.450 4.739 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 554827274 ATMDMTCGFTVIHG-RTBURBONSA-N 421.522 4.955 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3cccc4cccc(Br)c34)n2)nc1 568696217 ZPLRCLZLCNCRAB-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=C(NCCc1nc2ccccc2o1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 575110557 CEEMVOWYTLOWHH-UHFFFAOYSA-N 419.462 4.860 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2C[C@H](c3ccccc3)[C@H]3COCC[C@@H]32)c1 578029796 RDVVANVLWOUEBP-GGPKGHCWSA-N 406.404 4.618 5 20 HJBD Cc1c(NC(=O)CCN[C@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 578575143 MHLARJUSBPTJPY-QGZVFWFLSA-N 420.469 4.770 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1C 603737648 VEDDQUAUTXGYPB-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD C[C@@H](C1CC1)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 603965147 ZEOVYYMXCXJDLH-NSHDSACASA-N 422.359 4.788 5 20 HJBD CCCOc1ccccc1Oc1ncccc1CNC(=O)Nc1ccc([N+](=O)[O-])cc1 604074758 YACPJVMXJGBRII-UHFFFAOYSA-N 422.441 4.893 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1CN1C[C@H](C)C[C@@H](C)C1)c1cccc([N+](=O)[O-])c1 604076354 VCNAHUNHSUUGSI-QRVBRYPASA-N 424.545 4.633 5 20 HJBD CCC[C@@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccn1 609178834 GYMDLHPLMFJBIC-OXJNMPFZSA-N 419.485 4.829 5 20 HJBD C[C@@H]1CN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccccc2O1 609508994 JJDPFKCYBALZKP-LLVKDONJSA-N 412.389 4.520 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(C(F)(F)F)nc1O 609762811 VNVPFKCUGMJJEF-UHFFFAOYSA-N 419.315 4.759 5 20 HJBD COc1cc(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 609916193 DXPGEWYWGAORGH-GXTWGEPZSA-N 410.392 4.722 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NC1CCN(Cc2coc(-c3ccccc3)n2)CC1 610808942 JBGBMJCYNWYCCP-SFHVURJKSA-N 420.513 4.873 5 20 HJBD COc1cc(OC)c(C2CCN([C@@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)c(OC)c1 611515203 LESJBGSQGYMVDX-INIZCTEOSA-N 414.502 4.870 5 20 HJBD CCCn1c(SCC(=O)c2cccc([N+](=O)[O-])c2)nnc1-c1ccc(Cl)cc1 612282251 FLZPTFHYEXYRLY-UHFFFAOYSA-N 416.890 4.892 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCCC3)cc2)cc1SC 728455843 TXQGMAGFSSDOLB-UHFFFAOYSA-N 401.488 4.568 5 20 HJBD CC(C)n1ccc(C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)n1 733090580 NJATZUFRFUCPON-UHFFFAOYSA-N 414.849 4.857 5 20 HJBD CC[C@@H]1CCC[C@H](OC(=O)c2cc(OC)c(OCc3cscn3)cc2[N+](=O)[O-])C1 734723787 VDULMWLOTVQNCT-HIFRSBDPSA-N 420.487 4.765 5 20 HJBD O=C(Cc1csc(Cc2ccccc2)n1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734888367 IOIMZSOCAKKWBR-UHFFFAOYSA-N 402.859 4.581 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC4(CCCC4)C3)cc2[N+](=O)[O-])n1 742879175 ZQPXBBSUMGRUHQ-UHFFFAOYSA-N 403.529 4.917 5 20 HJBD CCOC(=O)COc1ccc(OC(=O)c2cc3ccccc3c3cccnc23)cc1 744273570 XDDADCYNNFFDGT-UHFFFAOYSA-N 401.418 4.549 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CCCC1CCCC1 744354371 RXMZEYYIEQDGPZ-UHFFFAOYSA-N 400.479 4.742 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)[C@H](C)c2ccccc2C(F)(F)F)cccc1[N+](=O)[O-] 745311198 SKSKUEDLTWCKJK-DGCLKSJQSA-N 424.375 4.596 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1nc(-c2cccs2)no1 747118955 KYJDSQQOZLKQGJ-QMMMGPOBSA-N 413.333 4.643 5 20 HJBD C[C@@H](OC(=O)CNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 747669721 AHVHXDMJJHDGQY-SNVBAGLBSA-N 415.368 4.615 5 20 HJBD CC[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)c1ccc(NC(C)=O)cc1 749094655 SBODXIMWYJMHPS-MRXNPFEDSA-N 415.496 4.917 5 20 HJBD CC[C@H](C)Oc1ccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750522481 JZXHOZRODXPNOO-KBPBESRZSA-N 411.414 4.740 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(CCC(F)(F)F)cc1 752516651 OKCYLDREOJUXES-JKSUJKDBSA-N 411.380 4.627 5 20 HJBD O=C(N[C@H]1CCOc2c1ccc(Cl)c2Cl)c1cc([N+](=O)[O-])ccc1Cl 754476814 BUBYVGHHXJWFNG-ZDUSSCGKSA-N 401.633 4.809 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)NCc1cc([N+](=O)[O-])ccc1Cl 756999008 UUPJXGLKNZVGBN-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763719853 LAAVQURFFUAHAC-CYBMUJFWSA-N 404.850 4.864 5 20 HJBD C[C@H](C(=O)Oc1ccc([C@@H](C)NC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 767081996 AAPNGSPOTDVRPP-LSDHHAIUSA-N 414.458 4.890 5 20 HJBD O=C(Nc1ccc(OCC(F)F)c(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1F 767146071 NLOBLVACXKYYGQ-UHFFFAOYSA-N 408.254 4.649 5 20 HJBD CN(C)C(=O)c1ccccc1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 769107521 FCYMZKFLACQKEG-UHFFFAOYSA-N 421.478 4.700 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2C[C@@H](O)c2ccc(F)cc2)ccc1Br 770325485 IOCWEYHEGLRNAD-QFBILLFUSA-N 423.282 4.585 5 20 HJBD CC(C)COc1ccc(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776135044 QJRYENHJWFRTJF-XMMPIXPASA-N 420.465 4.900 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C[C@@H]1Sc2ccc(Cl)cc2NC1=O 778766248 FJYQAHLAOSXGPK-GTNSWQLSSA-N 420.874 4.664 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCCC[C@H]1/C=C/c1ccccc1 782006830 RGHLMQOTMRMGHO-YPNIWSFNSA-N 423.469 4.920 5 20 HJBD O=C(COc1cccc2cccnc12)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 790897389 WCFSCVQYYBDKCT-UHFFFAOYSA-N 411.845 4.618 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)N[C@@H](c2ccccc2OC(F)F)C(F)(F)F)c1F 790928916 ZIWHEFXSKWWSGH-AWEZNQCLSA-N 422.281 4.677 5 20 HJBD C[C@@H](c1ncc(C(C)(C)C)o1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 792895850 DZFHLSRYRBFAFQ-NSHDSACASA-N 408.296 4.762 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1ccc(Cl)c([N+](=O)[O-])c1 800846506 QENNNNWRAMUOSD-UHFFFAOYSA-N 421.837 4.559 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc([C@@H]3CCOc4ccccc43)no2)c1 809404911 KZTJOAKAIBEETH-QGZVFWFLSA-N 406.442 4.550 5 20 HJBD Cc1ccccc1[C@H]([C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)C 813206544 FLLNBWWKTAFLIR-PXAZEXFGSA-N 410.301 4.631 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1CCCc2c(OC(F)F)cccc21 819104001 FZHOUGFWQGIRLC-UHFFFAOYSA-N 414.767 4.511 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)cs2)cc1 825488321 XTUGPIWYFIJYFH-UHFFFAOYSA-N 403.410 4.740 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCCC(F)(F)F)cc1[N+](=O)[O-] 897763131 UIVJZPJUKHFDJK-UHFFFAOYSA-N 413.373 4.657 5 20 HJBD COc1ccc(OC(=O)c2ccc(C)c(NC(=O)c3ccccc3F)c2)c([N+](=O)[O-])c1 915278477 AJEPUCUOHAKUOI-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD O=C(OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1)c1ncoc1[C@@H]1CCCO1 1318997063 OJHBXBUGOYWTNY-SFHVURJKSA-N 410.382 4.584 5 20 HJBD C[C@H](NC(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cccc([N+](=O)[O-])c1 1326073452 APIFCVRTFCZKBA-IRFPFTSISA-N 422.529 4.541 5 20 HJBD Cc1ccc(SCCC(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 10210664 MIGYNXNSHDXGFH-ZDUSSCGKSA-N 422.890 4.609 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C1CCCCC1 14003645 KLPVUXUFKNDCGB-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD O=C(NCc1ccccc1-c1ccc(CN2CCCC2)cc1)c1ccc([N+](=O)[O-])s1 26403373 PDQUDLBDUHYTMW-UHFFFAOYSA-N 421.522 4.849 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)c1cccs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32993895 KUZZCUFZLCVZIL-HXUWFJFHSA-N 422.441 4.501 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)nc1-c1ccc(F)cc1 40969543 LEIZDQXGIHWKNM-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC 43838641 LLABBFWFLLRGAY-KRWDZBQOSA-N 417.437 4.900 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1c1cccc(Cl)c1 46798343 JFIGHPFOUBUBKM-LJQANCHMSA-N 413.905 4.828 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC[C@H]2c2ccncc2)cc1[N+](=O)[O-])c1ccccn1 53299890 LGNOLPODFGMUCE-IERDGZPVSA-N 417.469 4.535 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 55155272 ARYKJUGEPDPYKZ-INIZCTEOSA-N 418.453 4.595 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2cccc(Br)c2)cs1 69157915 ARQLLCIOFLZRNE-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1 302811470 IUTCTEGEQDBAIY-FQEVSTJZSA-N 420.469 4.547 5 20 HJBD COc1cccc(Oc2ccc(N[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)c1 302998104 UCFBTUCIMUZQQO-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 433897171 OXBYJQSZERCRFX-UHFFFAOYSA-N 409.446 4.829 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1c[nH]c2cccc([N+](=O)[O-])c12 437426493 KXPAMHXTAPMKRI-MQMHXKEQSA-N 403.360 4.771 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC(C)C)cc2Cl)cc1[N+](=O)[O-] 444515887 ZUMDUXGHTNVPOE-UHFFFAOYSA-N 424.906 4.916 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCc1cccc(COCc2ccccc2)c1 446496779 UQKMJNVREPPGMM-UHFFFAOYSA-N 405.454 4.942 5 20 HJBD COc1ccc(Oc2ccc(CNCc3nc4ccc([N+](=O)[O-])cc4[nH]3)cc2)cc1 446829996 NKQNYXXLRSWPKX-UHFFFAOYSA-N 404.426 4.562 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 460418625 ABCLNZVBVZIMQP-FQEVSTJZSA-N 406.486 4.572 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 462988889 KJXGPCKXGWSLCZ-VQIMIIECSA-N 415.465 4.532 5 20 HJBD Cc1cnc(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)c([N+](=O)[O-])c1 463056152 VTZUQCMGADAXQB-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 463975325 QNTPTLMORJZEKR-QUCCMNQESA-N 410.518 4.728 5 20 HJBD COC1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 464563001 CDLGUAISNUHOLS-UHFFFAOYSA-N 406.891 4.650 5 20 HJBD CSc1ccc(C(=O)NC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 464701382 GJOLNAZOQFXKRZ-PXNSSMCTSA-N 400.500 4.523 5 20 HJBD C[C@@]1(CN[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCCS1 467428750 ILWMNYUKOLBALY-ICSRJNTNSA-N 419.934 4.803 5 20 HJBD CCOc1cc(NCc2cccc(-c3nc(C(=O)OC)cs3)c2)ccc1[N+](=O)[O-] 477803153 QBARNRBIKHJXCD-UHFFFAOYSA-N 413.455 4.516 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484267584 PKVNCIJGHHVMHJ-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OC(F)(F)F)c1 485510800 VFSHLKXGYKOGEC-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 487386482 HPMJTFQDZWQDKU-UHFFFAOYSA-N 414.393 4.654 5 20 HJBD Cc1cc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)ccc1Oc1ccncc1 487692072 RBULPCPSSFJPLJ-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC(C)OCc1cccc(NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 488400241 URCGHPJIBRCDKI-UHFFFAOYSA-N 411.380 4.860 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)c1 491111582 XEGILCNLKGQSCJ-ZDUSSCGKSA-N 417.556 4.672 5 20 HJBD C/C(=C/c1ccccc1)CN(C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 494865341 SITVGZHNNAMAOU-VKAVYKQESA-N 418.497 4.632 5 20 HJBD O=C(O)C/C(=C/c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2o1 497553574 QCLSFTKLKBINDI-JYRVWZFOSA-N 407.407 4.875 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@@H]2CC(=O)c2ccco2)c([N+](=O)[O-])c1 498852372 UHXCALINRSNKJA-GOSISDBHSA-N 404.422 4.661 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 502032952 SIRNWIZIVCYDGE-UHFFFAOYSA-N 409.417 4.997 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc(C(C)(C)C)cs1 503079056 AIUZJBOLEVOOGF-UHFFFAOYSA-N 407.561 4.823 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3ccccc3)nn2C(C)C)c([N+](=O)[O-])cc1OC 505281779 RVYLWMJDWASKSQ-UHFFFAOYSA-N 424.457 4.699 5 20 HJBD Cc1ccc(C)c(OCc2nnc(SCc3c(F)cc([N+](=O)[O-])cc3F)o2)c1 505487234 NPKRXVHRSQTRER-UHFFFAOYSA-N 407.398 4.744 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)NC(=O)CSc1ccc([N+](=O)[O-])cc1 507532392 NNMUHDYLYKWYLT-ZDUSSCGKSA-N 413.524 4.996 5 20 HJBD CCOc1cc(NC(=O)CCc2nc3ccccc3s2)c([N+](=O)[O-])cc1OCC 514721013 NOQOCBXOHPRQCO-UHFFFAOYSA-N 415.471 4.573 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N(Cc1cccnc1)c1ccc(Cl)cc1 515703329 IOARSLSWVNPNKS-UHFFFAOYSA-N 413.886 4.969 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 516328068 YXIKYLLFVBVSIL-CHWSQXEVSA-N 411.380 4.657 5 20 HJBD Cc1ccsc1-c1nnc(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 518038884 UJHALKQDCYKNNA-SNVBAGLBSA-N 415.456 4.918 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccco2)nn1-c1ccccc1 518164369 XTJIKLMRCDGFQM-UHFFFAOYSA-N 402.410 4.910 5 20 HJBD O=C(C[C@H]1C=CCC1)Nc1ccccc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 518603621 GFZTWZRVYZRIDA-HNNXBMFYSA-N 419.441 4.552 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(F)c2F)cc1 519192073 RLTBLXHTKQIFQA-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1cccs1)c1ccc(F)cc1 520012293 WQHBGKKKYQESBB-UHFFFAOYSA-N 422.441 4.828 5 20 HJBD Cc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)s1 520729719 WWBHNDKPCYGIIE-OAHLLOKOSA-N 416.547 4.738 5 20 HJBD CCOCCCN1CC[C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)[C@H](C)C1 522368732 ZNMCPWSNXNACQR-YLJYHZDGSA-N 417.575 4.550 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 524130773 YMGTZKHTQGVMRL-UONOGXRCSA-N 405.401 4.915 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@H](Cc2ccccc2)c2ccccc2F)cccc1[N+](=O)[O-] 525403332 KSZFUFHEKVVUPW-VGOFRKELSA-N 421.472 4.943 5 20 HJBD COc1ccc(-c2nc(Cc3noc(C(C)(C)c4ccc([N+](=O)[O-])cc4)n3)co2)cc1 530640293 IKFKIGXIPIFUSU-UHFFFAOYSA-N 420.425 4.558 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 534232244 ZPCJTEHLLPPCIJ-UHFFFAOYSA-N 403.744 4.653 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2nc3ccccc3[nH]2)cc1 537975330 LKRBLDVBGAVXAF-UHFFFAOYSA-N 402.410 4.789 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc([N+](=O)[O-])cc1F 542538099 KCTWSSQXPMSCQW-CQSZACIVSA-N 413.449 4.539 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCCOc2cc(F)ccc21 544059138 TVEQJEWEYKYMFH-AWEZNQCLSA-N 410.854 4.510 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 546329300 CFPVFLHATWIRRP-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD O=[N+]([O-])c1ccc2nc(O)cc(-c3nc(Cc4c[nH]c5ccc(Cl)cc45)no3)c2c1 547162639 DHUNSLBKBIMVLE-UHFFFAOYSA-N 421.800 4.624 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551309081 ZARIHEBQRFNATF-QFYYESIMSA-N 416.783 4.773 5 20 HJBD Cc1ccc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1OCC(F)F 552547005 MJLCDNJTQOJCKL-UHFFFAOYSA-N 402.401 4.560 5 20 HJBD CCn1c(S[C@H](C)c2nccn2-c2ccccc2)nnc1-c1ccc([N+](=O)[O-])cc1 553771279 QUWUVWDTIDGVNN-OAHLLOKOSA-N 420.498 4.912 5 20 HJBD COc1cccc2c(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c(C)cnc12 556455314 WFBVKDZJRPJPKR-UHFFFAOYSA-N 405.332 4.731 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 560725205 VABTWGFMJMTNKW-UHFFFAOYSA-N 417.437 4.620 5 20 HJBD O=C(N[C@H](c1c(Cl)cccc1Cl)C1CC1)c1cc2c(cc1[N+](=O)[O-])OCO2 565279457 OQTBGACTOVBGDK-KRWDZBQOSA-N 409.225 4.511 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCCC[C@@H]3c3nnc(C4CCC4)o3)o2)c1 573193576 IUKCALYBTCHSOV-GOSISDBHSA-N 423.473 4.670 5 20 HJBD O=C(c1c([N+](=O)[O-])cccc1C(F)(F)F)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 584739769 GLMIEWAVSVKMJQ-CYBMUJFWSA-N 414.767 4.561 5 20 HJBD CC1CCN(Cc2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 603910367 QYBGWWSSSVKQSP-KRWDZBQOSA-N 413.543 4.946 5 20 HJBD CC[C@H](C)c1ccc([C@@H](NC(=O)CCn2nc(C)c([N+](=O)[O-])c2C)C(C)C)cc1 608802970 RUFRISWJEUAYNV-BTYIYWSLSA-N 400.523 4.825 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc(C)c(C)o3)cc2)cc1SC 609126693 GJSPOKKEPOKTAW-UHFFFAOYSA-N 413.455 4.849 5 20 HJBD C[C@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1cccc(C(F)(F)F)c1 609970505 LPIFUQSXPKCBGA-CYBMUJFWSA-N 418.375 4.932 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 610597844 HKYGRXMIQBQVNU-NXEZZACHSA-N 412.311 4.622 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](COc2ccccc2Cl)C1 611085212 OHWJGRNBIVLWFW-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD COc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])cc3)n2Cc2ccco2)cc1 619683679 PEDSVUVIGJTYIU-UHFFFAOYSA-N 408.439 4.654 5 20 HJBD COc1ccc(CSCC(=O)O[C@H](C)c2cnc3ccccc3c2)cc1[N+](=O)[O-] 726333582 YXRXUEJJXKTUSL-CQSZACIVSA-N 412.467 4.689 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1[N+](=O)[O-] 726605464 DPEIRSVPGKUBNS-UHFFFAOYSA-N 417.805 4.715 5 20 HJBD O=C(CCc1nc2cc(C(F)(F)F)ccc2s1)OCc1ccccc1[N+](=O)[O-] 730610248 VRVQSEKSQZNFHK-UHFFFAOYSA-N 410.373 4.899 5 20 HJBD Cc1nc2c(s1)[C@H](OC(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCC2 733065924 AWKHNBKTENAKBI-OAHLLOKOSA-N 401.469 4.718 5 20 HJBD COC(=O)Nc1ccc(CCNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 738435381 HGUAKYBUOQJUCS-UHFFFAOYSA-N 411.483 4.834 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@@H](C)c1cccc(C(F)(F)F)c1 738746237 XPGMMNNLIVYBCQ-CYBMUJFWSA-N 424.375 4.598 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCC(C)(C)Sc2ccc(Br)cc2)c1 742731300 VIYFWUIGMDTWKM-UHFFFAOYSA-N 423.332 4.966 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2)cc1 743210519 OBBYPGXREHACMY-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3ccc(Cl)cc3F)CC2)ccc1[N+](=O)[O-] 744204594 RIOLCASBCZGPBW-UHFFFAOYSA-N 422.840 4.612 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccc(Cl)cc2)no1 746057387 IDXMCURMOGANOA-VIFPVBQESA-N 408.197 4.870 5 20 HJBD Cc1cc(/C=C/c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1Br 746395467 XPGKVHBJOYOOSD-FNORWQNLSA-N 412.243 4.532 5 20 HJBD O=C(Nc1ccc(N2CCCC2)c(Cl)c1)c1cccc([N+](=O)[O-])c1Br 753818404 RNGXEIKAWPOPQA-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD C[C@@H](Cc1ccccc1Cl)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 754305101 KFWCCQMYGRYGKC-QWHCGFSZSA-N 415.833 4.781 5 20 HJBD CCCc1c(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cnn1-c1cccc(C)c1 766387162 HQLRYMIMYVUJGC-UHFFFAOYSA-N 400.385 4.572 5 20 HJBD COc1cccc([C@@H](OC(=O)c2cc(SC)ccc2[N+](=O)[O-])c2ccncc2)c1 782465094 WOFHUCKZEFUCLA-FQEVSTJZSA-N 410.451 4.667 5 20 HJBD CC[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 790535355 ITZXENUWVHLNTG-AWEZNQCLSA-N 416.783 4.799 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])o2)cc1 790747236 WNKJODLCMXFEJY-LLVKDONJSA-N 419.796 4.593 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccccn2)cc1)c1ccccc1[N+](=O)[O-] 796443142 CKGRDVVNKKPBRY-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1ccnc(-c2ccc(Cl)cc2)n1 805658649 FZJHEENOCXESEJ-UHFFFAOYSA-N 404.209 4.716 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1cccc([N+](=O)[O-])c1Br 807860767 RGCZXCFPWKZNTK-CQSZACIVSA-N 407.264 4.589 5 20 HJBD COc1cc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2F)ccc1OCCC(C)C 921160962 JXWIDAPXAMJHJY-AWEZNQCLSA-N 404.438 4.658 5 20 HJBD O=[N+]([O-])c1cc(Cl)c2ncnc(NC[C@@H](c3cccs3)N3CCCC3)c2c1 1323600463 LWUYPAWPEOOMNC-HNNXBMFYSA-N 403.895 4.502 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1-c1nnc(COc2ccccc2-c2ccccc2)o1 1326080334 ANEQWSLRPFXZFX-UHFFFAOYSA-N 416.437 4.957 5 20 HJBD COC(=O)c1cc(-c2nnc(-c3sc4ccccc4c3Cl)o2)cc([N+](=O)[O-])c1 1328070466 OZAIRDFXARVRRU-UHFFFAOYSA-N 415.814 4.967 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCN(C(=O)Nc3ccccc3)C2)n1 1337930657 YQVJZWUZZDKSQJ-INIZCTEOSA-N 421.457 4.673 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 5080122 BACCXAFGDKMTCS-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCN(CC)S(=O)(=O)c1ccc(N[C@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])c1 7365773 MTYBFBHDXIQOMB-CQSZACIVSA-N 417.487 4.545 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)c2c(C)c(C)sc2n1 9788732 OMXIJXXCRLEXEF-NSHDSACASA-N 402.501 4.644 5 20 HJBD C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(=O)c1cccc(Cl)c1 11276786 YGQAYPNKJJNYDK-OAHLLOKOSA-N 419.864 4.623 5 20 HJBD Cc1ccc2nc(COc3cccc(C(=O)Nc4ccc(F)c([N+](=O)[O-])c4)c3)cn2c1 11610239 LESATXPTVMRYQI-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Sc1ccccc1 15644462 PXTVPQBFSCFGMD-OAHLLOKOSA-N 422.462 4.930 5 20 HJBD O=C(CNc1ccccc1Sc1ccccc1)Nc1cc2c(cc1[N+](=O)[O-])OCO2 26781875 WSCOXHSGPMUDJS-UHFFFAOYSA-N 423.450 4.525 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 43745409 GDQHJPYSXHVJEW-HNNXBMFYSA-N 406.432 4.653 5 20 HJBD C[C@@H](c1cc(F)ccc1F)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 50311899 NRIKBZPTZUIUQM-NSHDSACASA-N 403.410 4.830 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 51236435 YXSBQNZIPIRHAN-UHFFFAOYSA-N 406.895 4.754 5 20 HJBD Cc1ccsc1CN(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 53012161 XHQJNVVPZLIQLV-HNNXBMFYSA-N 410.499 4.805 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@H](c2ccccc2)N2CCC(C)CC2)c1 72006700 LCAGGVBRSJGVHP-OAQYLSRUSA-N 413.543 4.520 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1OC 72075059 CIIFNJPQBSPLCN-SFHVURJKSA-N 413.474 4.631 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C)cc2OCC(F)(F)F)cc1[N+](=O)[O-] 195630787 LUVFQSIEJJRWPT-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)/C=C\c1ccc([N+](=O)[O-])cc1 212450981 SDGUVPUHDGDDOU-RAXLEYEMSA-N 408.479 4.907 5 20 HJBD Cc1noc(C)c1CCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237603592 KGNYUAOZCVHLDR-UHFFFAOYSA-N 401.850 4.978 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Sc1nnc(-c2ccncc2)n1Cc1ccccc1 301083781 KQSYQYGMLQBMKH-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD CS(=O)(=O)c1ccc(Sc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 301113890 KMAOWKNUFQGRQU-UHFFFAOYSA-N 411.810 4.822 5 20 HJBD Cc1nc(Cc2nnc(Sc3ccc([N+](=O)[O-])c(-c4ccccc4)n3)o2)cs1 301861612 FHCBRXWWAYVXGL-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD CCCCCc1ccc(NC(=O)[C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 303544967 ZCTTWGKFVIUVCQ-QGZVFWFLSA-N 415.515 4.632 5 20 HJBD COCCOc1ccc(Cl)cc1-c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426456268 VKEKPNUACAVSPD-UHFFFAOYSA-N 417.849 4.649 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1)CCC2 431487069 MDRSPBXVLKHBKD-AWEZNQCLSA-N 424.320 4.526 5 20 HJBD O=C(CCn1cc(Cl)cn1)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435442252 HMYWOOBCMONCPN-UHFFFAOYSA-N 408.892 4.686 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1 436172008 PFUSCQDITMWIQJ-OAHLLOKOSA-N 422.510 4.914 5 20 HJBD CC1(C)C[C@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccc(F)cc2O1 438041024 LNHGXLFEAKRFOX-SFHVURJKSA-N 413.449 4.710 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443971166 VHNPZHMEACJSEL-FQEVSTJZSA-N 410.518 4.588 5 20 HJBD Cc1ccc(O[C@@H](C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(Br)c1 444500130 IBXNJYPUGQIQNO-ZDUSSCGKSA-N 407.264 4.689 5 20 HJBD CC[C@@H](CNC(=O)c1cccc2c(=O)c3ccccc3[nH]c12)Oc1cccc(Cl)c1 444993726 AZKPVKUKNUPXPA-INIZCTEOSA-N 420.896 4.922 5 20 HJBD COc1cnccc1-c1noc(/C=C\c2ccc(-c3ccccc3[N+](=O)[O-])s2)n1 445623129 DXUJZEJIQLGDRE-CLFYSBASSA-N 406.423 4.947 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccncc2)cc1 462502457 MYVVOQFXDFJKLD-LJQANCHMSA-N 405.454 4.776 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2C(=O)Cc2cc3c(cc2[N+](=O)[O-])OCCO3)cc1 465032737 XLLNLYMRJDSPBJ-LJQANCHMSA-N 424.497 4.570 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 468689488 LAESBHFDVCBVGP-OALUTQOASA-N 423.516 4.782 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)CCC2 478054725 CQPAVMVSOKLQFL-QGZVFWFLSA-N 412.515 4.821 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCCC[C@H]3c3ccncc3)cc2[N+](=O)[O-])CC1 479197430 QRWZGNZZKSBESZ-NRFANRHFSA-N 422.529 4.984 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1C 482802135 PPYUUDZPJUZNGM-HNNXBMFYSA-N 407.474 4.935 5 20 HJBD C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 483662547 YVENREUKUQBRIT-XKGZKEIXSA-N 406.486 4.631 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3ccc([N+](=O)[O-])cc3OC)c2)cc1 494669982 XGOUZRXKTOLFHF-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cc2)cs1 497923115 BEANYJFZSTXOSX-UHFFFAOYSA-N 418.478 4.547 5 20 HJBD C[C@@H](NC1(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCOCC1)c1ccccc1 500414107 JRYMCTYZRGATEK-OAHLLOKOSA-N 423.435 4.926 5 20 HJBD C[C@H](N[C@H](C)[C@H](C)c1ccccc1C(F)(F)F)C(=O)Nc1ccccc1[N+](=O)[O-] 500845173 VSNMMWCRBXTXBS-MJBXVCDLSA-N 409.408 4.722 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1C[C@@H]1c1ccccc1C(F)(F)F 505577719 AHKSPBKRDAFERF-CXMBCZLWSA-N 410.417 4.767 5 20 HJBD COc1cccc([C@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 506162903 GOPWPLZWRGBGTH-HOTGVXAUSA-N 420.469 4.663 5 20 HJBD CCN(C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-])[C@@H](C)Cc1ccccc1C(F)(F)F 507228751 KKAPHETVQLZCRU-LBPRGKRZSA-N 412.412 4.553 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@H](c1cccc2ccccc12)C(F)(F)F 511694045 ZNTSYKZRMNRPBE-LJQANCHMSA-N 404.344 4.547 5 20 HJBD Cc1sc(NS(=O)(=O)Cc2ccccc2[N+](=O)[O-])nc1-c1cccc(Cl)c1 517265738 UJFSULZSYQDCBE-UHFFFAOYSA-N 423.903 4.622 5 20 HJBD O=C(COc1ccc(Br)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1Cl 525112837 VVYSUOBEKDSTFD-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)N3CCCc4c3cccc4[N+](=O)[O-])s2)cc1 533581451 AENVWQXYBAWBIP-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCCCn3c(O)nc4ccccc43)co2)cc1 534059475 QRTXXPCGSZQWDQ-UHFFFAOYSA-N 410.455 4.629 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(NC(=O)c2cccs2)cc1 534695799 LUOLOVJXLMNPQA-UHFFFAOYSA-N 420.450 4.571 5 20 HJBD Cc1ccc(S(=O)(=O)c2ccc(CN[C@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 537078925 WNCNQTBTHGGKJF-QGZVFWFLSA-N 410.495 4.587 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])NC1CCN(c2nc3ccccc3s2)CC1 537962705 IEWCDKZYBQTISF-MRXNPFEDSA-N 410.543 4.784 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@H](Oc2ccccc2Cl)C1)c1ccccc1[N+](=O)[O-] 538144852 LBTOREURRZZEPH-CABCVRRESA-N 403.866 4.562 5 20 HJBD CCc1cc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n(C)n1 539708949 RMTXMZHUWQYKRD-UHFFFAOYSA-N 405.252 4.525 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OCC 542545439 QVKLAUVRMVTFON-UONOGXRCSA-N 404.438 4.512 5 20 HJBD CC(C)(C)OC(=O)c1ccc(Br)c(NC(=O)c2ccc([N+](=O)[O-])cc2)c1 544455659 VLVYKEVZPADCHX-UHFFFAOYSA-N 421.247 4.565 5 20 HJBD COc1cc(Cc2noc(/C=C\c3cnc(C(C)(C)C)s3)n2)ccc1[N+](=O)[O-] 545759529 RXARNSFAKKSVHK-VURMDHGXSA-N 400.460 4.502 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC)cc3)c(C)s2)c1 548960940 QAYOHLKDPNJILH-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)CSCC2 552975066 KZBUPNHGFIWXDN-UHFFFAOYSA-N 409.558 4.985 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)c1 555091518 FUWIMQCSRXPGJJ-JOCQHMNTSA-N 409.269 4.976 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC=C(c4ccncc4)C3)c([N+](=O)[O-])c2)cc1 557761620 IHJNDXFTXZIGEM-UHFFFAOYSA-N 414.465 4.844 5 20 HJBD Cc1cccc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1C 560955520 BBJPMOIWLOJORS-UHFFFAOYSA-N 406.432 4.849 5 20 HJBD CC(C)(C(=O)NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 564551108 UWJKOTBRLJDRSE-AWEZNQCLSA-N 412.383 4.549 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC(c2noc3cc(F)ccc23)CC1 575632594 GXNDLZCDWKGSHY-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD CCO[C@H](c1ccccc1)c1noc(-c2cc([N+](=O)[O-])cc(Br)c2C)n1 576504144 VKQGXNNLBLMEPF-MRXNPFEDSA-N 418.247 4.842 5 20 HJBD Cc1cc(C(=O)Nc2cc(C)nn2-c2ccccc2C(F)(F)F)cc([N+](=O)[O-])c1 604449758 SYTKCBFNTHJKSN-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604458602 LRBCEUXSIJABKV-AWEZNQCLSA-N 420.469 4.509 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H](c4ncc[nH]4)C3)cc2[N+](=O)[O-])cc1 609025080 GFKUBDXWXJITDR-QGZVFWFLSA-N 422.510 4.797 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 609065522 HNCSSDHMCINBFA-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1)Cc1cscn1 609860682 HSIVYEKCHACSSD-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1SCC(F)(F)F 610122659 SJDKJPRUOJMORZ-UHFFFAOYSA-N 420.796 4.920 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1c1ccccc1Br)c1cccc([N+](=O)[O-])c1 610665423 ZELNZIMRKVQWGY-UGSOOPFHSA-N 418.291 4.965 5 20 HJBD CC(C)N(Cc1ccccc1F)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 642061049 TYPQUHHMTWUASU-UHFFFAOYSA-N 402.469 4.731 5 20 HJBD COc1cc(C(=O)OCc2csc(Cc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 725946164 QGKDXJOSRVCZHY-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD O=C(Nc1nc2ccc(OC(F)(F)F)cc2s1)c1cc(F)c(F)cc1[N+](=O)[O-] 727708467 ZJWMCTALLKVCIG-UHFFFAOYSA-N 419.287 4.634 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c(C(F)(F)F)c1 727712848 NLTSRFKRNXPOED-UHFFFAOYSA-N 418.318 4.609 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 730165850 OMBAUNPACVLDAC-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)c(F)c1 735445781 ZBSKTCQHCCPXNA-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1Br 739497370 FFXFKOHDRQGDKM-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD O=[N+]([O-])c1cn(CN2Cc3ccccc3C[C@@H]2c2ccccc2)nc1-c1ccncc1 743377154 NGAKOHWRKCOPNP-JOCHJYFZSA-N 411.465 4.610 5 20 HJBD COc1ccc(CSCC(=O)Oc2ccc(OC)c3ccccc23)cc1[N+](=O)[O-] 744323071 UJEJDSOKBFKQTO-UHFFFAOYSA-N 413.451 4.604 5 20 HJBD C[C@H](OC(=O)CCOc1ccccc1[N+](=O)[O-])c1ncc(-c2ccc(Cl)cc2)o1 751685811 TVALLYTXAQSGPK-ZDUSSCGKSA-N 416.817 4.977 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc([N+](=O)[O-])cc1Cl 754479079 JDWXTOOFJHUENR-CYBMUJFWSA-N 401.633 4.809 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CNc2cc(C(F)(F)F)nc(-c3cccnc3)n2)c1 756973763 LAVWDKSDQAMNDO-UHFFFAOYSA-N 409.755 4.731 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2noc(-c3ccc([N+](=O)[O-])s3)n2)cc1 762287090 ONARWXIIHLPCNB-UHFFFAOYSA-N 402.432 4.644 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(-c3ccncc3)n2C2CCCCC2)nc2ccccn12 765570878 FWORQTZECRUNGC-UHFFFAOYSA-N 421.486 4.552 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3Cl)o2)c(C)c1 768352365 OUPUGGMLMDBZSA-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cnc(Cc2ccccc2)s1 770321049 YCXFQJWEIZJBHV-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD CN(C(=O)c1c2ccccc2cc2ccccc12)c1ccc(S(=O)(=O)N(C)C)cc1 785435394 CMNVFLGCRIBJFG-UHFFFAOYSA-N 418.518 4.520 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c1 788126828 JZKBEDIVCBZLRY-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cc(Cl)ccc2N2CCSCC2)c1F 790408923 GJDPIHJIQVHDNF-UHFFFAOYSA-N 409.870 4.501 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OCC 790467163 ZCXJJCDHTFYDGK-LBPRGKRZSA-N 424.856 4.605 5 20 HJBD O=C(NC[C@@H]1CCCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])C1)c1ccccc1 809951793 IWULGFKTLVTMTK-AWEZNQCLSA-N 422.312 4.544 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1OC 916026054 WAXXGLBFOKPMOP-CQSZACIVSA-N 403.504 4.830 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 916084661 SGXNLVMLTLPIAN-UVTDQMKNSA-N 401.422 4.807 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)ccn2)cc1 917782637 SGYIUEWQYYVDGI-XGICHPGQSA-N 419.437 4.510 5 20 HJBD CCOc1cc(-c2nnc(-c3cc(C)ccc3[N+](=O)[O-])o2)cc(OCC)c1OCC 1322166822 LMDUYKMWOGQVGU-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD Cn1cc(-c2nnc(C34CC5CC(CC(C5)C3)C4)o2)c(-c2ccc([N+](=O)[O-])cc2)n1 1322346409 SBUDAJPIKNTCSD-UHFFFAOYSA-N 405.458 4.513 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(-c3ccc(Cl)cc3Cl)o2)C1 1322538972 YDDLVBBNANLGQL-OAHLLOKOSA-N 405.197 4.817 5 20 HJBD COCCO[C@H](C)c1nnc(-c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)o1 1325791942 SEHXOWPEWSGGGC-CQSZACIVSA-N 415.471 4.828 5 20 HJBD COc1ccc2c(c1)c(-c1nnc(COc3ccccc3[N+](=O)[O-])o1)c(C)n2C1CC1 1345740562 QCZQOYSCMJFMOR-UHFFFAOYSA-N 420.425 4.830 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)NCCC1=CCCCC1 7169138 NDMUXZOLEXEVAR-MRXNPFEDSA-N 421.566 4.574 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])c1 15653831 ZHXZSAURLNFQNS-UHFFFAOYSA-N 416.739 4.696 5 20 HJBD COc1cc(C(=O)Nc2ccc(OC3CCCC3)cc2)c([N+](=O)[O-])cc1OC(F)F 31245737 QHRGVXCDAXAZHX-UHFFFAOYSA-N 422.384 4.779 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)cc(Cl)c2)cc1[N+](=O)[O-] 45713282 NHIUNJNGZDBBAL-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)c2ccccc2-c2ccccc2)cc([N+](=O)[O-])c1 64382076 HZDGYOKRYGNDHC-UHFFFAOYSA-N 404.422 4.715 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cccnc1SCc1ccc(F)cc1 71971462 OXLPIRKVAXIWPO-UHFFFAOYSA-N 401.394 4.813 5 20 HJBD COc1cc(CNCc2cc(F)ccc2F)c([N+](=O)[O-])cc1OCc1ccccc1 237299603 ULUAIBQQNSVCSO-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC([C@H](O)c3ccc(Cl)cc3)CC2)cc1OC(F)F 301392302 HYASUKAKCBIXML-GOSISDBHSA-N 412.820 4.800 5 20 HJBD Cc1cc(NC(=O)c2ccc(-c3cccs3)nc2C)n(-c2ccc([N+](=O)[O-])cc2)n1 302992494 WMLZTATXILLRNY-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc(OCCOc2c(C(C)=O)ccc(Cl)c2Cl)c([N+](=O)[O-])c1 303942335 TUSLPKHWBJBYDL-UHFFFAOYSA-N 400.214 4.571 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)[C@H](C)c1ccc(Br)cc1 427174159 HBGCVLNMWLSXOP-OLZOCXBDSA-N 412.305 4.903 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)[C@H](c1ccc(Cl)cc1)c1cnccn1 427434884 KCTUIHZPPNSCFO-HXUWFJFHSA-N 422.828 4.646 5 20 HJBD COc1ccc([C@H](C)NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1Br 429934941 YCRMCQOQOLIICJ-LBPRGKRZSA-N 421.291 4.521 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@H]3CCC(F)(F)C3)cc2[N+](=O)[O-])n1 431097112 ASYYBNIBUFMSBH-NSHDSACASA-N 413.471 4.676 5 20 HJBD Cc1cccc(-c2cnc([C@@H]3CCCN3c3nc(-c4ccc([N+](=O)[O-])cc4)no3)[nH]2)c1 433438512 AOURQNHRCUNFRN-IBGZPJMESA-N 416.441 4.685 5 20 HJBD Cc1onc(-c2ccc(Cl)cc2Cl)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 436133917 TZHRKVZUKXWRGP-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1 436308885 QRNRNCXIQZWUNJ-LBPRGKRZSA-N 410.395 4.632 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CC(F)(F)C1 440544485 AOYQCAVKQJWOFI-UHFFFAOYSA-N 414.767 4.841 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](Cc2ccc(F)cc2)C1 444096897 RMJHEASZVYMPLY-KRWDZBQOSA-N 400.453 4.525 5 20 HJBD CCC(CC)[C@@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCO1 444293239 UILRNJOMIWXAQZ-YWZLYKJASA-N 410.489 4.534 5 20 HJBD O=C(Nc1ccc(-c2ncco2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444299458 VISPIVCKLDBTND-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)Nc1cccc(COC2CCCCC2)c1 445236710 FVMNTFALBXEFPW-UHFFFAOYSA-N 423.473 4.642 5 20 HJBD O=C(Cc1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1)Nc1cccc(Cl)c1Cl 445363652 FTCZJKCCINKSTF-BQYQJAHWSA-N 419.224 4.636 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccccc1C(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460349671 OLQKYMJNXQIDND-FRQCXROJSA-N 422.428 4.991 5 20 HJBD CC(=O)Nc1ccc(Br)cc1NCc1cc([N+](=O)[O-])ccc1OC(C)C 462242128 UCLIGMIZDINKFJ-UHFFFAOYSA-N 422.279 4.715 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1ccc([N+](=O)[O-])cc1Cl 462639058 IYNQSXBWMBTSEA-AULYBMBSSA-N 409.667 4.687 5 20 HJBD COc1cc(CSc2nncn2-c2ccc(C)c(Cl)c2)c([N+](=O)[O-])cc1F 463395418 KLOZVVYJZSDJQE-UHFFFAOYSA-N 408.842 4.577 5 20 HJBD Cc1ccc(Cc2nnc(NC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])s2)cc1 463764042 FFDGMYHWPQTHCT-UHFFFAOYSA-N 422.388 4.617 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(Br)ccc1Cl 466271502 KOBGLETVIRESHZ-UHFFFAOYSA-N 404.047 4.594 5 20 HJBD O=C(NC[C@H]1CC2c3ccccc3C1c1ccccc12)c1ccc(F)cc1[N+](=O)[O-] 466315372 ZAHJLNUBKPDAPQ-SQTJOVDMSA-N 402.425 4.761 5 20 HJBD CN(C[C@H]1CCOC1)C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466540293 KELAMMZIZZCMPL-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCCc1nc(-c2ccncc2)cs1 469874978 YNNPTGCWSDZOGG-UHFFFAOYSA-N 405.277 4.530 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3cc(F)ccc3C)s2)c1[N+](=O)[O-] 469878928 HOSQWLQZELAAAY-UHFFFAOYSA-N 404.448 4.535 5 20 HJBD O=C(Nc1ccn(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 477055340 AAPCGPPWXFGKFH-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD C[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C(F)(F)F 479600807 FWKWTCVOJJNXFG-VIFPVBQESA-N 407.186 4.905 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2cc(Cl)cc(Cl)c2)cc1SC 482819487 RFANASFOCNBTJH-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1nc2ccccc2[nH]1 487840929 LNRJWWZUWPRDSL-FQEVSTJZSA-N 407.474 4.542 5 20 HJBD Cc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1NC(=O)c1ccco1 493046479 DNPFPWSYJVAVHK-UHFFFAOYSA-N 419.437 4.553 5 20 HJBD C[C@@H](NCc1c(Cl)cccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccncc2)cc1 494788779 VAZIUZQKXMEHQZ-CQSZACIVSA-N 410.861 4.746 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)c1cc([N+](=O)[O-])ccc1OC(F)F 497799618 GTMCOOCEYOJZCG-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CCOC(=O)CC1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)CC1 497893592 ZQHOJGPABKKFAM-UHFFFAOYSA-N 422.547 4.538 5 20 HJBD COc1cc(C(=O)NCC(C)(C)C2CCCCC2)c([N+](=O)[O-])cc1OC(F)F 498313783 LXYFDHRRHQGWTF-UHFFFAOYSA-N 400.422 4.541 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)Cc3ccccc3[N+](=O)[O-])c2)cc1 502424343 XFAYHIJJXRZOOW-UHFFFAOYSA-N 406.438 4.501 5 20 HJBD CC(C)c1cccnc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 504851842 KQZBDRBMNQIHCV-OAHLLOKOSA-N 405.458 4.934 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)N(C)C(=O)c3cc(O)cc([N+](=O)[O-])c3)s2)cc1 506503395 RGNBMINVTXQSSZ-CQSZACIVSA-N 411.483 4.874 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 509449365 MRDTVPKLAYZGNB-UHFFFAOYSA-N 408.336 4.903 5 20 HJBD C[C@H](c1ccc(Cl)cc1)N(C1CC1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 511910182 HEFPUABJJMESFP-LLVKDONJSA-N 415.298 4.816 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@@H](COc3ccccc3Cl)C2)cc1[N+](=O)[O-] 513897327 OSBSAHPHWBNBJR-CQSZACIVSA-N 420.918 4.901 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 517667027 LYRGLCUKMOIAKH-UHFFFAOYSA-N 407.357 4.560 5 20 HJBD CC(C)Oc1ccc([C@@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520995401 KVUQUOIGGNABKD-KGLIPLIRSA-N 406.866 4.680 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2cccc(F)c2)cs1 521272496 HYPKDUWFHQYSMD-SNVBAGLBSA-N 421.837 4.917 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 523083944 AYLDHGUEANIGHJ-GFCCVEGCSA-N 424.375 4.592 5 20 HJBD Cc1csc(-c2cccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)n1 523262102 BWVCKFGFWASYAG-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nncn3-c3cccc(Cl)c3)co2)cc1 534061408 DJQQOCVLLWCPFX-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD Cc1ccc([C@@H](C)NCc2nnc(-c3c(-c4ccccc4)noc3C)o2)cc1[N+](=O)[O-] 537178096 NCMMHODEWXDLNO-CQSZACIVSA-N 419.441 4.767 5 20 HJBD Cc1cc(NC(=O)C2CCN(C(=O)c3cccc4ccccc34)CC2)ccc1[N+](=O)[O-] 537448037 CDDRIUYXAVOPKR-UHFFFAOYSA-N 417.465 4.547 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2ccc(OC)c([N+](=O)[O-])c2)ccc1OCC(C)C 541101923 STFSYPGBQIYYCX-CQSZACIVSA-N 417.462 4.530 5 20 HJBD C[C@H](C(=O)Nc1ccc2nc(-c3ccccn3)oc2c1)c1ccc([N+](=O)[O-])cc1F 541598235 FGZHLTLJHMLBBU-LBPRGKRZSA-N 406.373 4.679 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)cs1 543519950 YHWSALQORUDRRA-LBPRGKRZSA-N 416.890 4.661 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1OC(F)F 544043992 BGJOSFCZMLCPFK-UHFFFAOYSA-N 406.769 4.720 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2(Cc3ccccc3)CCCC2)cc1SC 544458777 VFCZESHSXJKHSO-UHFFFAOYSA-N 400.500 4.611 5 20 HJBD CSc1ccc(O[C@@H](C)c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 545784572 ALXQGYHYNSSHID-LBPRGKRZSA-N 410.455 4.912 5 20 HJBD COc1cc(OCc2nc(-c3ccc(SC)cc3Cl)no2)ccc1[N+](=O)[O-] 545800328 TWFUDNCSEKRLDG-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD Cn1ccnc1[C@@H](NCCCCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])cc1 551585513 ZJKRIYDOTGLJNW-SFHVURJKSA-N 404.923 4.745 5 20 HJBD CC(C)(CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cc(F)cc(F)c1 557366183 VHEBUNPMYNFOPT-UHFFFAOYSA-N 422.431 4.547 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC(CC(F)(F)F)CC1 560158219 PMPCHCKLNOFMNR-UHFFFAOYSA-N 422.403 4.978 5 20 HJBD COc1ccc(C(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 560725936 ODTRBCOEXSBDFM-OAHLLOKOSA-N 408.376 4.642 5 20 HJBD CN(C(=O)COc1ccc(Cl)cc1C1CCCCC1)c1ccc([N+](=O)[O-])nc1 573836075 AOFBZOQPVBKDIA-UHFFFAOYSA-N 403.866 4.733 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 582718189 CORCNGDGUIORNO-UHFFFAOYSA-N 409.364 4.516 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2cnc3ccsc3c2)no1 584436140 RPVRAOSLHVWJKG-UHFFFAOYSA-N 417.244 4.992 5 20 HJBD COc1ccc(C(NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccc(OC)cc2)cc1 586324317 BAVAXICXWPASMO-UHFFFAOYSA-N 414.408 4.769 5 20 HJBD CCOC(=O)c1sc(N(C(=O)c2ccccc2[N+](=O)[O-])c2ccccc2)nc1C 603600968 SHIYFRVHHGEXJW-UHFFFAOYSA-N 411.439 4.515 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H]3CCCN(Cc4ccccc4)C3)o2)c1 608837059 NCMRDVMRPWHGQO-HXUWFJFHSA-N 405.498 4.857 5 20 HJBD Cc1ccc(C[C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 609787615 MQLVXVFNOPPVKD-NRFANRHFSA-N 409.873 4.594 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)s1 609857925 RZCSNOPHBXYBIU-OAHLLOKOSA-N 402.863 4.894 5 20 HJBD Cc1nc([C@H]2CCCCN2C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)no1 609975770 SZDUGOADKCRUPX-MRXNPFEDSA-N 418.519 4.792 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 611206468 ZBFRCTSUKVQMHV-PHIMTYICSA-N 416.343 4.520 5 20 HJBD COc1cccc([C@@H](C)c2noc(CSCc3ccc(OC)c([N+](=O)[O-])c3)n2)c1 612918193 WFUCEEDZRLEDNS-CYBMUJFWSA-N 415.471 4.580 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 729064811 LGUJNEGZYGDQAA-GFCCVEGCSA-N 421.906 4.565 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1Cl 730643014 KRWMPYRSVXXOLB-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1F 730643376 PMRBOJFOCJIQRN-JTQLQIEISA-N 405.769 4.664 5 20 HJBD C[C@@H](OC(=O)CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 731103682 ZIZYJAAHXSDLSB-CYBMUJFWSA-N 418.877 4.580 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@H](C)CN(C)C(=O)OC(C)(C)C)no1 733745663 WANAWBWHBQPSFN-OLZOCXBDSA-N 422.507 4.802 5 20 HJBD O=C(Cc1coc2cc3c(cc12)CCC3)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444990 CNTIUHBNKGPUNA-UHFFFAOYSA-N 418.405 4.771 5 20 HJBD CC(C)(C)c1csc(-c2cccc(OC(=O)C(C)(C)n3cc([N+](=O)[O-])cn3)c2)n1 740793908 PTBVIJULDJLQFO-UHFFFAOYSA-N 414.487 4.553 5 20 HJBD CCN(c1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1)C(C)C 746618689 KAYHTSJZYJEZKO-OAHLLOKOSA-N 424.457 4.798 5 20 HJBD COc1cc(CNC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])ccc1OCc1ccccc1 753091462 QNYDFFHVQWAGJC-UHFFFAOYSA-N 424.428 4.560 5 20 HJBD Cc1ccc(CN(CCC(=O)Nc2cc([N+](=O)[O-])ccc2F)Cc2ccco2)s1 753922238 YBMVODGQGDBNSK-UHFFFAOYSA-N 417.462 4.728 5 20 HJBD CC(C)[C@@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(F)cc1 754976780 LNHNNKRGTWNCAH-MRXNPFEDSA-N 413.218 4.763 5 20 HJBD CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)c2c3ccccc3cc3ccccc23)o1 760088522 JEHVQOXVKHEDCV-OAHLLOKOSA-N 415.445 4.650 5 20 HJBD Cc1sc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)nc1-c1cccc([N+](=O)[O-])c1 763009395 XSEYCTVJDMFICJ-NSHDSACASA-N 412.427 4.677 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)OC(C)(C)C)CCC2)no1 764908593 UUZCIGCCLFVEDO-OAHLLOKOSA-N 416.478 4.618 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(SC(=O)N(C)C)c1 765034561 GXTPFVUYLBUUGZ-HNNXBMFYSA-N 401.488 4.576 5 20 HJBD CCCCCCc1ccc(-c2csc(NC(=O)c3c([N+](=O)[O-])cnn3C)n2)cc1 766876056 VJFDVACCLNYMEA-UHFFFAOYSA-N 413.503 4.827 5 20 HJBD Cc1ccc(-c2nc(CCNC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)co2)cc1 769997762 LQVGVYBDIHOFIN-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1SCCc2ccccc21 776220276 KAMFKFKAHBMPED-SFTDATJTSA-N 406.463 4.653 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 777954286 JHEKIHWVMRTKKA-NFBKMPQASA-N 406.486 4.516 5 20 HJBD CCn1c(S[C@H](C)c2cc([N+](=O)[O-])ccc2C)nnc1-c1ccc([N+](=O)[O-])cc1 778557393 PJECYUGVQIWYAM-CYBMUJFWSA-N 413.459 4.943 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H](c2ccc(F)cc2)c2ccccc2Cl)cc1 779060107 CRIWUXHEJQSFCU-NHCUHLMSSA-N 400.837 4.800 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(CC)C[C@@H](O)c1ccc(C)cc1 780959806 DZCLNVPQNMHCPO-LJQANCHMSA-N 402.516 4.601 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C)c3F)cc2s1 790279469 QARNPPPNBMINEU-UHFFFAOYSA-N 416.434 4.643 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 792179338 HBCJZFYAQUJPJH-ZIAGYGMSSA-N 400.866 4.970 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](C)c1nccn1-c1ccccc1 803068348 GEUAAFOJTGVCQX-KBPBESRZSA-N 415.833 4.506 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1cccc([N+](=O)[O-])c1 806454779 NUKJSNCIQNCQBM-MBSDFSHPSA-N 419.481 4.601 5 20 HJBD COc1ccc(-c2noc(-c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)n2)cc1OC 904284926 WGAKQOPNBREKCP-UHFFFAOYSA-N 424.457 4.565 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C 921281465 SEOONMCAPLDWOI-GASCZTMLSA-N 409.486 4.582 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)c1[N+](=O)[O-] 1117216691 KGUQLEWCKDKMEN-NSHDSACASA-N 404.220 4.856 5 20 HJBD CC(C)[C@@H]1COC(c2cccc3cc4cccc(C5=N[C@H](C(C)C)CO5)c4nc23)=N1 1988188058 PCBWRENPCKEJJP-SFTDATJTSA-N 401.510 4.991 5 20 HJBD Cc1ccc2nc(COc3ccc(C(=O)Nc4cc([N+](=O)[O-])ccc4C)cc3)cn2c1 10366370 IMFFTEPURRZGLT-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(Nc1ncc(Cc2cccc(F)c2)s1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 29972479 XWPZEMCCNDEGPR-UHFFFAOYSA-N 412.446 4.608 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccccc1C(F)(F)F 43644043 FWRKXNOTQIEPSI-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Br)cc1)C1CCC1 59810229 MSYAVPSLQCQVRW-IBGZPJMESA-N 403.276 4.557 5 20 HJBD COc1ccc(-c2nnc(SCc3cccc([N+](=O)[O-])c3)n2Cc2ccco2)cc1 97569111 OQLPLOBKMDDQQX-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD CCc1nnc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)o1 115391160 AINIANVHFCXXOM-UHFFFAOYSA-N 419.846 4.702 5 20 HJBD Cn1c(S/C=C\c2ccc([N+](=O)[O-])o2)nc2scc(-c3ccccc3)c2c1=O 205677638 YFBPEHJJNDOYAA-KTKRTIGZSA-N 411.464 4.926 5 20 HJBD COc1ccc(CNC(C)(C)c2nccs2)cc1OCc1ccc([N+](=O)[O-])cc1 237317469 VBTTWGCXTSIZBE-UHFFFAOYSA-N 413.499 4.664 5 20 HJBD COc1ccccc1Oc1ccc(N[C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])cc1 302908428 GYIXFERRFBCRCT-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cc1[N+](=O)[O-] 303633164 GDLQJJIPLUJPOG-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)c(Cl)c1 410414149 OCTJROVIFPSZQG-UHFFFAOYSA-N 418.755 4.597 5 20 HJBD O=C(N[C@@H](CC1CC1)c1cc(F)cc(Br)c1)c1ccccc1[N+](=O)[O-] 426405386 LZONYHDRZATHIA-INIZCTEOSA-N 407.239 4.768 5 20 HJBD CSc1ccc(-c2csc(NC(=O)c3n[nH]c(C(C)C)c3[N+](=O)[O-])n2)cc1 433772162 BTQCUMPDUJXNRK-UHFFFAOYSA-N 403.489 4.539 5 20 HJBD CC1(C)CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C[C@H]1c1ccccc1 434088728 HUOCTQHJJYCGLO-SFHVURJKSA-N 407.495 4.984 5 20 HJBD COc1ccc(NC(=O)c2ccc(-n3ncc4ccccc43)cc2Cl)c([N+](=O)[O-])c1 435670397 SZXIGQKUEBLNJQ-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD COc1ccccc1[C@H](Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-])c1ccccn1 436397742 QCTHMDVIDAWUCA-SFHVURJKSA-N 404.348 4.614 5 20 HJBD CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 443867208 IMCHGZMYIYVSKZ-ZVZYQTTQSA-N 416.440 4.860 5 20 HJBD Cc1ccc(Cl)cc1N1CCN(C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)CC1 443952107 YIZZZYRELMGXNW-UHFFFAOYSA-N 402.882 4.528 5 20 HJBD O=C(NCC1(Cc2ccccc2)CCC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444227190 OVXBOEPUTYTCHB-UHFFFAOYSA-N 414.480 4.963 5 20 HJBD O=C(NCC1(c2ccccc2)CCCC1)c1sc(Br)cc1[N+](=O)[O-] 444829820 SAFUHKRUGAWDPT-UHFFFAOYSA-N 409.305 4.661 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CCCc3nc(-c4ccc(Cl)cc4)no3)n2)s1 445475242 YFILURSFGUQDNE-UHFFFAOYSA-N 417.834 4.585 5 20 HJBD COc1ccc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2C)c2ccccc2OC)cc1 446490693 PBGNGIRBPFIORD-QFIPXVFZSA-N 421.453 4.832 5 20 HJBD COc1cc(CSc2nnc(COc3cc(C)ccc3C)o2)c([N+](=O)[O-])cc1F 463418017 PROWHMPNSRZWCJ-UHFFFAOYSA-N 419.434 4.614 5 20 HJBD CCOc1cc(C(=O)Nc2cc(C(C)C)nn2-c2ccccc2)c([N+](=O)[O-])cc1OC 464659090 JRMITQUCKJWFFL-UHFFFAOYSA-N 424.457 4.564 5 20 HJBD O=C1NCCN1c1cc(N[C@@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])ccc1Cl 467405487 GLXQCHBJOACOGY-KBXCAEBGSA-N 414.893 4.601 5 20 HJBD COc1cc(OC)c2cc(CCCNC/C(C)=C/c3cccc([N+](=O)[O-])c3)[nH]c2c1 468745573 BPUWYLLACMPQTM-MHWRWJLKSA-N 409.486 4.719 5 20 HJBD CCC[C@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469249685 WWRJSLOWAIDINQ-HNNXBMFYSA-N 410.442 4.875 5 20 HJBD Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1ccc([N+](=O)[O-])c2ncccc12 474779267 GUDPRSBBKGDKFW-UHFFFAOYSA-N 422.422 4.611 5 20 HJBD Cc1nc(NC(=O)c2c(-c3c(Cl)cccc3Cl)noc2C)ccc1[N+](=O)[O-] 475323754 ZZYUNWOYIMXEMO-UHFFFAOYSA-N 407.213 4.821 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)n2)cc1 475558604 GXWJYZFKCNEDHC-CQSZACIVSA-N 409.446 4.707 5 20 HJBD COc1c(C(=O)Nc2ccc3nc(-c4ccc(F)cc4)oc3c2)cccc1[N+](=O)[O-] 475906218 BYHLYKVCRLGVPK-UHFFFAOYSA-N 407.357 4.803 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1C1CC1 477536734 MAITZWBHZBKQDM-SNVBAGLBSA-N 407.268 4.655 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@H](NC(=O)c1ccccc1)c1ccccc1 481294687 KUAMVXHDEKSOOI-NRFANRHFSA-N 417.465 4.712 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(OCc3ccccc3C)c2)ccc1[N+](=O)[O-] 482363360 BOPXLSFJGDNDMD-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CCc1c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1C 482701175 UYPUHUBXKBJCOO-HNNXBMFYSA-N 410.499 4.771 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC(C)(C)Cc3oc(C)cc32)cc1SC 482987026 NRGKHEAJHNTJFL-AWEZNQCLSA-N 404.488 4.670 5 20 HJBD O=C(c1cccnc1OCc1ccccc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 485639617 BOEVHSAMVBCSEL-UHFFFAOYSA-N 421.428 4.513 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@H](C)Cc1ccsc1 485713648 QFLIMBURCMPKIQ-SECBINFHSA-N 403.323 4.574 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2o1 486375197 PBLKMVDKUUIIOE-UHFFFAOYSA-N 403.394 4.876 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl 488205470 TVZVTFFSCROSGB-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)c(OC(F)F)cc1F 490278276 XPPNCYVLJVLRFV-ZETCQYMHSA-N 422.718 4.534 5 20 HJBD Cc1nc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 497734481 QVDANQBXPPBPJM-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD C[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccnc(O)c1 502227184 ARPGIUHXPMLQLI-GFCCVEGCSA-N 413.817 4.632 5 20 HJBD C[C@@H](O)C[C@H]1CCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 504889714 QZVQRJITMGYASG-UKRRQHHQSA-N 420.918 4.775 5 20 HJBD O=C(c1cc(N[C@@H]2C[C@H]2c2ccccc2C(F)(F)F)ccc1[N+](=O)[O-])N1CCCC1 507030484 VDJPQWXGYKPTHY-MAUKXSAKSA-N 419.403 4.818 5 20 HJBD Cc1ccc(-c2[nH]nc(NC(=O)c3cc(Br)c(C)c([N+](=O)[O-])c3)c2C)o1 511611864 SHCOUBODUUZJMR-UHFFFAOYSA-N 419.235 4.518 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@](F)(c3cccc(Cl)c3)C2)cc1SC 512376315 GTBPAPQIMLBBDW-LJQANCHMSA-N 424.881 4.690 5 20 HJBD CCN(Cc1ccc(C(=O)N(C)C)cc1)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 513726336 ZAPRHZOPZSQZML-UHFFFAOYSA-N 407.470 4.579 5 20 HJBD COc1cc(Cl)c(/C=C2\CCn3c2nc2ccc([N+](=O)[O-])cc2c3=O)cc1Cl 513785128 GOCYUIKVVNGKQO-UXBLZVDNSA-N 418.236 4.564 5 20 HJBD CN(C)CCOc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1Cl 522645865 OWFIVYVOJNTZCD-UHFFFAOYSA-N 419.890 4.656 5 20 HJBD CCCCOc1ccc(CNc2cc3ncnc(O)c3cc2[N+](=O)[O-])cc1Cl 527213325 ZZXMWKKFZIAXMJ-UHFFFAOYSA-N 402.838 4.688 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)CCc2cccc([N+](=O)[O-])c2)C3)cs1 536804505 BATOOYDQATXALW-UHFFFAOYSA-N 413.524 4.606 5 20 HJBD C[C@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cnn(C)c1 537968651 PZTSIIXZZOXWQI-LBPRGKRZSA-N 404.829 4.764 5 20 HJBD CCc1nnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)c2)o1 538256723 DVURLPPYRDAJEG-UHFFFAOYSA-N 412.471 4.960 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OC)ccc1F 540698750 ZWVUBZPVUULNQZ-UHFFFAOYSA-N 406.435 4.665 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NC[C@H]2CCCO[C@@H]2c2ccccc2)c1Br 543450018 XSUQMQPTZOFCOT-RHSMWYFYSA-N 406.280 4.641 5 20 HJBD C[C@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544468034 GIGLDIDPHDBFIQ-QWHCGFSZSA-N 414.849 4.581 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(Cc3c[nH]c4ccc(F)cc34)no2)c1 545033176 IOXLHNVUCIGWST-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cc1oc(-c2cccs2)nc1Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 545780469 JMKZDVRNMDJDJC-UHFFFAOYSA-N 421.438 4.666 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c1 547241884 ASOIDRWSMIDPLM-UHFFFAOYSA-N 407.829 4.652 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1Cl 550660373 NWOTUNPKYHSVOC-UHFFFAOYSA-N 413.655 4.604 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1c[nH]c2cc([N+](=O)[O-])ccc12 553150811 GXACKQWCVAIZCR-KRWDZBQOSA-N 402.332 4.591 5 20 HJBD CC(=O)c1cc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)ccc1[N+](=O)[O-] 553557237 XXEBCPCAXYMVHZ-UHFFFAOYSA-N 420.469 4.529 5 20 HJBD CC(C)CN(C(=O)[C@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 553634597 AHVBKXDKMMQSKM-CABCVRRESA-N 403.504 4.522 5 20 HJBD CN(C(=O)c1ccc(Oc2ccc(C(C)(C)C)cc2)nc1)c1ccc([N+](=O)[O-])nc1 558183144 MXIJZYHGZBYKCK-UHFFFAOYSA-N 406.442 4.751 5 20 HJBD COc1cc(C(=O)N[C@@H]2CSC[C@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 560761040 VJFRGEZHIHMWHN-BXUZGUMPSA-N 422.890 4.530 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1ccccc1[N+](=O)[O-] 571182402 CTZDQKFSVMCZPA-UHFFFAOYSA-N 420.347 4.580 5 20 HJBD Cc1ccc(C[C@@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 584798666 VSHJBBIDLJSIAQ-MJGOQNOKSA-N 406.486 4.969 5 20 HJBD O=[N+]([O-])c1cc2c(NC3C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C3)ncnc2s1 587165125 OYIRULPPIXGCDL-BQBZGAKWSA-N 414.331 4.921 5 20 HJBD CCOc1ccc(C(=O)Nc2ccccc2SCC(F)(F)F)cc1[N+](=O)[O-] 591760141 PMJVVNAFZITJCB-UHFFFAOYSA-N 400.378 4.900 5 20 HJBD CCCOc1cc(NC(=O)N2CCc3ccccc3C2)c([N+](=O)[O-])cc1OCCC 603652595 ISKOONFVXYYABI-UHFFFAOYSA-N 413.474 4.763 5 20 HJBD COc1cc(CNC[C@@H]2Cc3ccccc32)ccc1OCc1ccc([N+](=O)[O-])cc1 609222588 SILJEZSPOVSODT-FQEVSTJZSA-N 404.466 4.612 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCCO[C@H](c2ccccc2)C1 609231138 WFBHDEGTAZEPRB-QHCPKHFHSA-N 418.449 4.991 5 20 HJBD Cn1nc(C(F)(F)F)c(-c2ccc(Cl)cc2)c1NC(=O)c1ccc([N+](=O)[O-])o1 609453027 HCYVMSQKWYZFNN-UHFFFAOYSA-N 414.727 4.513 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(Oc3cccc(C)c3C)nc2)cc1[N+](=O)[O-] 609652356 QXXQHTXVCSIBMS-UHFFFAOYSA-N 407.426 4.589 5 20 HJBD Cc1cccc(-n2ncc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)c2C)c1 609859812 FVBBWZUQKAJLAD-UHFFFAOYSA-N 404.470 4.987 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)C2CCCCC2)c1 610047196 PGSIEODMQLTWAF-UHFFFAOYSA-N 423.513 4.866 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1F 610051724 DDOVAAZFIRKFPH-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610730489 GOIZRCNAODAMCC-NRFANRHFSA-N 421.457 4.798 5 20 HJBD CN(C(=O)c1cc(-c2cccs2)n(-c2ccccc2)n1)c1cccc([N+](=O)[O-])c1 611091560 PPZDPLRCDWTTIP-UHFFFAOYSA-N 404.451 4.786 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2cccs2)CC1 611400335 JBFNPIXYFVWKGR-UHFFFAOYSA-N 405.545 4.556 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(OCc2ccccc2F)CC1 613189574 AMQXKDRCCVYKKF-GOSISDBHSA-N 414.477 4.510 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cccc(OCc2ccccn2)c1 616121548 GVXHICXCOCQDNJ-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD CCOc1cc(NC(=O)c2cc3ccc(F)cc3s2)c([N+](=O)[O-])cc1OCC 619213119 QWNBMZANTAGDTL-UHFFFAOYSA-N 404.419 4.998 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC([C@@H]2CCOC2)CC1 619672949 MBAKSHRBMAOJSN-QGZVFWFLSA-N 412.511 4.635 5 20 HJBD O=C(CCc1nnc(-c2cc3ccccc3o2)o1)Nc1ccc(Cl)c([N+](=O)[O-])c1 726137038 XNLJLKLXIXFQQG-UHFFFAOYSA-N 412.789 4.616 5 20 HJBD C[C@H](OC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 731268620 NWFLJJDCZHJALP-FASAQXTFSA-N 422.412 4.561 5 20 HJBD CCOc1cc(CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])ccc1OC 733994892 UQXQVMQZSRNYSK-UHFFFAOYSA-N 415.324 4.541 5 20 HJBD Cc1ncc2c(n1)CCC[C@@H]2NC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 734801411 NKXMSOKLWZJABT-SFHVURJKSA-N 414.531 4.639 5 20 HJBD CC(C)(C)n1cc(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)c(-c2ccc(F)cc2F)n1 741889012 OZZSJBZONSDSJF-UHFFFAOYSA-N 418.375 4.883 5 20 HJBD COc1cccc(C2CCN(C(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)CC2)c1 748190070 SMSUPXHUZFOFCG-UHFFFAOYSA-N 423.513 4.614 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1Br 751121424 JSKCYBYNMURLOT-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(C(=O)OCc2c(F)cccc2[N+](=O)[O-])nc(-c2ccc(Cl)cc2)n1 751856450 QDULEAFSMUMDHQ-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD Cc1c(C(=O)Nc2ccccc2CCC(F)(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 757440576 VXPNHXHWIAUHOM-UHFFFAOYSA-N 411.336 4.867 5 20 HJBD C[C@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)cc2Cl)o1 757960236 BQNIBYXLPZXMHK-JTQLQIEISA-N 422.224 4.799 5 20 HJBD C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 759412513 KAXCUFYFTDTTJT-LBPRGKRZSA-N 409.269 4.769 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 763008997 KDZZDWJVAZCJBF-HXUWFJFHSA-N 421.478 4.514 5 20 HJBD C[C@H](C(=O)OCc1cccc(C(=O)Nc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 763407986 ZDYSZAMKOKWCNE-INIZCTEOSA-N 404.422 4.694 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(OCc2cccnc2)cc1 764191380 HFMFDSDACOEGAU-CQSZACIVSA-N 411.845 4.713 5 20 HJBD CC(C)([C@H](OC(=O)[C@@H](F)c1ccccc1)c1ccc(Br)cc1)[N+](=O)[O-] 774366684 LHQQHSGRSRCYEL-JKSUJKDBSA-N 410.239 4.800 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)CCCc1ccc2ccccc2c1 775917731 HFTQQTRFJMLTSM-UHFFFAOYSA-N 406.438 4.561 5 20 HJBD CC(C)Oc1ccccc1CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132702 JIQDNEWZGCJANP-HSZRJFAPSA-N 406.438 4.652 5 20 HJBD CSc1cccc(-c2nc(C3(NC(=O)OC(C)(C)C)CCCC3)no2)c1[N+](=O)[O-] 776771846 IKGQPMRBLVEGLV-UHFFFAOYSA-N 420.491 4.661 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)COc2cc(F)c([N+](=O)[O-])cc2Cl)cc1 795247837 NZDDSCZBFZJMIH-KRWDZBQOSA-N 422.840 4.529 5 20 HJBD O=[N+]([O-])c1cc(F)c(CN(Cc2ccccc2)C[C@H](O)c2cccs2)c(F)c1 795929754 FAOOBAVFUBTXFX-IBGZPJMESA-N 404.438 4.670 5 20 HJBD C[C@H](C[C@@H](O)c1ccccc1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799686651 WWVQQAKEOQTKMQ-OXQOHEQNSA-N 422.506 4.988 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1F 803462577 NWIITMCJYXJZLQ-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 916419300 VMJLXZOWENKHRS-GOSISDBHSA-N 414.820 4.937 5 20 HJBD O=C(OC[C@H]1CCOC1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 918906735 FXCAGJBNVLGYMP-LBPRGKRZSA-N 416.480 4.549 5 20 HJBD CCOc1ccc2c(c1)CN(c1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])CC2 1116824141 OYYNPUDJAHRUML-UHFFFAOYSA-N 417.334 4.605 5 20 HJBD CCc1ccc(-c2n[nH]c(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1 1335973182 SPVIOSFQYKWJNT-UHFFFAOYSA-N 407.455 4.890 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(O)c2ccccc12 9855941 DMZOLTIZAWGMJS-UHFFFAOYSA-N 419.359 4.913 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10729681 BWOQEIAMAUPVBA-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccccc1N1CCCCC1 14729657 LAJQMIDSAJCDRO-UHFFFAOYSA-N 411.505 4.784 5 20 HJBD C[C@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 55941989 DNODAUNVJCDVIL-LBPRGKRZSA-N 413.430 4.539 5 20 HJBD Cc1cc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)ccc1Oc1cccnc1 60033009 YLUMJSCECAUBEQ-UHFFFAOYSA-N 406.442 4.921 5 20 HJBD COc1cc(OC)c(OC)cc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 237316972 PSFFVBJDFMUWJN-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2ccc(CCO)cc2)cc1 238198175 XNRJLZZRXNXESP-UHFFFAOYSA-N 414.465 4.594 5 20 HJBD COc1ccc(-c2nnc(Sc3ncccc3[N+](=O)[O-])n2C2CCCCC2)cc1 301076869 HLXQFLPGRKBYRS-UHFFFAOYSA-N 411.487 4.913 5 20 HJBD Cc1ccc(NC(=O)[C@H]2CCc3ccccc3N2C(=O)c2ccccc2[N+](=O)[O-])cc1 303184776 ZAJJRWGUSCJSBY-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD COc1ccc(Br)c(CN(C)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c1 429750281 NBEBWRJLAPENPB-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD CCOc1cccc([C@@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 436239649 MODZJMYRPJXLFB-CQSZACIVSA-N 404.441 4.710 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 438565782 FYKRIAFGBAKMDQ-UHFFFAOYSA-N 404.470 4.695 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 439656928 WZOSBXRNWFYOBB-LBPRGKRZSA-N 401.271 4.647 5 20 HJBD CCn1c([C@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 444009079 KPIQKVLCPRXIJZ-HNNXBMFYSA-N 410.477 4.542 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])[C@@H](C)c1cccc(OC)c1 445264708 TZFYKBSEQNOAPA-JTQLQIEISA-N 413.293 4.651 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 448455492 DFKGDBADSZASQU-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD C[C@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)CC[C@@H]1c1ccccc1 460644101 VQTLZZLOXANQLT-KXBFYZLASA-N 407.495 4.984 5 20 HJBD Cn1cccc1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466431537 PLAFILCBWWPFLS-UHFFFAOYSA-N 401.875 4.668 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 468164061 JLPKAVOSUWPTAE-QGZVFWFLSA-N 419.485 4.720 5 20 HJBD C[C@@H](CCc1ccccc1[N+](=O)[O-])N[C@@H](C)c1ccc(NC(=O)c2ccncc2)cc1 468554004 MOGYXJCVKRLEPT-ROUUACIJSA-N 418.497 4.914 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)Nc4cc(F)cc([N+](=O)[O-])c4)CC3)c2c1 470141064 AVTLMIFSQPNFBA-UHFFFAOYSA-N 410.405 4.545 5 20 HJBD COCCOC1CCC(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 476042625 KTCJQHUUDVNFAL-UHFFFAOYSA-N 414.458 4.547 5 20 HJBD COc1cc(C)c(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 478047972 UCFFFNHPSIXRHT-UHFFFAOYSA-N 415.343 4.510 5 20 HJBD O=[N+]([O-])c1ccc(CNCC2(c3cccc(C(F)(F)F)c3)CCOCC2)c(F)c1 480024579 KKSBMIFINMRLRQ-UHFFFAOYSA-N 412.383 4.591 5 20 HJBD Cc1cc(N2CCC(n3c(C)nc4ccccc43)CC2)c2cc([N+](=O)[O-])ccc2n1 480861203 DCQZCOKQJQKXTP-UHFFFAOYSA-N 401.470 4.951 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 483377817 QYUFAFRAUKKDOU-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CSc1nnc(-c2ccccc2)n1Cc1ccco1 485366709 OGFQUMNYKNSOBA-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486110064 ZIXNSVOPDWZZGY-RTBURBONSA-N 404.388 4.908 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1c(F)cccc1F 488345509 OLSYGFMFHUPGGF-LLVKDONJSA-N 402.319 4.568 5 20 HJBD C[C@H](c1ccc(C(C)(C)C)cc1)[S@@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 488792312 ANLJGWYNYAEYCN-SUMNFNSASA-N 403.500 4.769 5 20 HJBD O=C(Nc1ccccc1-c1cc(F)c(F)c(F)c1)c1cc2c(c([N+](=O)[O-])c1)OCO2 489567711 IIOAYPGUYWMKHF-UHFFFAOYSA-N 416.311 4.660 5 20 HJBD COc1cc([C@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OC(C)C 489868651 ATNAKVDAHUTWFA-CVEARBPZSA-N 415.490 4.820 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1OC 494305380 ANVNXGNMNYSWLK-SFHVURJKSA-N 412.486 4.887 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 494687837 DITOMLLDGAQMTK-QFIPXVFZSA-N 416.443 4.878 5 20 HJBD O=C(c1[nH]c2ccccc2c1Br)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497587049 GMJGJKQACVUXKE-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 497875484 UDPHQFFLERSNNG-JOCHJYFZSA-N 423.444 4.698 5 20 HJBD COCc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1F 502373474 LOEJLSFSFQXQEW-UHFFFAOYSA-N 410.401 4.603 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC[C@@H]1/C=C/c1ccccc1 505360135 QDYJUEFYWFSYML-JNCYCUAHSA-N 405.498 4.516 5 20 HJBD Cc1cc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc(-c2ccc(Cl)cc2)n1 505618890 BTXJNEGZIRATKU-UHFFFAOYSA-N 422.872 4.818 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2c[nH]c3ncccc23)CC1 505787477 OHCOYHDIJJSYJA-UHFFFAOYSA-N 424.526 4.993 5 20 HJBD COc1cc(C)c([C@@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 509262739 IKWVHUWUEVSRDM-MRXNPFEDSA-N 415.490 4.602 5 20 HJBD COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 510228480 LTMNNRMGGJHQBG-HNAYVOBHSA-N 408.458 4.627 5 20 HJBD Cc1cccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cs2)c1 515098313 KSXUBRSGBOEZNZ-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@H](COc4ccccc4Cl)C3)ncnc2s1 517408504 PEQUIBCAOVGRJT-LBPRGKRZSA-N 404.879 4.548 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 517885322 PGNILSUPMUBJIZ-CQSZACIVSA-N 409.471 4.727 5 20 HJBD COc1cc(CN[C@H](C)Cc2ccc(O)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 520958948 ZNVNSRVGSRYXAC-QGZVFWFLSA-N 422.481 4.609 5 20 HJBD Cc1ccc(CN(Cc2ccco2)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)s1 524212061 DEKMRRMPDLOOBB-UHFFFAOYSA-N 423.450 4.654 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NS(=O)(=O)c1ccc([N+](=O)[O-])cc1 524383668 PKNJSVJVFVOBGW-MRXNPFEDSA-N 412.467 4.844 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 538193201 XZJRLZIQDCQQFB-UHFFFAOYSA-N 424.526 4.828 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2n[nH]c(C)n2)c(Cl)c1 538312548 CVWKTWXSUBKXOV-UHFFFAOYSA-N 417.878 4.706 5 20 HJBD COc1cc(C(=O)N2CCC(F)(F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 540717007 SDJXVEDQVXWMFI-UHFFFAOYSA-N 412.776 4.530 5 20 HJBD CC(C)Oc1c(F)cc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1F 541467087 URPXCWOWARTFEU-UHFFFAOYSA-N 405.401 4.857 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N(C)c1cccc([N+](=O)[O-])c1 542925933 FXGIITNNSRBKME-UHFFFAOYSA-N 405.332 4.854 5 20 HJBD CN1CC[C@H](c2noc(-c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)n2)c2ccccc21 546343815 HJXWDKMEDMJYLW-HNNXBMFYSA-N 404.348 4.635 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C/c3ccccc3[N+](=O)[O-])n2)c1)c1ccco1 547020270 QHRRCGQTOOAKJQ-ZHACJKMWSA-N 402.366 4.661 5 20 HJBD CCOC(=O)[C@H]1CC[C@H](NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccc21 549235895 QEYJLXYEGAIBKS-OALUTQOASA-N 422.403 4.885 5 20 HJBD CC[C@](C)(COc1ncccc1Cl)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 552155695 AEOLHBWORCMFQQ-HXUWFJFHSA-N 416.865 4.636 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)cnn2[C@H]2CCCc3ccccc32)c1 554966363 SKIGTIIPEFCHCA-FQEVSTJZSA-N 420.469 4.676 5 20 HJBD C[C@@H]1COCC[C@H]1CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 561292267 LEFWOICFBCEOII-KGLIPLIRSA-N 420.918 4.802 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](CO)c1ccccc1Cl 567138070 AIDSXCJKDRXMNQ-AWEZNQCLSA-N 422.934 4.502 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CC[C@](F)(c2ccccc2F)C1 572544495 DSWPZYMIFROXST-HXUWFJFHSA-N 415.421 4.568 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1n[nH]c(-c2ccc(C)o2)c1C 572808269 UWZQXLQASKDECL-UHFFFAOYSA-N 414.487 5.339 5 20 HJBD Cc1c(Cl)cccc1NC(=O)Cc1noc(-c2ccc(C(C)C)c([N+](=O)[O-])c2)n1 573762056 KEMHVJNVSRZABN-UHFFFAOYSA-N 414.849 4.911 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 579176008 UWKADZBRSUVWKV-JTQLQIEISA-N 411.227 4.637 5 20 HJBD Cc1cccnc1C(C)(C)CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 581699784 WVNSCAKIYREJNJ-UHFFFAOYSA-N 404.339 4.737 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC[C@H](n2cc(Cl)cn2)C1 589672040 WFUHQVLPYQTSDS-HNNXBMFYSA-N 420.922 4.567 5 20 HJBD CC(=O)Nc1cc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)ccc1F 609756060 VRMHWTLNFPLYSL-UHFFFAOYSA-N 409.373 4.737 5 20 HJBD COc1ccccc1NC(=O)[C@@H](C)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609769627 UWBRJYMANJWGET-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)ccc1F 609856842 SMNXTAGEEQVHQQ-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD O=C(NCC1(c2ccccc2Br)CC1)c1cc2cc([N+](=O)[O-])ccc2o1 610156061 PMIWGRDMYBQLIQ-UHFFFAOYSA-N 415.243 4.565 5 20 HJBD COc1cc(C(=O)N(Cc2ccco2)c2cccc(Cl)c2)cc([N+](=O)[O-])c1OC 610171580 WQWLEICSRNMXOL-UHFFFAOYSA-N 416.817 4.705 5 20 HJBD O=C(OCc1c(Cl)ccc2cccnc12)c1cc([N+](=O)[O-])ccc1Br 725985153 GLFJPAOKOBPGGL-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 729842154 BGNGOYCWSTWNGG-CYBMUJFWSA-N 413.455 4.805 5 20 HJBD COc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])s2)c2ccc3nc(C)[nH]c3c2)cc1 731995328 YBQJKTUVAMUDQX-UHFFFAOYSA-N 422.466 4.697 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc2nc(-c3ccc(F)cc3)oc2c1 732437145 PVLATTBQCWDAET-UHFFFAOYSA-N 406.373 4.864 5 20 HJBD CCc1cc2oc(=O)cc(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)c2cc1Cl 733750585 CJKVORSLBZXXME-UHFFFAOYSA-N 409.829 4.829 5 20 HJBD Cc1ccc2[nH]c(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2c1C 735163657 WVYIWBKJSQCEIS-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD Cc1nc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)sc1C 741858238 SVMGCMPFXDKQEC-VIFPVBQESA-N 405.423 4.806 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 743464928 QKNANSHSYPUFNC-UHFFFAOYSA-N 424.482 4.667 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1cccc(Cl)c1[N+](=O)[O-] 749911710 RZVPGVPJOTUQNH-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1 749916981 YYHOONFSVYVBCU-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCCCC[C@@H]1C[C@H](O)c1ccco1 752106009 ZBSFILCEQLATCC-WBVHZDCISA-N 414.483 4.911 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1cccc([N+](=O)[O-])c1Br 758258636 WGXGUFLTZSQFNH-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD CCCOc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c(OC(F)F)c1 760702266 GVAUJWZHWQCOPO-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD Cc1c([C@@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cnn1-c1ccc(F)cc1 765434645 UNAPLVMRWBREIH-MRXNPFEDSA-N 423.492 4.548 5 20 HJBD COCCOc1c(Cl)cc(NC(=S)Nc2ccc([N+](=O)[O-])cc2)cc1Cl 773177849 WCTHSQAKRPDDDY-UHFFFAOYSA-N 416.286 4.736 5 20 HJBD C[C@H](C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cn(C)c2ccccc12 774103222 MNHQDVKVVHQXEQ-AWEZNQCLSA-N 405.410 4.588 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)c1 776869562 CIUMDZLBGPTMTC-UHFFFAOYSA-N 412.490 4.880 5 20 HJBD CCn1cc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)c(=O)c2cc(Cl)ccc21 782746878 GGFJNMXVXACTMP-UHFFFAOYSA-N 421.236 4.593 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccc(OCCN2CCCC2)cc1 811479458 HNNXRTYDODKRFR-UHFFFAOYSA-N 424.328 4.666 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)s2)cc1OC 813245295 OUJRFIMRKOGZQH-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cn1 917084783 GTIXAUDUDIJLLV-UHFFFAOYSA-N 410.386 4.701 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1cccs1)c1ccc(F)cc1F 917782322 ZJJNASVNMQJTFB-UHFFFAOYSA-N 419.365 4.690 5 20 HJBD C[C@H](OC(=O)Cc1csc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 919369334 XYHCVXXJAREPPY-NSHDSACASA-N 418.858 4.576 5 20 HJBD O=C(Oc1cc(Cl)ccc1[N+](=O)[O-])c1cccc(NC(=O)c2cccs2)c1 921136902 UGNIMAKOEHCPSI-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](CCc1ccccc1)c1ccccc1 921267039 UATJPWPDWSWJOW-NRFANRHFSA-N 408.429 4.603 5 20 HJBD COc1ccc(NC(=O)OC(C)(C)C)c(NC(=O)c2cc([N+](=O)[O-])ccc2C)c1 921280985 QFAOZJUGOIXXGR-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NCc1ccc([N+](=O)[O-])cc1Br 1320693256 WYHVEXDCIHYZOV-UHFFFAOYSA-N 404.264 4.626 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2nc3ccccc3o2)CC1 1321341003 OHUMTODIZDSPBA-UHFFFAOYSA-N 410.430 4.546 5 20 HJBD C[C@H](CC1CCCCC1)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 1321839356 LVFGGYFPZBCZGC-GFCCVEGCSA-N 416.396 4.702 5 20 HJBD Cc1ccc(OCc2cccc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c2)cn1 1322399268 NERPABCVUNXVIV-UHFFFAOYSA-N 418.409 4.506 5 20 HJBD Cc1cc(C(=O)O[C@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)ccc1[N+](=O)[O-] 3530945 JAPFJIFQIFUZQV-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)c2c(C)c(C)sc2n1 9788733 OMXIJXXCRLEXEF-LLVKDONJSA-N 402.501 4.644 5 20 HJBD Cc1cccc(-c2nnc(S[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n2C)c1 17539797 XVLHVRMUDDNNQE-ZDUSSCGKSA-N 422.470 4.602 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)CSc2ccc([N+](=O)[O-])cc2)c(Cl)c1 21740725 UUOVFHRBRMYVSV-CYBMUJFWSA-N 422.890 4.528 5 20 HJBD COc1ccc(-c2nc(C(=O)O[C@H](C)c3cccc([N+](=O)[O-])c3)cs2)cc1OC 25844228 FVZJWEODMZIGNV-GFCCVEGCSA-N 414.439 4.654 5 20 HJBD Cc1ccc(C(=O)Nc2cccc([N+](=O)[O-])c2C)cc1NC(=O)c1ccccc1F 31817270 WMMQEZLBGUGHKZ-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC(c2ccccc2)CC1 48178092 ZKVDDJJBZPFTGN-UHFFFAOYSA-N 408.376 4.556 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1F 49637849 LDAXCLPIVZCVAW-UHFFFAOYSA-N 409.373 4.737 5 20 HJBD CCOc1cc(NC(=O)CSc2ccccc2Cl)c([N+](=O)[O-])cc1OCC 61125092 IEMYOJMEWKUTOF-UHFFFAOYSA-N 410.879 4.776 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1ccc(Sc2ccc(Cl)cc2)cc1 65743739 LWYDSUGSFURTSA-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)CCc2ccc(OC(C)C)cc2)c1 108343625 OHWUQCJYKQPGPQ-HNNXBMFYSA-N 402.516 4.855 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccccc3)c3ccccn3)cs2)c1 238010165 HBDGHEXKTPSQPR-OAQYLSRUSA-N 402.479 4.993 5 20 HJBD CC(C)(C)c1ccc(N2CCC(Nc3cc4ncnc(O)c4cc3[N+](=O)[O-])CC2)cc1 301104190 PSNDGUFNQKGPIF-UHFFFAOYSA-N 421.501 4.622 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4ccc(C(F)(F)F)cc4)C3)ccc2c1 302189528 QOKMSJURPGKJJY-SFHVURJKSA-N 403.360 4.740 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc(F)c(Br)c1 327535517 PNWFYKGQBDNCBL-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccccc12)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 426675429 SASGPJALKNLFKG-FQEVSTJZSA-N 421.478 4.590 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H]1c1nc2ccccc2n1C(C)C 427630230 RBQCAYWXQUICGA-IBGZPJMESA-N 408.458 4.511 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCC1CC(F)(F)C1)c1ccccc1 427842029 ZYIPJYVQTXPBLN-KRWDZBQOSA-N 409.820 4.563 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cncc(C)c2)cc1[N+](=O)[O-] 430652613 NMMZCKVYEDETIP-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)c(OC[C@@H]2CCCO2)c1 431408484 CPZAQXRLWJGULX-SFHVURJKSA-N 413.474 4.518 5 20 HJBD COc1cc(C(=O)NCC2(SC)CC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 433710507 AJUKXVJWFBGYDW-UHFFFAOYSA-N 422.890 4.675 5 20 HJBD Cc1ccc(-n2cc(C)cn2)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1 435535313 QBJSJIWJJLGAFF-UHFFFAOYSA-N 407.474 4.840 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)c3ccc(O)c([N+](=O)[O-])c3)c(C)c2)n1 436958516 HYCAHWAEWURCOJ-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(OC[C@H]2CCCO2)c1 437233845 PURTYHRQIDLNTQ-MRXNPFEDSA-N 424.375 4.732 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)cn1 439041408 QNYWLQZHOKGIKX-UHFFFAOYSA-N 407.426 4.589 5 20 HJBD CCCOc1ccc2c(c1)CCN([C@@H](C)c1nnc(-c3ccc([N+](=O)[O-])cc3)o1)C2 441080823 QFXWETPUYSJNAL-HNNXBMFYSA-N 408.458 4.553 5 20 HJBD COc1cc(OCC(=O)Nc2cc(C)ccc2OCc2ccccc2)ccc1[N+](=O)[O-] 442186028 GMLMYSAQSATOBV-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CCc1[nH]nc(C(=O)Nc2cc(Cl)ccc2Oc2cccc(C)c2)c1[N+](=O)[O-] 443914146 DFJGYRBDMSYODQ-UHFFFAOYSA-N 400.822 4.887 5 20 HJBD CCO[C@H](c1ccccc1)c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 445787219 MQWUXEYKNNOYAJ-SJKOYZFVSA-N 403.822 4.897 5 20 HJBD CC(C)Oc1ncccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447372326 IUJNUKOSJZARGF-UHFFFAOYSA-N 407.426 4.608 5 20 HJBD CCN(C(=O)c1cc(C(=O)N(CC)[C@H](C)C(C)C)cc([N+](=O)[O-])c1)[C@@H](C)C(C)C 460653565 YLKARXYVOREAHY-CALCHBBNSA-N 405.539 4.608 5 20 HJBD CNc1c(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)cccc1[N+](=O)[O-] 462764179 GGJWOOUIPCNSBJ-UHFFFAOYSA-N 407.392 4.675 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1ccccn1 463973106 LXKVGWSCPNPNPN-NRFANRHFSA-N 406.442 4.526 5 20 HJBD CCN(C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])[C@@H]1CCOC(C)(C)C1 464680078 LRELVJCJWXPZPZ-GOSISDBHSA-N 412.486 4.594 5 20 HJBD COC(=O)c1c(F)ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1C 464684796 IGCKVVKKMUHYMY-UHFFFAOYSA-N 420.462 4.829 5 20 HJBD CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 465297409 VUUIRDQXFHZHFQ-YLJYHZDGSA-N 418.497 4.637 5 20 HJBD CSc1ccc(C(=O)Nc2cc(Br)c(F)cc2F)cc1[N+](=O)[O-] 467017863 ZTCJPNZOKYBSAD-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)CC[C@@H]1c1ccccc1 470009346 ODCRDACJDGSASK-RXVVDRJESA-N 407.514 4.851 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1nc2ccccc2cc1[N+](=O)[O-] 473504811 CSHUVKDWZIXESV-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD Cc1nc(CNC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 473669606 DKXHTMHLYNHGKS-LBPRGKRZSA-N 414.849 4.772 5 20 HJBD COc1cc(C)ccc1Oc1ccc(F)cc1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 475625211 UQBJKDNCWXTLCV-UHFFFAOYSA-N 412.373 4.801 5 20 HJBD Cc1cc2sc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)nc2cc1F 478081618 QSSAIADZLYKHNB-UHFFFAOYSA-N 414.462 4.507 5 20 HJBD Cc1c(CN[C@H](c2cccc(Br)c2)C2CCOCC2)cccc1[N+](=O)[O-] 478497743 BSFVWVPWQKKZSR-FQEVSTJZSA-N 419.319 4.923 5 20 HJBD Cc1c([C@@H](C)N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)cccc1[N+](=O)[O-] 479526524 NETYJGLIOIXPKH-BZNIZROVSA-N 402.800 4.960 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)c1sc(Br)cc1[N+](=O)[O-] 485684489 MHYBVZGFWCEMGY-UHFFFAOYSA-N 423.210 4.676 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(CC2CCCC2)CC1 486424017 ULJISGOCNLLHKT-UHFFFAOYSA-N 419.591 4.727 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccsc2)C1 490434222 PNTJHTONBRZSSD-QGZVFWFLSA-N 406.529 4.618 5 20 HJBD O=C(NCc1cccc(Cn2cnc3ccccc32)c1)c1c(Cl)cccc1[N+](=O)[O-] 497860166 NKJVGWOXNCUBRV-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)NC(=O)c1c(N)cccc1[N+](=O)[O-] 499978451 MFBCGNIITWNRDF-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD C[C@H](NC(=O)CCSc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 503963904 WWZFWOCLDXAVBT-LBPRGKRZSA-N 409.305 4.717 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H]1CCCN(C2CCC2)C1 511291018 ULKYUHMCYZCXSV-IRXDYDNUSA-N 419.591 4.870 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)N(C)c3c(F)cccc3F)cc2[N+](=O)[O-])C1 511413339 HMMDUDDOSYFVAD-KBPBESRZSA-N 403.429 4.632 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])sc1Cc1ccccc1F 516006888 CIBRPPPVIIKDFN-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=C(N[C@@H]1c2ccccc2CC12CCOCC2)c1cc2cc([N+](=O)[O-])ccc2s1 517789667 MNQFNIUWLCSFJM-HXUWFJFHSA-N 408.479 4.634 5 20 HJBD CCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)c1cc2ccccc2o1 517823229 BYOTWWKBINGOFM-GFCCVEGCSA-N 415.833 4.543 5 20 HJBD CCNc1ccc(C(=O)Nc2nnc([C@@H](CC)c3ccccc3)s2)cc1[N+](=O)[O-] 518040877 JWRVWDCVAOLBCJ-HNNXBMFYSA-N 411.487 4.672 5 20 HJBD CC(C)CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C1CCCC1 518167436 QBIYTQCLDCTAGY-UHFFFAOYSA-N 402.413 4.967 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2sc(C)nc2C)c([N+](=O)[O-])cc1OCC 518581799 MSWYJNPKJHDCCK-LBPRGKRZSA-N 422.507 4.690 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C(C)C)sc3c2)c([N+](=O)[O-])cc1OC 521375096 VNIFNFHIWNLKBI-UHFFFAOYSA-N 401.444 4.597 5 20 HJBD Cn1c(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)nc2ccccc21 522747276 XEMODHONXHBPJN-UHFFFAOYSA-N 420.856 4.983 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])cc2Br)s1 525048386 JXZTZDCAERDDAT-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@H](Cc1ccccn1)c1ccc(Br)cc1 530394391 FWTDEQQLUPGLLG-LJQANCHMSA-N 412.287 4.826 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc(F)ccc1OCC(F)(F)F 530749710 AJGYEDCJFWWFSV-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)C1CCN(Cc2ccc([N+](=O)[O-])cc2)CC1 533071988 LRPRVDBMFQEYGQ-UHFFFAOYSA-N 408.285 4.752 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1Br 533878442 MSZCQXQCEOMWTP-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)oc12 534650409 ZFCILDUMWGBDMR-ZDUSSCGKSA-N 407.426 4.641 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCCC[C@@H]1C 536302570 PDFUMILPZATPLY-SUNYJGFJSA-N 403.504 4.566 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 536303292 XRPONEWPAMBINM-ZDUSSCGKSA-N 418.475 4.800 5 20 HJBD CCC[C@H](NCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 537060563 IWWUMJQEOWZOOA-INIZCTEOSA-N 409.408 4.992 5 20 HJBD CCc1cccnc1-c1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 544949938 LVXAFFKNOGMXAA-AWEZNQCLSA-N 416.441 4.837 5 20 HJBD COc1cc(Cc2noc(/C=C/c3cnc(C(C)(C)C)s3)n2)ccc1[N+](=O)[O-] 545759528 RXARNSFAKKSVHK-SOFGYWHQSA-N 400.460 4.502 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H](COC(F)F)C3)cc2[N+](=O)[O-])cc1 565125658 REESFNUZJSVKDK-AWEZNQCLSA-N 422.453 4.756 5 20 HJBD COc1ccc(Cl)cc1Cc1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 566527239 NMCAXUGZTVGDLX-UHFFFAOYSA-N 417.852 4.984 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@H](C)Nc1ncnc2sc([N+](=O)[O-])cc12 576132193 LGYXONJLIWQNLC-XPTSAGLGSA-N 423.292 4.550 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC(c2c[nH]c3ccc(F)cc23)CC1 577783583 ADSYHHNTSGONQH-UHFFFAOYSA-N 401.825 4.888 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c(C)c1OC 578094823 NQPRAVUMMQLEKN-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ncc(Cc2ccccc2Cl)s1 603681761 FLPASKBCURBRHY-UHFFFAOYSA-N 402.863 4.617 5 20 HJBD Cc1cc(O[C@@H](C)C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)ccc1C(C)C 603753456 GJMPZXJOBGZJQW-HNNXBMFYSA-N 414.458 4.594 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C(C)(C)C)nn2-c2cccc(C)c2)cc1[N+](=O)[O-] 609010563 IMXCDTWIMLTJHY-UHFFFAOYSA-N 422.485 4.576 5 20 HJBD CC[C@@H]1c2ccccc2CCN1C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 609621370 JMPKVTSYCXURHD-JOCHJYFZSA-N 418.497 4.606 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N1CCc2sccc2[C@H]1c1cccs1 609639734 PNKVGYMECJPMOB-IBGZPJMESA-N 413.524 4.618 5 20 HJBD CC(C)CCN(C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)C1CC1 609854661 RSFQUUDUUZFBNA-UHFFFAOYSA-N 411.289 4.738 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1cc(Cl)cc([N+](=O)[O-])c1 609857543 UPWPYXNCWCHXBY-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610046918 OHFUNNZPWGMSHC-UHFFFAOYSA-N 413.861 4.964 5 20 HJBD C[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 611336679 YCLUAUDMDLFTHE-ASHKIFAZSA-N 400.525 4.912 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)N1CC=CCC1 611517941 YYBNVUICGLQWLC-SAPNQHFASA-N 405.479 4.924 5 20 HJBD CCOc1cc(NC(=O)c2cc3ccccc3cc2OC)c([N+](=O)[O-])cc1OCC 619213007 ULRSAEDVIDHLTR-UHFFFAOYSA-N 410.426 4.806 5 20 HJBD Cc1c(C(=O)Nc2ccc(F)cc2C(F)(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 728005977 FJKSBWUSCNFQQO-UHFFFAOYSA-N 401.272 4.530 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)cc1F 730643379 PMRBOJFOCJIQRN-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1cccc(Sc2ccccc2)c1 730978427 HBFMFCPWDXBODM-UHFFFAOYSA-N 404.451 4.734 5 20 HJBD COC(=O)c1cc(NC(=O)c2ccc(C3SCCCS3)cc2)cc([N+](=O)[O-])c1 736196755 XZKLRPBOPJKCNQ-UHFFFAOYSA-N 418.496 4.502 5 20 HJBD O=C(OCc1cnc(-c2cccs2)o1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 739257093 WEPJITFMXNJTBS-UHFFFAOYSA-N 413.436 4.792 5 20 HJBD CC(C)c1ccc(N2C(=O)/C(=C/c3cc(Cl)ccc3[N+](=O)[O-])NC2=S)cc1 739899531 QFKDBHQUFUCULP-YBEGLDIGSA-N 401.875 4.634 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1 740394513 IJGDTICVGORTHH-UHFFFAOYSA-N 406.438 4.590 5 20 HJBD CCOc1cc(N2CCC(OC(=O)C3(c4cccc(C)c4)CC3)CC2)ccc1[N+](=O)[O-] 744201928 VHHKCJJLTNKJFB-UHFFFAOYSA-N 424.497 4.546 5 20 HJBD Cc1ccsc1CCCC(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 748449651 OTQUQUDSEUDUPK-ZDUSSCGKSA-N 401.444 4.642 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 750217995 OJEWXNXJBATSDM-HNNXBMFYSA-N 423.265 4.839 5 20 HJBD C[C@H](OC(=O)C[C@@H]1CCC[C@@H](C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 750237081 CBUMMBSTWGBWBF-FRRDWIJNSA-N 416.396 4.700 5 20 HJBD COC(=O)/C(=C/c1ccc(C(C)C)c([N+](=O)[O-])c1)c1ncc(Br)s1 752875287 KHSRMZWIKIQBIY-WUXMJOGZSA-N 411.277 4.651 5 20 HJBD O=C(c1scnc1Br)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 754179953 CUGQSZXSMVAFDX-UHFFFAOYSA-N 416.684 4.524 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)CC1 754998758 TWDQVRFWIOZZDU-UHFFFAOYSA-N 421.266 4.825 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)[C@@H](C)c3ccc([N+](=O)[O-])cc3F)c2)n1 755139790 AYBIZRURECDUCQ-LBPRGKRZSA-N 400.435 4.985 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN[C@H]1CC2(CCC2)Oc2ccccc21 757702363 DHJJTVMLKYFOKX-INIZCTEOSA-N 403.276 4.893 5 20 HJBD CCC(=O)N1CCC[C@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)C1 761856661 CFUQLCQAJXLURT-INIZCTEOSA-N 422.441 4.553 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1cc(F)c([N+](=O)[O-])cc1F 769470133 NKJSFGWLGFEYFM-UHFFFAOYSA-N 400.366 4.502 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1 770008163 FHRYTIMXRQRAEP-UHFFFAOYSA-N 404.854 4.555 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(COC(=O)c2c(F)ccc([N+](=O)[O-])c2F)c1 773176522 QLBUWYMAWBXYSG-UHFFFAOYSA-N 408.357 4.577 5 20 HJBD CC(C)(C)OCc1ccccc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 773778172 AAVSPDVIEMQYEU-UHFFFAOYSA-N 410.426 4.922 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1[N+](=O)[O-] 775493665 XAEXEQWMIKZKII-ZDUSSCGKSA-N 403.460 4.803 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)Nc2ccc(N3CCCCCC3)cc2)cc1[N+](=O)[O-] 775550256 XCTAHYVWMWHRGC-SFHVURJKSA-N 410.518 4.573 5 20 HJBD CCn1c(S[C@@H](C)c2cc([N+](=O)[O-])ccc2C)nnc1-c1ccc([N+](=O)[O-])cc1 778557394 PJECYUGVQIWYAM-ZDUSSCGKSA-N 413.459 4.943 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(Cc4cccc(F)c4)no3)sc2c1 783083800 NCUMQCMPVQGFDQ-UHFFFAOYSA-N 402.432 4.610 5 20 HJBD CCc1cc(O)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 791152946 BMWLKBFNQHJASO-CQSZACIVSA-N 406.442 4.683 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccncc1Cl 792088502 NXOVLIMPRFQHLO-UHFFFAOYSA-N 420.874 4.718 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cn1 794950641 WAIJZHJCXIUFGF-NTUHNPAUSA-N 411.639 4.509 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)[C@@H](C)CC(=O)Nc1ccccc1 800240570 KMIRIIIHQZVAEJ-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccc(-c2noc(C(F)(F)F)n2)cc1 813247029 WXWODPYTHZBZQG-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD CCN(C(=O)COc1ccc([N+](=O)[O-])c(F)c1)[C@@H](C)c1cccc(C(F)(F)F)c1 921267030 TYBWVMWONNLNNX-LBPRGKRZSA-N 414.355 4.741 5 20 HJBD O=C(CCOc1ccc(Cl)cc1Br)Nc1ccc(F)c([N+](=O)[O-])c1 921277013 REJVPINGVBLINA-UHFFFAOYSA-N 417.618 4.557 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)o2)n1 1322473843 CXHTZYAYZTVBPI-CQSZACIVSA-N 402.414 4.583 5 20 HJBD O=C(CN1Cc2ccc([N+](=O)[O-])cc2C1)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 1322601351 LFFQLYAPSBRZFA-LJQANCHMSA-N 420.296 4.581 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2c(F)cccc2Cl)C1 1342639410 ZUKWZIJYVRFMMR-INIZCTEOSA-N 404.869 4.657 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2N(C(=O)OC(C)(C)C)CC2(C)C)n1 1347069167 YHAPSCDDEOAIIU-HNNXBMFYSA-N 402.451 4.580 5 20 HJBD Cc1cccc(Nc2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3C)s2)c1 8090999 QAZPDKCFRPSQLR-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD CCOc1cc(NC(=O)COc2cc(C)ccc2C(C)C)c([N+](=O)[O-])cc1OCC 10962273 ZGIRDLOCEBZWGQ-UHFFFAOYSA-N 416.474 4.842 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccccc1[N+](=O)[O-] 15275527 XJEDJLQXNNMNLC-QFIPXVFZSA-N 400.390 4.857 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 55686795 KMKNSUTWWQUTPF-AWEZNQCLSA-N 415.515 4.633 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c1 58430803 LNROGDZSCLEFHF-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1ccc2nc(C3CC3)sc2c1 63891674 CBTBNJPTGXOICI-LLVKDONJSA-N 412.471 4.530 5 20 HJBD C[C@H]1CC[C@@H](C)N1[C@@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)C1 64735834 KSRWMFNUHJFOOT-WSTZPKSXSA-N 423.513 4.865 5 20 HJBD Cc1cnc(N[C@@H](C)c2cccc(NC(=O)Cc3ccccc3F)c2)c([N+](=O)[O-])c1 301444303 NMSSOMVCRCPKBT-HNNXBMFYSA-N 408.433 4.792 5 20 HJBD O=[N+]([O-])c1c(F)cccc1N1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 302065037 SIHMETICILUMQP-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@@H](c1ccc(C)cc1)c1cccnc1 427596306 IPMOEZHEVXQIAH-QFIPXVFZSA-N 405.454 4.559 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1C 431460787 RXPBIGDAHGBSQA-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437089744 CGHDJWMKHZQXTQ-ROUUACIJSA-N 404.388 4.908 5 20 HJBD CC[C@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)CCS1 437153682 WNWYGCPXIGJQOM-INIZCTEOSA-N 419.934 4.828 5 20 HJBD CC(C)c1nnc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 441069889 FSQMGSCQGKUBJF-UHFFFAOYSA-N 414.512 4.651 5 20 HJBD CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 442795016 UGKWDSSCGOZOPE-UHFFFAOYSA-N 420.391 4.775 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@@H](c3cc(F)cc(Br)c3)C3CC3)[nH]c2c1 444669098 DJVZRUGSRAIPAO-GOSISDBHSA-N 419.254 4.614 5 20 HJBD CCOc1cc(-c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)cc(Cl)c1O 445408397 FJGGUNPUHQJJIR-UHFFFAOYSA-N 410.213 4.647 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 448038285 JPGPNCDIPZEGIR-ICSRJNTNSA-N 408.502 4.728 5 20 HJBD Cc1ccnc(Oc2cc(NS(=O)(=O)c3ccc([N+](=O)[O-])c(C)c3)ccc2C)c1 460821684 BFWVWOHFKSDRTG-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1ccc(OC)cc1OC 462433485 ZQOUEBLTHVBVAN-DYESRHJHSA-N 412.486 4.544 5 20 HJBD COc1cc(NCC2(c3cccc(C(F)(F)F)c3)CCOCC2)ccc1[N+](=O)[O-] 462793159 YPVMEIIRBDCIFT-UHFFFAOYSA-N 410.392 4.783 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 463141303 YMDFPBFKDOZHBG-UHFFFAOYSA-N 422.403 4.914 5 20 HJBD CCOc1cc(Cl)ccc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 468794027 BZKNFGCZLALWSU-UHFFFAOYSA-N 403.866 4.502 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(C)[C@H](c2ccc(Cl)cc2)C(F)(F)F)c1 469093307 RNHGKXNWKNYBLJ-OAHLLOKOSA-N 402.756 4.632 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 474726706 HWAKJDOAAWHTOB-CABCVRRESA-N 412.877 4.698 5 20 HJBD CC(C)(NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OC(F)(F)F)cc1 475690313 ADQKESHKMMFNNO-UHFFFAOYSA-N 422.363 4.568 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CC[C@H](c2cccc(F)c2)C1 478270681 RXZSIZUTJBEHCV-RDJZCZTQSA-N 418.490 4.539 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 481416969 ZLZCQNAFSYTEOI-SPLOXXLWSA-N 415.877 4.812 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1OCC1CC1 482507030 JKCHNOOEDNBLNL-NSHDSACASA-N 408.813 4.582 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3F)cs2)cc1SC 483380061 PACIGEWDKVRRRK-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCc4c(Cl)cc(Cl)cc4C3)o2)cc1 483634883 IFESNHBAUGNUFN-UHFFFAOYSA-N 405.241 4.510 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1cccc(F)c1 485394764 AGXLMFAKKUPQCF-OAQYLSRUSA-N 421.407 4.870 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 487016643 SWOOHVKRQTUMQY-UHFFFAOYSA-N 422.403 4.982 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(F)cc1-c1ccc(F)cc1 488027993 KKVPJCXQQXHGIR-UHFFFAOYSA-N 413.380 4.599 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N(Cc1cccc(-c2cccnc2)c1)C1CC1 492765759 DTKJEDCNRFALSX-UHFFFAOYSA-N 412.449 4.943 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1ccc([N+](=O)[O-])cc1F 494222286 FAEXTLAGBQSYRL-AWEZNQCLSA-N 408.813 4.588 5 20 HJBD CC[C@](C)(COc1ncccc1Cl)NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 497650269 CHYOGKYBIZHQBW-LJQANCHMSA-N 406.870 4.629 5 20 HJBD CC(C)OCc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1 501178377 MDYABZDLFWEONO-UHFFFAOYSA-N 412.364 4.713 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)OC)c(Cl)c1 503098513 WBQATKYSZPGBBO-UHFFFAOYSA-N 408.863 4.789 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)cc1[N+](=O)[O-] 504895589 VDYGLBXPPVGSFU-GDBMZVCRSA-N 421.444 4.524 5 20 HJBD CCOc1ccc([C@@H](C)NCC2CCN(Cc3cccs3)CC2)cc1[N+](=O)[O-] 504928674 DFLXEPDHVLLOIY-MRXNPFEDSA-N 403.548 4.618 5 20 HJBD CCN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 505512725 CNXDHXHUBSDAND-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD COc1cc(C(=O)N(C)c2cccnc2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 509804915 DSSYRLBYPKUYAL-UHFFFAOYSA-N 413.817 4.721 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 517771343 PCKFPBIFJFRVCO-NYHFZMIOSA-N 423.444 4.892 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccccc3C)n2)n(-c2ccc([N+](=O)[O-])cc2)n1 518371268 PJJOPFCYUODJDY-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C 519132408 TVEBCFPSWRLQPX-LLVKDONJSA-N 415.446 4.665 5 20 HJBD O=[N+]([O-])c1cccc(F)c1S(=O)(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 520155016 LMORWRALGAVUCX-CQSZACIVSA-N 419.261 4.567 5 20 HJBD CC(=O)c1sc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc1-c1ccccc1 522738146 QZFCRKPVYGAGAD-UHFFFAOYSA-N 415.858 4.756 5 20 HJBD CSc1cccc(N(C)C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 523174393 YCKOPXWUZAPTRD-UHFFFAOYSA-N 400.378 4.534 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)COc1ccccc1OCc1ccccc1 531258439 ZNQBEIZLORWROT-UHFFFAOYSA-N 406.438 4.808 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)Cc3cccc(C(F)(F)F)c3)co2)cc1 535506479 GOYHPULIFNIQRK-MUUNZHRXSA-N 410.373 4.718 5 20 HJBD C[C@H](N[C@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)cs1 536868587 IQNMWYYVECURIB-CABCVRRESA-N 410.499 4.786 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)NCCc1ccc(Cl)cc1[N+](=O)[O-] 537598640 AGLBNMXNIBWQQM-UHFFFAOYSA-N 413.861 4.585 5 20 HJBD O=C(Nc1ccc(CNCc2ccc([N+](=O)[O-])cc2Cl)cc1)c1ccc(F)cc1 541556647 MORBFRIAMQMILU-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 541612109 WMCRQXKZMNVCAX-HNNXBMFYSA-N 415.465 4.738 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542540829 RBBGLZDARBPSKH-JTQLQIEISA-N 400.328 4.894 5 20 HJBD Cc1cc(N(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 542554883 HPLNKQJLPWJLCC-SFTDATJTSA-N 422.460 4.856 5 20 HJBD CCCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1-c1cccc(F)c1 543339383 POBQJGQFVSPJOU-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3ccc([N+](=O)[O-])s3)n2)c1)c1ccccc1F 544944872 ORUYMAOPYDCUHS-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccccc1OCc1ccccc1 545250688 RHICUAQYBSPWMF-UHFFFAOYSA-N 422.437 4.590 5 20 HJBD O=c1c2ccccc2n(Cc2nc(COc3ccc(Cl)cc3)no2)c2ccccc12 545702575 WOQUZOPXQDDLSX-UHFFFAOYSA-N 417.852 4.818 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@@H](c1ccc(F)cc1)c1cccs1 548407731 NVXJIMIHBJZMAR-SFHVURJKSA-N 401.419 4.715 5 20 HJBD COc1ccc([C@@H](CN(C)C)NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 551227635 PNJIBKAIFXJKFC-HXUWFJFHSA-N 411.527 4.724 5 20 HJBD CCc1nc2ccccc2n1Cc1noc(-c2cc([N+](=O)[O-])ccc2C(F)(F)F)n1 551397432 VCVPFQHUUOKVGO-UHFFFAOYSA-N 417.347 4.624 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@@H](C)c3cc([N+](=O)[O-])ccc3C)cc2F)ccn1 566885078 IELFGDUZDYJHEG-AWEZNQCLSA-N 424.432 4.762 5 20 HJBD COc1cc([C@H](C)NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCC(C)C 567577892 LEURLWIMDNHXHX-HOTGVXAUSA-N 400.475 4.619 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H](c3cccc(F)c3)C2)c([N+](=O)[O-])cc1OCC 582793462 AQMLTGFRKBMICX-HNNXBMFYSA-N 417.437 4.553 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2c(-c3ccccc3)ncn2C2CC2)cc1SC 609127089 VZNDINONORTKKQ-UHFFFAOYSA-N 424.482 4.776 5 20 HJBD COc1ccc(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc1NC(=O)C1CCCCC1 609697776 PPDYFZJKOHMQAD-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD COc1cccc(CNC(=O)[C@H](C)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 609769280 OPTLSYCAQNGPQQ-INIZCTEOSA-N 421.453 4.513 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609880632 AYFUUJOOSLJKEC-GOSISDBHSA-N 414.824 4.755 5 20 HJBD O=[N+]([O-])c1cnc(N2CCN(C(c3ccccc3)c3ccccc3)CC2)c(Cl)c1 609890298 ORHRPQVRFFIOBX-UHFFFAOYSA-N 408.889 4.555 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccccc2N2CCCCC2)c([N+](=O)[O-])c1 610092221 KTNWIPJBYBFUHV-UHFFFAOYSA-N 411.506 4.517 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(F)c(F)c(F)c1 610154603 SOGRMXIVGUSHLQ-UHFFFAOYSA-N 410.295 4.762 5 20 HJBD C[C@@H](C[C@@H]1CCOC1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610741015 IYKDPNDVVHZDJY-KBPBESRZSA-N 404.850 4.585 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC[C@@H](CCO)C1 611136534 RVMHPXFWEFXDTQ-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2)n[nH]1 611205667 KJOQHXDEOLKWJW-UHFFFAOYSA-N 423.498 4.975 5 20 HJBD O=C(N[C@@H](CCCO)c1ccccc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611215906 BUKAHVHROFJKIN-FQEVSTJZSA-N 414.527 4.873 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 612443327 RFYSBOAMGPBBAR-QRQCRPRQSA-N 408.429 4.752 5 20 HJBD C[C@H](C(=O)Nc1cccc(-c2n[nH]c3c2CCCC3)c1)c1ccc([N+](=O)[O-])cc1F 612527365 ZJXAZDVGRHBXCE-ZDUSSCGKSA-N 408.433 4.745 5 20 HJBD CN(C(=O)COc1ccc2ccccc2c1Br)c1ccc([N+](=O)[O-])cc1 619709099 QYRBENVDKSGGCY-UHFFFAOYSA-N 415.243 4.552 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2OCCc2ccccc2)c1 619791383 XYXQOHNFFCTQNW-UHFFFAOYSA-N 406.438 4.867 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cn1 727340055 ZSQPZYLPXPWGDO-UHFFFAOYSA-N 414.443 4.804 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2ccc(Cl)c3cccnc23)cc1SC 728648930 CGONGIGWZOVNJJ-UHFFFAOYSA-N 418.858 4.884 5 20 HJBD CCN(C)Cc1cccc(CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])c1 732567474 LWDVCEVZJPBCQS-UHFFFAOYSA-N 412.368 4.586 5 20 HJBD O=C(N[C@@H](c1ccccc1)C1CCOCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 734353453 MNXKQOADAUZFIR-FQEVSTJZSA-N 423.494 4.616 5 20 HJBD Cc1cc(Br)ccc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443375 PKYUKLTVUNVSQP-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cc(Cl)ccn2)cc1 735887283 KDSRCYMULIOYGT-UHFFFAOYSA-N 414.801 4.801 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ncc(-c2ccccc2)o1 741318556 CUOIFUVJXUMKFK-LLVKDONJSA-N 417.215 4.930 5 20 HJBD COc1c(C(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)sc(C)c1Br 744070091 LWNONVJBDRLXHR-QMMMGPOBSA-N 400.250 4.654 5 20 HJBD Cc1cc(O)nc(-c2cccc(OC(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)c2)n1 744217683 XVCIJHQAQVJVIE-UHFFFAOYSA-N 407.426 4.583 5 20 HJBD C[C@H](OC(=O)COc1ccccc1[N+](=O)[O-])c1ccccc1NC(=O)c1ccccc1 748015061 MEWHGQQZMXILRM-INIZCTEOSA-N 420.421 4.530 5 20 HJBD CC[C@H]1c2ccsc2CCN1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755025440 NGPXIMRLHODLHM-ZDUSSCGKSA-N 410.293 4.960 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1ccc(Cl)cc1Cl 762902712 BSUXHQRCKYVZJY-VIFPVBQESA-N 422.224 4.567 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)N3CCc4cc(C)c([N+](=O)[O-])cc43)cs2)cc1 773985989 UWZAMZZVLXVTRL-UHFFFAOYSA-N 423.494 4.557 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(Br)s1 776134059 LMXQGBLGXBMRHH-INIZCTEOSA-N 419.256 4.760 5 20 HJBD O=C(Nc1ccccc1)c1nnc(/C(Cl)=C/c2ccc([N+](=O)[O-])cc2F)s1 777916092 UVOBROQTTJPGTD-JYRVWZFOSA-N 404.810 4.575 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1 781612807 QUYHMKFGHWMRGW-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCCC(=O)Nc3cc([N+](=O)[O-])ccc3F)oc12 782529680 BZJHYASUHUEBRG-CYBMUJFWSA-N 415.421 4.558 5 20 HJBD O=C(OCCc1cccc(Cl)c1Cl)c1cc(O)nc2ccc([N+](=O)[O-])cc12 788935912 DZHJFIFXAJQFAG-UHFFFAOYSA-N 407.209 4.555 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1ccc([N+](=O)[O-])cc1 790627403 OJOMHFMPLLQFGN-UHFFFAOYSA-N 400.431 4.618 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccc(-c3ccc(Cl)s3)cn2)cc1[N+](=O)[O-] 791043103 YBHLPTLNDIJBOU-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD O=C(N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cccc([N+](=O)[O-])c1Br 791993625 BNTNXSIHWGOWLX-TZMCWYRMSA-N 407.239 4.563 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2ccc(Cl)cc2)o1)c1ccccc1[N+](=O)[O-] 796440974 GOVJAUFWFWVWSI-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(Cc2nc(-c3ccc(C)cc3)no2)no1 809252546 AHCYHXRCKTYABA-MRXNPFEDSA-N 419.441 4.663 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Br)[C@H]1CC[C@@H](C(F)(F)F)CC1 809372656 NXESKLSBTZNWDQ-AOOOYVTPSA-N 409.202 4.550 5 20 HJBD CC(C)CNC(=O)c1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812839558 ZPAASTFSDSNLGC-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD CCCc1nc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nn1-c1ccccc1Cl 813244694 MXPLMNDUKANDQX-UHFFFAOYSA-N 420.256 4.687 5 20 HJBD O=C(COC(=O)/C(F)=C/C1CCCCC1)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 814539323 BGKRYQYDTRWLQB-ZSOIEALJSA-N 418.343 4.529 5 20 HJBD CC(C)[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NCc1ccccc1F 877513956 FAQWZHFMGYTYAA-KRWDZBQOSA-N 419.503 4.777 5 20 HJBD Cc1nn(Cc2ccc(C(=O)O[C@H](C)c3cc(F)ccc3F)cc2)c(C)c1[N+](=O)[O-] 917963889 JIZJFPIHGQQUSF-CQSZACIVSA-N 415.396 4.653 5 20 HJBD Cc1nc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])nn1-c1ccc(F)cc1 920330611 JORLSHFFOHCHPN-UHFFFAOYSA-N 418.384 4.509 5 20 HJBD CCCCCn1nc(-c2nnc(-c3ccc(C)c([N+](=O)[O-])c3)o2)c2ccccc2c1=O 1117186010 LSXQDFMFVNYSCJ-UHFFFAOYSA-N 419.441 4.520 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c(Cl)n1 1338400117 PGHVYRNIPCDSCX-STQMWFEESA-N 402.882 4.686 5 20 HJBD C/C=C/C=C\C(=O)NC1(c2ccc(NC(=O)c3cccc(F)c3[N+](=O)[O-])cc2)CCC1 1790698501 BTARLYRTOAIWFV-OQIXSKIXSA-N 423.444 4.614 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](C)c3cc4ccccc4o3)cc2)c(C)c1[N+](=O)[O-] 8240339 GJZQIPNQUKTZPA-CQSZACIVSA-N 418.453 4.694 5 20 HJBD O=C1/C(=C/c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC(=S)N1C1CCCCC1 11296298 NJIHNORMYQNLKJ-VBKFSLOCSA-N 413.524 4.714 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)c1 24031091 NNTNQSBZWDSHAV-UHFFFAOYSA-N 413.480 4.883 5 20 HJBD O=C(Nc1ccc(-c2cn3cccnc3n2)cc1)c1cc2cc([N+](=O)[O-])ccc2s1 48282463 XBHPPIOFDUBAKO-UHFFFAOYSA-N 415.434 4.772 5 20 HJBD O=C(Nc1ccc2c(c1)C(=O)NC2)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 58748813 ZBAHOQHQBWKGNU-UHFFFAOYSA-N 423.812 4.536 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)ccc1[N+](=O)[O-] 59812677 ANVJQQOOWCAPCX-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD Cc1cccc(Cn2nccc2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 64907992 FFRVZDPWFGWHFD-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD Cc1ccc(-c2noc([C@H](C)OC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1F 105629254 GQEPRRWFRDWQLS-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1ccc2c(CC(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)coc2c1 106189579 WMUDHJGCEMHGRG-UHFFFAOYSA-N 406.360 4.783 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cccc(-c2nccs2)c1 140951948 SAADHVOBLLHLRN-NSHDSACASA-N 403.847 4.778 5 20 HJBD CCOc1cccc(N2CCC[C@@H](c3nc(-c4ccc(F)cc4)no3)C2)c1[N+](=O)[O-] 302209102 FSVOBOPWBKQYTN-OAHLLOKOSA-N 412.421 4.567 5 20 HJBD COc1cccc(Oc2ccc(N[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)c1 302998103 UCFBTUCIMUZQQO-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCCOc2ccc(OC)cc2[N+](=O)[O-])o1 303936181 RQQDSJADIDIXEF-INIZCTEOSA-N 415.471 4.699 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCCSc1ccc(Br)cc1 409497362 BGPGXBLZRCDIMF-UHFFFAOYSA-N 423.332 4.888 5 20 HJBD O=C(c1csc(-c2ccoc2)n1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 409544695 MTBJGFYCGRYCCG-UHFFFAOYSA-N 411.361 4.516 5 20 HJBD CCOc1cc(C(=O)N2CCCSc3ccc(Cl)cc32)c([N+](=O)[O-])cc1OC 430462462 YNQXBTJATPYJCF-UHFFFAOYSA-N 422.890 4.798 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccccc1N1CCCCCC1 431585796 NVQDRPPQQQAMEJ-UHFFFAOYSA-N 420.469 4.541 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 437155072 KOOOYHVWFSVJDK-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD CC1(C)[C@H]2OCCC[C@@H]2[C@H]1NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440621350 COAXNWJEIODUOF-YSGRDPCXSA-N 412.511 4.679 5 20 HJBD Cc1c(NC(=O)C(=O)Nc2cccc(CSC3CCCC3)c2)cccc1[N+](=O)[O-] 441075608 WGZBADSOWXPNDQ-UHFFFAOYSA-N 413.499 4.656 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC[C@@H](Oc2ccccc2)C1 444038429 IUIFNXFBEYFYER-QGZVFWFLSA-N 416.452 4.504 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292192 RMDWLOJONZEFAA-GGAORHGYSA-N 418.880 4.595 5 20 HJBD C[C@@H]1CCN(C(=O)c2sc(Br)cc2[N+](=O)[O-])c2ccccc2S1 445254237 OYGNNVMCTIZOGR-SECBINFHSA-N 413.318 4.950 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(CCC(=O)c3ccc4ccccc4c3)n2)c1 445584875 TUGNNGQCCJAOCK-UHFFFAOYSA-N 417.421 4.546 5 20 HJBD COc1cc(-c2nc(C(C)(C)c3ccccc3Cl)no2)cc([N+](=O)[O-])c1OC 445694256 CFEMJPSVOKULKN-UHFFFAOYSA-N 403.822 4.641 5 20 HJBD COCCCOc1ccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1 445771361 BGCGLEBVBHPKCL-AWEZNQCLSA-N 415.471 4.913 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cc3c(cn2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 462762653 NEURISXJECWJOF-HNNXBMFYSA-N 417.469 4.689 5 20 HJBD COc1cc(CNc2ccccc2NC(=O)Cc2cccs2)c([N+](=O)[O-])cc1F 464097426 URHMWVIUWDMWKX-UHFFFAOYSA-N 415.446 4.597 5 20 HJBD COc1cc(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 470582695 NTCWBFVFFNLHRZ-HIFRSBDPSA-N 420.412 4.531 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@H](c1cccnc1)c1ccc(F)c(C)c1 475662807 AJEKDGPUJPXGNR-NRFANRHFSA-N 423.444 4.698 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cn(C2CC2)c2cc(Cl)c(F)cc2c1=O 476745266 LBULYIHZBZRKPM-UHFFFAOYSA-N 415.808 4.598 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)C(F)(F)F)c1 482057071 SVPBHMZMXZUDPJ-GOSISDBHSA-N 407.392 4.881 5 20 HJBD C[C@@H](C(=O)OC(C)(C)C)c1noc(-c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)n1 482543821 PNGWRSQXNPDSPA-CQSZACIVSA-N 424.457 4.702 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)ccc2C)n1 488242615 QVKWRJLFVHAOSC-UHFFFAOYSA-N 403.341 4.720 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCCN(C)C)c1 488592797 KERUMUKGSFCQJU-UHFFFAOYSA-N 417.531 4.536 5 20 HJBD O=[N+]([O-])c1cnc2ccc(NC[C@@H](OCc3ccccc3)c3ccc(Cl)cc3)nn12 488671350 GJXCLJJMTUTUQO-GOSISDBHSA-N 423.860 4.661 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(F)(F)F)(C(F)(F)F)C1 489158291 QTAFOSIXUKIKMJ-UHFFFAOYSA-N 416.343 4.664 5 20 HJBD CC[C@@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 489504089 ZCEJOXZTYPRYAZ-ZYHUDNBSSA-N 416.425 4.890 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1/C=C/c1ccccc1 505366745 OYEXYWVMVXEUGP-XVJNWHFHSA-N 401.260 4.675 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3cccc(COc4ccc(F)cc4)c3)n2)cc1 506246533 GNUFRLIHHRTYKU-UHFFFAOYSA-N 420.400 4.975 5 20 HJBD O=C(Nc1cc(Cl)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F)c1ccco1 506259135 NURQWDMMGZDNHL-UHFFFAOYSA-N 407.760 4.984 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](c1ccccc1)c1ccccn1 509387833 ODGXPCUBAXPNRW-QFIPXVFZSA-N 404.470 4.699 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1C 510345540 GDURYWLPZVAPGR-UHFFFAOYSA-N 407.474 4.678 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1)C(=O)COc1ccc(Cl)cc1[N+](=O)[O-] 510377375 LQTCRFYJMZGPIW-UHFFFAOYSA-N 403.649 4.592 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccccc1Oc1ccncc1 510529123 IFRAHXDQILIPTL-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CC[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)NCc1ccccc1 516507773 FXRANOCANLIWNW-LJQANCHMSA-N 411.483 4.578 5 20 HJBD CC(C)N(Cc1ccccn1)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 516620142 GEHBUADTEMOQHJ-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)o2)c([N+](=O)[O-])c1 520216996 BDRRVZNQOMJUBJ-GOTSBHOMSA-N 408.454 4.873 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1cccc(Cl)c1Cl 520322441 QVYZYOGNOMUDTD-VXGBXAGGSA-N 423.252 4.805 5 20 HJBD O=C(c1cccc(OC[C@H]2CCCO2)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 522874856 IUIMVGQYIUYTEX-JOCHJYFZSA-N 410.470 4.742 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccccc1)c1nc2ccccc2n1C 522991881 WQEASDRTVRUWSJ-OAQYLSRUSA-N 415.453 4.701 5 20 HJBD Cc1cc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)ccc1C(=O)N(C)C 525368468 RWWKXHRXGDMMGV-UHFFFAOYSA-N 418.453 4.601 5 20 HJBD CCS[C@H]1CCC[C@H](NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])C1 530903674 CHAFWWZZIWDALU-KBPBESRZSA-N 422.469 4.698 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCc2c(cnn2-c2ccccc2)C1 530991181 BPKQMHIAQCQWKS-UHFFFAOYSA-N 404.473 4.772 5 20 HJBD C[C@@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@@](C)=O)cc1 535952634 CDUDRNATBRNDPE-DHMKHTPVSA-N 423.494 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c1 537300013 QDZUFNKGLOLVKI-UHFFFAOYSA-N 423.444 4.608 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2NC(=O)c2ccccc2)c1 538400594 FEDJQVVTADUCIH-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD CCN(CC)[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccccc1 540453097 OTGWEQGQQWFHLT-HXUWFJFHSA-N 424.526 4.764 5 20 HJBD CC(C)C[C@@H]1C[C@@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 540762127 LLPJNGLTSLGAPN-VQTJNVASSA-N 411.502 4.530 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNc2nnc([C@H]3Cc4ccccc4O3)o2)o1 540792566 RRBGMRCURIXCLP-LJQANCHMSA-N 404.382 4.526 5 20 HJBD COc1ccc(NC(=O)c2cccnc2SCc2ccc(F)cc2)cc1[N+](=O)[O-] 541999400 AEEVAGAQZIIABP-UHFFFAOYSA-N 413.430 4.682 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 542590857 BNSADBZSSSDJDC-QGZVFWFLSA-N 407.445 4.770 5 20 HJBD Cc1csc([C@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)n1 543513840 WKGJMDKDDVZZTO-CQSZACIVSA-N 410.499 4.505 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 544427342 NQAOPSHMFKVSLW-DLBZAZTESA-N 413.493 4.528 5 20 HJBD C[C@H](C(=O)NCCc1nc(C2CCCCC2)cs1)c1ccc([N+](=O)[O-])cc1F 544561115 CDUCUIQGIZBKIE-ZDUSSCGKSA-N 405.495 4.701 5 20 HJBD COc1ccc2c(c1)CCC[C@@H]2c1noc(C(F)(F)c2ccc([N+](=O)[O-])cc2)n1 545101768 PQXIKJNZHYQMET-KRWDZBQOSA-N 401.369 4.595 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 546789621 CRDJXSKTZNFIIN-UHFFFAOYSA-N 424.497 4.716 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(-c2ccccc2OC(F)(F)F)o1 548367898 HMVKWTCGDDBBLM-UHFFFAOYSA-N 406.316 4.683 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C(=O)N(C)CC)c1 554164373 OMURDVZTIXDGIY-UHFFFAOYSA-N 415.515 4.831 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 578561254 ZAFYSYFMVMGVIL-UHFFFAOYSA-N 403.394 4.921 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cncc(Cl)c1Br 581907916 LJJHQHNIHMLROO-NSHDSACASA-N 412.671 4.613 5 20 HJBD COc1cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Br 600852092 LXLYNSGTMDKKFC-UHFFFAOYSA-N 405.170 4.997 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2cccc(C)c2)c([N+](=O)[O-])cc1OC 603464014 VOSYRPSIHDBFLB-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1OC 603772838 NUWWMVAVNPRWIR-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 608858977 YZSRUOVDOIRFDT-SECBINFHSA-N 420.878 4.614 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N1CCCC[C@H]1c1ccccc1C(F)(F)F 608945260 AMFGXBKNLIACJX-SFHVURJKSA-N 408.376 4.746 5 20 HJBD Cc1c(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 609026136 LZTDKNYXQPLLPG-UHFFFAOYSA-N 411.380 4.675 5 20 HJBD O=C(Nc1cccc(NC2CCCC2)c1)c1ccc([N+](=O)[O-])cc1Br 610090584 CIEYPFAUVNRJLW-UHFFFAOYSA-N 404.264 4.964 5 20 HJBD O=C(Nc1ccccc1OCC1CC1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 610122684 IFXGVARRTDBFHJ-UHFFFAOYSA-N 410.348 4.577 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@H](CO)Cc2ccco2)ccc1Oc1ccccc1Cl 610871132 IGLSAAASHGITHJ-MRXNPFEDSA-N 416.861 4.574 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccncc1 611259557 SOOYINXZITZQNM-UHFFFAOYSA-N 407.495 4.849 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(C[S@](=O)c4ccccc4)c3)c2c1 611705119 FNNQQSUJEZAILL-LJAQVGFWSA-N 404.451 4.589 5 20 HJBD CCc1nc(C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)nn1-c1ccccc1Cl 735821141 QTADPHAVXWVIJH-UHFFFAOYSA-N 420.256 4.605 5 20 HJBD CSc1ccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cc1[N+](=O)[O-] 736015548 OSCVCTQUXMWZLG-UHFFFAOYSA-N 401.444 4.814 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)OC(=O)COc1cccc([N+](=O)[O-])c1 739304089 NOBDWSBJOMUOCB-CYBMUJFWSA-N 416.430 4.849 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746058300 NNWFTGAXKSGLGG-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCc1cc(N2CCC[C@@H]2C[C@@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 751119563 VGWIZPPGXONQLP-RTBURBONSA-N 424.526 4.768 5 20 HJBD C[C@H](C[C@@H](O)c1cccs1)NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 752286135 KMEHBVIZYTWJDH-AUUYWEPGSA-N 411.483 4.642 5 20 HJBD C[C@@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1cccc([N+](=O)[O-])c1 757666305 RWMNAUJAJLYZEQ-OAHLLOKOSA-N 415.494 4.536 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])C1CCC(C(F)(F)F)CC1 766874342 URMWOOZJBGLCTD-RWKZGTHUSA-N 408.376 4.991 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C/c1cccc(-c2cccnc2)c1 768466617 HRPSKLFCPXJCBS-VCHYOVAHSA-N 405.797 4.655 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCc1nnc(-c2cccc(Cl)c2)o1 769891076 WFIDVZIWVDWINW-UHFFFAOYSA-N 401.806 4.580 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)C[C@H](O)c1ccccc1 777416021 JKKONBZMKUYVES-KXBFYZLASA-N 402.516 4.729 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1csc2ccccc12 786598004 MFHOMXSGCTXVEZ-UHFFFAOYSA-N 422.466 4.910 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790558562 GURYRTAKSADIPA-YCRPNKLZSA-N 420.868 4.522 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792964260 WRLXCZJKTGHCOZ-CQSZACIVSA-N 423.469 4.797 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(OS(=O)(=O)c2cc(Cl)sc2Cl)cc1F 796207464 FCVLHANVWLRYFD-UHFFFAOYSA-N 406.627 4.523 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 803064949 WTUSLKPKLBLRDO-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 807687352 QEQXBXVWRFORCN-UHFFFAOYSA-N 412.446 4.753 5 20 HJBD C[C@@H](COC(=O)C(C)(C)c1csc(-c2c(Cl)cccc2Cl)n1)[N+](=O)[O-] 813112302 PDVCVHGTFVTNRQ-VIFPVBQESA-N 403.287 4.603 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)Nc2c(Cl)cccc2Cl)cc1[N+](=O)[O-] 815406972 CFFFBFQQDZIMGZ-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Cl)ccc1OCC(C)C 914874949 XFUCBFMJPCPAMB-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)cc1 917817956 XRPOSXXEEBUYFM-HNNXBMFYSA-N 409.667 4.988 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)c2cccc([N+](=O)[O-])c2)cc1 920956217 YHCIKNGOZFTUHF-UHFFFAOYSA-N 410.813 4.744 5 20 HJBD Cc1cc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)cc([N+](=O)[O-])c1 1257774182 JATTWVZWWMSQFW-LLVKDONJSA-N 404.220 4.856 5 20 HJBD COc1ccc(-c2cc(NC(=O)NCc3ccccc3[N+](=O)[O-])ccc2OC)cc1 1317840374 ARFWXMARXLQNHB-UHFFFAOYSA-N 407.426 4.601 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2nc3ccccc3o2)CC1 1320244475 BABBJGQLVHUBAX-QGZVFWFLSA-N 407.470 4.711 5 20 HJBD Cc1csc(Sc2ccc(-c3nnc(Cc4ccncc4)o3)cc2[N+](=O)[O-])n1 1329080454 GAGHCILCOWDBJG-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD COc1ccc(OC(=O)c2ccccc2C(=O)c2ccc(Cl)cc2)c([N+](=O)[O-])c1 1341954524 KAKVRUPCOAQOKI-UHFFFAOYSA-N 411.797 4.707 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 1343123751 ZLINOUXTYHEQNK-UHFFFAOYSA-N 412.405 4.923 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@H]1CCCC[C@@H]1C(F)(F)F 1343331757 SUZUAURXECWMIX-LPHOPBHVSA-N 403.400 4.819 5 20 HJBD Cc1[nH]c(SCC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)nc1Cc1ccccc1 4492644 OGZKXQQGVPCMCM-UHFFFAOYSA-N 416.890 4.601 5 20 HJBD Cc1ccc(CC(=O)O[C@@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)cc1 7069941 JIKLAQVXFNVYHB-HSZRJFAPSA-N 418.449 4.677 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)c2ccc(Br)cc2)cc1[N+](=O)[O-] 9621446 GXNIDHVLNIXDHT-SNVBAGLBSA-N 424.272 4.507 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1ccc(Br)c([N+](=O)[O-])c1 15649654 RMOPCXOPJOPDKV-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c(Br)c1 15737784 BEIVNGVZHABTNH-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD CCCn1c(SCC(=O)Nc2cc([N+](=O)[O-])ccc2F)nc2cc(Cl)ccc21 24494596 KHQWYCVLHZXGHR-UHFFFAOYSA-N 422.869 4.878 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(Cl)c2ncccc12 26092932 PHSZENJNCLHETM-UHFFFAOYSA-N 413.864 4.995 5 20 HJBD COc1ccc(C(=O)C2CCN(C(=O)c3ccc(C(C)(C)C)c([N+](=O)[O-])c3)CC2)cc1 26131710 BCLDLQWHPZSMKG-UHFFFAOYSA-N 424.497 4.636 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(CC)cc2)C(C)C)cc1OC 28252288 IXOWMOIMIWTAGX-NRFANRHFSA-N 400.475 4.692 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2)n1 31800514 CPSCILYOQHIDFE-UHFFFAOYSA-N 420.472 4.559 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 55798391 JXHDMHINKVJMQN-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD O=C(Nc1ccc(F)cc1)c1nnc(/C(Cl)=C\c2cccc([N+](=O)[O-])c2)s1 96993416 JXRONNPPXMNEAA-NTEUORMPSA-N 404.810 4.575 5 20 HJBD COc1cc(CNCc2cccc(N(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 237114120 DUYIAOIDDZHCOF-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD C[C@H](c1nnc(Sc2ccc(Cl)cc2[N+](=O)[O-])n1-c1ccc(F)cc1)N(C)C 301101443 RFRQOEBBLLRYFU-LLVKDONJSA-N 421.885 4.742 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C(F)(F)F)ccc1Br 325331628 AAJQZKVIOHJNIK-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(c2ccc(Br)cc2)CC1 410401191 LCHUPWHOQPBYQG-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1cc(C)cc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)c1 434341211 KRPIXZASKXLLMO-UHFFFAOYSA-N 406.432 4.849 5 20 HJBD Cc1cc(NC(=O)c2ccc(-c3csc(C)n3)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 440422331 MFBAOXJUMMTFMT-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(OCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1 440786716 ODIIMFGZKHMFIO-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 443889253 OULSLZZCADVNRV-SNVBAGLBSA-N 401.410 4.774 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNc2ccc([N+](=O)[O-])cc2Br)c1 462387997 JQTUAFWEYFAMQM-UHFFFAOYSA-N 406.280 4.954 5 20 HJBD O=C(CCc1ccc(Cl)c(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464641725 FOGLGOXOJMNUNF-UHFFFAOYSA-N 423.296 4.904 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCOc2ccc(Br)cc21 468213738 APNHWVHVPHOXLX-UHFFFAOYSA-N 419.256 4.611 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H]1CCO[C@H](c2ccccc2)C1 475208623 ZDNQKCJEEZQLBY-PBHICJAKSA-N 409.364 4.655 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(C(F)(F)F)cc2)cc1SC 482584870 WVHWYTAYWBOCAF-SNVBAGLBSA-N 414.405 4.835 5 20 HJBD COc1ccc([C@@H](CCO)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1 482624851 SWFPUVNFBZKWPQ-JOCHJYFZSA-N 421.497 4.585 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCCC(=O)Nc1ccc(Cl)cc1 483179637 OWLTVSZDYWKOGP-UHFFFAOYSA-N 421.906 4.509 5 20 HJBD O=C(CCSc1ccc(F)cc1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 486711726 MQKWKWOSFLDLBT-UHFFFAOYSA-N 402.369 4.551 5 20 HJBD CCS[C@@H](C)c1noc(CSc2nc3cc4ccccc4cc3c(=O)n2C)n1 488769223 RGLBUBOZOXHUJL-LBPRGKRZSA-N 412.540 4.576 5 20 HJBD Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1NC(=O)c1ccco1 490128435 IPEPGAMQVUFRDT-UHFFFAOYSA-N 405.366 4.747 5 20 HJBD COc1cc(C(=O)N[C@@H](CCc2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 503005150 YFBGZROWOKPHBE-FQEVSTJZSA-N 420.465 4.716 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 509053328 HPNUEEYQPOIORQ-CQSZACIVSA-N 424.432 4.953 5 20 HJBD O=C(N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510053301 DAVXGBOXMRQYAK-BEFAXECRSA-N 423.494 4.616 5 20 HJBD O=C(CC1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1)N1CCCC1 510488144 JCJPXCCPVRCHSY-UHFFFAOYSA-N 413.543 4.548 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CS[C@@H](C)c1nc2ccccc2[nH]1 511520312 FCBMVIFLYGUUHC-HNNXBMFYSA-N 412.515 4.703 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 514182908 PYYGLWBDDYFCQO-GOSISDBHSA-N 405.376 4.566 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 516328070 YXIKYLLFVBVSIL-QWHCGFSZSA-N 411.380 4.657 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3cccc([N+](=O)[O-])c3C)c2C)cc1 517355702 PPFRBTHRHZBFNB-UHFFFAOYSA-N 406.486 4.645 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@@H](c3nc4ccccc4s3)C2)c(Br)c1 530668502 KOVKDDIZXNWXMK-LLVKDONJSA-N 419.304 4.746 5 20 HJBD CC(C)(CO)[C@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 533330113 AHSPLNNEFHDVEQ-HXUWFJFHSA-N 411.527 4.610 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@@H](c2nc3ccccc3s2)C1 534249635 RZYXCUVDDTUFMT-CYBMUJFWSA-N 406.467 4.706 5 20 HJBD Cc1cc(C)n(-c2ccc(NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cc2F)n1 534792793 JXUGMKOASTWADG-UHFFFAOYSA-N 407.405 4.804 5 20 HJBD Cc1ccccc1Cc1nnc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 535508110 MQWHKAOZMYDWGQ-UHFFFAOYSA-N 422.466 4.965 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cccc(COC(C)(C)C)c2C)c1 539528974 MSSVFFPPJYMPQI-UHFFFAOYSA-N 400.475 4.934 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(Cc1ccccc1)Cc1ccccc1F 541526039 ZYPDKAVJSZADNE-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 541841376 IFQRSHBUWHTEFF-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC(OC(C)(C)C)CC2)cc1OC 541987373 YOQZICDEGNXKQU-INIZCTEOSA-N 408.539 4.808 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCCc1cccc([N+](=O)[O-])c1 541995854 FGFYIIFZJFQEBP-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@H](C)c1nc(-c2ccccc2)cs1 543516618 XUPAWEJNTFBDLL-OAHLLOKOSA-N 410.499 4.706 5 20 HJBD O=[N+]([O-])c1ccc(CCNc2cccc(Oc3ncc(Br)cn3)c2)cc1 544638392 UYCDGCLEQKGGPW-UHFFFAOYSA-N 415.247 4.594 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/c1nc(Cc2ccc(OCc3ccncc3)cc2)no1 546990817 LNXMXPKUPXZEEN-JXMROGBWSA-N 414.421 4.713 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@@H]1OCCc2sccc21 554204787 KPQUYESZDAWYDL-YJYMSZOUSA-N 420.556 4.981 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1ccccc1)Cc1ccccc1F 555882741 CMUROFKELLZEMX-UHFFFAOYSA-N 407.445 4.688 5 20 HJBD Cc1sc(NC(=O)COc2cccc3cccnc23)nc1-c1cccc([N+](=O)[O-])c1 570760254 BRZHXDVAIOZECM-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD COc1cnccc1-c1noc(-c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 582751939 CLNOPBSWYIJRPA-UHFFFAOYSA-N 406.423 4.867 5 20 HJBD Cc1ccc(NC(=O)NCc2cccc(NC(=O)c3ccc(F)cc3)c2)cc1[N+](=O)[O-] 604080152 XQOLAMHIYBWWLH-UHFFFAOYSA-N 422.416 4.616 5 20 HJBD Cc1c(Br)cccc1N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 608889015 AGOANDXCEKZULD-SNVBAGLBSA-N 412.671 4.758 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)CNc1ccccc1[N+](=O)[O-] 609073464 KEJQYENQQMGRQG-UHFFFAOYSA-N 409.417 4.672 5 20 HJBD Cc1cc(C(=O)N[C@@H]2CCCc3nc(-c4ccc(F)cc4)sc32)ccc1[N+](=O)[O-] 609186753 NRZQOCHNIMCZTJ-MRXNPFEDSA-N 411.458 4.973 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3ccc(Oc4ccc(CO)cc4)cc3)cc2N1 609384549 OIJVXKPFOXRKNL-UHFFFAOYSA-N 405.410 4.508 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 609500731 QYXBLCFWKQNCQE-CHWSQXEVSA-N 416.302 4.957 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Oc1ccncc1 609643469 QLWFDOABQBFZAT-UHFFFAOYSA-N 415.352 4.944 5 20 HJBD CC[C@@H](Cc1cccc(Br)c1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 609754241 IFVLYHNILYIPIY-ZFWWWQNUSA-N 405.292 4.803 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])ccc2n1 609835882 KLJQFRBMUQSILY-UHFFFAOYSA-N 422.363 4.719 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N2CCC[C@@H](c3nc4ccccc4o3)C2)cc1[N+](=O)[O-] 610597021 MNULVAFUROFQDV-DOTOQJQBSA-N 408.458 4.695 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1OCC(F)F 726762840 CYMBKRFOENZRNE-UHFFFAOYSA-N 419.428 4.790 5 20 HJBD O=C(Nc1cccc(COCCc2ccccc2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727710609 SGZCNLZJUOLQCE-UHFFFAOYSA-N 412.392 4.885 5 20 HJBD CN1C(=O)Cc2cc(C(=O)COC(=O)c3c4ccccc4cc4ccccc34)ccc21 728921745 PQMJRQUFVMDWSZ-UHFFFAOYSA-N 409.441 4.552 5 20 HJBD CC(C)Oc1cccc([C@H](C)Nc2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])c1 731972127 JLOMIZXCHQYWMN-LBPRGKRZSA-N 414.430 4.551 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1c1ccc(F)c(F)c1 732969637 JIOFDKDOEXHGJZ-NRFANRHFSA-N 418.443 4.797 5 20 HJBD CC(C)c1cnc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 733462002 HKKZXMRHAKBXQS-CQSZACIVSA-N 410.430 4.631 5 20 HJBD CCO[C@@H](C)c1nc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cs1 736810268 UQFYUYOGQUXDOB-NSHDSACASA-N 419.484 4.629 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](Sc1cnccn1)c1ccccc1 742204217 FIZJDQRHPDVSAI-KRWDZBQOSA-N 400.847 4.510 5 20 HJBD COc1cccc(-c2nc(COc3cc([N+](=O)[O-])ccc3Cl)cs2)c1OC 747810186 LFOSHRUKDTXTKV-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD C[C@H](c1ccccc1)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C1CC1 754993337 DBPBVHLKHGMGRR-LLVKDONJSA-N 407.239 4.862 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)[C@@H](O)c1cccc(F)c1 765203000 WWSPIFPQFOFZPG-ZUOKHONESA-N 418.490 4.621 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)ccc1Br 770289621 FZGBDSVBASWDJB-XJKSGUPXSA-N 411.321 4.507 5 20 HJBD CC(C)n1cc2c(n1)[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 771764618 HJCIYTAPBZQWDA-AWEZNQCLSA-N 411.384 4.590 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccn(-c3ccc(Cl)c(Cl)c3)n1)CC2 773988017 KTGQOLHCGWVPIM-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1ccc2ccccc2c1)C1CC1 781602927 QSIWHILQTATPDW-UHFFFAOYSA-N 419.437 4.780 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c(F)c1Cl 789068130 HQVXYJFNMQKNKK-SECBINFHSA-N 415.204 4.533 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2cccc(-c3nccs3)c2)cc1SC 790872112 LHFOQZVKSKKWEG-UHFFFAOYSA-N 402.453 4.668 5 20 HJBD Cc1ccc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 801091189 PTUFYJGCBRBNPP-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1NCc1ccccc1NS(=O)(=O)c1ccccc1 804413846 XYCHCGIRSTUPNP-UHFFFAOYSA-N 417.874 4.661 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1cccnc1OCc1ccccc1F 813241687 ACVQPMHNKFXHNC-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD Cc1cc(-c2cc(C(=O)OCc3ccccc3[N+](=O)[O-])c3c(C)noc3n2)c(C)o1 813319576 LNCMAPMZTSQMMG-UHFFFAOYSA-N 407.382 4.673 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CC=C(c2c[nH]c3ccccc23)CC1 913040250 MQBWLWWDMOPQHY-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD C[C@@H](c1ccccc1)n1cnn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1=S 917423250 GQDDGRVSMNTLEI-AWEZNQCLSA-N 417.922 4.674 5 20 HJBD O=C(NCCSC(F)(F)F)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 918591614 XVWLALYRDHDFBT-UHFFFAOYSA-N 402.419 4.729 5 20 HJBD CC(C)COC(=O)Nc1cccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1 920225062 ZNTKCSXZOSANLT-UHFFFAOYSA-N 403.460 4.530 5 20 HJBD CC(=O)N1Cc2ccc(NCc3ccc(-c4ccc([N+](=O)[O-])cc4Cl)o3)cc2C1 1116484760 IRRYRDLAPPBGLR-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD CN(Cc1nc(O)c2sccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)s1 1320413579 DGCDSMPIFMGBAD-UHFFFAOYSA-N 412.496 4.666 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1322153163 GXFWIFJZPWYDPH-LURJTMIESA-N 407.648 4.702 5 20 HJBD O=[N+]([O-])c1ccc(Cc2nnc(-c3ccc(Cl)cc3F)o2)c(Br)c1 1326526168 UIVWULWJLCWUEU-UHFFFAOYSA-N 412.602 4.791 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 29013086 GANLZGZLAQSHPU-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58299229 BQGHHSIDNNNAKZ-UHFFFAOYSA-N 411.421 4.726 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccc(OC(C)C)cc3)cs2)c1 61253402 DUQUWKBOSRXLIR-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD Cc1ccc(-c2nnc(NC(=O)CCCNc3ccc([N+](=O)[O-])cc3)s2)c(C)c1 65122826 QIFYLRKNLQQQQT-UHFFFAOYSA-N 411.487 4.561 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(COc2ccccc2)CC1 65309118 IPFVFFRVDOGGMZ-UHFFFAOYSA-N 423.494 4.650 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1[nH]c2ccccc2c1Br 65865065 NQLNNQOYKMRIGG-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD Cn1cc(CNCc2cc(Cl)ccc2OC(F)F)c(-c2ccc([N+](=O)[O-])cc2)n1 237931107 AMPJNGIHJHDHAS-UHFFFAOYSA-N 422.819 4.540 5 20 HJBD CS(=O)(=O)c1cccc([C@H](Nc2ccccc2[N+](=O)[O-])c2ccc(Cl)cc2)c1 301464186 KNNOXJHKECQQFE-HXUWFJFHSA-N 416.886 4.853 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)cc1OC(F)F 302188931 QIIPYHSIKOEZBG-INIZCTEOSA-N 418.318 4.793 5 20 HJBD CC(C)C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 302799564 QFIKJRXRQBAKBC-IBGZPJMESA-N 421.501 4.589 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@@H](c3ccccc3C)C[C@@H]2C)cc1SC 303815837 ZXUUZAVBPNOYTN-GJZGRUSLSA-N 400.500 4.652 5 20 HJBD Cc1ccc(-c2nc(CSCCC(=O)Nc3ccccc3[N+](=O)[O-])cs2)o1 409833367 AYALDBDNPBHPGU-UHFFFAOYSA-N 403.485 4.882 5 20 HJBD Cc1cn2c(-c3noc(-c4cc([N+](=O)[O-])cc(C(F)(F)F)c4)n3)c(C)nc2s1 426603094 NFPOPDYHIWPQMD-UHFFFAOYSA-N 409.349 4.657 5 20 HJBD COc1ccc([C@@H](CCC(=O)O)NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)cc1 426714749 FFTMBJDMESAJAK-HXUWFJFHSA-N 410.426 4.559 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 428395461 ZGGZHQHTIRXBBZ-AWEZNQCLSA-N 419.934 4.532 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(OCc3cscn3)c2)cc1[N+](=O)[O-] 429060386 OWFZOLCCLYIIJB-CQSZACIVSA-N 412.471 4.821 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435442788 KJJMDCMNTISKCD-VIFPVBQESA-N 419.812 4.743 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437774083 NGABKVIFQFPJRU-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD C[C@H](c1ccncc1)N1CCC(NC(=O)c2cc3ccccc3c3cccnc23)CC1 439766226 MKWSGXAIHHOLCE-GOSISDBHSA-N 410.521 4.738 5 20 HJBD CCSCC[C@H](C)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 440623663 KLBFPDITOGLHLV-BBWFWOEESA-N 407.580 4.681 5 20 HJBD COC[C@H]1CCCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 441278116 MLMQROODLGEXGD-INIZCTEOSA-N 400.500 4.635 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NC1(c2cccc(Br)c2)CC1 443941355 AQYNBXDNZHLSPM-UHFFFAOYSA-N 404.264 4.785 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 444777878 HGNDLGRYVFDEMS-INIZCTEOSA-N 406.364 4.737 5 20 HJBD CCc1nc2ccccc2n1Cc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 460746108 BVTPUKMZEJMKDC-ZDUSSCGKSA-N 409.471 4.792 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 461040988 HCUHMZMXNOJPHI-LJQANCHMSA-N 410.861 4.545 5 20 HJBD Cc1c(NC(=O)c2ccc(Sc3ccccc3Cl)nn2)cccc1[N+](=O)[O-] 462106843 VAKKMCGYQZJHOA-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD Cc1nn(-c2ccc(F)cc2)cc1CNc1ccc([N+](=O)[O-])cc1Br 462809328 RMBUFGXYGVKMSA-UHFFFAOYSA-N 405.227 4.603 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1Cl 465397214 CGBKNRMSPFMNNN-AWEZNQCLSA-N 403.866 4.562 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)NCc1ccc([N+](=O)[O-])cc1Cl 466301586 YJHSNUBJDRQSHE-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD COC[C@@H]1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 466745198 UOZMZWRSYNSLMD-CYBMUJFWSA-N 406.891 4.508 5 20 HJBD CCc1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cnn1-c1ccccc1 468184332 UXLWUVXQFJDFQU-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCOc1ccc(C(F)(F)F)cc1 470408894 RZWROFRHMSTWIU-UHFFFAOYSA-N 411.380 4.773 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1ccc([N+](=O)[O-])cc1Br 472023519 XVSYSVYZYMRGNC-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 474736961 MXDVZGIBSIEEOZ-OLZOCXBDSA-N 406.866 4.530 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H]1CCOC2(CCCCC2)C1 475190140 MHPRICRBQFRPTO-CYBMUJFWSA-N 401.385 4.617 5 20 HJBD COc1c(C(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)cccc1[N+](=O)[O-] 476335889 MNXWAWCITBBFPK-UHFFFAOYSA-N 406.360 4.552 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCCC[C@@H]2Cc2ccccc2)cc1OC 476741042 QHMRNHYBHYAADU-MJGOQNOKSA-N 412.486 4.533 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 477263687 KEUWGHDVUQRHLU-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)Cc1ccc(OCC(F)(F)F)cc1 479567916 VXKQZZPRZOBADH-UHFFFAOYSA-N 411.380 4.817 5 20 HJBD CN(C(=O)[C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 480234941 KBSLCXPRWJZRIB-XZOQPEGZSA-N 402.450 4.940 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CC[C@@H](c2ccc(F)cc2)C1 480783363 ZJCPDUYIETWRKS-LLVKDONJSA-N 405.298 4.777 5 20 HJBD CCc1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 482750073 XHWXBTHUDBFDNP-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD CC[C@@H]1CCc2ccccc2N1C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 482824290 UKLRKIXGUNCUGI-CYBMUJFWSA-N 406.385 4.576 5 20 HJBD CC[C@H](NCCC(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485807292 RROUTJHYLYVDPU-HNNXBMFYSA-N 424.270 4.566 5 20 HJBD Cc1ccc(SCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c(C)c1 486116704 FTLJAKLZYUIBSQ-UHFFFAOYSA-N 412.433 4.895 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-c3ccc4c(c3)CCO4)n2)c1[N+](=O)[O-] 486218645 RCHZUPYLRUEAQM-UHFFFAOYSA-N 407.451 4.566 5 20 HJBD COc1ccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2ccc(C)cc2)cc1[N+](=O)[O-] 486804857 LPRLGSLPYMNDQX-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 486947447 CDUZBNLDYZOLHT-UONOGXRCSA-N 424.461 4.901 5 20 HJBD COC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Cl)cc1 487156559 NSUQVRVNUKBXEQ-SFHVURJKSA-N 403.891 4.849 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(Cl)c2OCC(F)F)cccc1[N+](=O)[O-] 487486665 PYXODNKAVIXUDR-NSHDSACASA-N 413.808 4.640 5 20 HJBD COc1ccc(Cn2ccc(NC(=O)c3cc4ccccc4c4cccnc34)n2)cc1 490445517 FBYIIIANULTIBI-UHFFFAOYSA-N 408.461 4.894 5 20 HJBD CCOc1cc(CSc2nnc(-c3ccc(F)cc3)n2CC)ccc1[N+](=O)[O-] 492152714 ONUUFPZQYGCRAN-UHFFFAOYSA-N 402.451 4.703 5 20 HJBD O=C(CCc1ccccc1OC(F)(F)F)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493156621 PKCIXIYVYKIKFQ-UHFFFAOYSA-N 408.376 4.617 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)CCNC(=O)c2ccc([N+](=O)[O-])cc2)c(C)c1 498450240 BXLJJJRDZVGCDN-OAHLLOKOSA-N 415.515 4.552 5 20 HJBD COCCN(C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](C)c1ccccc1 500138287 NDKYCLLUDQQODB-HNNXBMFYSA-N 411.483 4.568 5 20 HJBD CCCCn1c(SCc2c(F)cc([N+](=O)[O-])cc2F)nnc1[C@@H](CC)N(C)C 505429105 VSPJCJOSBQETNC-MRXNPFEDSA-N 413.494 4.570 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)[S@@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 507759081 GMKJBFQPIDXYCQ-QYOLQKOISA-N 415.298 4.740 5 20 HJBD COc1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 509842149 DGLHSBXKKKXTLP-KRWDZBQOSA-N 422.485 4.554 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1ncc(-c2ccc(Br)cc2)o1 511096432 OSUOPLURTAQIBZ-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F 512315196 QNUMZZBQTBXDFW-CRAIPNDOSA-N 410.367 4.748 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(-c2cccc(F)c2)no1 515205048 QSHQFGTVEMKYSB-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD COc1cc(C(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)ccc1[N+](=O)[O-] 517655505 GZQBBDBABUJOMK-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD O=C(Cc1coc2ccc3ccccc3c12)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 519095124 ZQOKTOIZJIRHST-UHFFFAOYSA-N 412.405 4.861 5 20 HJBD CN(C)c1ccc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)nc1 521124250 CUUCGOHRZLIIGF-UHFFFAOYSA-N 412.833 4.754 5 20 HJBD CS(=O)(=O)c1ccc(Nc2cccc(COC3CCCCC3)c2)c([N+](=O)[O-])c1 521402537 OESJVYRGXJGXFT-UHFFFAOYSA-N 404.488 4.591 5 20 HJBD Cc1nn(CCC(=O)N[C@H](CCCc2ccccc2)c2ccccc2)c(C)c1[N+](=O)[O-] 523226862 JIFSVDKNMXMDCQ-JOCHJYFZSA-N 420.513 4.679 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2ccccc2Oc2ccccc2)cc([N+](=O)[O-])c1OC 524642242 QTHCOICITQNLHX-OAHLLOKOSA-N 422.437 4.895 5 20 HJBD C[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 525344756 YTOMRSFMZTVRNR-AWEZNQCLSA-N 407.417 4.579 5 20 HJBD COc1ccc(CNC[C@@]2(C)CCCS2)cc1OCc1ccc([N+](=O)[O-])cc1 531818084 RJGOPWJAPIHGJN-OAQYLSRUSA-N 402.516 4.558 5 20 HJBD CCc1nn(C)c(CC)c1CNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 532858432 LASUYWWUUHQVOS-UHFFFAOYSA-N 421.501 4.626 5 20 HJBD COc1ccccc1[C@@H](Nc1ccc([N+](=O)[O-])c(OC(F)F)c1)c1ccccn1 536305679 VKDXAHQEGGCVAN-LJQANCHMSA-N 401.369 4.801 5 20 HJBD C[C@@H](NCC(=O)Nc1c(Cl)cc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 537078444 GHAJNLASXFWCSJ-SECBINFHSA-N 402.665 4.844 5 20 HJBD Cc1nnc(N(CCC(C)C)C(=O)CCc2cccc([N+](=O)[O-])c2)c2ccccc12 539006596 VRVBUPDBEHIBDR-UHFFFAOYSA-N 406.486 4.858 5 20 HJBD Cc1ccc(CN(CC(=O)Nc2ccc(Cl)cc2)Cc2cccnc2)cc1[N+](=O)[O-] 539830846 KZUFRAHORHCNSX-UHFFFAOYSA-N 424.888 4.593 5 20 HJBD O=C(NCc1ccc(COCc2ccccc2)cc1)Nc1ccc([N+](=O)[O-])cc1F 543638941 SNKZIFULEFKMRA-UHFFFAOYSA-N 409.417 4.772 5 20 HJBD CSc1ccc(-c2nc(Cc3ccc(Oc4ncccn4)cc3)no2)cc1[N+](=O)[O-] 547097395 QTELHJRDQMWCGV-UHFFFAOYSA-N 421.438 4.540 5 20 HJBD Cc1cc(NCc2cccc(CN3CCCC3=O)c2)c([N+](=O)[O-])cc1C(F)(F)F 549107940 DHRHCCHXGRTQMP-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC[C@H]1[C@@H]1CCCO1 552412442 YOMXUEIEWHFLSB-HKUYNNGSSA-N 416.861 4.824 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])c(C)c2c1 561342650 KDNKNMKAQRZIST-HNNXBMFYSA-N 411.458 4.603 5 20 HJBD O=C(c1ccccc1)c1cc([N+](=O)[O-])ccc1NC(=O)C1CCC(OC(F)F)CC1 564052440 DVOKGFBIYYFMRR-UHFFFAOYSA-N 418.396 4.562 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(C(=O)O)c2)ccc1OCCC(C)C 572101883 ZHGCELXXDOAWGK-AWEZNQCLSA-N 402.447 4.900 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 573238471 IPMAZPFMKOXOJQ-OAQYLSRUSA-N 414.505 4.773 5 20 HJBD CCc1nn(C)c(Nc2ccc(NC(=O)c3cccc(Cl)c3)c(F)c2)c1[N+](=O)[O-] 581138211 KQLXKZITEDONEL-UHFFFAOYSA-N 417.828 4.679 5 20 HJBD C[C@H](Sc1nccn1Cc1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 593892901 KXNDRTBAGLTDPD-ZDUSSCGKSA-N 416.890 4.612 5 20 HJBD C[C@H](Nc1ccccc1COCc1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1 603954642 ANQRXXXYSWYPAO-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD CCOc1cc(NC(=O)COc2ccccc2C(C)C)c([N+](=O)[O-])cc1OCC 604492293 UOQFAYBJXTWIHS-UHFFFAOYSA-N 402.447 4.533 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)c2cnn(C(C)C)c2)cc1 609568043 UPDMUIDZOFLYIG-HNNXBMFYSA-N 424.457 4.664 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cc(Cl)cc([N+](=O)[O-])c1 609856320 DHMCBEOSAFIYSF-HNNXBMFYSA-N 411.241 4.712 5 20 HJBD CCc1cc(=N[C@H](C)c2ncc(-c3ccccc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)[nH]1 610077137 GMLDMPTZJHKUCL-OAHLLOKOSA-N 415.453 4.864 5 20 HJBD CC(C)(C)OC(=O)NCCc1ccc(CNc2ccc([N+](=O)[O-])c3cnccc23)cc1 725791140 BZDUNQJMEBCDSV-UHFFFAOYSA-N 422.485 4.822 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3ccc([N+](=O)[O-])cc3)o2)N1CC(F)(F)F 726872127 WSCDFKXPYCQFBX-GOSISDBHSA-N 417.343 4.984 5 20 HJBD O=C(Nc1cccc(SC(F)F)c1)c1ccc(Br)c([N+](=O)[O-])c1 727347364 KIBRFYUQRKBIJH-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)c(Cl)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727713052 XQCFFTQWEYPKQN-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)(CNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1c(Cl)cccc1Cl 729659384 VOQPSUSKQPPTIO-UHFFFAOYSA-N 403.212 4.887 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 730451564 BHSITLBQNLAGAD-LJQANCHMSA-N 402.328 4.771 5 20 HJBD Cc1c(C(=O)Nc2nc(/C=C/c3ccccc3)cs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 735262401 IEWXHLMHOMBXPC-CMDGGOBGSA-N 424.438 4.999 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1Cl)Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O 742399084 KCZWRCMKDANIGP-LLVKDONJSA-N 410.257 4.901 5 20 HJBD CC/C(=C/C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(Cl)c1 745759189 QGTXWDBUKRFVEC-UVTDQMKNSA-N 402.834 4.532 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 747367096 YXQXHMQSWQQWEV-UHFFFAOYSA-N 415.400 4.582 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 747806630 QKMKICYZYOGZPB-JXFKEZNVSA-N 413.499 4.929 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 749060227 QCKWRCPRKWXUAJ-IBGZPJMESA-N 401.378 4.591 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NC[C@@H](O)c1ccncc1 750492070 ZWZBWVTVAIUMPT-XMMPIXPASA-N 422.484 4.792 5 20 HJBD C[C@H](Oc1cccc(Cl)c1Cl)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751645110 FCODMRPGMPDPTM-VIFPVBQESA-N 411.197 4.554 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(-n3cccc3)nc2)c([N+](=O)[O-])cc1OCCC 753190642 QPZIWCBDZHNBOU-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)c1[N+](=O)[O-] 760303207 CUZHCKPBZUTOIO-UHFFFAOYSA-N 408.414 4.966 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1-c1ncco1 765530815 VLUIWDGHZQXFLJ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@@H](OC(=O)Cc1ccc(C(C)(C)C)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767667462 GKSJHFSZGRPAFT-CQSZACIVSA-N 409.442 4.789 5 20 HJBD CC1(C)C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2Br)c2ccc(F)cc2O1 769723093 HRKCUPVCNXTLQS-HNNXBMFYSA-N 423.238 4.529 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](c1ccccc1)c1nccs1 770183593 LVKQPIOUAPIIBA-SJKOYZFVSA-N 418.858 4.805 5 20 HJBD CC[C@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 779742684 LQMYCKMGXXNEHA-HOTGVXAUSA-N 418.877 4.971 5 20 HJBD CC(C)(O)CN1CCc2c(cccc2NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 784633723 OTHSYHHQXGIFGV-UHFFFAOYSA-N 421.497 4.596 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccccc1[N+](=O)[O-] 787566636 TZCMFHCUFVJGCH-IBGZPJMESA-N 401.378 4.591 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(N[C@@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CC1 788582087 HJADLXMAWPQGJW-JFIBYHEFSA-N 403.523 4.743 5 20 HJBD CSCC(C)(C)C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 799857801 VGWMFXOUMFSNNW-UHFFFAOYSA-N 422.890 4.795 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C(=O)Nc1ccc(SC(C)(C)C)cc1 800628864 CDVNZJYMLFSXFZ-UHFFFAOYSA-N 405.451 4.510 5 20 HJBD C[C@H](C(=O)Nc1ccc(Cl)c(SC(=O)N(C)C)c1)c1cccc([N+](=O)[O-])c1 805440364 CWBTYDIUXBXNOQ-NSHDSACASA-N 407.879 4.764 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 806232812 IOFZWRYCIWWFTP-UHFFFAOYSA-N 416.909 4.945 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCCc1nc(C(F)(F)F)cs1 811482066 RPLHUEPAIBKHQL-UHFFFAOYSA-N 400.209 4.709 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cs2)cc1 811526996 PAZJLGYZWCXLAU-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(C(F)(F)F)nc1Cl 817869447 CKBKEOPSIHEBHJ-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc(-c2cccc(OCc3cccnc3)c2)no1 904501924 GSGKJBPIEILBOG-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)c2c1 1116246447 LMYQVRBEACKXOH-UHFFFAOYSA-N 424.460 4.712 5 20 HJBD CN(Cc1cc2ccccc2[nH]1)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1255370256 ANMBSYXJWGUEBM-UHFFFAOYSA-N 417.415 4.713 5 20 HJBD Cc1cnn(CC2CC2)c1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 1319866209 FHAJKRFMWHSIPJ-UHFFFAOYSA-N 408.483 4.913 5 20 HJBD CN(Cc1nc(O)c2sccc2n1)Cc1ccc(-c2ccccc2[N+](=O)[O-])s1 1320287675 CXZOAWYXECMPQO-UHFFFAOYSA-N 412.496 4.666 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(-c3nnc(-c4cccc([N+](=O)[O-])c4N)o3)cc12 1322113713 LCJHKRXLYHKNAN-UHFFFAOYSA-N 418.438 4.603 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1326084079 KFMZRUFAEIIPFS-HNNXBMFYSA-N 402.248 4.873 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 10647042 MXOUQKPTFUXRLC-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@@H](OC(=O)c1cccc(Cl)c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11029609 WZGBTLNUQLTGCM-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1ccc(NC(=O)[C@H](C)SC2=Nc3ccccc3CS2)c([N+](=O)[O-])c1 22022472 LKSXEVCCTRRKLY-NSHDSACASA-N 403.485 4.598 5 20 HJBD C[C@@H](OC(=O)c1cc2c(F)cccc2s1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 24980608 ZDPIISXENVKITM-SECBINFHSA-N 422.821 4.786 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)c1 28400595 KFXGMQLURZJSCF-LBPRGKRZSA-N 422.890 4.771 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1cccs1)N(CCc1ccc([N+](=O)[O-])cc1)CC2 59384438 QVAGUEUVPNXTCY-HSZRJFAPSA-N 424.522 4.864 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)Cc1ccccc1[N+](=O)[O-] 59584308 LAIWFBXLLIYNKV-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 64947229 LQIMWBRASIBNNL-CYBMUJFWSA-N 421.482 4.579 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Sc2ccc(Cl)cc2)cc1 66050119 RALZSHFZLVSUDS-UHFFFAOYSA-N 402.863 4.625 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 78557463 OCSJPGAVFFVVTG-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD C[C@H]1CCCC[C@@H]1NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 97632530 VVYMJVGHXWUFRV-UGSOOPFHSA-N 415.877 4.809 5 20 HJBD Cc1c(C(=O)Nc2ccccc2SC2CCCC2)nnn1-c1cccc([N+](=O)[O-])c1 106007258 YFPJNHKKQIKWKN-UHFFFAOYSA-N 423.498 4.771 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](c1ccc(Cl)cc1)c1cccs1 109263446 XNXHIIQWMYMWLQ-LJQANCHMSA-N 420.849 4.733 5 20 HJBD C[C@@]1(C(=O)O)CCC[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426727003 LXUIJJZOKXXMCT-UYAOXDASSA-N 404.850 4.774 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(C)cc1-c1nc2ccccc2[nH]1 427604212 XSKKMJSBDWHXOB-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD Cc1nnc(N(C)C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)s1 427737307 RVMPVNOKLIFKBR-UHFFFAOYSA-N 420.903 4.836 5 20 HJBD CCC(=O)c1cccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 433399265 IKODDRWXRVICAH-LLVKDONJSA-N 409.364 4.645 5 20 HJBD O=C(NCCc1ccc(Cl)s1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436073988 JQICTUNRTJINKP-UHFFFAOYSA-N 418.852 4.647 5 20 HJBD CCOc1cc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc(Cl)c1O 436783313 LQZUYXVEVYRYHQ-UHFFFAOYSA-N 403.822 4.728 5 20 HJBD CC(C)c1ccc(C(=O)N(C)CCCc2cc(-c3ccccc3)n(C)n2)cc1[N+](=O)[O-] 439263898 ZZJBBCJYPMDIQZ-UHFFFAOYSA-N 420.513 4.824 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@H](Cc2ccc(F)cc2)C1 444097404 UEQSORHVCSLFBA-MRXNPFEDSA-N 418.443 4.664 5 20 HJBD O=C(NC1CCC(c2ccccc2)CC1)c1sc(Br)cc1[N+](=O)[O-] 444860113 PHKOWCOLXMMUQB-UHFFFAOYSA-N 409.305 4.875 5 20 HJBD COc1ccc2c(c1)[C@H](N(Cc1ccccc1)C(=O)c1ccc([N+](=O)[O-])cc1)CCO2 460243489 WODGYVPIFBWRLI-JOCHJYFZSA-N 418.449 4.770 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC4(CCC4(F)F)C3)cc2[N+](=O)[O-])cc1 461283330 QXUDXVTZJVNPFT-UHFFFAOYSA-N 404.438 4.926 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](CC)c1nc(C(F)(F)F)cs1 462637035 FEFNNQPYCSBZHY-JSGCOSHPSA-N 415.437 4.906 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)c1ccc(Br)s1 463144771 WSQWLRUJNAMFOK-LBPRGKRZSA-N 411.321 4.960 5 20 HJBD CO[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C(C)(C)C 465016621 JEYRTYXGYDHXFO-KRWDZBQOSA-N 411.296 4.768 5 20 HJBD COc1cc(C(=O)N(c2ccc(F)cc2)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 465482022 SKPHLSPQPGTTMU-UHFFFAOYSA-N 424.375 4.933 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)n2)cc1 473822410 OAJVWMAYDNEDLC-CQSZACIVSA-N 409.446 4.707 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1cc(Oc2ccc(F)cc2)ccn1 474784475 QNWVEQJLRYBBLS-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD COc1ccc(Oc2cc(CNC(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)ccn2)cc1 475563580 QKFPYDMXGLXLDK-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD CCN(C[C@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1)CC(F)(F)F 477280610 RNVAHFUXNAPSLL-MRXNPFEDSA-N 415.459 4.734 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccs3)cs2)cc1SC 482162599 GWACKJLSLKRWLC-UHFFFAOYSA-N 407.498 4.763 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CCC(C(F)(F)F)CC1 485741822 GNBWZVJNIBPTJL-UHFFFAOYSA-N 408.376 4.588 5 20 HJBD O=C(Nc1ccc(-n2cccn2)cc1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486069382 NWSHGMFCGHVAOK-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(Br)c(F)c1 486559149 JTFQNJQFVUQVAP-UHFFFAOYSA-N 413.268 4.538 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)o2)cc1 488820500 QKBADWNEYDEUPT-CYBMUJFWSA-N 412.471 4.888 5 20 HJBD CN(CCc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1)C1CC1 497777449 ZMDNJHLXBXLRFB-UHFFFAOYSA-N 407.392 4.503 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1cccs1)Cc1cccs1 500776453 BYDCZXCDBITDIX-UHFFFAOYSA-N 401.513 4.672 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](COCc2ccccc2)C1 503396422 GARXKUUTUHOYFZ-GOSISDBHSA-N 414.527 4.776 5 20 HJBD O=C(c1ccccc1)N1CC[C@@H](COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])C1 509061175 QPVKAQAKACDUGO-GOSISDBHSA-N 402.450 4.803 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCC[C@H]1C[C@@H](C)N(Cc2ccccc2)C1 510861695 PLGASZIZCSCZJH-XLIONFOSSA-N 410.518 4.634 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccc(Cl)c1 515677707 TYIVCPJKQVPWPR-QFIPXVFZSA-N 410.857 4.923 5 20 HJBD COc1ccccc1[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccccc1 518135657 QHTNSGOJKCAYEY-OAQYLSRUSA-N 401.422 4.604 5 20 HJBD C[C@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 518909560 LDBKNDDGTMDCDP-SFHVURJKSA-N 419.481 4.793 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 520173720 YAAPXOHVXOTUFX-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD COc1ccc(-c2ccc(CNc3cccc(-c4nnc(C)n4C)c3)o2)c([N+](=O)[O-])c1 520263469 UYSDVZLCKIFLJC-UHFFFAOYSA-N 419.441 4.579 5 20 HJBD COc1ccc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cc1 520292862 NILBTQBEYPIECV-CQSZACIVSA-N 417.425 4.889 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc([N+](=O)[O-])cc2Br)[C@H]1c1ccccc1 523491582 YNFFTJLSQFIPFT-YVEFUNNKSA-N 421.316 4.676 5 20 HJBD CC[C@](C)(NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1nccs1 523546911 NOIPJBCMZYJDPV-NRFANRHFSA-N 410.499 4.719 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 524767886 BWXOCRKRCKDGNU-FGZHOGPDSA-N 421.541 4.996 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](CO)c3ccccc3C)c([N+](=O)[O-])c2)cc1 531334377 WJHFEFLHZREUFM-NRFANRHFSA-N 405.454 4.609 5 20 HJBD Cc1ccc(CN(Cc2ccco2)Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)s1 532489243 LCCLHGUTVMVLKI-UHFFFAOYSA-N 410.455 4.810 5 20 HJBD CN1CCC([C@H]2CCN(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)C2)CC1 533772394 QSYRKLNFGFQDMV-SFHVURJKSA-N 424.501 4.583 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@H]1c1nc2ccccc2s1 534650606 ARVFLBUDXTUARN-SFHVURJKSA-N 406.467 4.592 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@@H](C)c1nc(-c2ccccc2)cs1 536868593 IQNMWYYVECURIB-GJZGRUSLSA-N 410.499 4.786 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(Cc1cccc2ccccc12)c1ccccc1 538439256 HIKAZKLVSSTBIW-UHFFFAOYSA-N 414.465 4.876 5 20 HJBD COc1ccc(-c2nc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)c(OC)c1 539378224 WCGOSWFFGCQQLF-CQSZACIVSA-N 413.499 4.895 5 20 HJBD C[C@H](C(=O)N(CCc1ccccc1)Cc1ccncc1)c1ccc([N+](=O)[O-])cc1F 542532947 SORBKIQIGDMDOO-KRWDZBQOSA-N 407.445 4.504 5 20 HJBD COc1cc(CNc2nnc(C(F)F)s2)c([N+](=O)[O-])cc1OCc1ccccc1 545352750 HAZVPOYZNISHIL-UHFFFAOYSA-N 422.413 4.584 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549119464 WAVRKZPSJBTLAX-CQSZACIVSA-N 400.353 4.720 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@@](F)(c4cc(F)cc(F)c4)C3)o2)c1 556509015 RWKAJQKEMDLJRN-NRFANRHFSA-N 417.387 4.641 5 20 HJBD COc1ccc(CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)[C@@H](C)C2CC2)c(OC)c1 558732090 GPHSBLCFYISNQI-HNNXBMFYSA-N 413.474 4.753 5 20 HJBD Cc1c(CNC(=O)c2[nH]c3ccc(Br)cc3c2Cl)cccc1[N+](=O)[O-] 562314676 YFFPNKCIIICAGA-UHFFFAOYSA-N 422.666 4.730 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@@H](C(F)(F)F)[C@@H](CO)C3)o2)c(Cl)c1 578558479 PYNXXNNBCATAND-IAQYHMDHSA-N 418.799 4.501 5 20 HJBD COc1cccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])s3)n(-c3ccccc3)n2)c1 603760607 NWBXFZGKSQSXKR-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD C[C@@H]1CCCCN1Cc1ccccc1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603766897 ZBXHYUGLYQWLMK-MRXNPFEDSA-N 407.470 4.645 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 603927039 KSFHVNLELBYIJJ-UHFFFAOYSA-N 404.426 4.962 5 20 HJBD COc1ccc(CNC(=O)c2cccnc2Sc2ccc(C)cc2C)cc1[N+](=O)[O-] 604017374 RHDCIVPPHDXSFN-UHFFFAOYSA-N 423.494 4.696 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609726460 KWSOWEOSKKQJGL-FQEVSTJZSA-N 418.478 4.556 5 20 HJBD Cc1c(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cnn1-c1ccccn1 609755083 CFPXPOYAKOKVPS-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 609857168 KUGJYOVPSSYJLY-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1Cl 610040507 XQFBDZFPLPAFLK-UHFFFAOYSA-N 417.893 4.647 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)ccc1Cl 611398183 MJQWIQYHXZWINS-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD CC(C)(C)OCc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Br)c1 726152874 RMYQEGDYELRAIV-UHFFFAOYSA-N 421.291 4.602 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 727389818 PDLRFGDZHKFJIA-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 727399281 ODRBGZLDJQQKKM-FMYZQTRCSA-N 407.253 4.515 5 20 HJBD O=C(Nc1cccc(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c1)c1ccc(F)cc1 727710333 PNXOWIMEBNLWNX-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)c2cc(C)c(C)cc2C)cc1SC 728655630 FARZVSZJFNFWEM-CQSZACIVSA-N 417.483 4.679 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC(=O)c1cc(F)c(F)cc1[N+](=O)[O-] 729659658 XXMVFGCPCXHCRC-VIFPVBQESA-N 421.400 4.935 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 731050732 BBQADOSSMLLMHW-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD C[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1csc2ccccc12 732042598 IHWDSSWXGRSJKQ-SNVBAGLBSA-N 412.439 4.979 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H]1c1ccc(F)c(F)c1 732973667 ZUSUEUUGCFUUQT-BZNIZROVSA-N 410.804 4.657 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(-c2nc(-c3cc([N+](=O)[O-])c[nH]3)cs2)c1 743051971 AMHWMWHQOSBTFE-UHFFFAOYSA-N 400.460 4.738 5 20 HJBD O=C(c1cc(=O)c2ccccc2o1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743789752 UIKJFVYKEISZGE-UHFFFAOYSA-N 400.390 4.548 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccc(Cl)cc2)no1 746057092 FIYPXNRMEZBMNN-SECBINFHSA-N 408.197 4.870 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749999139 DWKJNVUAEZSZDV-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H](CNC(=O)c2cc3ccccc3c3cccnc23)C1 751162408 PBFXBGURTYEXRT-KRWDZBQOSA-N 419.525 4.765 5 20 HJBD CN(CCCCc1ccccc1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754990020 TXZDWSCUBXQQOH-UHFFFAOYSA-N 409.255 4.591 5 20 HJBD CC1(CC(=O)O[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CC1 758831226 WUOYSFOHIXZMGR-SFHVURJKSA-N 402.834 4.661 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(Br)c1F 759144399 DGTJEUGGYNSTPD-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 764389448 CETPTQPSQQSXNO-XLIONFOSSA-N 402.878 4.757 5 20 HJBD COc1cc(COC(=O)c2sc(Br)cc2[N+](=O)[O-])ccc1SC 773596098 DQTPUMCGBQFRQO-UHFFFAOYSA-N 418.290 4.506 5 20 HJBD CSC(C)(C)COC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 775329186 NKBSCIXNBOGWOT-UHFFFAOYSA-N 420.453 4.515 5 20 HJBD O=C(CCc1cc(Cl)cs1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776131240 FGKYLGBZWMLBCQ-IBGZPJMESA-N 402.859 4.970 5 20 HJBD C[C@@H](c1ccccn1)N(C(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc(N(C)C)cc1 779464282 KOEIODSKXBFQMB-SFHVURJKSA-N 418.497 4.783 5 20 HJBD C[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc(C2CC2)cs1 781181021 VRFRXJYZYIAQSF-CQSZACIVSA-N 404.491 4.793 5 20 HJBD C[C@@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccc(F)cc1 791167113 IDQJVFSZTMEHAH-LBPRGKRZSA-N 421.266 4.575 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1C 792593660 JDPNOMZXKPRAQE-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/c2nc(O)c3cc(Br)sc3n2)cc1Cl 794946529 WCCHLMRISCVWAF-DUXPYHPUSA-N 412.652 4.891 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)c(C)c1 800628899 DMOBTEHSIPGTCY-LBPRGKRZSA-N 419.478 4.819 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(F)c(Br)c(Cl)c2)c1[N+](=O)[O-] 804808754 XUPBDESFGBSTSJ-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(Cc1ccc(OC(F)F)cc1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811219682 UDVINWHOCLDXFS-UHFFFAOYSA-N 420.393 4.606 5 20 HJBD O=C(CNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])Nc1cc(Cl)ccc1Cl 811476128 FUXYMAXMVULYLY-UHFFFAOYSA-N 423.083 4.937 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2cccc(SC(=O)N(C)C)c2)cc1[N+](=O)[O-] 815404872 AGJFWGUTVXHUQM-UHFFFAOYSA-N 408.863 4.687 5 20 HJBD CCc1ccc(CNc2cc([N+](=O)[O-])c(OC)c(Br)c2[N+](=O)[O-])s1 917067334 IHVHLSUWUPBWKT-UHFFFAOYSA-N 416.253 4.510 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])c2)cc1 917092476 VALLKPSXPCTVCA-UHFFFAOYSA-N 406.398 4.676 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1COc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 918218624 YRLDCHHUZQMDSP-KSSFIOAISA-N 403.866 4.562 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(F)cc1OC(F)F 1319924363 HECAOOOPPBBMKR-JTQLQIEISA-N 414.405 4.938 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1nc(-c2ccccc2)oc1C1CC1 1342560339 FSERBWUNRLONGW-DLBZAZTESA-N 422.441 4.664 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841659 IJSLDDGJDJSLMI-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1OC 7106759 XXTIMIJCEZFODH-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD CC(=O)N(c1cccc(Cl)c1)c1nc(COc2ccc([N+](=O)[O-])cc2)cs1 7168428 SXVUMSYTUQGNID-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(Cl)c(Cl)c1 22668423 JFVNLEGITXWCDZ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1C(=O)Nc1cccc([N+](=O)[O-])c1C 23456292 PKDZYPMBEMKSIN-UHFFFAOYSA-N 401.419 4.639 5 20 HJBD CCn1c(SCC(=O)c2ccccc2OC)nc2cc3ccccc3cc2c1=O 24890939 VRAYIPCUBJIHMQ-UHFFFAOYSA-N 404.491 4.553 5 20 HJBD O=[N+]([O-])c1ccc2sc(/C=C/c3cnc4ccc(Br)cn34)nc2c1 97591094 DAILYUNULXLUAI-ZZXKWVIFSA-N 401.245 4.785 5 20 HJBD C[C@@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 107794034 GQURFACBGBKAMZ-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD COc1ccccc1S[C@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 109264342 HWCGCLUPGJJMQR-GFCCVEGCSA-N 415.496 4.846 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)Cc1cccc(Br)c1 195678230 KZCZBVGTXUACSG-OLZOCXBDSA-N 423.332 4.585 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc([N+](=O)[O-])c1 195692702 NLHROCBPTPAGKQ-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ccccc1 211544973 ULJUYOIKUMTTAX-NOCRFKNCSA-N 416.433 4.845 5 20 HJBD Cc1c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cnn1-c1ccccc1F 261413854 VWFRDMGKZFXQFS-UHFFFAOYSA-N 408.433 4.817 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCc1ccccc1CN1CCCCCC1 301401951 QTMVZXNNGXFOBN-UHFFFAOYSA-N 424.501 4.540 5 20 HJBD C[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1noc(-c2ccc(Cl)cc2)n1 301537171 MEMPICSDEHLMFI-MRVPVSSYSA-N 424.642 4.629 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1CCCSc2ccc(Cl)cc21 430472532 MODGVNCIOVTJPC-UHFFFAOYSA-N 414.874 4.577 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@@H](C)c3ccccc3[N+](=O)[O-])CC2)n1 433156129 ADDVOAAJSYKRRG-HNNXBMFYSA-N 408.458 4.594 5 20 HJBD CCSCC[C@@H](C)N(C)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 434301856 RWFOSHJRTFLIPN-HZPDHXFCSA-N 416.547 4.767 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)Cc1cccc([N+](=O)[O-])c1C 438984669 GGZBXEZIFZGLBG-CYBMUJFWSA-N 413.474 4.894 5 20 HJBD CN(C)Cc1ccc(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 442419707 OHGZYXXKELKTCW-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCn2c(cc3c(Cl)cccc32)C1 444834110 GTBNYAUZJWBSKY-UHFFFAOYSA-N 405.241 4.904 5 20 HJBD CC(C)N(C(=O)c1ccc(Br)cc1[N+](=O)[O-])c1cnc2ccccc2c1 448459541 ONBYTTICLUFPEB-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(c3nc4ccccc4s3)CC2)cc1OC(F)F 462389708 VBEIKZRDLQMHFO-UHFFFAOYSA-N 420.441 4.887 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2ccccn2)c1 462442432 UUAASSQZGURVTJ-SFHVURJKSA-N 405.454 4.776 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(NC(=O)c2ccoc2)c1 462505528 WFFFQKBTXCPRCW-INIZCTEOSA-N 407.426 4.648 5 20 HJBD COc1cc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)c([N+](=O)[O-])cc1OCC(F)(F)F 462737379 VWDFDKRSHBFHLN-VNHYZAJKSA-N 402.413 4.603 5 20 HJBD CNc1c(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cccc1[N+](=O)[O-] 462992692 RIAWKEWGQSFYMH-UHFFFAOYSA-N 421.891 4.545 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\c1nc(-c2ccc3nc(-c4cscn4)[nH]c3c2)no1 463184015 JXHNNYCYIWHOMD-VURMDHGXSA-N 416.422 4.815 5 20 HJBD C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 463793212 HXDYCTNXYLTTNX-VIFPVBQESA-N 401.410 4.859 5 20 HJBD O=C(Cc1cc2c(cc1[N+](=O)[O-])OCCO2)Nc1ccccc1SC1CCCC1 465040090 WRXWHGFRWNGEJM-UHFFFAOYSA-N 414.483 4.582 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466301325 YGAHHSVFISKXKL-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1ccc(F)cc1[N+](=O)[O-] 466335331 WAMTTZSFYGIAKL-HNNXBMFYSA-N 415.421 4.804 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCN(c2cccs2)CC1 467463587 GZRDMKXKCVYTTF-UHFFFAOYSA-N 424.482 4.803 5 20 HJBD C[C@H](O)C[C@H]1CCCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 468565914 NCFUHJCWSOJWAH-MAUKXSAKSA-N 400.500 4.512 5 20 HJBD O=C(Nc1cccc(N2CCC2=O)c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 468711644 POSJRNZGMUEQEQ-UHFFFAOYSA-N 419.462 4.735 5 20 HJBD Cc1cccc(CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1Br 468890580 GOQBZUIYETWYJR-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD O=C(COc1c(Cl)cccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1cccs1 471292775 PBQOYOFAVVCSTJ-SFHVURJKSA-N 420.849 4.733 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCC[C@H]3c3nc4ccccc4o3)o2)cc1 474227346 MRFRLSOGGCKDHO-KRWDZBQOSA-N 405.414 4.513 5 20 HJBD Cc1ccc(C(=O)[C@@H](c2ccc(C)cc2)S(=O)(=O)c2ccc([N+](=O)[O-])cc2)cc1 477055213 HWLIFEDVTIDYFG-JOCHJYFZSA-N 409.463 4.610 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 477101436 ONCRUHFWZJWGSN-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 478629925 DZKVIGOYBWDMCL-INIZCTEOSA-N 415.559 4.926 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OC(F)(F)F)cc1 481708988 DSAJCOFFQMCBGM-NSHDSACASA-N 405.332 4.533 5 20 HJBD COc1ccc(CN(C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)[C@@H](C)C2CC2)cc1 484287181 UTFCDRPZGLPOQK-HOTGVXAUSA-N 414.527 4.911 5 20 HJBD COc1ccccc1SCC(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 488269254 PSZPADPRMSJBJP-UHFFFAOYSA-N 415.496 4.766 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)OC(C)(C)C)cc2F)c1 490931493 INJRQTVFJFEBFT-UHFFFAOYSA-N 406.435 4.663 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2O[C@@H](C)C(F)(F)F)c1 494801755 STPNGNRFZYQGQE-ZDUSSCGKSA-N 412.364 4.640 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(c2nc3ccccc3s2)CC1 497777466 ZZLQQMXSLKEGNS-UHFFFAOYSA-N 418.478 4.773 5 20 HJBD CC(C)[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)NC1CCCCC1 498086571 VRMNCLRFSNAKMH-OAQYLSRUSA-N 423.582 4.652 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 502280644 MMEAKTFKGJMKNI-XLIONFOSSA-N 423.494 4.616 5 20 HJBD CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)Cc1c(F)cccc1[N+](=O)[O-] 502741065 TXVFXTNLDZJTAR-UHFFFAOYSA-N 404.829 4.848 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](Oc2ccccc2Cl)C1 504692060 LUVLSUHOEIKUGB-HNNXBMFYSA-N 400.818 4.678 5 20 HJBD Cc1sc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)nc1-c1c[nH]c2ncccc12 506178432 KJFMJUKMCQSASV-UHFFFAOYSA-N 421.482 4.819 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(F)cc1)c1cccs1 509086823 ZXZOJOVGGARCPT-IBGZPJMESA-N 402.472 4.793 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cc(C)no2)ccc1OCc1ccc([N+](=O)[O-])cc1 509829130 WLKKJJFNSVZTPC-FQEVSTJZSA-N 423.469 4.816 5 20 HJBD COc1cc(CN(C)Cc2cnc(C)s2)c([N+](=O)[O-])cc1OCc1ccccc1 510445264 DWPZBPXVIKMFQS-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(COc2ccccc2NC(C)=O)cc1OC 513615946 WDRJNLQJLYMJPJ-AWEZNQCLSA-N 402.447 4.566 5 20 HJBD Cn1ccnc1[C@@H](NCCCCOc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1 513702260 GVMHDKFVDRBKIQ-FQEVSTJZSA-N 414.893 4.520 5 20 HJBD Cn1ccnc1[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(F)cc1 513789588 KVGYGMQJFYDODL-PIPMEXSNSA-N 408.477 4.558 5 20 HJBD O=C(c1csc(-c2c(F)cccc2F)n1)N1CCCc2c1cccc2[N+](=O)[O-] 513841521 SHKVFUAOXRPHHT-UHFFFAOYSA-N 401.394 4.590 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c12 515012016 RNOFOSZHRFOMEM-UHFFFAOYSA-N 420.400 4.518 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNC(C)(C)C(=O)N(C)C)cc2[N+](=O)[O-])c1 518284412 MOYYIGCTSOIYMX-UHFFFAOYSA-N 413.518 4.775 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)c1c(Cl)cccc1[N+](=O)[O-] 518378804 GMOPPCTZKCSGJQ-UHFFFAOYSA-N 415.646 4.812 5 20 HJBD COc1ccc(OC)c(CNCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 520210554 UJJFKSYFBAWPCT-UHFFFAOYSA-N 412.417 4.833 5 20 HJBD O=[N+]([O-])c1cc(CNCCCCn2ccnc2)ccc1Oc1ccccc1Cl 520944963 ZFHYIJVIVBQMRX-UHFFFAOYSA-N 400.866 4.807 5 20 HJBD COc1cc(CN(Cc2ccc(F)cc2)CC2CC2)c([N+](=O)[O-])cc1OC(F)F 523789530 RRTZLMOTICAMBA-UHFFFAOYSA-N 410.392 4.756 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCc2c(ccc(Cl)c2Cl)C1 523909866 PUXLRPXAIJMUQC-UHFFFAOYSA-N 408.285 4.679 5 20 HJBD C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N1CCC(OCC2CCCCC2)CC1 533290865 UERAEMFCRYMDFR-HNNXBMFYSA-N 423.941 4.637 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)Nc1ccc(F)cc1Cl 535506192 AJEPGPHAFWUJGO-UHFFFAOYSA-N 421.837 4.914 5 20 HJBD O=C(Nc1cnc(-c2ccccc2)s1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 535787762 DTZXJZKKPTVXPB-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCn4c(nnc4-c4ccccc4)C3)s2)cc1 536665108 RHRDQQLHNMAKCO-UHFFFAOYSA-N 417.494 4.598 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2n[nH]c(C)n2)c1 537427426 PCDAKUGASMYOGX-UHFFFAOYSA-N 411.487 4.833 5 20 HJBD COc1ccc(CN[C@H]2CCSc3ccc(Br)cc32)cc1[N+](=O)[O-] 537972645 USKJDPODAAPNIZ-AWEZNQCLSA-N 409.305 4.693 5 20 HJBD CN(Cc1ccccc1N1CCCC1)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537992324 YUDOFWDHLPOZRI-UHFFFAOYSA-N 416.481 4.529 5 20 HJBD CN(C(=O)C[C@@H]1CCc2ccccc2C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540449970 KGRPWWAXRWIFSN-OAHLLOKOSA-N 407.495 4.876 5 20 HJBD CCOc1ccc([C@H](C)Nc2ncc([N+](=O)[O-])c(C)c2Br)cc1OC 542519970 AMPZDOJHOANYLB-NSHDSACASA-N 410.268 4.641 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2c(C)n[nH]c2C)cc1OC 543844448 OLCFNYBMQVJCIN-KSSFIOAISA-N 416.522 4.705 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(Cc3cccc(F)c3)no2)cc1[N+](=O)[O-])c1ccccn1 544930838 GIJMYMJCHLEHRR-CQSZACIVSA-N 419.416 4.943 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1ccnc(-c3ccccc3)c1[N+](=O)[O-])CCC2 550161262 HJZAKVUQPZESSV-QGZVFWFLSA-N 408.483 4.571 5 20 HJBD O=[N+]([O-])c1ccc(CCN[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1 551418270 MDLWGAQWIZVVAM-FQEVSTJZSA-N 408.420 4.914 5 20 HJBD COc1ccc(CN(C(=O)Nc2cc([N+](=O)[O-])ccc2C)[C@H](C)C2CC2)c(OC)c1 558732091 GPHSBLCFYISNQI-OAHLLOKOSA-N 413.474 4.753 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 561298200 HUPAWZYMDCZVQQ-GFCCVEGCSA-N 407.442 4.780 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)N1CCC[C@H](CO)CC1 564058019 HREAHMCSKWSCAS-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD CN(C(=O)CCc1c(-c2ccccc2)[nH]c2ccc(F)cc12)c1ccc([N+](=O)[O-])nc1 568438392 RNPDLXULTGSQMW-UHFFFAOYSA-N 418.428 4.873 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCn2c(cc3ccccc32)C1 579395796 OFUKLCDQOPCAQS-UHFFFAOYSA-N 421.500 4.542 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 589290939 LDNHDMZTALWSLA-HSZRJFAPSA-N 420.465 4.544 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@H](c1cccc(F)c1)c1cnn(C)c1 590161216 QQAILDDADVOBLW-LJQANCHMSA-N 422.382 4.708 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)C(=O)Nc2cccc([N+](=O)[O-])c2C)C(C)C)cc1 603705731 MHSOYBYCMFAJGA-VFNWGFHPSA-N 411.502 4.869 5 20 HJBD Cc1c(OCC(=O)N2CCc3sccc3[C@H]2c2ccccc2)cccc1[N+](=O)[O-] 603916940 XULCWRKLNWPXMI-JOCHJYFZSA-N 408.479 4.518 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccc(F)cc1F)C1CCCC1 603955217 AQOZHRJFSUNOAX-UHFFFAOYSA-N 404.413 4.613 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCCCc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 604298008 DALNHDXMASUQAI-SFHVURJKSA-N 418.497 4.916 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccccc2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 609009566 CTXKLNGOGLCSCB-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD COc1cc(C(=O)Nc2cccc(Cl)c2SCC(F)F)ccc1[N+](=O)[O-] 609909154 ZJEZLDXJALKUEZ-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD Cn1cc(C(=O)NCC2(c3cccs3)CCCCC2)c(-c2ccc([N+](=O)[O-])cc2)n1 610048809 GYQSLQBSIRGBOH-UHFFFAOYSA-N 424.526 4.689 5 20 HJBD CN(C(=O)c1cc(-c2ccccc2F)on1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 611400802 ZOEGEXQOIWYYQV-UHFFFAOYSA-N 424.413 4.789 5 20 HJBD Cc1nc(SCC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c2c(C)c(C)sc2n1 613637961 PAJNMNBGEPPRID-UHFFFAOYSA-N 416.528 4.872 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1)c1ccc(F)cc1 728272612 GIYFUXGRZAZADZ-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD COc1ccccc1C/C(C)=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 730171002 NRRGHYYFFREQLU-QINSGFPZSA-N 422.403 4.683 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@H]1C[C@H]1c1cccc2ccccc12 730873716 YWUMFEIWVLJJOY-PMACEKPBSA-N 415.405 4.645 5 20 HJBD C[C@@H](CN(C)C(=O)OC(C)(C)C)c1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 733745664 WANAWBWHBQPSFN-QWHCGFSZSA-N 422.507 4.802 5 20 HJBD Cc1nc(COc2ccccc2C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cs1 734889358 YUWINUYZSWGISW-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2Cc3ccccc3C[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 744370158 DQUYWRVWGUELDN-JOCHJYFZSA-N 417.421 4.751 5 20 HJBD C[C@@H](OC(=O)C1(c2cccc(Cl)c2)CC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 744777888 GZTBNLXTBXVYPS-LLVKDONJSA-N 423.252 4.504 5 20 HJBD Cc1nc(SCC(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 749056211 NDEGIHYZIHLATJ-LLVKDONJSA-N 417.512 4.921 5 20 HJBD O=C(Nc1ccc(OC(=O)C(C2CC2)C2CC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 753010434 QNMHMOVNLVDRSU-UHFFFAOYSA-N 414.845 4.842 5 20 HJBD O=C(NC1CCC(c2ccccc2)CC1)c1cc(F)cc([N+](=O)[O-])c1Br 754631361 NMFSDEAYQJTBRC-UHFFFAOYSA-N 421.266 4.953 5 20 HJBD CCC(CC)c1cc(CNC(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)on1 755031378 VOZZDTPRDRGUIA-UHFFFAOYSA-N 411.256 4.571 5 20 HJBD Cc1sc(NC(=O)CCc2cccc([N+](=O)[O-])c2)nc1-c1cccc([N+](=O)[O-])c1 755232845 KMYVPEGGTOTCSQ-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD CN(C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 755406066 QREGERSQYPXIKK-MAUKXSAKSA-N 407.829 4.771 5 20 HJBD CN(C[C@@H]1CCCO[C@H]1c1ccccc1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756964193 RWZUKMZHYGJYPR-JXFKEZNVSA-N 417.893 4.558 5 20 HJBD O=C(/C=C\c1ccc(Cl)nc1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 758157445 VTBRDPPIKPOZAL-WZUFQYTHSA-N 423.812 4.514 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCC1([C@H](O)c2ccccc2)CC1 774675654 TUDJNSDGNVLJRL-OAHLLOKOSA-N 417.334 4.570 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1sc(C2CCCCC2)nc1C 775123271 QDDCWJPTCIDGJS-UHFFFAOYSA-N 417.487 4.511 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCC1([C@H](O)c2ccccc2)CC1 777424461 IRKXBQWIEJGJSU-HXUWFJFHSA-N 414.527 4.731 5 20 HJBD CCOc1cc(Cl)ccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 778892661 OVHBROUITKSODQ-LLVKDONJSA-N 417.805 4.615 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cc(F)ccc1F 779181366 ZPWPFHWACFPCTA-IBGZPJMESA-N 418.421 4.605 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@H]1CCn2ccnc2C1 779214724 UDKABUIPEYPLSV-FQEVSTJZSA-N 421.500 4.875 5 20 HJBD C[C@H](c1ccccn1)N(C(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc(N(C)C)cc1 779464281 KOEIODSKXBFQMB-GOSISDBHSA-N 418.497 4.783 5 20 HJBD Cc1nc2ccccc2n1C1CCN(c2ccc([N+](=O)[O-])cc2OC(F)F)CC1 795242306 SUVSHSONKVRHOB-UHFFFAOYSA-N 402.401 4.696 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)ccc1-c1ccccc1 813276837 KEZWOQUZIQXYOG-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CC2CC2)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 813282792 ALJYQLFRROQMIQ-UHFFFAOYSA-N 423.347 4.887 5 20 HJBD Cc1cc(NC(=O)c2ccccc2[N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccc2)cc1F 813287051 UWXIGZSILDPJEN-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD CC(=O)N(c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1)C1CC1 815159807 CVRPXRSLDUQYNE-UHFFFAOYSA-N 408.483 4.846 5 20 HJBD Cc1cccn2cc(COc3ccc(C(=O)Nc4ccc(F)c([N+](=O)[O-])c4)cc3)nc12 818269258 LLKRGYZTTHGJHR-UHFFFAOYSA-N 420.400 4.521 5 20 HJBD CCCC[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)Nc1cc(C)on1 822018727 QBTRWUCIMLTIBW-ZDUSSCGKSA-N 406.489 4.791 5 20 HJBD Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 897478772 FHPANAVWHFIEFN-UHFFFAOYSA-N 420.803 4.640 5 20 HJBD CN(C)C(=O)Cc1ccc(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1 917078372 ANOHQLCXQFHXAM-UHFFFAOYSA-N 401.772 4.641 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2ccc(F)cc2)cc1 920016664 AGISLLUAEKCBDH-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1cccc(C(=O)Nc2ccccc2C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 920739286 KRLPVUZOWITJGD-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(c2nnc(-c3cccc([N+](=O)[O-])c3)o2)CC1 1117189597 CLAWFQDKBAMEJX-UHFFFAOYSA-N 412.490 4.758 5 20 HJBD O=C(c1cnc(-c2ccc(C(F)(F)F)cc2)s1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319287554 JQJFWTZYFNMVAA-UHFFFAOYSA-N 419.384 4.893 5 20 HJBD C/C=C/C=C\C(=O)Nc1ccc(C2(NC(=O)c3cccc(F)c3[N+](=O)[O-])CCC2)cc1 1791245907 JUADCYRJFAJDNN-OQIXSKIXSA-N 423.444 4.614 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)c1ccc([N+](=O)[O-])c(C)c1 8473549 IRHKTXYSNLWDTO-UHFFFAOYSA-N 414.849 4.659 5 20 HJBD COc1cc(COC(=O)c2c(Cl)cccc2Cl)c([N+](=O)[O-])cc1OC(F)F 10390298 HROVPXUWVQLRDD-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 11103482 IXUHPMFGYXNZER-DJJJIMSYSA-N 405.882 4.571 5 20 HJBD C[C@@H](Sc1nccn1-c1cccc(Cl)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 21422394 FOGQMTCBWVETLT-GFCCVEGCSA-N 402.863 4.553 5 20 HJBD Cc1c([C@H](C)NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cnn1C 24998773 PDURQCCZXWNNSC-LBPRGKRZSA-N 414.849 4.573 5 20 HJBD Cc1ccc(OCC(=O)N(C)[C@@H](c2ccccc2)c2ccc(F)cc2)c([N+](=O)[O-])c1 26585731 NCTFFVOHMAQLOL-QHCPKHFHSA-N 408.429 4.669 5 20 HJBD Cc1ccc([C@@H](NC(=O)COc2ccc([N+](=O)[O-])cc2Cl)c2cccs2)cc1 45425651 CXFHRDUISDELBH-HXUWFJFHSA-N 416.886 4.903 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)CSc1ccc([N+](=O)[O-])cc1 65125182 HSTRPLQWXCWAHY-ZDUSSCGKSA-N 423.332 4.587 5 20 HJBD COc1ccc(OC(=O)Cc2csc(-c3ccccc3Cl)n2)c([N+](=O)[O-])c1 104915568 WEKLIAABKOSUGK-UHFFFAOYSA-N 404.831 4.528 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc(Oc3ccccc3C(F)(F)F)cc2)c1[N+](=O)[O-] 195704452 YDMVPDFVSDJDQK-UHFFFAOYSA-N 420.347 4.700 5 20 HJBD C[C@@H]1CSc2ccccc2N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 430633902 CJPKJDUFTFSTLR-LLVKDONJSA-N 412.389 4.677 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1ccc(Br)c(F)c1 431134048 DKOSHUVYSDVKLF-SFHVURJKSA-N 421.266 4.793 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC2(CCN(Cc3ccccc3)CC2)C1 431484092 PMYSMNDREQPQIO-UHFFFAOYSA-N 420.435 4.501 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435352597 GNHGWZJVUQHQBO-LLVKDONJSA-N 424.404 4.571 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 435442197 HFGGVMIWNMMGIV-VIFPVBQESA-N 419.812 4.743 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 436137867 ALTLSUARACJWAG-FQEVSTJZSA-N 404.853 4.588 5 20 HJBD CCO[C@@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444080931 BVGIPTUNFQNTPY-XZOQPEGZSA-N 418.537 4.881 5 20 HJBD Cc1ccc(SC2CCCC2)c(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c1 444631655 MJEGOXIEHNEAML-UHFFFAOYSA-N 410.499 4.744 5 20 HJBD CCCCc1nc2ccc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])cc2[nH]1 446020415 LRZJFUNOMVWVFW-UHFFFAOYSA-N 423.292 4.890 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCCN(C)c2ccc(Cl)cc21 460323579 WBFLTHPFLUGVND-ZDUSSCGKSA-N 405.907 4.602 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccccc2C(F)(F)F)on1 462442074 SFLFFQIPKLOBGK-UHFFFAOYSA-N 419.359 4.583 5 20 HJBD C[C@@H]1CCCN(c2cc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)ccn2)C1 463773446 SXXNOFKEOHDTBA-QGZVFWFLSA-N 408.502 4.816 5 20 HJBD CSc1ccc(C(=O)Nc2cc(F)cc(F)c2Br)cc1[N+](=O)[O-] 468279710 DGSAUASZLASZKB-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD COCCN(C(=O)C[C@@H]1CCC[C@H]1C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 469918006 MQHFRVMUYPNVFU-ZBFHGGJFSA-N 403.504 4.524 5 20 HJBD Cc1ccc(C(F)(F)F)cc1NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 470005553 ZDKJWXFQSORQDX-SNVBAGLBSA-N 402.756 4.981 5 20 HJBD O=C(Nc1cc(Br)ccc1NC1CC1)c1ccc([N+](=O)[O-])cc1Cl 471130743 LGIRXKCJCJIMMP-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1 476894412 PTRLKUBWUKHXJA-OAQYLSRUSA-N 415.453 4.834 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N(Cc1cccs1)Cc1cccs1 479504678 XOZJVPUTJVCUIJ-UHFFFAOYSA-N 424.507 4.746 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@@H]2C2CCC2)c([N+](=O)[O-])cc1OCCC 480003158 VVSQFRJCNKCMOW-QGZVFWFLSA-N 405.495 4.969 5 20 HJBD COCc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 480529955 OZRHRKLOUVYSCD-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482361978 AZNNAKPAJVZNCI-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD CSc1cccc(C(=O)NCc2cccc(COc3ccccc3)c2)c1[N+](=O)[O-] 486237594 XDCZXEAZNMAIMD-UHFFFAOYSA-N 408.479 4.826 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 486267039 ODARUYOQNDDGNM-UHFFFAOYSA-N 407.451 4.953 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@H](c1ccc(C)cc1)c1cccnc1 496517781 OCQWMRQJOPBWDO-JOCHJYFZSA-N 405.454 4.559 5 20 HJBD Cc1cc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)n2)c(C)o1 497798150 GQUXAIUQDCOFOE-UHFFFAOYSA-N 409.370 4.782 5 20 HJBD C[C@@H](c1ccccc1)N(C)C(=O)CN(C)C(=O)c1cc2ccccc2c2cccnc12 500188132 JVAXCYHHORQUFW-SFHVURJKSA-N 411.505 4.680 5 20 HJBD CCn1c(SCc2cc(C)c(C(=O)OC)o2)nc2cc3ccccc3cc2c1=O 502727718 JLKBCMKLAZZPDT-UHFFFAOYSA-N 408.479 4.550 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(-c2ccccc2)nn1 503427819 NUJMYJIEIMHQRU-UHFFFAOYSA-N 408.483 4.831 5 20 HJBD COc1cc(-c2noc(-c3ccc(NC4CC4)c([N+](=O)[O-])c3)n2)cc(Cl)c1OC 504843356 UIHDZFHHDCGIPR-UHFFFAOYSA-N 416.821 4.557 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)CC(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 504880587 BUPPRJYWIMTJRC-UHFFFAOYSA-N 422.428 4.893 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(n3c(O)nc4ccccc43)CC2)o1 506139110 WBXIIAUFWCUKKX-UHFFFAOYSA-N 418.453 4.747 5 20 HJBD CC[C@H](C)n1c(Sc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)nnc1-c1ccccc1 507016636 ZVDIIXLEZQGIPA-ZDUSSCGKSA-N 423.498 4.860 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCO[C@@H]2c2cccnc2)ccc1Oc1ccc(F)cc1 512338399 LYRNUBGRSSAGDF-SIKLNZKXSA-N 409.417 4.541 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](c3ncon3)C(C)C)cc2[N+](=O)[O-])cc1 514744842 WATHTWQQSXIVIR-GOSISDBHSA-N 412.471 4.565 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c(C)c1 517484482 LPNZFNFCTVGLDQ-CQSZACIVSA-N 410.392 4.514 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 517990255 QAKAJENAWWYWEG-INIZCTEOSA-N 406.438 4.985 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1ccc(SCc2cccnc2)cc1 520963152 NMDYIBVQQFBTAD-UHFFFAOYSA-N 418.478 4.876 5 20 HJBD CC(C)NC(=O)[C@H]1CCC[C@@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 522538030 SWFALHIQJAOCJL-VQTJNVASSA-N 424.545 4.536 5 20 HJBD O=C(Nc1cc(Cl)ccc1Cl)[C@H]1CCCCN1C(=O)c1ccc([N+](=O)[O-])cc1 523063153 RODSJFPWWUZLAX-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NC(=O)NCCc1ccc([N+](=O)[O-])cc1 524364397 UKMUNQWMRJESHM-UHFFFAOYSA-N 404.470 4.595 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1noc(-c2ccc(Cl)cc2)n1 525114361 ZYXWVAQWEIMVHO-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccnc1-c1ccc(Cl)s1 527091005 FCUYBCALWNOZKI-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD CC(C)CN(CC(C)C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534793095 GXNRNVASWRQKSC-UHFFFAOYSA-N 405.520 4.624 5 20 HJBD COc1cc(CNCc2ccc(OC)c([N+](=O)[O-])c2)c(-c2ccccc2)cc1OC 538393188 ILNLATITPHBMKO-UHFFFAOYSA-N 408.454 4.577 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 539891094 QLIXQMFZOOBECK-OCCSQVGLSA-N 406.891 4.697 5 20 HJBD Cc1nc(-c2ccccc2)ncc1C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 542058310 NALYKRFFNJKJSV-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD Cc1ccc(NC(=O)[C@H](NC(C)(C)c2ncc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 543508523 KNKCUZOEZOQBDM-LJQANCHMSA-N 424.526 4.873 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1 545810813 MCPJMOFTAMWEIY-OSCZDJLXSA-N 416.231 4.647 5 20 HJBD CC(C)c1ccc(OC2CCN(CCC(=O)Nc3ccccc3[N+](=O)[O-])CC2)cc1 550086599 VCDVDENOQSVQHD-UHFFFAOYSA-N 411.502 4.590 5 20 HJBD COc1ccc([C@@H](Nc2ncnc3sc([N+](=O)[O-])cc23)c2ccc(F)cc2)cc1 557518812 MJRMFEACPIFHGP-SFHVURJKSA-N 410.430 4.949 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 560607230 ILUWULLPFZBOBB-UHFFFAOYSA-N 408.710 4.789 5 20 HJBD CCOC(=O)c1cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c(F)cc1C 569029081 FOTXKSZLAICSEG-UHFFFAOYSA-N 423.400 4.533 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2N(C)Cc2ccccc2)c1 578769071 AQCQTVFLHXJDLX-UHFFFAOYSA-N 405.454 4.882 5 20 HJBD CC[C@@H](Nc1ccc(Oc2ccnc(C(=O)NC)c2)c(F)c1)c1cccc([N+](=O)[O-])c1 579338958 IYEPMPYSEPFHAG-LJQANCHMSA-N 424.432 4.844 5 20 HJBD C[C@H](C(=O)Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cccc([N+](=O)[O-])c1 580988992 IFBUOJOFXVKZBF-INIZCTEOSA-N 416.437 4.564 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(OCCOC)c(F)c2)no1 589133731 LXBIPCSVEBAPRK-OAHLLOKOSA-N 415.421 4.545 5 20 HJBD NC(=O)c1ccnc(N[C@@H](c2ccccc2)c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 589562356 PBCGBNBGVZHQBG-INIZCTEOSA-N 417.252 4.597 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2cccnc2Oc2cccc(F)c2)c([N+](=O)[O-])c1 603855330 XEKVNGXUGPZDMR-UHFFFAOYSA-N 424.432 4.672 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3s2)cc1)c1cc2c(cc1[N+](=O)[O-])OCO2 603941869 DNRLHBJEDLJAID-UHFFFAOYSA-N 419.418 4.853 5 20 HJBD CSc1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 608901312 XCULLWCZAZCHEG-ZDUSSCGKSA-N 409.471 4.532 5 20 HJBD C[C@H](Sc1cc2c(cc1Cl)OCCO2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608929965 HHZZKDZSKGNBMP-JTQLQIEISA-N 419.846 4.923 5 20 HJBD Cc1cc(Oc2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 609445624 XJTRDZLBCISJQR-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD COc1ccc(-c2ccc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c(F)c2)c(F)c1 609973383 HWUYSMGNZYOACF-UHFFFAOYSA-N 410.376 4.752 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])s1 610177115 XSZYLQKESOFWBS-GFCCVEGCSA-N 411.911 4.564 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)s1 610620895 SSFIAJHLSQHQIR-GFCCVEGCSA-N 401.513 4.817 5 20 HJBD O=C(NCCc1c[nH]c2cc(Cl)ccc12)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 611209361 VVCKKBKHOFDNSJ-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD O=C(NC1CCC(CO)CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 611993038 VVYZCHURMPHOMB-UHFFFAOYSA-N 420.918 4.680 5 20 HJBD COc1ccc(CSCc2nc([C@@H]3CCc4ccccc4C3)no2)cc1[N+](=O)[O-] 612951114 ALPFJJXJCRICJC-QGZVFWFLSA-N 411.483 4.692 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(OCC5CC5)nc4)no3)cc12 685283658 VMBYOYJWBFAAGD-UHFFFAOYSA-N 410.433 4.582 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1c1ccc(C(C)=O)cc1[N+](=O)[O-] 725790748 XLYQPEMSNPKZBB-MRXNPFEDSA-N 419.275 4.910 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN3CCO[C@H](c4ccccc4Cl)C3)c2c1 728904103 LRMLVZGVDYHMSM-KRWDZBQOSA-N 405.863 4.556 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)[C@H](O)c3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 732053309 LEDJXHRXEMEULR-QRQCRPRQSA-N 423.444 4.829 5 20 HJBD O=C(Nc1nnc(Cc2cccc(Cl)c2)s1)c1ccc(Cl)c([N+](=O)[O-])c1 744375773 RAIGBLKQEYCMMO-UHFFFAOYSA-N 409.254 4.596 5 20 HJBD Cc1c(C(=O)N(c2ccccc2)C2Cc3ccccc3C2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 746410698 VKTIGXMZNCPFGV-UHFFFAOYSA-N 417.421 4.626 5 20 HJBD O=C(Nc1cccc(-n2cccc2)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754976663 KVYXCACIBYGVBN-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD O=C(CCCc1ccc(Br)s1)NCc1cc([N+](=O)[O-])ccc1Cl 756993330 RKHNNRBGUZUHBC-UHFFFAOYSA-N 417.712 4.711 5 20 HJBD Cc1coc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)c2)n1 758877114 AIUGLBIGTANKOJ-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD O=[N+]([O-])c1ccc(CNC[C@H](O)c2cccc(OCc3ccccc3)c2)cc1Cl 761527576 KDSACCCMCDHTDI-QFIPXVFZSA-N 412.873 4.650 5 20 HJBD Cc1ccc(-c2noc(-c3sc(NC(=O)OC(C)(C)C)nc3C)n2)cc1[N+](=O)[O-] 761638089 IIBSKFGUPFQDLR-UHFFFAOYSA-N 417.447 4.732 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3ccc(Cl)nc3)n2)c(Br)c1 762263577 WWBQQKLKJQLCES-QHHAFSJGSA-N 421.638 4.550 5 20 HJBD Cc1cccc(-c2nc(C)c(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]2)c1 772667420 UCFMFNMSWIFLOX-UHFFFAOYSA-N 418.409 4.614 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(CN2[C@H]3CC[C@H]2CC(O)(Cc2ccc(F)cc2F)C3)c1 774766905 LGGUXWOWJCQYOA-ROUUACIJSA-N 422.859 4.627 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(-c2cccs2)no1 781261771 XQKGTSQHKYGBPF-GFCCVEGCSA-N 417.446 4.711 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)NCc2ccccc2COCc2ccccc2)c1F 788283545 XGARZNMBRMLECP-UHFFFAOYSA-N 408.429 4.689 5 20 HJBD C[S@](=O)C1(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)CC1 799775432 RCSLPFINVWCBFE-PMERELPUSA-N 417.530 4.574 5 20 HJBD CCOC(=O)c1nc(Oc2cc(Cl)c(Cl)cc2[N+](=O)[O-])cc(C(C)(C)C)n1 804681270 HENROSLWBOLXFU-UHFFFAOYSA-N 414.245 4.958 5 20 HJBD CNc1ccc(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)cc1[N+](=O)[O-] 904634098 PTRIEIVYPXFURP-UHFFFAOYSA-N 411.418 4.701 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 919652725 BZPFPGBXTQZYEN-OCAPTIKFSA-N 419.709 4.843 5 20 HJBD Cc1sc(NC(=O)CCc2ccc3c(c2)CCO3)nc1-c1cccc([N+](=O)[O-])c1 1319541221 GEIFIPVGAKZBSL-UHFFFAOYSA-N 409.467 4.533 5 20 HJBD COc1cc(Cc2noc(-c3nc(-c4ccccc4)oc3C3CC3)n2)ccc1[N+](=O)[O-] 1320498286 DCJHKNJSUFKHDW-UHFFFAOYSA-N 418.409 4.777 5 20 HJBD Cn1nccc1[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1323301697 FOUTXSXSQGSNOT-AWEZNQCLSA-N 400.866 4.621 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3ccncc3)n2Cc2ccccc2)o1 14730969 CCFVDFOKDVKDKY-JLHYYAGUSA-N 405.439 4.653 5 20 HJBD COc1ccc(OC)c(-c2csc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)c1 14791601 NBIMWDYJRWHOJE-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)cc1F 18591153 IDZSFUDDDVZKRC-AWEZNQCLSA-N 421.497 4.654 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)CCc1nc2ccccc2n1-c1ccccc1 47448120 MXPIRFITLVWETI-UHFFFAOYSA-N 414.465 4.525 5 20 HJBD O=C(Nc1ccc(-c2nnco2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 58292669 NBOOTPYQEPBMRH-UHFFFAOYSA-N 402.366 4.689 5 20 HJBD Cc1cc(N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302000190 IQNBRIVWQGJEHZ-ICSRJNTNSA-N 412.396 4.581 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Sc1nnc(-c2ccccn2)n1Cc1ccco1 302748377 GAPZWAIAJJULPS-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD O=C(Nc1c(F)cccc1Oc1ccccc1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 410037910 LOZFUSHCJXMKKM-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(F)(c2cccc(OC)c2)CC1 410229054 MDKJVKRVFDYLPK-GOSISDBHSA-N 414.477 4.660 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@@H]1CCOC1 410232860 XYIGEBVDTDZCCP-QWHCGFSZSA-N 406.891 4.554 5 20 HJBD C[C@@H](Nc1ccc2c(c1)C(=O)CCC2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 426190666 HCWRDTFTTINBPT-LLVKDONJSA-N 421.375 4.572 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CN[C@H](CO)CC(F)F)cc2[N+](=O)[O-])c1 428649778 SYLZXKMQFNSTMI-INIZCTEOSA-N 408.445 4.925 5 20 HJBD CN(C)[C@H]1CCCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 432791140 FFZJSIGCHGCKPG-KRWDZBQOSA-N 403.910 4.957 5 20 HJBD COc1cccc(C(=O)NCC2(Sc3ccc(C)cc3)CCCC2)c1[N+](=O)[O-] 435808189 LNOAXUVUIQNHCX-UHFFFAOYSA-N 400.500 4.747 5 20 HJBD O=C(N[C@H]1CCSc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435815003 CHYKIZQPSQVTRK-SFHVURJKSA-N 422.456 4.926 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1[N+](=O)[O-] 436020912 MLHUHEHCATYDOI-XJKSGUPXSA-N 408.376 4.688 5 20 HJBD CN(C)[C@@H](CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 440445089 OLNFWAUIRBAJBX-FQEVSTJZSA-N 421.522 4.779 5 20 HJBD CCS[C@H]1CCC[C@@H](NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)C1 444100900 HYOBUBIPSBOCEK-KGLIPLIRSA-N 416.493 4.754 5 20 HJBD O=C(N[C@@H]1CCCc2sccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444328692 TXJQHBMIIFFUHL-QGZVFWFLSA-N 410.445 4.828 5 20 HJBD Cc1ccc(CNC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)c(OC(C)C)c1 444996025 ZPXZWQRPQFSILC-UHFFFAOYSA-N 400.478 4.707 5 20 HJBD CC(C)c1nc2ccc(NC(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)cc2s1 445233107 CIABCJNOQRJHPU-UHFFFAOYSA-N 410.459 4.526 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(Cn2c3ccccc3c(=O)c3ccccc32)n1 445789880 JBFKELGELDJEFM-DEOSSOPVSA-N 411.461 4.712 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@H]1c1cccc(Br)c1 445995087 KKQRNEAUNRKQRX-SFHVURJKSA-N 403.276 4.654 5 20 HJBD Cc1cccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2cccc([N+](=O)[O-])c2)c1 460112944 ISYKQZHAZJYLNB-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(OCc3ccccn3)cc2)cc1OC(F)F 462777055 KDKWURRQTMCXMC-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD CC[C@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(OC)cc1 463428513 ZNBDJNYEBFONOR-KRWDZBQOSA-N 410.392 4.688 5 20 HJBD Cc1noc(C)c1CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466630764 KDTSZZRLDWAEFK-UHFFFAOYSA-N 417.874 4.934 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2)C[C@H]1C 466871633 UHWDZAXDBUPQJN-AEFFLSMTSA-N 414.527 4.742 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)N3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)o2)cc1C 468282613 UARGKUVQIBCQLM-KRWDZBQOSA-N 422.485 4.866 5 20 HJBD C[C@]1(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCOC1 468353989 UCKGRBWOOMRDBQ-IBGZPJMESA-N 406.891 4.698 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(Br)cn1 475511842 ZERCWQVTIGHTFL-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc21 480230315 LDXFQTWHPPLIOJ-XZOQPEGZSA-N 414.461 4.867 5 20 HJBD C[C@@H](NC[C@@H]1CCN(CC(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 481339629 QSTDNPBVJCYKEU-KGLIPLIRSA-N 413.465 4.858 5 20 HJBD CCc1c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 482754836 KVDUTVDLXHGTET-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@H](O)C12CCCC2 495640850 RRSRUFPSUIGEQQ-IBGZPJMESA-N 416.861 4.560 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)cn2)cc1 497923250 DTCARYUCXZYZAT-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD O=[N+]([O-])c1cc(F)c(CSc2n[nH]c(COc3ccc(Cl)cc3)n2)c(F)c1 505432088 DUCYFMSRKBMRGQ-UHFFFAOYSA-N 412.805 4.516 5 20 HJBD C[C@H]1C[C@@]1(NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 505743377 GTGLPCZUCOICFX-BSEYFRJRSA-N 402.881 5.000 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC1(Cc2ccccc2)CC1 505929760 HEBPZYVSBCXRDE-UHFFFAOYSA-N 410.417 4.597 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F 506299791 XJEOKLMODIZLQW-CYBMUJFWSA-N 403.429 4.666 5 20 HJBD COCc1c(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])sc2cccc(F)c12 513839818 QZFMCBNGKRPMBK-UHFFFAOYSA-N 400.431 4.688 5 20 HJBD Cc1nc(-c2ccc(CCNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)cc2)cs1 515541268 RZCUYYTZMIOMSZ-UHFFFAOYSA-N 408.483 4.566 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nc(C)cs2)cc1 515908624 DYLXTDYIUKWBFE-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)Nc1ccc(OC(C)C)cc1C(F)(F)F 520626257 BEHWXRRLXPEZMW-UHFFFAOYSA-N 418.393 4.510 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccccc2)cc1C(F)(F)F 520678918 VNHMZROSPOZZFN-UHFFFAOYSA-N 405.332 4.997 5 20 HJBD C[C@@H](c1cccnc1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 522209269 NSUJCWWZQOJYHZ-KRWDZBQOSA-N 408.527 4.597 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC2CCOCC2)cc1 522944115 UJYHMBGVMHOQRF-HNNXBMFYSA-N 416.499 4.520 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)Nc1ccc(Br)cc1F 523559466 XXIBQOWUVIGIPA-UHFFFAOYSA-N 410.243 4.641 5 20 HJBD COc1cc([C@@H](C)N[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccncc1 532475024 QURVHVTTWZWGPM-DLBZAZTESA-N 407.470 4.989 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(F)(F)F)cc1Br 534608846 LFNMUWLILKDDEX-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1nnc(-c2ccc(Cl)cc2Cl)o1 536160917 OSGFLAIUUHOLNM-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD Cc1c([C@@H](C)N[C@H](C)c2cc3c(cc2Br)OCCO3)cccc1[N+](=O)[O-] 537991941 RZPRHDDSNSCWFP-CHWSQXEVSA-N 421.291 4.849 5 20 HJBD C[C@@H](NCC(=O)Nc1cccc(CSc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 539281948 UZYOQBUDDDAQOC-MRXNPFEDSA-N 422.510 4.571 5 20 HJBD COc1cccc(Oc2ccc(CNC(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)cn2)c1 539842355 SKVLZPNSVZIYEB-UHFFFAOYSA-N 421.453 4.646 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 541273503 FKOLEYMQSBFZQQ-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD C[C@@H](C(=O)Nc1c(-c2cccs2)nc2ccccn12)c1ccc([N+](=O)[O-])cc1F 541606879 OOBJHZKEMVEOIY-GFCCVEGCSA-N 410.430 4.852 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)c1ccc(Cl)cc1Cl 542247927 UAMMBPCNJZSOQI-LLVKDONJSA-N 412.273 4.813 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 542438058 JJFCOQIIOKGNQQ-DEYYWGMASA-N 418.877 4.634 5 20 HJBD C[C@H](C(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1ccc([N+](=O)[O-])cc1F 543465387 IRYWBMNWRRUQFR-QMMMGPOBSA-N 420.290 4.679 5 20 HJBD Cc1ccc(-c2noc(-c3cccc(C(=O)N(C)c4ccccc4)c3)n2)cc1[N+](=O)[O-] 545569548 DQJOKCTVBKFVSI-UHFFFAOYSA-N 414.421 4.897 5 20 HJBD O=[N+]([O-])c1ccc(-n2cc(-c3nc(CSc4ccc(Cl)cc4)no3)cn2)cc1 546940775 DCFSFHKRSALTQH-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD O=C(Nc1cccc(OCc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549722024 LTXFNHMGDIWIJX-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCC(CCc2ccc(O)cc2)CC1 556639495 LOBQERDNJGWBTD-UHFFFAOYSA-N 422.403 4.804 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 557040844 SFPJXSJGXGFVHS-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1ccc(COCc2cccc(NCc3cc([N+](=O)[O-])ccc3OC)c2)cc1 557599104 HZGRTVSMEOAAMA-UHFFFAOYSA-N 408.454 4.941 5 20 HJBD COc1ccc(C[C@H]2CCCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)C2)cc1 560000608 XVYAYDFWKMUWRP-OAHLLOKOSA-N 422.403 4.717 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(OCC2CC2)c(F)c1 573113955 ZMYPYHPOHWOHFU-AWEZNQCLSA-N 400.450 4.678 5 20 HJBD Cc1nc2ccccc2c2c(NC(=O)c3ccc(N4CCCC4)nn3)c3ccccc3n12 588772183 SNBPAOYJEFLKPD-UHFFFAOYSA-N 422.492 4.592 5 20 HJBD O=C(O)c1cc(N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 603580167 HDZJCWWMXFAWSC-MBTKJCJQSA-N 400.275 4.614 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1cccc(C(F)(F)F)c1 604446378 ZMJVWFXEORSOLX-LLVKDONJSA-N 416.783 4.693 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N(Cc1ccccn1)C1CC1 609781041 MECNAWMPLXPKOE-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610476280 MKXVUJDGJKOZQV-TXEJJXNPSA-N 400.319 4.556 5 20 HJBD COCc1ccc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 610480469 MITAXHIIUOZHOA-LLVKDONJSA-N 414.405 4.879 5 20 HJBD CC(C)(C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1 610660352 MSAPKFGHYHGSLH-UHFFFAOYSA-N 406.404 4.592 5 20 HJBD C[C@@H](C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1C(F)(F)F 611495642 AQXNYNASKSOFBC-LLVKDONJSA-N 400.784 4.729 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(Cc2ccccc2F)oc1=S 727818926 QPSWSJWYBMYWFG-UHFFFAOYSA-N 416.478 4.712 5 20 HJBD O=C(Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 729957323 JWZUAOFJQPDMDD-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)c(C)c2c1 730220774 HHZWFNZLNIGGBK-HNNXBMFYSA-N 423.469 4.749 5 20 HJBD O=C(NCc1ccccc1Oc1cccc(F)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 730983390 IWCSGEDQXPBJPT-UHFFFAOYSA-N 402.328 4.734 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)C(C)C)c1C 736874081 GEIQRAKQMXTLBE-SFHVURJKSA-N 404.850 4.685 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@H](C)OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 736877253 VBFQAEHBNZZPHW-LBPRGKRZSA-N 404.850 4.557 5 20 HJBD O=C(OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)C1CCC(c2ccccc2)CC1 744090077 JNFSMHGJTRZJLJ-UHFFFAOYSA-N 423.513 4.723 5 20 HJBD Cc1c(C(=O)Nc2ccc3oc(C(F)(F)F)nc3c2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748631160 BIOKHKPFOJJYRW-UHFFFAOYSA-N 424.291 4.532 5 20 HJBD O=C(Oc1ccc(Sc2ccncc2)cc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 749526664 BDEKCOGRUYYRGI-UHFFFAOYSA-N 418.434 4.546 5 20 HJBD C[C@H](CC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 751863689 UATUHCPHBCLQOG-VXGBXAGGSA-N 417.368 4.721 5 20 HJBD O=C(OCC(=O)c1cccc([N+](=O)[O-])c1)/C(=C/c1ccc(F)cc1)c1cccs1 753727762 NEFPADFUOQISDQ-WOJGMQOQSA-N 411.410 4.762 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1ccc(Br)c([N+](=O)[O-])c1 755416697 UIJLFVCKGRIEMP-LRDDRELGSA-N 416.275 4.598 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)o2)cc1C 762903881 HIKAAQSMYLTXHA-CYBMUJFWSA-N 406.398 4.661 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc2ccccc2n1C(F)F 766219905 CTTSWDQGOCIBDS-NSHDSACASA-N 412.352 4.806 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])cc1Cl 773900040 GNVDNVZWQXHBEX-UHFFFAOYSA-N 417.220 4.627 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 775491746 IVAQIDQEFDYXFO-UHFFFAOYSA-N 409.851 4.587 5 20 HJBD CSc1cccc(C(=O)OCc2ccc(OCc3cccnc3)cc2)c1[N+](=O)[O-] 777073128 CHQOHNJIBOMJQX-UHFFFAOYSA-N 410.451 4.648 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2C(C)C)c1[N+](=O)[O-] 777073500 IBPGRIMXUPRSLX-CYBMUJFWSA-N 402.472 4.624 5 20 HJBD CO[C@H]1Cc2ccc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])cc2C1 784740643 IHQGQHBYLQJZPM-NRFANRHFSA-N 418.449 4.540 5 20 HJBD CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 787785228 PAMSCYYBSUXXSY-QGZVFWFLSA-N 405.498 4.517 5 20 HJBD Cc1cnc(COC(=O)c2csc(-c3cccc(Cl)c3)n2)c(C)c1[N+](=O)[O-] 789429722 GUHMOMQWLIAYAE-UHFFFAOYSA-N 403.847 4.741 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790551594 NIUQJPIMDAMVDD-UHFFFAOYSA-N 419.865 4.678 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cnn1-c1cccc(Cl)c1 791584343 LORPZPDISLYTDB-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1cc([N+](=O)[O-])ccc1Br 797356046 SUBRAGJWILXNOJ-GXTWGEPZSA-N 407.239 4.563 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)cn1 798669786 MEZCKRQANLKNNL-JTQLQIEISA-N 400.328 4.730 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)c1ccccc1OC(F)F 918591093 LXXHPSOFVJXTJZ-AWEZNQCLSA-N 419.428 4.678 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])O[C@H](C(=O)c1ccccc1)c1ccccc1 919491698 NCVMUHQEJOFQEM-QHCPKHFHSA-N 405.406 4.531 5 20 HJBD CC(=O)N(c1nc(COc2ccc([N+](=O)[O-])c(F)c2)cs1)c1ccccc1F 921268523 SLZGVBOBMDGKLB-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD Cc1cc(C)c2c(-n3cccc3)c(C(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)sc2n1 1318740449 NLGGQFKVWHDOON-UHFFFAOYSA-N 418.478 4.768 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Sc1ccccc1 15644464 PXTVPQBFSCFGMD-HNNXBMFYSA-N 422.462 4.930 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)c(Cl)c1 22468033 LFUQKSZPCBETEQ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N(Cc1ccccc1)Cc1ccco1 30223972 JXIUDYKSRSSTHE-UHFFFAOYSA-N 405.454 4.631 5 20 HJBD O=C(Nc1nnc(-c2ccsc2)s1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 50338777 FDKMBOMDVHECNY-UHFFFAOYSA-N 415.481 4.551 5 20 HJBD COc1cc(C(=O)Nc2cccc(C)c2C)c([N+](=O)[O-])cc1OCc1cscn1 56380003 RFGPNGJCLFLVRA-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD Cc1c(C(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])oc2c(C)cccc12 64760772 QLDCIYZQWYMHHN-SFHVURJKSA-N 424.453 4.771 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 106233445 AFMYTIQDGFKLTA-AWEZNQCLSA-N 404.488 4.902 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1nc2ccc(Oc3ccccc3)cc2s1 109189267 BFKKRUILTHIDFA-UHFFFAOYSA-N 423.454 4.531 5 20 HJBD COc1ccc(NC(=O)c2cc(C(F)(F)F)ccc2Br)cc1[N+](=O)[O-] 392604574 GALRPTHYXDOJTF-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426119991 MOGUKFSNVRCAEH-WDEREUQCSA-N 412.273 4.588 5 20 HJBD COc1cc(C(=O)NC2CC(F)(F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 428752629 OOMGNIGJKYRLHJ-UHFFFAOYSA-N 412.776 4.577 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNC[C@H]3CCCC(=O)N3)cc2[N+](=O)[O-])c1 433201747 XXPZFANBVLENCW-GOSISDBHSA-N 411.502 4.577 5 20 HJBD C[C@H](NC1(CN[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)CCOCC1)c1ccccc1 433245687 LSDHXGXPXHOMBO-MBSDFSHPSA-N 423.557 4.807 5 20 HJBD CCc1ccc(C(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)cc1[N+](=O)[O-] 435358273 ZFAXDLHYQSMQBX-ZDUSSCGKSA-N 413.421 4.652 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 436062429 RCAPYJJJORAEAR-UHFFFAOYSA-N 411.288 4.961 5 20 HJBD O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436118434 OBSWWIGSHMTGHP-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CN(Cc3ccccc3[N+](=O)[O-])C3CC3)c2)cs1 441222158 BFNXPUGREOCLAX-UHFFFAOYSA-N 422.510 4.630 5 20 HJBD Cc1sc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc1C(C)C 444010285 FZXJQUIUIPYACJ-UHFFFAOYSA-N 409.486 4.639 5 20 HJBD COc1ccc(N(C)C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1Cl 444233194 TWECNUGMOKLSCX-UHFFFAOYSA-N 410.832 4.759 5 20 HJBD O=C(Nc1ccc(O)nc1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 461407826 MNAZEGABFILUSJ-UHFFFAOYSA-N 401.831 4.752 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1cc(C)c([N+](=O)[O-])cc1F 461973475 MFMDXSOKARHOIH-UHFFFAOYSA-N 400.237 4.814 5 20 HJBD COc1cc(C(=O)N[C@H]2c3ccccc3CCC[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 462582311 XESKJWNIXJZJQS-CWTRNNRKSA-N 420.412 4.648 5 20 HJBD COc1cc(CN[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)c([N+](=O)[O-])cc1F 464486800 MNFMOLUAZNCGHP-HNNXBMFYSA-N 424.297 4.737 5 20 HJBD CC(C)CCc1cc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)n[nH]1 471419063 MZRAHYQHMLSCQC-UHFFFAOYSA-N 410.424 4.524 5 20 HJBD C[C@@]1(O)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 473589790 HUMIJXNURIKTOQ-HXUWFJFHSA-N 420.918 4.777 5 20 HJBD O=C(NC[C@H]1CCO[C@@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475223533 KCYRIFAOVVQABZ-CXAGYDPISA-N 409.364 4.513 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@@H](C)c1cc2ccccc2o1)c1ccccc1[N+](=O)[O-] 482151893 UMOUTWUCJYZEAL-MAUKXSAKSA-N 424.453 4.644 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](CC(F)(F)F)c2ccccc2)cc1SC 483420358 XTGDSIDGROJPOM-CYBMUJFWSA-N 414.405 4.749 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)o1 484021576 BQCRJSKICOBRDT-MRXNPFEDSA-N 421.453 4.596 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccnc(Oc2ccccc2F)c1 486469843 OFUQTZLBBHGRGM-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD C[C@@H](CC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(F)cc1F 486715880 HRHZBDRWACADPU-JTQLQIEISA-N 402.319 4.702 5 20 HJBD CSc1ccc(C(=O)N2CCCc3cc(OC(F)(F)F)ccc32)cc1[N+](=O)[O-] 487199537 OXWIIQBBKTYNOZ-UHFFFAOYSA-N 412.389 4.808 5 20 HJBD CCc1cc(N2CCC(OC3CCCCC3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 496222405 MPJJVOZUGQYQDF-UHFFFAOYSA-N 410.518 4.932 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)cc2F)s1)c1c(Cl)cccc1[N+](=O)[O-] 497655680 GSRLQLUALUMTMF-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD Cc1ccc(-c2cncc(C(=O)N3CCS[C@@H]3c3ccc([N+](=O)[O-])cc3)c2)cc1 498141362 XIATZCQIAYARNN-JOCHJYFZSA-N 405.479 4.853 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 503593809 DTHUVYUVPSUHDH-LLVKDONJSA-N 402.248 4.672 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N[C@H](CC1CCCC1)c1ccccc1 503632165 JDGVJPCYNPPLLX-LJQANCHMSA-N 415.877 4.625 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3coc(-c4c(F)cccc4F)n3)c1)OCOC2 507616046 MQUYXCYTAMWDFS-UHFFFAOYSA-N 420.393 4.828 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(OCC(F)(F)F)c1 508014318 RXPFNASUSKGRKA-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD C[S@](=O)C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 508672757 WGJBHMGOQQRMSC-CLYVBNDRSA-N 400.525 4.533 5 20 HJBD COc1cccc(OC)c1OC1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 510446981 JMNQLTQCYXPESY-UHFFFAOYSA-N 414.502 4.779 5 20 HJBD C[C@H](c1ccccc1Cl)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)C1CC1 512056023 DZYQUSFIHMHKSL-CQSZACIVSA-N 410.861 4.800 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCSc2c(F)cccc21 518858115 ZUWVYSKXEKAZHS-IINYFYTJSA-N 410.854 4.508 5 20 HJBD Cc1cc([N+](=O)[O-])c(S(=O)(=O)N(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)cc1C 520155890 CVSUXMNLUXYPNJ-ZDUSSCGKSA-N 416.421 4.612 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 520499547 TUOICIWRSXTQJT-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 520817946 PLVLTTKJENVYBL-ZHRRBRCNSA-N 405.454 4.753 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 521961456 FHNTYDGFOCVZMO-NRFANRHFSA-N 415.453 4.759 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 524004795 CJMARDDINWTRBU-FQEVSTJZSA-N 422.510 4.762 5 20 HJBD COc1cc(COc2ccc(F)c3c2C(=O)C[C@@H]3C)c([N+](=O)[O-])cc1OC(F)F 530868169 RLTQTHCWFOYNPF-VIFPVBQESA-N 411.332 4.613 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(COc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 536946524 JONGYNWRHDWCRX-UHFFFAOYSA-N 422.437 4.833 5 20 HJBD C[C@H](C(=O)N[C@](C)(c1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 541599901 PQVASSINSDQVSC-DYZYQPBXSA-N 402.319 4.570 5 20 HJBD CO[C@H](C)CCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 542032191 NZSXGIBGTBDDMI-GFCCVEGCSA-N 410.829 4.611 5 20 HJBD CC(=O)CCc1ccc(O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 542927181 IDFAWIHSIXZPIJ-ZDUSSCGKSA-N 424.375 4.541 5 20 HJBD CC(=O)Nc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(Cl)c1 543148312 CGTWLSJFTOPRHX-UHFFFAOYSA-N 414.270 4.591 5 20 HJBD CC(C)c1ccc(-n2cnnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)cc1 546261150 CWWNVIHNGXVJOY-UHFFFAOYSA-N 422.470 4.641 5 20 HJBD CCCCS(=O)(=O)Nc1ccc(N[C@@H](C)CCc2ccccc2[N+](=O)[O-])cc1 547361195 VUOBHSOGKUKAFO-INIZCTEOSA-N 405.520 4.570 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(S[C@H](C)c2ccc(OC(F)(F)F)cc2)n1 561150054 XFOXKTYVQYBMOB-SECBINFHSA-N 402.350 4.528 5 20 HJBD Cc1ccc(Sc2ccc(CN3CC[C@H](c4nccn4C)C3)cc2[N+](=O)[O-])cc1 564319240 RAYOHZMWXVGEMW-SFHVURJKSA-N 408.527 4.777 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(OC)c(OC)c1C 568614886 PICMJTNGAXXSSA-CYBMUJFWSA-N 404.488 4.523 5 20 HJBD CCN(CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 569827584 MSADXPITMVATPF-UHFFFAOYSA-N 419.481 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@](C)(CC)NC(=O)c2ccccc2)no1 581574830 OQIKDWOFCBJZEF-SBUREZEXSA-N 422.485 4.769 5 20 HJBD O=c1c2ccccc2n(Cc2nc(Cc3ccccc3Cl)no2)c2ccccc12 598680147 PRFVWAIPUIVAIJ-UHFFFAOYSA-N 401.853 4.830 5 20 HJBD Cc1ccc([C@H](NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C2CC2)cc1 603732865 BMOKYRMWZWBKIA-SFHVURJKSA-N 408.376 4.725 5 20 HJBD CCN(CCC(F)(F)F)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 604524006 IPHHEKVBWYKQHJ-CYBMUJFWSA-N 410.396 4.577 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c12 609020851 YUGOGZLUBHDIJB-UHFFFAOYSA-N 420.425 4.787 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccc(Cl)cc2)C2CCC2)c([N+](=O)[O-])cc1OC 609218473 KITMJEQMMZJSAC-FQEVSTJZSA-N 418.877 4.927 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(COC(C)(C)C)cc2)cc1OC 610624568 GSUAYILVLNJGMQ-UHFFFAOYSA-N 402.447 4.570 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1cccnc1Sc1ccccc1 610653760 LWHXERHQZAQPDZ-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD O=C(Nc1ccc(F)cc1OC1CCCC1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 610926886 QJVGHWOTOAAIAD-UHFFFAOYSA-N 411.389 4.561 5 20 HJBD Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 611285894 KQSIUVVVVUKVTF-IBGZPJMESA-N 400.866 4.887 5 20 HJBD Cc1cccc(CC(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)c1 726752550 PCROHHPRBWNLDW-UHFFFAOYSA-N 424.840 4.957 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)c(Cl)c1 731805218 MSUOOHBGRBHXKH-UHFFFAOYSA-N 418.800 4.699 5 20 HJBD O=C1OCCN1Cc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 734157984 PMEGSNKORSMQSJ-UHFFFAOYSA-N 409.467 4.888 5 20 HJBD O=C(NCC1(c2ccc(Br)cc2)CCCC1)c1cc(F)ccc1[N+](=O)[O-] 737715311 LMBGDNDDCRSNAZ-UHFFFAOYSA-N 421.266 4.738 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc([N+](=O)[O-])cc1 739472796 YUXQKBBYJFGNCU-UHFFFAOYSA-N 412.427 4.543 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@@H]1CCCc2nc(-c3ccccc3)sc21 741194299 LJUQSFAYHSMYJF-GOSISDBHSA-N 410.451 4.718 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(-c2cc(F)c(F)c(F)c2)cc1 741886790 BCGPWORNWUDTGO-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD CCCOc1ccc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2N2CCOC2=O)cc1OC 742393859 HLIGSGRQJIWREK-CQSZACIVSA-N 415.446 4.522 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 744369999 UFQYPYOZEOHGNG-PKOBYXMFSA-N 411.458 4.837 5 20 HJBD C[C@H](OC(=O)COc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 748011616 DKBILRDWWLXIEF-INIZCTEOSA-N 420.421 4.530 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)nc1)c1cccc(Cl)c1[N+](=O)[O-] 749895207 ZZWSVOGHXICBTR-UHFFFAOYSA-N 414.874 4.520 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(CN(Cc2ccccc2)Cc2ccccc2)o1 753850590 OEPRFJLHWVGDMQ-UHFFFAOYSA-N 400.438 4.847 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 756764745 BPDDWIJXSDZXQD-UONOGXRCSA-N 409.442 4.774 5 20 HJBD Cc1cc(NC(=O)c2cnc(Cl)c3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 766390443 ZIKNLESJSFGPHF-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD CC(C)n1c([C@@H]2CCCN(Cc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C2)nc2ccccc21 774889500 VINDRTKFYILRCS-QGZVFWFLSA-N 423.473 4.813 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 779852595 NJTJOAOITUSOPK-UHFFFAOYSA-N 423.494 4.559 5 20 HJBD Cc1cc(COC(=O)Cc2ccc(NC(=O)OC(C)(C)C)cc2)ccc1[N+](=O)[O-] 781173885 ZFBDHHHTKJHDET-UHFFFAOYSA-N 400.431 4.536 5 20 HJBD C[C@@H](OC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ncccc1F 791804198 VZNKBLJUZHIXJL-SECBINFHSA-N 404.341 4.544 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2F)cnn1-c1ccc(C(F)(F)F)cc1 792699289 YASHJTCBPXOVJN-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)C(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1 792962097 FGWDJYQKVRRJDI-CYBMUJFWSA-N 417.893 4.704 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1ccco1 810318496 CVOGVFYSJSEANZ-HXUWFJFHSA-N 409.442 4.518 5 20 HJBD COc1ccc(C(F)(F)C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811216708 NJUZJOGSTSSWRE-UHFFFAOYSA-N 420.393 4.562 5 20 HJBD C[C@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(N2CCCNC2=O)cc1 812800475 XOPQRKMZRBEMSO-INIZCTEOSA-N 420.469 4.625 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(C(F)(F)F)nc1Cl 816763683 ZXTNJPDKGYQWLS-VIFPVBQESA-N 424.766 4.701 5 20 HJBD Cn1c(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cc2ccccc21 917562027 NIAHUOYPOYMNMA-UHFFFAOYSA-N 415.405 4.558 5 20 HJBD COC(=O)[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1cccs1 1255650389 YZNHISGEFJXXGQ-KRWDZBQOSA-N 406.847 4.574 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)O[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1 1263378194 YKUWGDSYGWRLAG-IRXDYDNUSA-N 424.457 4.910 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccccc2OCc2ccncc2)n1 1329659429 GGFVUEPDVQFKGG-UHFFFAOYSA-N 402.410 4.903 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(OCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 1345877681 RUXVYUVTRKTPHV-CQSZACIVSA-N 417.462 4.530 5 20 HJBD C[C@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)Nc1c(Cl)cc(Cl)cc1Cl 11025920 DGNDZUDEVKNLGO-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD Cc1ccc(-n2cnnc2S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 17740485 GFSPLXIJVUBGNI-CQSZACIVSA-N 422.470 4.696 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1cccc(Cl)c1 22253171 MWYALXXZOUDYAZ-ZDUSSCGKSA-N 423.925 4.859 5 20 HJBD Cn1cc(NC(=O)C/C(=C/c2ccc([N+](=O)[O-])cc2)c2nc3ccccc3s2)cn1 25309392 LQQPRIBHYNKUKR-GDNBJRDFSA-N 419.466 4.507 5 20 HJBD COc1ccccc1Oc1ccc(N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)cc1 28626153 WQFSIJLXWQCXHU-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)CCCOc1ccc(Cl)cc1 53681334 ZGVIHDOCURBMQE-AWEZNQCLSA-N 416.865 4.760 5 20 HJBD CCOc1ccc(C(=O)Nc2ccc(Oc3ccc(C)cc3OC)nc2)cc1[N+](=O)[O-] 106230894 SNZUOPFLSKPICN-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD Cc1ccccc1-n1c(S/C=C/c2ccc([N+](=O)[O-])o2)nnc1-c1ccncc1 106913019 UKFLXGOUPHKSNV-JLHYYAGUSA-N 405.439 4.902 5 20 HJBD O=C(NC[C@@H]1CCCN(Cc2cccs2)C1)c1cc2cc([N+](=O)[O-])ccc2s1 140117549 JYELKPFHDWUDCC-AWEZNQCLSA-N 415.540 4.513 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Cl)c(C(=O)NC3CCCCC3)c2)cc([N+](=O)[O-])c1 148278621 RKXFAONZEDDUMH-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD CC(C)c1ccc(NC(=O)CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(C(C)C)c1 217228744 PKXCSJCZDNTKIB-UHFFFAOYSA-N 417.893 4.864 5 20 HJBD CCn1c(Sc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)nnc1-c1cccs1 301130967 YLLOUEGPPZNIAX-UHFFFAOYSA-N 424.511 4.851 5 20 HJBD C[C@@H](Nc1ccnc2c([N+](=O)[O-])cccc12)c1ccc(NC(=O)c2ccncc2)cc1 432342305 ILRIGOKLEGLQMA-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 434257251 UBRMJPTWHJQRTF-BBRMVZONSA-N 408.376 4.554 5 20 HJBD Cn1ccnc1Sc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437246913 CAXDUGZFMXOVDW-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437762562 KRQAMDGKVIVGLJ-UHFFFAOYSA-N 423.498 4.699 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 438947601 BNSBZPPWILTXTQ-RXVVDRJESA-N 400.450 4.850 5 20 HJBD CC(C)c1ccc(C(=O)N(c2ccc(Br)cn2)C(C)C)cc1[N+](=O)[O-] 439110268 RPJSEINOACGMDQ-UHFFFAOYSA-N 406.280 4.931 5 20 HJBD C[C@H](O)CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 439733480 OFCURBSHEFUTHF-ZDUSSCGKSA-N 422.934 4.926 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)CSc2ccc([N+](=O)[O-])cc2)c1 441416167 CJYPHIWJKAZGCS-UHFFFAOYSA-N 411.458 4.763 5 20 HJBD O=C(Nc1cccc(-c2cnn[nH]2)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 443103730 BTMJHAQPRJCEBM-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc2c(cc1Br)CCC2 443866088 FQUXAKCWONTWFY-UHFFFAOYSA-N 407.289 4.577 5 20 HJBD Cc1ccc(CC[C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)o1 444084526 SAJKVLUUVLUIQB-LBPRGKRZSA-N 410.420 4.612 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 444682542 ALHRANMSCVYOKP-NRFANRHFSA-N 420.444 4.692 5 20 HJBD Cc1cc([C@@H]2NC(=O)N(c3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)C2=O)ccc1F 445981931 ROAJQSYYSKVPEI-FQEVSTJZSA-N 421.384 4.632 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(C)cc(Br)cc1OC 462435322 FHBYUSGNSDZKRM-CQSZACIVSA-N 421.291 4.882 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H]1c1ccc(OC)c(OC)c1 462902135 ZZHKBJMYDAEGSV-PXNSSMCTSA-N 412.486 4.544 5 20 HJBD C[C@H](Sc1nnc([C@H](C)N(C)C)n1-c1ccc(F)cc1)c1ccccc1[N+](=O)[O-] 463040946 WZCGNCSMLKTODG-KBPBESRZSA-N 415.494 4.791 5 20 HJBD COc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccccc2Cl)c(C)c1 464636398 XBXBPXIQLBLFCZ-LJQANCHMSA-N 400.818 4.678 5 20 HJBD CCSCc1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464660961 IBDSBDNUBGGXCM-UHFFFAOYSA-N 400.500 4.532 5 20 HJBD Cc1cccc(C(=O)N2CCC(c3cccc(Br)c3)CC2)c1[N+](=O)[O-] 466794009 VINLYQUSZVKEEQ-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(COCc2ccccc2)cc1 470962708 GDYUMBCQTSGZDZ-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1 472646281 LFBXAQFGDHCKHZ-OAHLLOKOSA-N 421.428 4.559 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474735393 LTLABSYRCJQVFG-KGLIPLIRSA-N 420.893 4.920 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 477433858 PRLGYIOXOMBQRH-YOEHRIQHSA-N 413.232 4.609 5 20 HJBD Cc1coc(-c2cccc(NC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 477487733 VYOLMBQJRBLEGV-UHFFFAOYSA-N 400.822 4.576 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CC[C@H](c3cccc(F)c3)C2)cc1SC 478249372 IRBCPOYXBPXAOM-ZFWWWQNUSA-N 404.463 4.531 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H](CCO)c1ccc(Cl)cc1 480363348 FTPSIRDSYQPVEI-AWEZNQCLSA-N 417.771 4.512 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@H](C)c3cccc([N+](=O)[O-])c3C)c2)cc1 480607884 JUUGFFKYERTXJV-LJQANCHMSA-N 417.509 4.992 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1Cn1cccn1 481134774 JSDCAWLZYVJUME-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD CCN(CC)C(=O)c1ccc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)cc1 485406152 NUVQWOVGBMTEJK-UHFFFAOYSA-N 422.460 4.890 5 20 HJBD C[C@@H](NC(=O)c1csc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 485466604 IFXUJWIISHMYNL-CYBMUJFWSA-N 400.431 4.865 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@H](c3nc4ccc(F)cc4o3)C2)c1[N+](=O)[O-] 485490756 MBJKDMWIWZIZEV-LBPRGKRZSA-N 415.446 4.617 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](OCCCc2ccccc2)C1 489902966 DLYNYJRFFDFVAJ-LJQANCHMSA-N 414.527 4.571 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC[C@@H]1CCCC(F)(F)C1 499522137 JVSRBBJMSPWXSN-SNVBAGLBSA-N 412.380 4.647 5 20 HJBD CC(=O)c1ccc(Oc2ccc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])cc2)cc1 500066617 PFAUUKGLQKKWBE-UHFFFAOYSA-N 404.422 4.862 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CCc1ncc(-c2ccccc2F)o1 501996173 BMQDMGZKRNVSGD-UHFFFAOYSA-N 411.433 4.759 5 20 HJBD C[C@@H](N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 504400999 IQTFXJWFROSRMA-QVKFZJNVSA-N 424.888 4.544 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCCO[C@H]2CCCc3ccccc32)c1 505555073 HUNHPIPDXSZACG-FQEVSTJZSA-N 400.500 4.531 5 20 HJBD COc1cc(CNCc2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OCc1ccccc1 509620321 QQDWDFUVOAFRCG-UHFFFAOYSA-N 414.408 4.750 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(-c3cnco3)cc2)cc1[N+](=O)[O-] 510605980 NYOBVYKDAOCZNE-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@@H](c1cccc2ccccc12)C(F)(F)F 511694044 ZNTSYKZRMNRPBE-IBGZPJMESA-N 404.344 4.547 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2cc(Br)ccc2n1 512166891 PHAPIERGMUONKZ-GFCCVEGCSA-N 414.259 4.705 5 20 HJBD COc1cc(C(=O)NC(C)(C)CC(C)(C)C)c([N+](=O)[O-])cc1OCc1cscn1 512658670 XOOWQXALXGCLAR-UHFFFAOYSA-N 421.519 4.584 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 512772092 VAWXUSIITJCMDJ-UHFFFAOYSA-N 404.401 4.698 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2cccc(COCc3ccco3)c2)c([N+](=O)[O-])c1 514405325 STCFWSKIDVXCQZ-UHFFFAOYSA-N 423.469 4.655 5 20 HJBD COc1c(-c2nc([C@@H](C)c3ccc(Br)cc3)no2)cccc1[N+](=O)[O-] 514970921 OFQYUNLHJMGEMW-JTQLQIEISA-N 404.220 4.568 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)cc2)n1 518345381 HQPKEIFFQBAJEA-UHFFFAOYSA-N 407.455 4.697 5 20 HJBD CC1CCC(N(C)C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)CC1 522873675 LVEYIZUVFJAXPJ-UHFFFAOYSA-N 411.289 4.738 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1ccc([N+](=O)[O-])cc1Br 523531430 APRYRYJHLMKMHA-UHFFFAOYSA-N 415.247 4.753 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 525130967 YUBISZZDOQYCJC-DNVFCKCGSA-N 410.517 4.948 5 20 HJBD Cc1cc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)ccc1OC(C)C 525589213 GUZMWHYFHMGTJB-UHFFFAOYSA-N 422.485 4.804 5 20 HJBD COc1ccc(CNC[C@]2(C)CCCS2)cc1OCc1ccc([N+](=O)[O-])cc1 531818083 RJGOPWJAPIHGJN-NRFANRHFSA-N 402.516 4.558 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCn2cccc2[C@@H]1c1ccccc1 537207417 NDKGNHXGNRTGGT-QHCPKHFHSA-N 424.460 4.704 5 20 HJBD C[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C[C@H]1n1ccnc1 537726046 MSHBXZIMJXMPDM-IFXJQAMLSA-N 400.866 4.788 5 20 HJBD Cc1ccc(-c2nc(CC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 538693424 IUVMPAUJJHCSSD-UHFFFAOYSA-N 415.902 4.582 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(N2CCCC2)c1 540478619 OVUGEHUERNCUMF-QGZVFWFLSA-N 406.486 4.743 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc(C(F)(F)F)cs1 540770107 PPAWELXYMLLWIB-UHFFFAOYSA-N 419.450 4.892 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 546636751 PZRQIGFRLJFLGL-QGZVFWFLSA-N 422.254 4.543 5 20 HJBD CO[C@@H](c1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1)c1ccccc1Cl 547227404 APHASQMYBMUFNV-QGZVFWFLSA-N 424.240 4.642 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc3ccc(Br)cn23)cc1C(F)(F)F 549269955 YIPYLFVFHDPVRI-UHFFFAOYSA-N 419.182 4.570 5 20 HJBD Cc1nc(CC(C)C)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)s1 559548094 CBQVDMIFOVGUIG-UHFFFAOYSA-N 412.309 4.881 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2OCc2ccccc2)c1 584827611 SSRSSBMMPVQOBK-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1c(F)cncc1F 588080710 RVXRKMQLSQRXNF-LLVKDONJSA-N 407.442 4.794 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccccc2o1 591888092 UIHPOHZVVWCKBL-UHFFFAOYSA-N 411.361 4.510 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609726462 KWSOWEOSKKQJGL-HXUWFJFHSA-N 418.478 4.556 5 20 HJBD Cc1ncccc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791459 BXZCHPXLPPBBSU-UHFFFAOYSA-N 415.808 4.813 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CC[C@@H](Cc2ccc(F)cc2)C1 609909596 OWEIXBFDXDZMIY-HNNXBMFYSA-N 411.458 4.562 5 20 HJBD CSc1ccc(-c2noc([C@@H](C)NC(=O)c3cccc([N+](=O)[O-])c3)n2)c(Cl)c1 612956990 LWKJRIYPHIDEHG-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC(C)(C)CC(F)(F)F)cc2[N+](=O)[O-])n1 614897307 DXTORXHZBWPGNB-UHFFFAOYSA-N 419.450 4.972 5 20 HJBD CC[C@H](C)C(=O)N1CCC[C@H](C(=O)OCc2nc3ccccc3c3ccccc23)C1 726036077 POHBAQWTRPDLGD-ROUUACIJSA-N 404.510 4.716 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N(Cc1ccc(Cl)cc1)c1ccccc1 732221142 NFBZRQMUCHIKFN-UHFFFAOYSA-N 420.849 4.783 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 732269320 QKUSRFLEFSZBQO-UHFFFAOYSA-N 419.359 4.530 5 20 HJBD CC(C)(CCCc1ccccc1)C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 733028263 RHPIZFVALIPFJF-UHFFFAOYSA-N 409.442 4.737 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2C[C@H](c3ccccc3)C[C@@H]2C)CC1 734355425 MYEWEQVTEWJTJV-AZUAARDMSA-N 407.514 4.849 5 20 HJBD COc1ccccc1-c1nc(C(=O)Oc2cc([N+](=O)[O-])c(Cl)cc2C)cs1 735644831 UKRKESKHCFLGPS-UHFFFAOYSA-N 404.831 4.908 5 20 HJBD Cc1cc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1OCCN1CCCC1 736361213 PTNKAZCYVFJLJR-UHFFFAOYSA-N 421.444 4.591 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H](O)c1ccc(Br)cc1 740970101 LLDKBXLULDLRIR-OAQYLSRUSA-N 422.278 4.927 5 20 HJBD Nc1ccc(C(=O)Nc2cc(C(F)(F)F)ccc2SCC(F)F)cc1[N+](=O)[O-] 741711231 OMFROISVCJJSIF-UHFFFAOYSA-N 421.347 4.805 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1ccc(Oc2cccc(Cl)c2Cl)nn1 741887548 HSQPKJRSPRPCEG-UHFFFAOYSA-N 423.187 4.875 5 20 HJBD O=C(OCc1ccsc1)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 744239978 XWBWOXUTQHMHNS-UHFFFAOYSA-N 414.405 4.635 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)CC1 751064989 QSXWONYEBONOHV-UHFFFAOYSA-N 421.925 4.832 5 20 HJBD Cc1c(Br)cc(C(=O)O[C@H](c2ccccn2)C(F)(F)F)cc1[N+](=O)[O-] 753204934 SSTQRSJELLATBE-CYBMUJFWSA-N 419.153 4.521 5 20 HJBD C[C@@H](Cc1ccccc1Cl)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 754305105 KFWCCQMYGRYGKC-STQMWFEESA-N 415.833 4.781 5 20 HJBD C[C@@H](Nc1cnn(C2CCN(C(=O)OC(C)(C)C)CC2)c1)c1ccc([N+](=O)[O-])cc1 757664009 LHDKSXUSJMSUHK-OAHLLOKOSA-N 415.494 4.536 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2CCC(CN(C)C)CC2)cc1 769902415 KESOOQOVAOZNAT-UHFFFAOYSA-N 424.545 4.550 5 20 HJBD CCCN(CC)c1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cn1 774290012 AEJQCKSOWLJTQX-JOCHJYFZSA-N 420.469 4.568 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C3)cs1 775054935 BOQITRLZONZOKY-UHFFFAOYSA-N 416.484 4.555 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)c1ccc(NC(=O)N(C)C)cc1Cl 778824151 GFAMSLGQVIDIGY-LBPRGKRZSA-N 405.838 4.568 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3ccccc3OC(F)F)no2)c1 809291845 PQMSXCGQVCDGTC-UHFFFAOYSA-N 402.357 4.513 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3ccc([N+](=O)[O-])cc3Cl)n2)cc1F 913226263 YIAMMDGEDNICMD-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc([N+](=O)[O-])ccc2Cl)C1 915181220 PHBFWIXUWWUUMO-KBPBESRZSA-N 415.877 4.619 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)no1 916677169 CSLLUYKODKXOMS-UHFFFAOYSA-N 415.409 4.949 5 20 HJBD CC(C)c1c(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1cccc(Cl)c1 1116135463 IRZKEYKYHJNJQE-UHFFFAOYSA-N 410.861 4.713 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2ccccc2C(F)(F)F)C1 1116209329 PZBBQNCVLQAYEH-UHFFFAOYSA-N 413.783 4.973 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OC)c(-c2ccc(OC)cc2)c1 1116855379 XMZHRZHLDKUALB-UHFFFAOYSA-N 422.437 4.930 5 20 HJBD COC(=O)[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccccc1Cl 1322351223 LARYHSCOXWEPSK-MRXNPFEDSA-N 405.815 4.534 5 20 HJBD COc1ccc(-c2nnc(-c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)o2)cc1 1328197578 CQLHKOGIOVPWML-UHFFFAOYSA-N 402.410 4.933 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 1341657473 JIVKGDFMYDPNJM-AZUAARDMSA-N 420.469 4.805 5 20 HJBD CC[C@@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 11414597 HDGYXDVVXNADTM-IUODEOHRSA-N 424.375 4.678 5 20 HJBD C[C@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)c(Cl)c1 22547527 BGSHNZGSYVXUNB-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD C[C@@H](N(C)C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)(C)C 30423392 JHVOAMBWYWBHIP-CYBMUJFWSA-N 415.456 4.723 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1cc(Br)cs1 44631550 ZTMGQTXETOMJMF-JTQLQIEISA-N 415.334 4.558 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccccc1CSc1nc2ccccc2[nH]1 58387951 FSMNMPLFGNYMIS-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1cc(Cl)c(Cl)cc1Cl 59399726 AIBIPVOCIHBPAH-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD Cc1cc(F)cc2c1N(C(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCC2 78719624 RJWDMGORKJGEFJ-UHFFFAOYSA-N 400.453 4.582 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(c2noc3cc(F)ccc23)CC1 426802619 WWTCUHCSZFGJKR-UHFFFAOYSA-N 411.433 4.820 5 20 HJBD C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 435767606 JLAQYLPVQGOELI-MRXNPFEDSA-N 403.442 4.629 5 20 HJBD C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 435770191 YXPWCPSQIKJJGL-INIZCTEOSA-N 403.442 4.629 5 20 HJBD CSc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 436126430 DGSYMCABEFNYHC-UHFFFAOYSA-N 410.445 4.611 5 20 HJBD C[C@H](N[C@H](C)c1ccc(Br)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 443351115 ZHRPEXGGXCPOCR-ZJUUUORDSA-N 423.292 4.881 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCC(Cc2ccccc2F)CC1 444015613 VHCGTHFHIKSIMO-UHFFFAOYSA-N 414.480 4.915 5 20 HJBD C[C@@H](CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)Sc1ccccc1 444088809 UGEYZASUABKJRW-ZDUSSCGKSA-N 424.472 4.870 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(Cc3csc(-c4cccc(C)c4)n3)n2)c1 445581182 ZFWIOASGZKFZEZ-UHFFFAOYSA-N 422.466 4.600 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F 445985511 LTMLPWFNFYECLS-RDJZCZTQSA-N 421.419 4.786 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2sc(Br)cc2[N+](=O)[O-])c1 446022799 YUSDSUMSESWXCK-UHFFFAOYSA-N 413.293 4.730 5 20 HJBD CC(C)c1nccn1Cc1cccc(N[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1 447572560 CPPLBPZDGGSKGC-PMACEKPBSA-N 408.506 4.755 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(CSc2ccc([N+](=O)[O-])cn2)cs1 448102554 WUMLZMZULZOZTJ-UHFFFAOYSA-N 404.448 4.562 5 20 HJBD C[C@H]1CCc2c(sc3nc([C@@H](C)Sc4ccc([N+](=O)[O-])cn4)nc(O)c23)C1 448102621 LORWDHOHQFLOLK-VHSXEESVSA-N 402.501 4.678 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCc2c(Br)cccc2C1 462601804 KGBVDJQOVLVAFJ-HNNXBMFYSA-N 417.303 4.511 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OC(C)C 463956689 ZXHYUDZWSSEYHB-OAHLLOKOSA-N 401.463 4.890 5 20 HJBD CC[C@H]1Oc2cc([C@@H](C)Nc3ccc(Br)cc3[N+](=O)[O-])ccc2NC1=O 467011784 CSQNUAOHHCTKOL-QLJPJBMISA-N 420.263 4.640 5 20 HJBD Cc1c(NC(=O)NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 467095801 ZPMPZXVBBDLXHU-INIZCTEOSA-N 422.510 4.954 5 20 HJBD Cc1coc(-c2cc(NC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)ccc2C)n1 467439428 MGPVNLDUDOPGSD-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD Cc1ccc([C@H](N[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(S(C)(=O)=O)c2)cc1 467858419 ITPAEZKIUHAFPH-SBUREZEXSA-N 424.522 4.747 5 20 HJBD Cc1cccc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1Br 469659783 HDPQZHZWBZTJME-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCC(C)(C)c1ccc(C(F)(F)F)cc1 471156071 IUEJXYXAGYXJDA-UHFFFAOYSA-N 410.392 4.720 5 20 HJBD CCCOc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(OC(F)F)c1 472224073 VBOYEMPBRCDSIO-UHFFFAOYSA-N 414.792 4.568 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 477313956 LHPPTIYBWFIXIB-NVXWUHKLSA-N 408.907 4.595 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cc2cc([N+](=O)[O-])ccc2o1 478761287 LJZQPHMJUJJMCO-UHFFFAOYSA-N 418.793 4.904 5 20 HJBD O=C(Nc1ccc(Br)cc1F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 480543872 ZEQJULOKRLUEGO-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD CCN(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)[C@@H](C)c1cc2ccccc2o1 480746889 ZFMDFMZCDDJJRD-HNNXBMFYSA-N 404.426 4.750 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1coc(-c2ccc(Br)cc2F)n1 485043621 GLJPVDNGHWVNAI-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(s1)C(=O)CCC2 486014406 IGONNTZXJOBENA-UHFFFAOYSA-N 405.501 4.571 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)CC3 498395807 VTPNNAWCWBXVMF-GFCCVEGCSA-N 417.387 4.691 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Nc3ccc(OC)cc3[N+](=O)[O-])cs2)cc1 504387673 IYSZQRWIGZLBJU-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2cccc(Cl)c2n1 505427438 GPXAXTMOFZIARV-UHFFFAOYSA-N 413.864 4.995 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NS(=O)(=O)c1c([N+](=O)[O-])ccc(C)c1C 509388837 RNUSGEMAZOUWRQ-UHFFFAOYSA-N 415.446 4.522 5 20 HJBD CCOc1cc(C(=O)N(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)c([N+](=O)[O-])cc1OC 512410056 HINYEADSUNRDTA-XJKSGUPXSA-N 414.458 4.523 5 20 HJBD O=C(Nc1ccc(-c2noc(C(F)(F)F)n2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 518910458 AYHMMKVZKMZYPG-UHFFFAOYSA-N 412.711 4.569 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3C[C@H](c4ccccc4)[C@@H]4COCC[C@H]43)cs2)c1 519792411 PUBNSLJTJGXNSD-BHIFYINESA-N 421.522 4.723 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)cs1 521080361 SQNHNZAKACAUIQ-KKUMJFAQSA-N 416.547 4.587 5 20 HJBD COc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2C)cc1NC(=O)C1CCCCC1 523829479 LNZRVFCCBCMQBB-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD CCc1c(-c2ccc(Br)cc2)n[nH]c1NC(=O)c1cccc([N+](=O)[O-])c1 524693987 TWAXPFAQUGGMSK-UHFFFAOYSA-N 415.247 4.562 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 525012894 KYIZHUXGZCZNPT-UHFFFAOYSA-N 414.465 4.660 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 525023756 WTPNXTMXIFTVBO-INIZCTEOSA-N 420.412 4.881 5 20 HJBD COc1ccc(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCc1cccnc1 530968372 XLXNTZAANGEVCP-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(C(F)(F)F)(C(F)(F)F)C3)o2)c1 533433823 DOQOPRCQAHEXBS-UHFFFAOYSA-N 423.313 4.609 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 536860824 AQCKPFJCFPIZFD-HXUWFJFHSA-N 403.438 4.643 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1C(=O)NC(C)C 537090026 HTPYJPGBRBECJY-UHFFFAOYSA-N 415.515 4.794 5 20 HJBD C[C@@](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)(c1ccc(F)cc1)C(F)(F)F 543795978 GYDJLKQLWVQXGV-MRXNPFEDSA-N 404.747 4.524 5 20 HJBD COc1ccc(CSCc2nc(-c3ccc4ncccc4c3)no2)cc1[N+](=O)[O-] 544196596 TWSURKCATSMHII-UHFFFAOYSA-N 408.439 4.635 5 20 HJBD O=C(c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])N1CCC(CCO)CC1 545236042 ZSUJWMISZXPUOR-UHFFFAOYSA-N 420.918 4.634 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)n2)cc1 545495166 DXWBWIVIHYHCLY-UHFFFAOYSA-N 411.468 4.569 5 20 HJBD CCSCc1noc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 547140310 QEXNNKFZNYWPGR-UHFFFAOYSA-N 421.482 4.674 5 20 HJBD CSc1cccc(C(=O)N2CCCC[C@H]2c2nc3ccccc3n2C)c1[N+](=O)[O-] 548272420 WCYWYSGPWVOYFT-KRWDZBQOSA-N 410.499 4.571 5 20 HJBD C[C@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1C(=O)CCc1cccc([N+](=O)[O-])c1 557439830 ZESRISLVYKFQMH-LIRRHRJNSA-N 422.403 4.535 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 572805397 PNSWLENTEDUCTO-UHFFFAOYSA-N 424.501 4.710 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(C)ccc3OC)cs2)c1 580574447 UDXYTBHLJYDRKY-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD C[C@@H](C(=O)N1CCC(c2nc3cc(Cl)ccc3o2)CC1)c1cccc([N+](=O)[O-])c1 584268929 UDUMWFXGPYGVHJ-CYBMUJFWSA-N 413.861 4.899 5 20 HJBD C[C@@H](NCc1cc(I)cc([N+](=O)[O-])c1)c1cc2ccccc2o1 589259156 LZNFZQCNQSGPTA-LLVKDONJSA-N 422.222 4.796 5 20 HJBD Cc1ccc(O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c(Br)c1 603751636 UONGNUPLNFJSFD-ZDUSSCGKSA-N 407.264 4.689 5 20 HJBD Cc1cc(Sc2nnc(CN3CCCCC3)n2-c2ccccc2)ccc1[N+](=O)[O-] 603846004 GEDRLHBTVVBIGT-UHFFFAOYSA-N 409.515 4.621 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)cc1Cl 603961178 OCKOEMQEQUSNGK-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(Nc1cc(C2CCCC2)nn1-c1ccccc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 608820826 HQSSHVGVHSDEHH-UHFFFAOYSA-N 416.441 4.567 5 20 HJBD COc1cc(C(=O)NCC2(c3ccccc3)CCCCCC2)c([N+](=O)[O-])cc1OC 608962754 JVNCTCCNOXBQMQ-UHFFFAOYSA-N 412.486 4.634 5 20 HJBD C[C@](CO)(NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(C(F)(F)F)c1 609710588 BFFSUSPJHMVCSY-HXUWFJFHSA-N 420.387 4.871 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Oc2ccncc2)cc1 609724425 MGLNEGKWHQPLOD-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)Sc2nc3cc(Cl)cc(C)c3o2)c([N+](=O)[O-])c1 609750835 HWTRKOHOJJHTNL-SNVBAGLBSA-N 421.862 4.826 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)cc3)c(C)s2)cc([N+](=O)[O-])c1OC 610169105 ARIAZGFATZDXDG-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2ccnc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 610594174 VWINSOUHRRPXHP-MRXNPFEDSA-N 406.442 4.651 5 20 HJBD C[C@@H](CSc1ccccc1)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 732390110 CSCDKOZDWOQCEP-QWHCGFSZSA-N 422.890 4.547 5 20 HJBD CCN(C(=O)c1cc(F)ccc1[N+](=O)[O-])[C@H](c1cccnc1)c1ccc(F)c(C)c1 733111207 WMHBKDUFCNGFJN-NRFANRHFSA-N 411.408 4.828 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)OCc1cc([N+](=O)[O-])ccc1Cl 734780544 JTYGQRHRZOOYMA-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 749357655 NOXXZXLRCYTDIU-YXAHYSCSSA-N 402.454 4.677 5 20 HJBD O=C(Nc1ccccc1N1CCCC1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749410162 IVUNGNJDGLUUKF-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD O=C(Nc1cccc(OCCF)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 750904721 JIKUPZZMRHTRNB-UHFFFAOYSA-N 417.618 4.611 5 20 HJBD C[C@@H](Cc1cccc(C(F)(F)F)c1)C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1 751662894 ADMLIYCXVPQMDU-NSHDSACASA-N 408.332 4.677 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1ccc(Cl)cc1[N+](=O)[O-] 754476757 AJMYQIKZXMGKHB-GFCCVEGCSA-N 401.633 4.809 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)O[C@@H](Cc1ccccc1)c1cccnc1 759448633 ZSTPAIUGOZWOAU-QFIPXVFZSA-N 401.422 4.541 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(C(=O)c2ccccc2)c1 761552869 NKWHJMQGBXNQOJ-ZDUSSCGKSA-N 410.376 4.846 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCC(=O)Nc1c(C)cc(C)cc1Cl 763809512 CFEFPWZDNTZFTN-UHFFFAOYSA-N 422.890 4.773 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1ccc(Cl)cc1[N+](=O)[O-] 767277597 WUMWZPCZNRYYBA-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD O=C(OCCCOc1ccccc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781258627 VRAPQFXGFBFTRC-UHFFFAOYSA-N 409.388 4.585 5 20 HJBD CCc1ccc(Cl)c(CC)c1NC(=O)[C@@H]1CC(c2ccccc2[N+](=O)[O-])=NO1 781561151 FLKAVWNYONKLGI-SFHVURJKSA-N 401.850 4.505 5 20 HJBD COc1cccc([C@H](OC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccncc2)c1 782456414 NOBKSZOYWZAOSN-YCRPNKLZSA-N 424.478 4.812 5 20 HJBD O=C(CCN1CCCC[C@H]1c1ccc(F)cc1F)Nc1cc([N+](=O)[O-])ccc1F 782516637 VHOPZFXRZIUJMH-IBGZPJMESA-N 407.392 4.568 5 20 HJBD C[C@H](NC(=O)c1ccccc1NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1 789666480 AYJJDTWGAJGLKU-HNNXBMFYSA-N 420.425 4.606 5 20 HJBD O=C(Nc1c2c(nn1-c1ccc(Cl)cc1)CCC2)c1cccc(Cl)c1[N+](=O)[O-] 792639215 POPKEKFLADTBFH-UHFFFAOYSA-N 417.252 4.828 5 20 HJBD C[C@H](OC[C@H](O)COc1cc(F)c([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 794752419 OZXBWPJWEIAMGN-GWCFXTLKSA-N 404.221 4.558 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)c1ccccc1OCc1cn2ccccc2n1 813244465 CZZGBLGZTXMBIL-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD Cc1cc(-c2cc(C(=O)OCc3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 813317848 FKTZLVKRBMRLQW-UHFFFAOYSA-N 407.382 4.673 5 20 HJBD Nc1c(Cl)cc(C(=O)Nc2cc(Cl)ccc2OC(F)(F)F)cc1[N+](=O)[O-] 908455016 OXHNQPJGRHGGGC-UHFFFAOYSA-N 410.135 4.635 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 915181570 XBTGLSCJEBZTOS-OKILXGFUSA-N 415.877 4.619 5 20 HJBD C[C@H](Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-])C(=O)Nc1cccc([N+](=O)[O-])c1 920401783 LYESNXDKEKABCZ-AWEZNQCLSA-N 407.382 4.576 5 20 HJBD O=C(Nc1ccccc1SC(F)(F)F)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 921282858 HKZUQMMYEABTOX-UHFFFAOYSA-N 408.361 4.645 5 20 HJBD O=C(/C=C\c1cc(Cl)cc(Cl)c1)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 1248616576 DGUYVCJNYOZACR-PLNGDYQASA-N 420.208 4.708 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1ccc2cc([N+](=O)[O-])ccc2n1 1318182886 SITCWOXJWSFUNE-UHFFFAOYSA-N 408.458 4.780 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc3ccccc3cc2OC(F)F)o1 1322204509 AFXNADPTIILGKM-UHFFFAOYSA-N 413.336 4.978 5 20 HJBD Cn1c(SCc2ccc([N+](=O)[O-])cc2Br)nnc1-c1ccc(F)cc1 1325772430 FMKUQDCDYNWHJK-UHFFFAOYSA-N 423.267 4.584 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCO[C@H](c3ccc(Cl)s3)C2)c1 1330334281 MAPZAPRNLDNNPW-ZDUSSCGKSA-N 406.813 4.902 5 20 HJBD COc1ccc(-c2cc(N[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)ccc2OC)cc1 1337272087 ZTQPYRJMPQZVPY-HNNXBMFYSA-N 421.453 4.718 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(-c3cc(C)nc4ccccc34)o2)cc1OC 1345781639 DAVAEVNSLATRHF-UHFFFAOYSA-N 406.398 4.576 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc3c(c2)CCC(=O)N3)cc1[N+](=O)[O-] 33066104 YUHMQUMIELDFQP-UHFFFAOYSA-N 413.499 4.870 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1ncc(-c2ccc(F)cc2)o1 41905615 KGIYKSIPIIJLKM-UHFFFAOYSA-N 417.418 4.518 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3cc(F)ccc3OC)cs2)cc1[N+](=O)[O-] 44542767 ROIHKGVKPQMQRL-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccncc2)cc1 50487002 RERJSZSGECGUCI-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD O=C(CCCc1cccs1)Nc1cccc(C(=O)NCc2ccccc2[N+](=O)[O-])c1 58388227 NBYHTQKGNPMUJG-UHFFFAOYSA-N 423.494 4.548 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2cccc(C)c2[N+](=O)[O-])cc1 59309024 PVTZDYXHBDVXTJ-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD CCCNC(=O)c1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 59774832 WHTQCTFASJFKSX-GFCCVEGCSA-N 421.906 4.507 5 20 HJBD CCO[C@H](C)c1noc(CSc2nc3ccccc3c3nc4ccccc4n23)n1 97591343 LNODAEAOMDXWPK-CYBMUJFWSA-N 405.483 4.809 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC[C@@H]2c2nc3ccccc3s2)c([N+](=O)[O-])c1 105436222 NLLMFJNBRMSSMZ-QGZVFWFLSA-N 410.499 4.684 5 20 HJBD O=C([C@H]1CCC[C@H](C(F)(F)F)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867329 SNULNUSLZPJCSP-AAEUAGOBSA-N 412.330 4.854 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCc3sccc3C2)cc1 109725440 ZFTCGMFOUUWENV-UHFFFAOYSA-N 422.510 4.660 5 20 HJBD CC[C@H](C)N(Cc1ccccc1)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 139211991 RPEZPIIZZZRVEP-KRWDZBQOSA-N 420.513 4.897 5 20 HJBD Cn1cc(CNCCCO[C@@H]2CCCc3ccccc32)c(-c2ccc([N+](=O)[O-])cc2)n1 237758541 OYHBRDXBQBYRJO-HSZRJFAPSA-N 420.513 4.569 5 20 HJBD O=[N+]([O-])c1cnc(NCc2csc(CCc3ccccc3)n2)c(Br)c1 301601464 ZSZHANYSNGXEKG-UHFFFAOYSA-N 419.304 4.606 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1cc(Br)cc([N+](=O)[O-])c1 431772651 LYCREFSJVLUHQB-CQSZACIVSA-N 417.181 4.781 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 432765258 LYJSWAAAFGQVPO-UHFFFAOYSA-N 418.453 4.904 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOc2c(F)cccc2C1 433073906 UONSCAMJUBVEDH-UHFFFAOYSA-N 423.400 4.953 5 20 HJBD Cc1cc(CSCCCn2c(=O)oc3ccc([N+](=O)[O-])cc32)c2ccccc2n1 437270448 XCBWVPFWQCKSMJ-UHFFFAOYSA-N 409.467 4.683 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(Br)cc1Cl 439609881 JFNQKXYSZRKESZ-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD O=C(NCCn1cnc2ccccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442006956 CGKPJZYYPOCOSE-UHFFFAOYSA-N 418.478 4.526 5 20 HJBD Cc1ccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(OC(C)C)c1 444238904 GKGBIYQAGFRZHF-UHFFFAOYSA-N 400.478 4.707 5 20 HJBD C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444244659 XAYBWILENKXSTJ-WMLDXEAASA-N 418.443 4.978 5 20 HJBD CCn1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)nc2cc(F)ccc21 444306206 VWIZSZBYVSQMNG-UHFFFAOYSA-N 418.403 4.581 5 20 HJBD CC[C@@H](Cc1ccccc1)N(C)C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 460299165 RJHUBDSDBKHLCF-QFIPXVFZSA-N 420.513 4.550 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC(F)(c2cccc(Cl)c2)CC1 467874967 NRVYNDHPRXDGOO-UHFFFAOYSA-N 401.825 4.831 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2cccc(Cl)c2)C1 469141106 WMSIXUHHUVXIJC-GOSISDBHSA-N 420.918 4.962 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2cccc(COC(C)(C)C)c2C)cc1OC 474773210 LCUZOWUKNHOLJH-UHFFFAOYSA-N 416.474 4.878 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCc2c([nH]c3ccccc23)C1 475182687 GWPYUFAISWYGFZ-UHFFFAOYSA-N 404.348 4.685 5 20 HJBD COc1cc(C(=O)N(Cc2cccc(-c3cccnc3)c2)C2CC2)cc([N+](=O)[O-])c1C 477772120 KDBUEQIXFFDTJK-UHFFFAOYSA-N 417.465 4.779 5 20 HJBD COCCN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc2ccccc2s1 477880347 PIHGYHKAEHWNNC-UHFFFAOYSA-N 413.480 4.712 5 20 HJBD CCc1c(NC(=O)CSc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 482697859 LKPORPQLUJFTDW-UHFFFAOYSA-N 416.890 4.727 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)cc1F 483644066 OZRLSSWNEOBPNM-LLVKDONJSA-N 422.363 4.545 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cn1 488392704 QZHSXAQAPDLWGK-UHFFFAOYSA-N 410.293 4.753 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1C(=O)N(C)C(C)C 489116929 YFSSQJKQVJYRTM-MRXNPFEDSA-N 412.490 4.575 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1oc2ccccc2c1COc1ccccc1 500775845 TWUVMOFCDFDALQ-UHFFFAOYSA-N 402.406 4.850 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@H](c3nc4ccc(F)cc4o3)C2)c1 502147255 SFKDIAIXGQKKJF-LBPRGKRZSA-N 415.446 4.617 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cc2ccc(Cl)cc2C)s1 502558449 JMDJNHNZZMTZDM-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD COCC[C@@H](N[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1ccco1 502646016 DLPATWGUEYMPMU-DENIHFKCSA-N 423.469 4.543 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)c(C(=O)N(C)C)c1 504091822 SYHAINLARWGSMX-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cnccc3OC(C)(C)C)cc2[N+](=O)[O-])CC1 504699142 FBHXMHSNPWNUDI-UHFFFAOYSA-N 412.490 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2c(F)cccc2F)C(F)(F)F)c1 505433441 HDKKXJYANUDJMS-CQSZACIVSA-N 406.332 4.628 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2cccs2)c([N+](=O)[O-])cc1OCC(F)(F)F 507435086 VUISJTRCVIKZDO-NSHDSACASA-N 404.410 4.799 5 20 HJBD O=C(NCCCc1c[nH]c2ccc(F)cc12)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 508618803 DYBJTWXPJBOQFI-UHFFFAOYSA-N 410.449 4.522 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cc(F)c(Cl)cc1Cl 509567063 BKLRXAURXCKXTD-VIFPVBQESA-N 401.221 4.758 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H](c3nncn3C)C(C)C)cc2[N+](=O)[O-])cc1 512501185 FRMKEKYOOLUXTD-FQEVSTJZSA-N 411.531 4.670 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCC[C@@H]3c3cccc(Br)c3)c1)OCOC2 518459421 HDRVSIILXNDAIJ-GOSISDBHSA-N 419.275 4.561 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@@H](c2ccc(Cl)cc2)C2CCCC2)cc1 519150908 SSQGMVNMIGIZJF-LJQANCHMSA-N 408.907 4.599 5 20 HJBD O=C(CCC(=O)N1CCc2c1cccc2[N+](=O)[O-])c1ccc(-c2ccccc2)cc1 523129092 JGSZIWSEZGMNOW-UHFFFAOYSA-N 400.434 4.814 5 20 HJBD COc1ccc(CC[C@@H]2CCCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1OC 523834819 KSSQAMUKULFQSX-IBGZPJMESA-N 412.486 4.548 5 20 HJBD CC[C@H](NC(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1c(C)noc1C 536033365 YXLTYZCQYOXXLZ-IYOUNJFTSA-N 414.506 4.563 5 20 HJBD O=C(Nc1cccc2c1CN(c1nccs1)C2)c1cc2cc([N+](=O)[O-])ccc2o1 536246735 AJYIDPQXKPSGTB-UHFFFAOYSA-N 406.423 4.570 5 20 HJBD Cc1ccc(S(=O)(=O)c2ccc(CN[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cc1 537078924 WNCNQTBTHGGKJF-KRWDZBQOSA-N 410.495 4.587 5 20 HJBD C[C@@H]1C[C@H](C(=O)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CCO1 537338478 JXBWFNBMJFOAIL-TZMCWYRMSA-N 422.840 4.611 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2cnn(CCC(C)C)c2C(C)C)c1 537361428 VLRIBHJLPVHFTC-UHFFFAOYSA-N 402.495 4.677 5 20 HJBD C[C@@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(N2CCCC2)c1 538276134 HHWRXBJKTWYWKZ-HZPDHXFCSA-N 407.474 4.657 5 20 HJBD CCOc1ccccc1-c1cccc(C(=O)NCc2ccc(OC)c([N+](=O)[O-])c2)c1 538966120 YAKHMVLASWEHOD-UHFFFAOYSA-N 406.438 4.599 5 20 HJBD COc1cc([C@H](C)NCc2ccc([N+](=O)[O-])cc2F)ccc1OCc1ccncc1 540351081 GDWAHEAKXWVWEF-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD COc1ccc2oc([C@H](C)NCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])c(C)c2c1 541655910 GMYOVCJXZBDZKK-LBPRGKRZSA-N 417.849 4.601 5 20 HJBD C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 546829524 NLDOAYKOTSABOT-AWEZNQCLSA-N 400.862 4.648 5 20 HJBD C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 551825379 GAYZYHPLKJPUNC-KZULUSFZSA-N 408.376 4.589 5 20 HJBD O=C(NC[C@H]1CCCSC1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 554075526 GEVNQYXGROIVMG-CYBMUJFWSA-N 406.891 4.914 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)NCc1cccc(OCc2ccccc2)c1 558886606 LZLUSUQQAUDVFY-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD CC(=O)N[C@H](CC1CCC1)c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 568695400 GKOPRGQQBBNYBP-HXUWFJFHSA-N 422.441 4.805 5 20 HJBD C[C@H](C(=O)N(C)Cc1cc(Br)ccc1Cl)c1cccc([N+](=O)[O-])c1 568942295 QELCIWLCLZKABV-NSHDSACASA-N 411.683 4.773 5 20 HJBD CCCOc1cc(NC(=O)c2nc(C(C)C)oc2C)c([N+](=O)[O-])cc1OCCC 569613664 DLVUTENGDHJDMI-UHFFFAOYSA-N 405.451 4.845 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)cc1F 575938110 DRJADSUAQQMTBA-UHFFFAOYSA-N 421.144 4.792 5 20 HJBD O=C(Nc1ccc2cc([N+](=O)[O-])ccc2n1)c1nc2ccccc2cc1Br 583656905 RCFOYZUKRAGJRE-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)[C@@H](C)c1cccc(C(F)(F)F)c1 608936108 QHYRTWOWSWHQAM-LBPRGKRZSA-N 424.375 4.608 5 20 HJBD Cc1cccc(C2(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)CCCC2)c1 608948278 AZMACUNMWDOKBI-UHFFFAOYSA-N 407.514 4.705 5 20 HJBD CCCCN1Cc2cccc(N[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)c2C1 608980426 BGJMSQZISJKXIY-CQSZACIVSA-N 416.909 4.803 5 20 HJBD COCCN(C(=O)C[C@@H](C)CC(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 609009545 AZZGQUUZRYREAW-HNNXBMFYSA-N 405.520 4.770 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(CCCO)Cc1ccc(Cl)c(Cl)c1 609030851 GPEYDSQTNXMAHE-UHFFFAOYSA-N 412.273 4.627 5 20 HJBD COc1cc(CN2CCSC[C@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC(F)F 609706120 ZTIFNBPPNMUGQE-KRWDZBQOSA-N 424.469 4.803 5 20 HJBD C[C@@H]1C[C@@H](N(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 609977968 LVGRNXFRAWRLCZ-APWZRJJASA-N 423.538 4.935 5 20 HJBD COc1ccc(C(C)(C)C)cc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 610570466 DXDOHNNFHHYMIJ-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nnc(/C=C\c2ccco2)s1 612052241 IBNZHHAFZIVYKV-XQRVVYSFSA-N 422.875 4.594 5 20 HJBD COc1ccccc1/C(C)=C\C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 727305664 RZURMYVZELIIDE-KAMYIIQDSA-N 408.376 4.598 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1 729217324 QEYSKXWPUXFAFH-UHFFFAOYSA-N 421.434 4.918 5 20 HJBD Cc1ccccc1COc1cccc(NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)c1 732643541 MANSUADTBYKGLZ-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD CC(C)(C(=O)Nc1nc2ccc(Br)cc2s1)c1ccc([N+](=O)[O-])cc1 739469755 BMGPORBDNKPUBM-UHFFFAOYSA-N 420.288 4.883 5 20 HJBD CC(C)[C@@H](Cc1ccc(F)cc1)N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 742701301 PSDBVLLFYZJFRT-SCLBCKFNSA-N 407.873 4.571 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 744369996 UFQYPYOZEOHGNG-HKUYNNGSSA-N 411.458 4.837 5 20 HJBD C[C@H](NC(=O)c1ccccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccccc1 750160842 OSNXLEADOCWJBJ-AWEZNQCLSA-N 423.856 4.992 5 20 HJBD O=C(Nc1nc(-c2cccs2)cs1)c1cc([N+](=O)[O-])ccc1Br 751049044 IGOOXCQRPOJIBH-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N[C@@H](CC1CCCC1)c1ccccc1 752440956 UDGGROZKBONZLD-SFHVURJKSA-N 415.877 4.625 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1cccc([N+](=O)[O-])c1Br)CCC2 753836973 CJTTWJKRBIFFBM-CYBMUJFWSA-N 424.320 4.526 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754633397 XEOKIKFXPBVBJC-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCc1noc(CCCc2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)n1 761859044 SCTGPVTUNKNJAT-UHFFFAOYSA-N 421.413 4.558 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cc1 762447031 QPUWGDPRNCTYCW-UHFFFAOYSA-N 417.437 4.997 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Oc1cccc(NC(=O)c2ccco2)c1 764242433 IDPQZTSZKXQLOH-UHFFFAOYSA-N 412.423 4.771 5 20 HJBD C[C@H](NC[C@H](O)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 765848591 IACWHSRBPKVLPL-HJPURHCSSA-N 410.445 4.697 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(COc3ccccc3)n2Cc2ccco2)o1 768400552 GUOSYJGEZFEQNE-ZRDIBKRKSA-N 424.438 4.763 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 768530571 LIGBBRSHNWWGTJ-UHFFFAOYSA-N 415.284 4.653 5 20 HJBD CCn1cc(NC(=S)Nc2cc([N+](=O)[O-])ccc2Sc2cccs2)cn1 771839208 VWTXXNBTDICARE-UHFFFAOYSA-N 405.530 4.833 5 20 HJBD CSc1cccc(C(=O)Oc2ccc(N(C)C(=O)C(C)(C)C)cc2)c1[N+](=O)[O-] 776737279 LZXLIWHAJVJQBW-UHFFFAOYSA-N 402.472 4.545 5 20 HJBD CC(C)c1ccc([C@@H]2CCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 782024740 DJZTXDNGGWEGRY-FQEVSTJZSA-N 411.458 4.923 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(Cc1ccccc1)C[C@H](O)c1cccs1 782838223 ZVFSHOPLCWDOMH-IBGZPJMESA-N 411.483 4.732 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(CC(C)C)C[C@@H](O)c1ccc(F)cc1 789430720 NCZZIOFXKYNEHR-HXUWFJFHSA-N 403.454 4.574 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1OCc1nc(COc2ccc(F)cc2)no1 794496537 YAXSRKKDCJBFCK-UHFFFAOYSA-N 414.176 4.582 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NS(=O)(=O)c1ccc(Cl)cc1C(F)(F)F 800052479 YJLRJJKBMYGQHU-UHFFFAOYSA-N 412.748 4.515 5 20 HJBD Cc1ccc(/C=C/c2nc(SCc3ccc(Br)c([N+](=O)[O-])c3)n[nH]2)o1 800832619 CGWHYADKZZVAIC-FNORWQNLSA-N 421.276 4.840 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccccc1 804410397 YPAPUNRWFATHBA-JOCHJYFZSA-N 424.884 4.553 5 20 HJBD COc1ccc(SCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 811219050 KHGDLJYSVUFJGA-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD CC[C@@]1(CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])OCCc2ccccc21 813257202 UEFXRHWKPIKMIK-IBGZPJMESA-N 409.269 4.510 5 20 HJBD O=[N+]([O-])c1c(Cl)cccc1OCc1nc(Cc2cccc(Br)c2)no1 814774814 FJVMFCOXOYTQPY-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD CC(C)Oc1cc(-c2nc([C@@H]3CCCN3C(=O)OC(C)(C)C)no2)ccc1[N+](=O)[O-] 904701766 ANGWEBYZIJQYIJ-HNNXBMFYSA-N 418.450 4.504 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)NC[C@@H](c2c(F)cccc2Cl)N2CCCC2)cc1 915125336 NJIWATWLYDMWQV-KRWDZBQOSA-N 422.913 4.511 5 20 HJBD O=[N+]([O-])c1cc2c(c(COc3ccc(-c4ccccc4)cc3[N+](=O)[O-])c1)OCOC2 920307501 JUUFXNOCXYCNJJ-UHFFFAOYSA-N 408.366 4.616 5 20 HJBD C[C@@H]1CN(c2ccc(CNC(=O)c3c4ccccc4cc4ccccc34)cn2)CCO1 1318867311 MZJWHLCROXKVPE-GOSISDBHSA-N 411.505 4.543 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3nc(-c4cccs4)n(-c4ccccc4)n3)o2)c1 1322198730 ZEZRJOUEBGDJSZ-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD COc1cc(OC)cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)o2)c1 1323792979 CQOCLZDGMVPIAI-UHFFFAOYSA-N 424.457 4.565 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 1338253869 XCPSIDPRRRSIGQ-VBHSOAQHSA-N 408.376 4.604 5 20 HJBD Cc1ccc(OCc2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1C 1346602075 YRZPQSXLQUQSIM-CYBMUJFWSA-N 403.822 4.967 5 20 HJBD CCNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c1 14572929 FBWNFBSMBVKSED-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD COc1ccc(-n2ccnc2S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 16675300 XMQZLZPFVHGCJE-ZDUSSCGKSA-N 423.454 4.692 5 20 HJBD Cc1ccccc1-n1ccnc1S[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 21594584 UZOGGXVPCQEIJY-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc(F)c([N+](=O)[O-])c2)n(C2CCCCC2)c1C 22215991 NTHGANKXLUAVRG-UHFFFAOYSA-N 406.483 4.783 5 20 HJBD C[C@H](CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1ccccc1 52960730 RBLHLESKWPMGBY-SJORKVTESA-N 404.470 4.696 5 20 HJBD Cc1cc(N2CCC(c3nc4ccccc4[nH]3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858626 FLSXOANCDHMVKL-UHFFFAOYSA-N 414.469 4.621 5 20 HJBD Cc1cc(NC(=O)CCSc2ccc(Cl)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105529265 CZGUAJYYPCTWDR-UHFFFAOYSA-N 416.890 4.863 5 20 HJBD O=C(c1ccccc1)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)c1 110158556 LSNUUVSJNRPGOJ-UHFFFAOYSA-N 415.449 4.678 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3ccccc3F)n2)nc1-c1cccc([N+](=O)[O-])c1 195704319 RRBKOFJGZCBFNV-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc2cc([N+](=O)[O-])ccc2n1 301541688 SIRFAZWSUUBKGJ-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N[C@H]1CCO[C@H](c2ccccc2)C1 302161110 HHRUHXNKAHIAPN-BBRMVZONSA-N 411.336 4.854 5 20 HJBD Cc1c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cnn1-c1ccccc1F 435345610 IASUPXSREACHIG-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1occc1-c1nnc(SCc2cc(O)ccc2[N+](=O)[O-])n1Cc1ccccc1 436477528 YENBKGDHQSWEHG-UHFFFAOYSA-N 422.466 4.801 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2c(Br)cccc2C1 443946462 LXSAYZZOIKYGBN-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD Cc1ccc(-n2nc(-c3ccco3)cc2NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 460116554 KBMAKXGWQQKERQ-UHFFFAOYSA-N 402.410 4.910 5 20 HJBD CC(C)c1oc([C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])n2C)nc1-c1ccccc1 464060147 TXJISOCJUIEBCW-INIZCTEOSA-N 408.458 4.689 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)c1C 464835259 IUFRZBDPRRAIJB-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccc(F)cc2)C2CCCCC2)cc1[N+](=O)[O-] 465705601 RBYWMANQUKZITN-UHFFFAOYSA-N 415.465 4.787 5 20 HJBD COc1cccc([C@@H]2C[C@H](C)CN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467232844 YVHJJLULLHSXGD-DJJJIMSYSA-N 403.866 4.550 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1CCSc2ccc(Cl)cc21 476211330 OBUHFADCLPAISB-MRXNPFEDSA-N 405.907 4.669 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(Br)cn1 479534739 XPWDCPRLYXFTRL-IYOUNJFTSA-N 404.308 4.814 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccccc2C(F)(F)F)cc1SC 483384897 WDAVJQYLPWDLCO-SNVBAGLBSA-N 414.405 4.835 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 483970926 DNNUIANSGHVNOK-SSEXGKCCSA-N 423.494 4.533 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@H]1CCN(c2cc(Cl)ccc2Cl)C1=O 485429300 GHHHUOBAPGWADT-CYBMUJFWSA-N 422.268 4.510 5 20 HJBD CC[C@@](C)(NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nccs1 485522424 YGKCKPVGKDVJJG-OAQYLSRUSA-N 411.483 4.686 5 20 HJBD CCS[C@@H]1CCC[C@H]1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486179924 QNJLMJFFGOHLSO-UYAOXDASSA-N 400.500 4.578 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1c(F)cc(F)cc1Br 487236164 LKUBJQGBUUXGLR-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD CC(C)(C)[C@H]1C[C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CCO1 490842041 KKYNWYBGSGJAAE-LAUBAEHRSA-N 411.502 4.530 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(OC3CCCC3)c2)c([N+](=O)[O-])cc1OC 492666446 DTIBCPQMHOZMIJ-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD CCCN1CCC(N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 495083302 KQXLUEJAJQPFOI-UHFFFAOYSA-N 413.543 4.692 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 499315541 FXRFRTAWDLXQAV-QFIPXVFZSA-N 420.444 4.593 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)cc1 499611304 UBDRSZATNOKIHN-SECBINFHSA-N 415.190 4.701 5 20 HJBD O=C(Nc1cccc(OCCc2ccccc2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 505915757 SHBLEMYDHXJJKX-UHFFFAOYSA-N 417.465 4.807 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Br)cc1F 509058078 WDIBNRMLUMXCIA-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1 510057727 SQCKPBXWOSGJSF-UHFFFAOYSA-N 420.263 4.575 5 20 HJBD CCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 511929267 ZOOCRBULZJFGIB-LBPRGKRZSA-N 408.863 4.766 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ccn(-c4ccccc4F)n3)n2)cc1[N+](=O)[O-] 512234871 UMDSXSZIHJCVLM-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 512746842 OMCQWAZTLXFELD-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)CCNc2ccccc2[N+](=O)[O-])CC1 516000417 JHRDIINFULEXEK-UHFFFAOYSA-N 406.486 4.593 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 517641168 HQCBYZKXKQVVLO-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD O=C(CCCCOc1ccc(Br)cc1)Nc1ccc([N+](=O)[O-])cc1F 520878947 FXASYGZPWUOWBX-UHFFFAOYSA-N 411.227 4.684 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1OCc1ccc([N+](=O)[O-])cc1 521418928 TTWWQCXDXAAPFJ-JOCHJYFZSA-N 419.481 4.915 5 20 HJBD CCN(Cc1cccc(Br)c1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 521782562 MIHQKJRSVTXKDR-ZDUSSCGKSA-N 406.280 4.650 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 523997102 HWSAJWXVKAZBMC-NRFANRHFSA-N 421.453 4.615 5 20 HJBD COc1ccc(OCc2ncc(-c3ccccc3Br)o2)c([N+](=O)[O-])c1 525109788 JGOXVKSGKGWLRJ-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=C(Nc1ccc(OCc2cccnc2)c(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 531332139 PMRFTUCYEYVIAY-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD COc1ccc(CNCc2cc(OC)c(OCc3ccccc3)cc2[N+](=O)[O-])c(C)c1 531700501 IWKRQYNBIVEVRQ-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2cccc(CCN3CCC(C)CC3)c2)cccc1[N+](=O)[O-] 537652851 FJSWUTHFSZMMLU-IBGZPJMESA-N 424.545 4.617 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]2[C@@H](CCCN2C2CC2)C1 538588374 GQCBPBYGCMMFQZ-JXFKEZNVSA-N 417.575 4.576 5 20 HJBD Cc1ccnc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n1 540617538 LMVSRXNHYPHIHQ-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD C[C@@]1(CCCO)CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 541711989 RUKMBNNZZOEYBQ-NRFANRHFSA-N 400.500 4.513 5 20 HJBD C[C@H](C[S@@](C)=O)NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 542309276 CTJGQLTZELTRCP-WQIZZMQYSA-N 404.532 4.541 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc([N+](=O)[O-])cc1F 542550732 MYELCVSOPXZCDP-LLVKDONJSA-N 420.803 4.513 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1cccc(NC(=O)c2ccccc2)c1 542709192 FRIHPVNKNSRHSM-CQSZACIVSA-N 422.416 4.869 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cc(Cl)ccc1NCC1CCCCC1 542988445 GJTUNGYUVCFMOM-UHFFFAOYSA-N 419.913 4.774 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@H](C)[C@@H]3CCCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 542991078 VFLUQVJPIZIKTF-ROWPZFDLSA-N 408.502 4.814 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC 543468568 LVVDSVGPOKRCSB-HUUCEWRRSA-N 418.465 4.902 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(F)c(F)c(O)c1F 543588330 OOCWUHMCKMOOSQ-UHFFFAOYSA-N 404.300 4.762 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)c1 543897779 XHRLORRVJJSYEI-CQSZACIVSA-N 422.281 4.835 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC2(CCOCC2)C1 544560549 FGVRLIVFQNLOID-UHFFFAOYSA-N 404.532 4.520 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)c1 544951639 IFEOWWPVPAXMKT-FMIVXFBMSA-N 414.421 4.713 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(/C=C/c3cccc(OCc4ccccc4)c3)n2)nc1 545071676 LJKJCICEVIUFOR-FMIVXFBMSA-N 414.421 4.713 5 20 HJBD Cc1cc(Cc2noc([C@H](C)Oc3cccc(Br)c3)n2)ccc1[N+](=O)[O-] 545851861 DEKDWYSDQLHJLG-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@H]1c1ccccc1 552489531 WSLZIMIAGNZJMX-JXFKEZNVSA-N 400.500 4.603 5 20 HJBD Cc1cccc(CCCNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n1 558373188 QLCGUFCYLGVVFI-UHFFFAOYSA-N 406.442 4.845 5 20 HJBD CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)C(F)(F)F 558426972 ONRAIBAWYHIQSA-QGZVFWFLSA-N 400.784 4.943 5 20 HJBD Nc1ccc(-c2noc(-c3ncoc3-c3cccc(C(F)(F)F)c3)n2)cc1[N+](=O)[O-] 561858495 NEAMDSONEMYGBY-UHFFFAOYSA-N 417.303 4.568 5 20 HJBD CSC[C@H]1CCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 561906962 UJAQJDACIPFDPK-ZDUSSCGKSA-N 423.585 4.726 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4cccc(CN5CCCC5)c4)no3)cc12 569153302 RVGOKMKPBMFSFZ-UHFFFAOYSA-N 422.488 4.994 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)c1 574306576 UPCOGIOXEVWLLS-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1ccc(-c2noc([C@@H]3CCO[C@H]3c3ccc(Cl)c(F)c3)n2)cc1[N+](=O)[O-] 577659284 XZEYRYRZENXSIJ-DYVFJYSZSA-N 403.797 4.991 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(CSc2ccccn2)c1 578632075 KCEQBCOSYJXSRW-UHFFFAOYSA-N 422.510 4.989 5 20 HJBD Cc1cc(NC(=O)CSCc2ccc(Cl)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 590771539 YEYSQHSBUBZXCT-UHFFFAOYSA-N 416.890 4.614 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)c1 603569208 BFBJIJWYNRROGL-UHFFFAOYSA-N 418.515 4.534 5 20 HJBD CCC(CC)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744475 VTMMOPSBTGDBHE-SFHVURJKSA-N 420.307 4.815 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NS(=O)(=O)c1ccccc1[N+](=O)[O-] 609069052 IHNRDMPQVXVUPD-MRXNPFEDSA-N 412.467 4.844 5 20 HJBD O=C(c1nc(-c2ccccc2)n2c1CCCCC2)N1CCCc2ccc([N+](=O)[O-])cc21 609618898 KRVAQPPSOAWDEU-UHFFFAOYSA-N 416.481 4.778 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 610101389 MVOHRYRBNFEBIX-HNNXBMFYSA-N 412.515 4.930 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC(C)C)c(C)c2)cc1[N+](=O)[O-] 610186589 XXQKVXVIMZIFDC-UHFFFAOYSA-N 404.488 4.571 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1 727711469 ZKQISPWRIJGYJU-UHFFFAOYSA-N 418.837 4.645 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 732000071 WVPSDAHZMYMROF-UHFFFAOYSA-N 404.369 4.611 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)Cc2ccccc2)c1 734790650 XJQOUJOYXKBYKK-NZNKSTNDSA-N 420.490 4.696 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=S)Nc1ccc([N+](=O)[O-])cc1 741168866 SOTYEUDXMOVABF-UHFFFAOYSA-N 420.332 4.718 5 20 HJBD CCCCCSc1nc2ccc(NC(=O)c3c([N+](=O)[O-])c(C)nn3C)cc2s1 741592386 GBBZVNOHFAQBLM-UHFFFAOYSA-N 419.532 4.781 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cccc3c[nH]nc23)cc1 745954614 YGPRKFPXSYBIRC-UHFFFAOYSA-N 419.393 4.629 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H]1C[C@H](O)c1cccs1 754395851 CUCUOPNIQPCWPY-ZFWWWQNUSA-N 402.497 4.599 5 20 HJBD O=C(Nc1ccccc1SC[C@H]1CC1(Cl)Cl)c1ccc(O)c([N+](=O)[O-])c1 755089376 GVUKTJBVIVTMAK-LLVKDONJSA-N 413.282 4.839 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)CCCc1csc2ccccc12 756655066 VXVDSULTYSCJDZ-UHFFFAOYSA-N 412.467 4.623 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)c1[N+](=O)[O-] 760473528 ZTCPKTTYSMUQQC-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD CC(C)Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n(C)n1 764216950 NVXMYWZPUJINOV-UHFFFAOYSA-N 402.520 4.814 5 20 HJBD CSc1cccc(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1[N+](=O)[O-] 776838877 ODBDIKJQXZQTQC-UHFFFAOYSA-N 401.444 4.814 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 778273959 PGHQYSCLMABBRO-UHFFFAOYSA-N 411.527 4.649 5 20 HJBD C[C@@H](OC(=O)c1sc(Br)cc1[N+](=O)[O-])C(=O)c1cccc(Cl)c1 785031306 IRMURJSKZDMXGN-SSDOTTSWSA-N 418.652 4.500 5 20 HJBD C[C@H](CC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c[nH]c2ccccc12 787229411 XLRHKORDGBKKCU-ZIAGYGMSSA-N 420.425 4.924 5 20 HJBD C[C@H](NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1ccc(N)c([N+](=O)[O-])c1 788749790 HOTWQANDDDRYKH-WMLDXEAASA-N 408.527 4.757 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1Cl 789667608 KAGHNIOGKIHMMD-UHFFFAOYSA-N 420.853 4.687 5 20 HJBD CCC(=O)c1ccc(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)c([N+](=O)[O-])c1 795174191 ULWYQMAPTCLKOW-UHFFFAOYSA-N 422.403 4.694 5 20 HJBD COc1cc(Cl)ccc1COC(=O)Cn1c2ccccc2c(=O)c2ccccc21 795422704 BCADIKVFHKYYBN-UHFFFAOYSA-N 407.853 4.560 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 800149135 JHOFQEJUNFRYGJ-FQEVSTJZSA-N 420.396 4.749 5 20 HJBD Nc1ccc(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)c([N+](=O)[O-])c1 804443380 HJEPECPMFDYYCY-UHFFFAOYSA-N 421.432 4.523 5 20 HJBD COc1ccc(NC(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 806402688 IQGIERRCRWGGTG-OAQYLSRUSA-N 421.453 4.914 5 20 HJBD Cc1cc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nn1[C@@H](C)C1CC1 811219657 SPHZTDPXQMPVQU-ZDUSSCGKSA-N 412.471 4.551 5 20 HJBD C[C@@H](OC(=O)C1(c2ccc(Cl)cc2)CC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 845031955 MNUWTNYIGHLEFC-LLVKDONJSA-N 423.252 4.504 5 20 HJBD COc1cc(NC(=O)c2c(C)cccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 916431820 NGRSAJQOSYXTQY-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=S)Nc3ccc([N+](=O)[O-])cc3)CC2)cc1 919717750 RIYPSOTXWZHMMH-UHFFFAOYSA-N 412.559 4.848 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC2(CCOCC2)CC1 1319857620 PCNBDARMVKRTCF-UHFFFAOYSA-N 406.548 4.956 5 20 HJBD O=C(NCc1ccccc1Oc1cccc(F)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1323364696 UREQDJVHKMBHQW-UHFFFAOYSA-N 407.401 4.752 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(Cl)s3)C2)c(Br)c1 1323377558 KPONVAONESZEPX-LBPRGKRZSA-N 403.685 4.650 5 20 HJBD Cc1coc(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)c1C 1325845686 LYBVKNCHLNYUBK-UHFFFAOYSA-N 414.801 4.930 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1cccc(NC(=O)OC(C)(C)C)n1 1343470984 SFGSIYOGOMBUCH-UHFFFAOYSA-N 407.810 4.518 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccccc2N2C[C@H]3CC[C@H]2C3)c(Br)c1 1344100022 ZTLRIXDIBYAXEI-ZFWWWQNUSA-N 402.292 4.958 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCC(=O)Nc2c(C)cccc2C(C)C)c1 16195978 KSGPMBYHXDPFSM-UHFFFAOYSA-N 402.472 4.544 5 20 HJBD Cc1cc2occ(CC(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2cc1C 17726104 FONQSCLLLNAFEX-AWEZNQCLSA-N 421.409 4.855 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(C(=O)c3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 21382572 DHYLLIDQZAEDNY-OAHLLOKOSA-N 422.412 4.679 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)C2CC2)o1 27285533 YYIDANMXULALQG-KXBFYZLASA-N 409.486 4.716 5 20 HJBD C[C@@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 46717078 QZTZNINKHKHHRC-ZIAGYGMSSA-N 415.877 4.619 5 20 HJBD CC(=O)c1ccc(NCc2cccc(NC(=O)c3ccc(F)cc3)c2)c([N+](=O)[O-])c1 52489152 XUEGFRZQZJPCSL-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 108784556 HBICXOBMVJTGJI-QVKFZJNVSA-N 409.490 4.567 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1nnc(-c2c[nH]c3ccccc23)n1C[C@@H]1CCCO1 301094392 OMCWUIJOHPKCMD-AWEZNQCLSA-N 421.482 4.665 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H]2CCc3ccc(-c4ccccc4)cc32)s1 302357685 CNXYXYHWQBHVPL-KRWDZBQOSA-N 414.508 4.826 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCCO[C@H]1CCCc2ccccc21 303556335 HRUJSEGYUPEFQY-IERDGZPVSA-N 414.527 4.676 5 20 HJBD Cc1cc(CNC(=O)c2ccc(Br)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 429856225 BBXDSGYIYCFALT-UHFFFAOYSA-N 416.275 4.694 5 20 HJBD Cc1cc(OCC(=O)Nc2cccc(CSc3ccccn3)c2)ccc1[N+](=O)[O-] 430416721 JNVOYDCGZMLWFK-UHFFFAOYSA-N 409.467 4.608 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@H](C)[C@@H]2C)cc1OC 430660133 MTXCHZGKNLXFJN-QWHCGFSZSA-N 402.472 4.532 5 20 HJBD C[C@@H]1CN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2cc(Br)ccc2O1 436327443 GKUUFESTMRXVJT-SNVBAGLBSA-N 417.215 4.531 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3-c3cn[nH]c3)cc2[N+](=O)[O-])C1 439752628 XLLAJKNXVZZFTJ-IYBDPMFKSA-N 419.485 4.720 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1cccc(C)c1)c1ccccn1 439937560 YIFZVYSWPADHQF-OAQYLSRUSA-N 406.442 4.608 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@H](c1cc(F)ccc1F)c1ccccc1Cl 460569843 AEZSLGMYYHKIGB-NRFANRHFSA-N 416.811 4.975 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1CC(=O)OC(C)(C)C 465297792 WTIYUYANLIMKAS-AWEZNQCLSA-N 416.499 4.598 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)CCC3CCOCC3)cc2[N+](=O)[O-])cc1 466866387 RSCHRJHRFPVNPH-UHFFFAOYSA-N 414.527 4.943 5 20 HJBD CN(CC[C@H]1CCCO1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 467373799 PXMJHUNRMGIHKP-CQSZACIVSA-N 409.280 4.618 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)n1 470125250 WNZRKDJHTSNXOF-CYBMUJFWSA-N 415.833 4.926 5 20 HJBD CCc1ccc(C(=O)Nc2cccc(C[S@@](=O)c3ccccc3)c2)cc1[N+](=O)[O-] 471911708 RUJQUUIUUGSZRW-GDLZYMKVSA-N 408.479 4.717 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)c1C 480777662 NFPOZVSMGOFSNO-UHFFFAOYSA-N 420.513 4.642 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCC1(C(F)F)CC1)c1ccccc1 481610069 OLKBOIBCVNIELR-INIZCTEOSA-N 409.820 4.563 5 20 HJBD CCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 482701790 BNQRGHPEFQMQMX-LBPRGKRZSA-N 416.840 4.878 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 483495180 LJDAZMTYGUHZQA-OAHLLOKOSA-N 404.488 4.605 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(-c3nnc4n3CCCCC4)c2)c1[N+](=O)[O-] 485756722 RNLLJRSSHZHMKU-UHFFFAOYSA-N 423.498 4.554 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(F)cc2OCC(F)(F)F)c1[N+](=O)[O-] 486235849 UFYFSSNJDHKYNL-UHFFFAOYSA-N 404.341 4.649 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)N2CCC(n3ccc([N+](=O)[O-])n3)CC2)c(C)c1 488376635 FJNJBKDFHWVMKY-HNNXBMFYSA-N 417.535 4.859 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 490767439 IEOADVHWLUCPOV-SFHVURJKSA-N 420.391 4.564 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc2c(c1)C(C)(C)C(=O)N2 494505690 CQMSZAMHUCDIKI-LLVKDONJSA-N 407.392 4.694 5 20 HJBD CN(C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cc(Cl)cc(Cl)c1 499534301 YAMHOBVMUUSLEF-UHFFFAOYSA-N 405.241 4.579 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 500630984 FNAQODCZYYTWGR-UHFFFAOYSA-N 418.406 4.859 5 20 HJBD Cc1cn(-c2ccc(F)cc2)nc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 509028915 HFTUSQLTEJSKLI-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(CN2CCCCC2=O)c1 509967544 JQISUNKJLFPEOE-UHFFFAOYSA-N 424.501 4.550 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCSc2ccc(F)cc21 520433495 BQLRSKYZGBFJCG-HZMBPMFUSA-N 410.854 4.508 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1cscn1)c1ccccc1 521761811 YUFXVLYSDFVNNN-CYBMUJFWSA-N 417.874 4.705 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@@H](C)S1 525205510 BCDQNMUQQRWSDG-OKILXGFUSA-N 419.934 4.826 5 20 HJBD C[C@H]1CN(c2ccc(NC(=O)c3cc4ccccc4c4cccnc34)cn2)C[C@@H](C)O1 530309371 SJQNXFFIRHVPQQ-CALCHBBNSA-N 412.493 4.649 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc(Br)cc1 531220852 WBNPUVSFVCOHFU-KRWDZBQOSA-N 421.316 4.813 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(NC(=O)C2CCCCC2)c1 534052771 BFYPGQFJAJRKEX-UHFFFAOYSA-N 420.469 4.776 5 20 HJBD CCCc1cnc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 538433832 ZXAAPQZPTCVKPA-ZDUSSCGKSA-N 411.487 4.824 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](CCc1ccccc1)c1ccccc1 539276074 KJQYMGPMRSXLTL-OAQYLSRUSA-N 403.482 4.805 5 20 HJBD C[C@H](C(=O)Nc1ccnn1[C@@H]1CCCc2ccccc21)c1ccc([N+](=O)[O-])cc1F 541610868 LTRYRRIFZOODAR-VBKZILBWSA-N 408.433 4.598 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 541638548 TTZKSMWQUOLCRE-CHWSQXEVSA-N 420.391 4.945 5 20 HJBD CNc1ccc(C(=O)Nc2ccc(Oc3nncc4ccccc34)cc2)cc1[N+](=O)[O-] 542174275 CHNLEAJIKBKEQC-UHFFFAOYSA-N 415.409 4.624 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)c1cccc(C(F)(F)F)c1 542249091 XQXPNOAXYRKDOP-GFCCVEGCSA-N 411.380 4.525 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCCc3nc(-c4ccc(F)cc4)no3)o2)cc1 542313422 QSYRWMHPGDPCBA-UHFFFAOYSA-N 422.416 4.766 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 543445123 FIYWOWMDZVLDMH-INIZCTEOSA-N 409.364 4.991 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(/C=C\c4nc5ccccc5s4)n3)c2c1 545780236 AJDMHKCJWSBYPK-FPLPWBNLSA-N 403.423 4.830 5 20 HJBD CCOc1cccc(NCc2cn(-c3ccccc3)nc2-c2ccncc2)c1[N+](=O)[O-] 547279843 YQOKGWHIDOVHAI-UHFFFAOYSA-N 415.453 4.853 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])s1 550167407 NBLDUECVMRSCLL-CQSZACIVSA-N 410.499 4.817 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)c1C 552567960 GQEKUXCMGDRCPQ-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC[C@H](NC(=O)c1ccccc1)c1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 564281540 INKAMMMNXUDCRR-GUYCJALGSA-N 412.471 4.712 5 20 HJBD CN(C(=O)c1ccc(-c2cccc(OC(F)(F)F)c2)s1)c1ccc([N+](=O)[O-])nc1 576261917 LGCGPVPQZKRVMD-UHFFFAOYSA-N 423.372 4.894 5 20 HJBD CC[C@@](C)(OC)c1noc(-c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)n1 577685083 BSFFNERTODEMDL-QGZVFWFLSA-N 406.489 4.833 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)cc2)C(C)(C)C)cc1OC 579397586 XVUZUDNTZCCJJP-IBGZPJMESA-N 404.438 4.658 5 20 HJBD CC[C@H](CN[C@@H](c1cccc([N+](=O)[O-])c1)c1nccn1C)Oc1cccc(Cl)c1 581934770 AEQJYAIVIXFFAZ-QUCCMNQESA-N 414.893 4.518 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)cc3cccnc23)cc1[N+](=O)[O-] 603567838 UIWAJDBMENUMMA-UHFFFAOYSA-N 417.874 4.677 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCCCc1ccc(Br)cc1 603732611 KYKHQHKTZCOQSF-UHFFFAOYSA-N 407.264 4.717 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)cc1 604040301 FCGYVHRVUQHUTK-QGZVFWFLSA-N 415.298 4.569 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cc2)cs1 604087242 XQJMAOPMVPINDJ-LBPRGKRZSA-N 406.467 4.999 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1ccco1 604098020 NVHBHCCMKNTQRR-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3n[nH]c4c3CCCC4)c2)cc1[N+](=O)[O-] 604240767 YFCYWHCOVNGIAW-UHFFFAOYSA-N 408.483 4.838 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c2ccccc2O1 609509206 SMXOKMWQZNIRDI-JKSUJKDBSA-N 418.453 4.591 5 20 HJBD COc1ccc(CCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1OC 609762960 VEELVGLOKWRKPJ-UHFFFAOYSA-N 422.437 4.976 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cccc1NC(=O)c1ccccc1 609784617 HIJZRMHUHILNBR-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])cc1Br)c1cccc(OC(F)(F)F)c1 610044629 HEEYAPUWMLHZMK-UHFFFAOYSA-N 419.153 4.533 5 20 HJBD Cc1nc(-c2cccs2)c(CC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)s1 611202730 PBMGTJDKISHSNP-UHFFFAOYSA-N 413.524 4.822 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 611355699 GZVORGSTYZIPAF-ROUUACIJSA-N 410.470 4.666 5 20 HJBD O=[N+]([O-])c1ccc(OCCCNC2(c3cccc(C(F)(F)F)c3)CCOCC2)cc1 618545182 AGYDXSORHRIOQE-UHFFFAOYSA-N 424.419 4.678 5 20 HJBD Cc1nn(CCC(=O)O[C@@H](c2ccccc2)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 726734017 IQIAOAPEBHBKHA-NRFANRHFSA-N 413.861 4.784 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 726916150 MYUBMDHCUDFASK-UHFFFAOYSA-N 410.361 4.507 5 20 HJBD CCc1ccc(-c2nc(COC(=O)Cc3ccc(OC)c([N+](=O)[O-])c3)cs2)cc1 727880809 AWHVBMNALOLADQ-UHFFFAOYSA-N 412.467 4.575 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)Nc3cccc([N+](=O)[O-])c3)ccc21 729493005 HXAIYCIYGWEDBS-UHFFFAOYSA-N 412.446 4.927 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2csc3ccccc23)c([N+](=O)[O-])cc1OC 729958188 DTYIBTNDCHAQRD-LBPRGKRZSA-N 400.456 4.708 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1c(Cl)cccc1[N+](=O)[O-] 731982617 XQRYCPUJONOCBD-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2Br)s1 741579789 VVYUFTSFDBUVJV-JTQLQIEISA-N 412.309 4.518 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cc1 745565492 PILSIDJROPSVFA-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD C[C@@H](C[C@@H](O)c1cccs1)NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 752286136 KMEHBVIZYTWJDH-IFXJQAMLSA-N 411.483 4.642 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757092403 YAOPBIIOZWJHGG-OAQYLSRUSA-N 421.478 4.514 5 20 HJBD O=C(Nc1ccc(F)cc1)c1ccc(CNCc2cc(Cl)ccc2[N+](=O)[O-])cc1 760700366 HEOIMCDECVIMMR-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nc(-c3ccc(C(F)(F)F)c(F)c3)no2)C1 761617608 VQFODNAKIHJMCG-HNNXBMFYSA-N 422.294 4.668 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1F 762982051 ZKOMKLPOIXJBEO-UHFFFAOYSA-N 424.428 4.729 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1-c1ccccc1 763405583 QMBGMHWVXZFIHI-SJORKVTESA-N 418.449 4.936 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H](c1cccnc1)c1cccc(C(F)(F)F)c1 777350682 IEDMXKUDATTZKA-HXUWFJFHSA-N 416.355 4.884 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC(OCc3ccc(F)cc3)CC2)ccc1Br 779605248 TZLJLVFPFUIKOV-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD C[C@H](OC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)cn1 798676357 OCDHXGAERJPUFJ-QMMMGPOBSA-N 419.153 4.689 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN(CCc1ccccn1)Cc1ccncc1 811506887 JDPDMCNNNOFRBV-UHFFFAOYSA-N 417.296 4.937 5 20 HJBD Cc1cnn(Cc2ccccc2F)c1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813173849 KOHQAFPSVILXLB-UHFFFAOYSA-N 423.231 4.846 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 817478220 YWVAILGPTZUZNS-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD CCc1nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCC(C)CC3)ccc2o1 918332807 VOQRLBUYGAVHQX-UHFFFAOYSA-N 408.458 4.787 5 20 HJBD Cc1c(C(=O)Nc2cccc(COC3CCCCC3)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 921152554 ZSWXJIXQQZDCTI-UHFFFAOYSA-N 413.430 4.913 5 20 HJBD C[C@H](C(=O)N1CC(Cc2ccccc2C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1F 1116561524 ANBZDWVRJWLSTN-LBPRGKRZSA-N 410.367 4.557 5 20 HJBD Cc1nc(COc2cccc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c2)cs1 1117215247 WWJJPTMOUVTPLZ-UHFFFAOYSA-N 424.438 4.568 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nnc(CCCOc2ccc(Cl)cc2Cl)o1 1322726607 YYFUVNBSMLZMCA-UHFFFAOYSA-N 424.240 4.972 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H](c4nc5ccccc5[nH]4)C3)cs2)c1 1323283116 XANIIJKCGQKJIG-INIZCTEOSA-N 419.510 4.974 5 20 HJBD Cc1csc(Sc2ccc(-c3nnc(CC(F)(F)F)o3)cc2[N+](=O)[O-])n1 1325803125 NVFNGIZXJXKJPG-UHFFFAOYSA-N 402.379 4.666 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)COc1ccc(-c2ccccc2)cc1Cl 6346232 KNTMBJPPCFPWIW-UHFFFAOYSA-N 412.829 4.941 5 20 HJBD O=Cc1cc([N+](=O)[O-])ccc1OCC(=O)Nc1ccccc1Sc1ccccc1 7202581 SKZAIWAGOKKPMQ-UHFFFAOYSA-N 408.435 4.576 5 20 HJBD Cc1cccc(C)c1NC(=O)CN(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 8051744 FAXGTFSRJCZAEV-UHFFFAOYSA-N 417.465 4.518 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 15653874 ZWLJKFJSWZLTPW-UHFFFAOYSA-N 403.700 4.736 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc(Cl)c(Cl)[nH]2)cc1)c1cccc([N+](=O)[O-])c1 23662048 OQFFBNLEVQTMCB-UHFFFAOYSA-N 420.208 4.701 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 51190656 XVGOKLHUJKHXDI-INIZCTEOSA-N 407.829 4.600 5 20 HJBD Cc1ccc(-c2csc(NC(=O)CCc3cccc(F)c3F)n2)cc1[N+](=O)[O-] 60337138 CYPKKJNAOJHFJM-UHFFFAOYSA-N 403.410 4.876 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1cc(C)cc(C)c1 105907093 FJUPRXCCLTUUKO-UHFFFAOYSA-N 406.486 4.740 5 20 HJBD COc1cc([C@@H](C)NC(=O)CSc2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 106085366 SLWVXVQSGDEEIB-OAHLLOKOSA-N 418.515 4.608 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)cc1 110893573 CVGWOEQYWYDRKR-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)cc1[N+](=O)[O-] 303738486 HNDFVAIWJKABKH-QGZVFWFLSA-N 412.461 4.767 5 20 HJBD O=C(N[C@H](c1ccccc1)c1nccs1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426358561 ANBPHEPOOCMPFB-LJQANCHMSA-N 408.483 4.515 5 20 HJBD CN(Cc1ccccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 433768694 LNXCTKIUTQSESD-UHFFFAOYSA-N 416.481 4.821 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@H](c2ccccc2)c2ccccc21 436269727 YWIANFUJZSOVEM-LJQANCHMSA-N 410.433 4.573 5 20 HJBD COc1ccc(C2(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CCCC2)cc1 436361275 HDQGLTOEVFDETB-UHFFFAOYSA-N 412.489 4.889 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(F)(c4cccc(Cl)c4)CC3)o2)cc1 439318278 OINHQVLXACHHHF-UHFFFAOYSA-N 416.840 4.759 5 20 HJBD CC[C@H](C)[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1 442125503 IGFIPRBSKXZRRB-GTNSWQLSSA-N 424.284 4.744 5 20 HJBD CN(C)Cc1ccccc1CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442414560 DFUPTKPPRDBQEY-UHFFFAOYSA-N 421.522 4.738 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3coc(-c4c(F)cccc4F)n3)CC2)cc1 443612036 DCEKJVVXDBQZQG-UHFFFAOYSA-N 415.396 4.571 5 20 HJBD CC(C)[C@H](c1ccccc1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447019749 CXLWRLMTIJONQG-QGZVFWFLSA-N 416.421 4.631 5 20 HJBD CCN(C(=O)c1cc(C)ccc1[N+](=O)[O-])[C@@H](c1cccnc1)c1ccc(F)c(F)c1 460151854 QUDNGBZWGNJXTH-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD NC(=O)c1ccc(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cc1F 461205996 DHNTWWVXLXPKNR-UHFFFAOYSA-N 415.808 4.891 5 20 HJBD C[C@H](c1cccc(Cl)c1)N(C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462538236 KEXQVPMLHYMFHA-SECBINFHSA-N 423.705 4.937 5 20 HJBD Cc1ccc(CN(C(=O)COc2ccc([N+](=O)[O-])cc2-c2cccs2)C2CC2)o1 462693658 XWCQRCLAPHSXMA-UHFFFAOYSA-N 412.467 4.795 5 20 HJBD Cc1ccc([C@@H]2CCC[C@@H]2N(C)S(=O)(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 462703368 JYAATGVXPSITHE-RDJZCZTQSA-N 408.907 4.513 5 20 HJBD CNc1c(C(=O)Nc2ccc(OCc3cccnc3)c(Cl)c2)cccc1[N+](=O)[O-] 462779225 RYHDIJBZSRYNMK-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=C(NCC1CCOCC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 466423077 QEGPHTRICMCOIV-UHFFFAOYSA-N 406.891 4.556 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1csc(Br)c1 467191284 LUBDYJMBLBVBMQ-SNVBAGLBSA-N 423.292 4.662 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCC2CC2)c(F)c1 469223091 DHXCFGPLVHSUOB-KGLIPLIRSA-N 401.438 4.644 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCOCC1 470675396 ZCNSFAXPIADMAK-GOSISDBHSA-N 418.877 4.976 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3ccccn3)c(F)c2)c1 471571062 PVBVXHIQJIAACO-UHFFFAOYSA-N 413.430 4.682 5 20 HJBD Cc1cc(Br)cc(C)c1N(CC(F)F)C(=O)c1cccc([N+](=O)[O-])c1 472006000 OKWRFXVLYXKHDN-UHFFFAOYSA-N 413.218 4.886 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC=C(c4c(F)cccc4F)CC3)o2)c1 473153767 XKPNXQYSMPQSJD-UHFFFAOYSA-N 411.408 4.860 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1cc2cccc(F)c2o1 475794533 VFTJUCBGVAOLGT-SECBINFHSA-N 407.195 4.734 5 20 HJBD CC[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 476174316 WNOARSPGYRGBIV-RBBKRZOGSA-N 404.470 4.579 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccc(Br)o4)no3)cs2)cc1 477170437 TVCAAOAUPNAEEM-UHFFFAOYSA-N 419.216 4.791 5 20 HJBD O=C(Nc1nnc(-c2c(F)cccc2Cl)s1)c1cc2cccc([N+](=O)[O-])c2[nH]1 478784251 NCHJOWRTJBLBQY-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD O=C(Nc1ccc(CNc2ccccc2)cc1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 478799573 PWYWKMOXBJOWHO-UHFFFAOYSA-N 413.437 4.645 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCCc2ccc(Cl)cc2Cl)cc1SC 482304404 SOADCTJRIBGMGK-UHFFFAOYSA-N 415.298 4.605 5 20 HJBD CCc1c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cnn1-c1cccc(Cl)c1 482749403 ZKXXLPURQAYGFZ-UHFFFAOYSA-N 410.817 4.995 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N(Cc1c(F)cccc1F)C1CC1 485691613 DSWFRUUNVCCWLI-UHFFFAOYSA-N 417.231 4.502 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cn3cnc4ccccc43)cc2)c1[N+](=O)[O-] 486241395 LNXHGBKYDWUDAJ-UHFFFAOYSA-N 418.478 4.967 5 20 HJBD C[C@@H](NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1c(F)cccc1F 497360271 BYUZHVRSQDLYFZ-SNVBAGLBSA-N 417.334 4.571 5 20 HJBD O=C(NCCCOc1ccc(Cl)cc1Cl)c1c(Cl)cccc1[N+](=O)[O-] 497873919 ANSVCRQTGPOHHM-UHFFFAOYSA-N 403.649 4.754 5 20 HJBD Cc1cccc([C@@H](NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498631914 VIXKATHQJRTGGD-HRAATJIYSA-N 407.495 4.685 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)N(Cc1cccs1)C1Cc2ccccc2C1 500536571 ZOSGHCUMBFWHHY-UHFFFAOYSA-N 421.522 4.578 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)c3cc(C)cc([N+](=O)[O-])c3)s2)cc1 505139216 BUBVQOGKNCAEJY-CYBMUJFWSA-N 411.483 4.835 5 20 HJBD CC(C)CN(C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)C1CCN(C)CC1 509912924 ANINZXRFHKWYHE-UHFFFAOYSA-N 410.518 4.531 5 20 HJBD Cn1nccc1[C@@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 510647860 KNLXHJVVGSJLJE-QGZVFWFLSA-N 410.449 4.639 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Nc2ccc(Cl)c3cccnc23)cc1[N+](=O)[O-] 514981668 DKOWQSTZPLWHLR-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)c1 517701714 ZBDAZAKTIKKZHK-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(F)c(F)cc1F 520636274 WPKQMLZJNDHUDQ-UHFFFAOYSA-N 410.295 4.762 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 522360438 YHQGIFFUFXHCCE-HZPDHXFCSA-N 416.359 4.746 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 525284687 ZEYOKNBJUQFKDM-UTKZUKDTSA-N 405.454 4.647 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H](CO)c3ccc(C(F)(F)F)cc3)cs2)c1 534612814 MNBDDGYEYBNFJA-KRWDZBQOSA-N 423.416 4.560 5 20 HJBD CCc1nc2ccccc2n1C1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 536766084 FMLXWPWLIQVYLX-UHFFFAOYSA-N 401.470 4.897 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)NCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)cc2)cs1 538180230 MKHOEBHOSSDQGE-CYBMUJFWSA-N 421.482 4.928 5 20 HJBD COc1cc(C(=O)N2CC[C@@H]2C(C)C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 539439876 BPLOIDGNXCIKNA-OAHLLOKOSA-N 404.850 4.920 5 20 HJBD CC[C@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1ccc(OC)cc1 539510778 DDRGYCCNIVNQSM-INIZCTEOSA-N 411.483 4.884 5 20 HJBD Cc1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)n([C@H]2C[C@H]3CC[C@H]2C3)n1 540402895 BUIUBOXGPVLEEI-KNBMTAEXSA-N 409.490 4.657 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N[C@@H](C)c1ccccc1[N+](=O)[O-] 542422626 WDAUFLAAPZYYGR-ZDUSSCGKSA-N 407.430 4.713 5 20 HJBD C[C@@H]1C[C@H](c2cccnc2)N(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 542681721 PAMDPSVHLQCRHI-VGOFRKELSA-N 416.481 4.825 5 20 HJBD C[C@@H](c1nc(Cc2ccc(Br)c(F)c2)no1)c1ccc([N+](=O)[O-])cc1F 545144054 LOEYNMAVUGSOLR-SECBINFHSA-N 424.201 4.761 5 20 HJBD O=C(Nc1cccc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)c1)C1CCC1 545564181 OQCBZTGVQVMEAQ-UHFFFAOYSA-N 414.368 4.524 5 20 HJBD CO[C@@H](C)c1nc(Cc2noc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)cs1 546529573 BRBWZEHHIPTBHY-ZJUUUORDSA-N 424.866 4.526 5 20 HJBD CCc1[nH]nc(C(=O)Nc2cccc(-c3ccc(Br)cc3)c2)c1[N+](=O)[O-] 552715638 XYYZSNCPWAXQEO-UHFFFAOYSA-N 415.247 4.562 5 20 HJBD COCCC[C@H](CN[C@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)c1ccc(Cl)cc1 560574340 PJQZVUWEJWZVRX-HOJAQTOUSA-N 420.941 4.728 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2C[C@H](c3ccccc3)[C@@H]3COCC[C@@H]32)c1 578029797 RDVVANVLWOUEBP-HBFSDRIKSA-N 406.404 4.618 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 583888508 PFLLKINCDLUAHJ-UHFFFAOYSA-N 412.858 4.783 5 20 HJBD Cc1csc(-c2cccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)n1 608808435 JQQSAWXKTWEQAX-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1 609182864 CLTGUBVFCDMIAL-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(N[C@H](C)c3ccccc3)CCCC2)c1 609481253 SGHGGKBOORARRS-MRXNPFEDSA-N 413.543 4.710 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@H](c2nc3ccccc3o2)C1 610963249 AAQUYBMAYFOSDP-LBPRGKRZSA-N 419.359 4.775 5 20 HJBD CN(C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)[C@@H]1CCCc2c1cnn2C 610977038 ITRHVUDPIMAMMH-HXUWFJFHSA-N 422.510 4.629 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC[C@H](C[C@@H]2CCOC2)C1 611356385 ZFZMWSVDWBHSHE-SJORKVTESA-N 418.559 4.908 5 20 HJBD Cc1cc(C)c(C(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1C 725986438 XRYCWIIEMANSDS-ZDUSSCGKSA-N 420.259 4.711 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 735023912 CTWWDXLJAYOFLV-UHFFFAOYSA-N 413.455 4.696 5 20 HJBD CC[C@H](C)NC(=S)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 735423230 OWBAGTSPOVYHSD-NSHDSACASA-N 411.886 4.942 5 20 HJBD CCSc1ccccc1C(=O)OC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1 739378996 BQSBUUZRHDDCMN-UHFFFAOYSA-N 416.499 4.541 5 20 HJBD C[C@@H]1CN(C(=O)c2cc3ccccc3c3cccnc23)CC[C@H]1NC(=O)OC(C)(C)C 740831157 BVXNUYKHLGOQIF-IIBYNOLFSA-N 419.525 4.763 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 742893257 PYPPIFUJCHVECO-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 746060756 YNIVZEQDGVXETG-UONOGXRCSA-N 413.455 4.738 5 20 HJBD CC[C@@H](SC)C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 746781546 OESWANQIZKFHAV-MRXNPFEDSA-N 408.863 4.548 5 20 HJBD Cc1c(C(=O)N(Cc2cccc(F)c2)C2CCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748620282 SCTHZVREDBBMOC-UHFFFAOYSA-N 415.421 4.844 5 20 HJBD Cc1c(C(=O)N2CCC(c3c[nH]c4ccccc34)CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748700841 GUKAPEYWBQDMLR-UHFFFAOYSA-N 422.441 4.621 5 20 HJBD CC(C)(C)c1csc(C2CCN(Cc3cc([N+](=O)[O-])cc4c3OCOC4)CC2)n1 750069464 YDPUUZZNHSNZLZ-UHFFFAOYSA-N 417.531 4.595 5 20 HJBD CCc1ccc([C@@H](Nc2cc(OC)c(OC)c(OC)c2)c2ccc([N+](=O)[O-])cc2)o1 753702874 ATZJDILGUCUHPC-NRFANRHFSA-N 412.442 4.978 5 20 HJBD O=C(NCCCc1ccc(Cl)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754967616 KIEMVKMNJHHICT-UHFFFAOYSA-N 415.646 4.512 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)OC(C)(C)C 761525726 RLDDQVNVQPWKSB-ZDUSSCGKSA-N 415.446 4.693 5 20 HJBD CC[C@H](C)Oc1ccc(-c2noc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 762082474 ACEJMNSXXPYUDE-ORAYPTAESA-N 408.414 4.688 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2ccc(OC(F)(F)F)cc2)C2CC2)cc1 763306555 MJPDNDHLULPUQR-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD Cc1nc([C@H](C)OC(=O)CNc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 763946218 SZEVTASAKYYYEH-ZDUSSCGKSA-N 415.833 4.928 5 20 HJBD Cc1csc(Nc2cccc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)c2)n1 765671856 YCHZRZTTWIHVQD-UHFFFAOYSA-N 405.439 4.904 5 20 HJBD CC(=O)Nc1sc(NCc2ccc([N+](=O)[O-])c(Cl)c2)nc1-c1ccccc1 775171297 KECCESOGXOPJDY-UHFFFAOYSA-N 402.863 4.942 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-n2ccnc2S)c1 775522267 VJSQDCYTKKYXHT-UHFFFAOYSA-N 414.512 4.822 5 20 HJBD O=C(N1CCC[C@@H]1c1nc2ccccc2s1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777889845 XWGJHTWNMWATEE-OAHLLOKOSA-N 403.410 4.660 5 20 HJBD C[C@@H](OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 777898363 MMMIVLPZJFUYKU-SNVBAGLBSA-N 411.311 4.736 5 20 HJBD O=C(Nc1ccc(N2CCCC2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 780295901 LTTHRVZHBYYYJT-UHFFFAOYSA-N 419.431 4.812 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1nnc(-c2cccc(Cl)c2)o1 784436851 ZTAUYFRZCGAHCN-VIFPVBQESA-N 408.197 4.870 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1N1CCC(c2cccc3ccccc23)CC1 786779455 UCDXZUZJDBPMKI-UHFFFAOYSA-N 410.495 4.536 5 20 HJBD COc1cc(COC(=O)c2scc(C)c2Cl)c([N+](=O)[O-])cc1OC(F)F 791596260 XBUJXXZFJWUUMO-UHFFFAOYSA-N 407.778 4.585 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)cc1[N+](=O)[O-] 800579221 KHIQMDIHPFPIIJ-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)ccc1Cl 805434881 DAARXXZBVGTKFZ-BJMVGYQFSA-N 405.863 4.674 5 20 HJBD Cc1ccc2cccc(OS(=O)(=O)c3c(Cl)ccc([N+](=O)[O-])c3Cl)c2n1 809387026 NZKIFRIHWOHKLM-UHFFFAOYSA-N 413.238 4.526 5 20 HJBD O=C(N[C@@H](c1ccccc1)C(F)F)c1cc(Br)cc([N+](=O)[O-])c1Cl 817224089 IOWZXWBJEUSAOS-ZDUSSCGKSA-N 419.609 4.747 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1cccc(Cl)c1[N+](=O)[O-] 817478150 WYSBNAOZFYAYIQ-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD Cc1nc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])sc1Cc1cccc(F)c1 917735564 WGBAFHAIJQWKPH-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc4ccccc4[nH]3)C2)c(Br)c1 1116134988 QDKCRSGECYSKLL-LBPRGKRZSA-N 401.264 4.618 5 20 HJBD CC(C)n1ncc2c1[C@@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])CCC2 1321169262 BSTULHNREVQTKG-LBPRGKRZSA-N 413.356 4.789 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)c([N+](=O)[O-])c1 1517313044 ITMJKKYJMCSJGR-CQSZACIVSA-N 408.414 4.673 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)c1ccccc1)c1ccccc1 4219253 WZGLFVATHMHCFE-JOCHJYFZSA-N 407.447 4.854 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(Cc2ccccc2Cl)s1 10810034 VOUTYCXWDDXOLE-UHFFFAOYSA-N 416.890 4.614 5 20 HJBD C[C@H](Sc1nc(CCC2CCCC2)n[nH]1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 12104939 FVPKWDSNVFTRDZ-LBPRGKRZSA-N 414.491 4.739 5 20 HJBD CC(C)[C@@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(F)cc1 14809557 XDDXDJWKBYEOTQ-XMMPIXPASA-N 402.469 4.807 5 20 HJBD CCOc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 15389705 MTRRBDOZOVWZIU-UHFFFAOYSA-N 400.378 4.743 5 20 HJBD COc1cc(COc2ccccc2Br)c([N+](=O)[O-])cc1OC(F)F 24915719 CDKPSNINVXFPLN-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2cc(C)ccn2)cc1[N+](=O)[O-] 47220241 IZSJSSBKXRWPDX-UHFFFAOYSA-N 407.451 4.503 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 65744571 YJJHVRAQAJWMSK-UHFFFAOYSA-N 407.348 4.606 5 20 HJBD C[C@@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)Cn1ccnc1 237406872 JYKWCTBCNRHUSL-HNNXBMFYSA-N 400.866 4.663 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 304078745 VNVGNVHHPIHPHS-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD O=C(Nc1c(F)cccc1Oc1ccccc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 410038251 NWMWPEVHMKTXLS-UHFFFAOYSA-N 418.384 4.964 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(CC(F)(F)F)C1CCC1 427912831 HOZNFDMBHPLZQW-UHFFFAOYSA-N 416.343 4.649 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)C3CCC(C(C)C)CC3)cc2)c(C)c1[N+](=O)[O-] 432541695 OICDEZCZIJMURH-UHFFFAOYSA-N 412.534 4.743 5 20 HJBD COc1ccc2c(c1)CCC[C@H]2NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436082191 KABDSQOYRAXQSE-OAQYLSRUSA-N 416.452 4.636 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436307828 LRCCZFYZKORHLW-UHFFFAOYSA-N 416.452 4.999 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2ccc(O)c([N+](=O)[O-])c2)cc1 436970765 MMJCBVUCGOOVMG-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])cc2O)cc1 436987391 HVLBJPWDLXPBMF-HNNXBMFYSA-N 406.438 4.809 5 20 HJBD O=C(NCCc1nc(C(F)(F)F)cs1)c1cc2ccccc2c2cccnc12 437078021 PUOQGHOVFPFJES-UHFFFAOYSA-N 401.413 4.836 5 20 HJBD CSc1nnc(-c2noc(-c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)n2)s1 445616300 PWQRROPWCQBDCD-UHFFFAOYSA-N 412.456 4.629 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCC[C@H]1Cc1ccccc1 446092608 VUHMHSWBWKYOOE-ZDUSSCGKSA-N 409.305 4.656 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464773621 JIEVKJNFGAPLAE-QGZVFWFLSA-N 411.502 4.589 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(c1ccc(F)cc1)C1CCCC1 465481472 QXTIQFABFLVNKB-UHFFFAOYSA-N 411.477 4.926 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)Nc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2NC1=O 467006708 WHZCUZPJHABOIP-QFYYESIMSA-N 409.364 4.896 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H](CCO)c2ccco2)cc1 469564608 AVYLRPGPIHLVOP-QGZVFWFLSA-N 402.834 4.847 5 20 HJBD CCN(C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1 477491825 RWXYHAWKIUAURK-LBPRGKRZSA-N 409.305 4.891 5 20 HJBD COCc1cccc2sc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)nc12 482892760 BRVLNKFJWIQBPQ-UHFFFAOYSA-N 412.471 4.547 5 20 HJBD O=C(Nc1ccc(CCN2CCCCC2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482989025 QFYPAFJUBWMWTR-UHFFFAOYSA-N 422.529 4.820 5 20 HJBD Cc1ccc([C@@H](N[C@H](C)c2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 483826609 WAANGTYZPYYLNJ-UZUQRXQVSA-N 424.522 4.747 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCOC)c(Cl)c1 484303162 DSFMAMLLTUAOHK-UHFFFAOYSA-N 410.879 4.638 5 20 HJBD C[C@@H](C(=O)Nc1ccc(Oc2cccc(F)c2F)nc1)c1ccc([N+](=O)[O-])cc1F 487148548 KXYMCZQCKOLSLB-LLVKDONJSA-N 417.343 4.942 5 20 HJBD C[C@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncc(Cl)cc1[N+](=O)[O-] 488485976 ZFNWOYLTSOANGP-BONVTDFDSA-N 401.772 4.628 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)Nc1ccccc1[N+](=O)[O-] 488703540 WZQRZXAMUHFGME-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD CCc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1CC 489541986 CSALVNUIISDRJC-UHFFFAOYSA-N 406.486 4.834 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(F)cc1OCC1CC1 489897462 DGLJAUUROAJTNN-UHFFFAOYSA-N 420.462 4.514 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2cccs2)C1 490582966 GGVLVWFEOYJDJZ-INIZCTEOSA-N 406.529 4.618 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 490943007 RQHLQUHBJLQCLD-IBGZPJMESA-N 405.454 4.889 5 20 HJBD CCOc1cc(CN[C@H](c2ccc(OC)cc2)c2ccccc2OC)ccc1[N+](=O)[O-] 492696411 NJPPCOVKTQTTDZ-XMMPIXPASA-N 422.481 4.890 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)Cc2cc(Br)ccc2F)cc1[N+](=O)[O-] 492962018 PAQWTRWUEAIHRJ-LBPRGKRZSA-N 424.270 4.707 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497872072 OVJZIPXYWQKHKT-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1ccc([N+](=O)[O-])c2cccnc12 497896902 WKSSYFGWPGZXSR-UHFFFAOYSA-N 415.434 4.772 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 501874786 ZIGFHGOJUSQCIT-FQEVSTJZSA-N 419.416 4.647 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2ccccc2)nc1 503487983 NVFYKFCCHZMQOU-OAHLLOKOSA-N 409.467 4.579 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2cccs2)n1C[C@H]1CCCO1 504508326 STIQKRZTSPYUJI-GFCCVEGCSA-N 420.491 4.525 5 20 HJBD O=C(Cc1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1)Nc1ccccc1F 506274431 VPBQKWZTJPLMIM-UHFFFAOYSA-N 415.371 4.805 5 20 HJBD Cc1cc(OCc2nnc(-c3ccc(Br)o3)o2)c(Cl)cc1[N+](=O)[O-] 506420114 BVJMCRVTLQNWJA-UHFFFAOYSA-N 414.599 4.541 5 20 HJBD CCOC(=O)c1cc(C(=O)N(CC)Cc2ccc3ccccc3c2)cc([N+](=O)[O-])c1 511474450 AEOLGFISYRPQAC-UHFFFAOYSA-N 406.438 4.587 5 20 HJBD Cc1c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1-c1ccc(Cl)cc1 514501813 QNDNLYIVBRKAQZ-UHFFFAOYSA-N 422.775 4.596 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(C)CCCc1cc(-c2cccc(F)c2)n[nH]1 516077829 MIUGNVYXEMPFAA-UHFFFAOYSA-N 411.437 4.529 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(-c4csnn4)cc3)cc2[N+](=O)[O-])CC1 516147736 NWBVXMWYJQPMST-UHFFFAOYSA-N 423.498 4.602 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1 519122289 URQPCFDBFNTCKI-HZPDHXFCSA-N 424.501 4.678 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 519956296 ZKWOPGNJYHKWKY-LJQANCHMSA-N 411.255 4.877 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)c1ccc(Oc2ccc(C(F)(F)F)cn2)cc1 522242074 LDRIHJFTFNIHAK-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD COc1cc(C(=O)Nc2cc(CC(C)(C)C)nn2-c2ccccc2)ccc1[N+](=O)[O-] 522258940 AFTIRPMONCQQKV-UHFFFAOYSA-N 408.458 4.630 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cccc(CN4CCCCC4)c3)no2)cc1 537556129 QWHQYARXUAZLIY-UHFFFAOYSA-N 414.412 4.771 5 20 HJBD C[C@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 540191404 MVTIOHPGTGKXOE-NWDGAFQWSA-N 407.264 4.540 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 540970644 FNJOZXYNSGAKJE-UHFFFAOYSA-N 417.252 4.844 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 543643167 YYRUZZVNEVTVRT-LJQANCHMSA-N 414.824 4.888 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(-c2nc(-c3ccc(OC4CCOCC4)cc3)no2)c1 546499695 YMZUXSRPSJGYCA-UHFFFAOYSA-N 401.806 4.523 5 20 HJBD Cc1nnc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)o1 547354259 OSQWTSNWVMLFGM-JTQLQIEISA-N 418.862 4.582 5 20 HJBD CCC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 550599874 ADUCUYJZEGXMLA-UHFFFAOYSA-N 420.263 4.575 5 20 HJBD C[C@H]1CSC[C@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 557106236 JCQJUSBCUQQDQH-XHDPSFHLSA-N 408.932 4.881 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2cccc(F)c2F)CC1 563492044 MUKWLNIZUZPFIV-CYBMUJFWSA-N 406.454 4.760 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(OC)c(OC)c1C 567268030 JMCBDQRFSGXKMY-CQSZACIVSA-N 418.515 4.914 5 20 HJBD C[C@H](C(=O)Nc1ccc(OC(F)F)cc1OC(F)F)c1cccc([N+](=O)[O-])c1 569769161 HINAPDLXWQCHFD-VIFPVBQESA-N 402.300 4.540 5 20 HJBD Cn1ccnc1[C@H](NCC1(Cc2ccccc2)CCCC1)c1ccc([N+](=O)[O-])cc1 577543438 WPHLPXVXIXXIAB-JOCHJYFZSA-N 404.514 4.810 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccnc1Oc1cccc(F)c1 580744411 RDLAZVXKVPHCAP-UHFFFAOYSA-N 410.405 4.805 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 583160838 SNBSZSXNBKUXCA-JNKARSBBSA-N 410.436 4.745 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 603890290 XXPHGBVXEMYWBO-GOSISDBHSA-N 406.486 4.593 5 20 HJBD C[C@@H](NC(=O)c1ccc(-c2ccc3c(c2)OCCO3)s1)c1cccc([N+](=O)[O-])c1 603966696 KDBUFPOMLFHIMH-CYBMUJFWSA-N 410.451 4.586 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OCC(F)F 608850674 ZZFJRJSAIGXPGQ-UHFFFAOYSA-N 419.409 4.923 5 20 HJBD CCOC(=O)C[C@H](C)Sc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 609671273 AFQIVMZFHTWKML-AWEZNQCLSA-N 402.472 4.589 5 20 HJBD O=C(C[C@H](C(=O)O)c1ccccc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609960369 OZRRMAVKAJZNST-FQEVSTJZSA-N 406.394 4.584 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)CCNC(=O)c1ccc([N+](=O)[O-])cc1 610175649 BVYLFYZHAWBKFN-UHFFFAOYSA-N 421.906 4.507 5 20 HJBD O=C(c1csc([N+](=O)[O-])c1)N1CCC(COc2cc(Cl)cc(Cl)c2)CC1 610201012 NJRHZPHLMSHOJV-UHFFFAOYSA-N 415.298 4.894 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 611523189 BZJPJIRYWAERME-INIZCTEOSA-N 406.385 4.572 5 20 HJBD O=C(OCc1coc(-c2ccc(Br)cc2)n1)c1cc(F)cc([N+](=O)[O-])c1 731529076 LNXSUFILPDXUFT-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1nc2c(s1)[C@@H](OC(=O)Cn1c3ccccc3c(=O)c3ccccc31)CCC2 733066821 IZPPEVALJARAPC-FQEVSTJZSA-N 404.491 4.540 5 20 HJBD CCc1nc(C(=O)OCc2nc3ccccc3c3ccccc23)nn1-c1ccccc1 735547215 HGPOCKNJZXGGSV-UHFFFAOYSA-N 408.461 4.888 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 743000451 PTSUDXRPTASRFN-HXUWFJFHSA-N 408.385 4.579 5 20 HJBD CC[C@@H](NC(=S)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cccs1 743023183 OASYQWWYLDLJFC-QGZVFWFLSA-N 405.545 4.525 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccc(Cl)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744827329 CANJZNXSPWFSSA-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1cc(C(=O)N(C)C(C)C)ccc1Cl 747476891 BXWASCSVTITKCR-UHFFFAOYSA-N 405.882 4.739 5 20 HJBD C[C@H](Oc1ccc(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)cn1)c1ccccc1 751647155 KLLFQDFHAGHHJW-AWEZNQCLSA-N 419.393 4.628 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@@H](C)CCC(C)(C)C 754026928 YKQWWBGELFFPIE-HNNXBMFYSA-N 402.495 4.844 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 754219144 KYYWGVUTBNRVEI-UHFFFAOYSA-N 415.446 4.658 5 20 HJBD COc1ccc2oc([C@H](C)NCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])c(C)c2c1 754739011 VHDFCDLBDCJCJD-LBPRGKRZSA-N 417.849 4.601 5 20 HJBD COC(=O)c1cc(NC(=O)c2c(Cl)ccc(Cl)c2Cl)cc([N+](=O)[O-])c1 761719184 JHKALDUGUYICCP-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@@H](c4ccc(C(F)(F)F)cc4)C3)o2)cc1 767874944 GILQFUXKBTYQQO-OAHLLOKOSA-N 418.375 4.653 5 20 HJBD CC(C)COC(=O)Nc1cccc(N[C@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)c1 774282973 IBQCGJDOBUYZTB-ZWKOTPCHSA-N 401.467 4.592 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(O)(c3ccccc3C(F)(F)F)CC2)cc1Cl 775120148 CWHSPWDVQQWFNF-UHFFFAOYSA-N 414.811 4.751 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137414 ZWXAIIYJEBVAAN-VLIAUNLRSA-N 412.829 4.743 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1cccc(C(=O)N(C)C)c1 777230024 UAQHSMZDGKTDAK-UHFFFAOYSA-N 416.499 4.546 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)NCc1cccc(O)n1 777461911 PHYKRZHVQZDURI-UHFFFAOYSA-N 408.457 4.964 5 20 HJBD Cc1noc(C)c1CSc1ncccc1C(=O)O[C@H](C)c1ccccc1[N+](=O)[O-] 782519947 UKISEAAHNOWRNC-CYBMUJFWSA-N 413.455 4.805 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1C 792593188 DWMJRMQDMWCATJ-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] 794496542 LEOHIQHJMBKQAB-UHFFFAOYSA-N 409.678 4.654 5 20 HJBD Nc1c(Cl)cc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])cc1Cl 798282545 LFOYQOYZSDUQIR-UHFFFAOYSA-N 403.225 4.798 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@H](c2ccccn2)C1 798711838 WQUNSTFXTOEVTA-INIZCTEOSA-N 405.479 4.771 5 20 HJBD Cc1ccc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)cc1NC(=O)OC(C)(C)C 800146642 DCWBCZQOXVDVTL-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCc2ccccc2)nc1)c1ccc([N+](=O)[O-])cc1F 802908917 ATLPCKSGKMVGHF-OAHLLOKOSA-N 410.401 4.555 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1ccc(N2CCCNC2=O)cc1 812488011 ZFCGPMFWTLZWSJ-MRXNPFEDSA-N 417.469 4.750 5 20 HJBD CCN(CC)[C@@H](c1cccc(C(F)(F)F)c1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812811774 IUTSQGLVCXUKEI-INIZCTEOSA-N 414.428 4.508 5 20 HJBD CCc1cc(=O)c2cc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2[nH]1 813146551 SOTNBVPZHBJIKH-UHFFFAOYSA-N 406.225 4.558 5 20 HJBD CSc1ccc2cc(COC(=O)COc3cccc([N+](=O)[O-])c3)c(Cl)nc2c1 914800190 JCBVDQFNBGHXQW-UHFFFAOYSA-N 418.858 4.641 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1cc([N+](=O)[O-])ccc1F 921282926 SQZZWMLHSLWYAE-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD C[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(Cl)c(F)c1 1253914174 WFAXCWPHDUPXJW-CYBMUJFWSA-N 421.856 4.701 5 20 HJBD CCn1ncc2c(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)cc(-c3ccccc3)nc21 1328292343 ZQMCADLVXXHPKX-UHFFFAOYSA-N 412.409 4.743 5 20 HJBD O=C(c1ccc(Cn2cccc2)cc1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 1338592850 VBELRTFQOQQTMR-UHFFFAOYSA-N 417.387 4.649 5 20 HJBD C[C@H](Sc1ncc(-c2ccccc2)n1C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 5186017 DVCIXBSMSIWHGP-ZDUSSCGKSA-N 407.455 4.899 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 7606559 GECONWVYANLIAE-NRFANRHFSA-N 404.422 4.748 5 20 HJBD O=[N+]([O-])c1ccc2nc3c(c(-c4ccccc4)c2c1)CS(=O)(=O)c1ccccc1-3 15454016 XCBJYTYLAHBMAD-UHFFFAOYSA-N 402.431 4.764 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc(Br)cc1 23119354 RBNNSBRYYMNWDM-RYUDHWBXSA-N 409.305 4.715 5 20 HJBD Cc1sc(NC(=O)COc2ccccc2[N+](=O)[O-])nc1-c1cccc(Cl)c1 40934521 YBKMPUBCULXQFU-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD CC(C)C[C@H](C)N(c1ccc2[nH]ccc2c1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 60348726 HAVJSSMKVRFSEG-HNNXBMFYSA-N 401.488 4.706 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)c3cc4cc([N+](=O)[O-])ccc4s3)[nH]c2c1 61232377 XITJDLUWFIJJKW-QGZVFWFLSA-N 406.467 4.972 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1ccc(OC)c([N+](=O)[O-])c1 64960057 PIZCYUBVNOMEAJ-AWEZNQCLSA-N 418.471 4.512 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccco1)c1ccccn1 303015818 KOHKALUSIJDVDP-UHFFFAOYSA-N 406.423 4.553 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCC[C@@H]2c2ncc[nH]2)cc1[N+](=O)[O-] 303108505 JUKZRUHATPYCNL-MRXNPFEDSA-N 402.520 4.824 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(Br)cc1C(F)(F)F 327711157 DBIROVBQBAOAKC-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD Cc1cn2c(-c3noc(-c4csc(-c5cccc([N+](=O)[O-])c5)n4)n3)c(C)nc2s1 426622521 HAEAPKKGPGIKGX-UHFFFAOYSA-N 424.467 4.761 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])s2)cs1 429828079 SBWMDNNFPXJLJD-UHFFFAOYSA-N 415.540 4.725 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCCSc3ccc(Cl)cc32)cc([N+](=O)[O-])c1 430457645 LSDYFFXUZFLVOA-UHFFFAOYSA-N 420.874 4.568 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)cc21 431442256 AALNKCAYRKYTCP-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CSc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c3cccnc23)cc1Cl 432174652 DJFISTNDDRPARV-UHFFFAOYSA-N 403.847 4.536 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)NCC[C@@H](c1ccccc1)C(F)(F)F 432468249 GSEUJPPCPQBECX-KRWDZBQOSA-N 409.408 4.515 5 20 HJBD CCC(O)(CC)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440204394 VRXDBZBHPWOLTD-UHFFFAOYSA-N 408.907 4.680 5 20 HJBD COc1ccccc1CCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440436015 DUSJCPQNRANEHF-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD O=C(NCCSCC(F)(F)F)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441286178 HEHIMSQECWSJPH-UHFFFAOYSA-N 416.446 4.771 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cnc(C(C)C)nc1 441407785 GJCQKJSZGDUCFJ-UHFFFAOYSA-N 402.520 4.529 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)NCc1ccccc1COCc1ccccc1 444892714 CXDIMZSMPSSWOO-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD Cc1nc(-c2cccs2)ccc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460359722 PAIVLNPIXYEMHL-FQEVSTJZSA-N 411.508 4.915 5 20 HJBD CCN(CC)CCN(C(=O)c1csc([N+](=O)[O-])c1)c1cccc(C(F)(F)F)c1 461109128 OIPFBPPYCHLFOZ-UHFFFAOYSA-N 415.437 4.664 5 20 HJBD CC(C)c1cccc(N(C)S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c1 462547078 HOZMXTALFIQMCI-UHFFFAOYSA-N 403.287 4.850 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 462570027 BRDCKWXDFJFEQA-UHFFFAOYSA-N 407.264 4.591 5 20 HJBD CC[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465256456 IMXXBVMYDQLAOD-CYBMUJFWSA-N 416.425 4.530 5 20 HJBD C[C@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])[C@H]1CCCOC1 466549945 GTDHMNKPMUAFLH-KBPBESRZSA-N 420.918 4.944 5 20 HJBD COc1cc(CSc2nc(O)c(C)c(-c3ccccc3)n2)c([N+](=O)[O-])cc1F 467207968 SZXRRXVTQUDHGD-UHFFFAOYSA-N 401.419 4.506 5 20 HJBD CCCC1(CNC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)CC1 470229574 DIJFOJNAGCSSLL-UHFFFAOYSA-N 413.440 4.527 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 470270620 LKZGRQDPQUXKPB-ICSRJNTNSA-N 423.494 4.677 5 20 HJBD Cc1nc(Sc2nnc([C@H](C)N3CCCCC3)n2-c2ccccc2)ccc1[N+](=O)[O-] 471630297 XZJMSLCRDIRBPF-INIZCTEOSA-N 424.530 4.577 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2CCCC[C@H]2Cc2ccccc2)cc1OC 476741043 QHMRNHYBHYAADU-PKOBYXMFSA-N 412.486 4.533 5 20 HJBD O=C(Nc1ccc(Oc2cccc(C(F)(F)F)n2)cc1)c1cc(O)cc([N+](=O)[O-])c1 477008861 BVPIJMJIJDRKEZ-UHFFFAOYSA-N 419.315 4.759 5 20 HJBD CCc1c(NC(=O)c2cc(C)cc([N+](=O)[O-])c2)cnn1-c1ccc(C(F)(F)F)cc1 481893929 MDZGFFXBMLPFFO-UHFFFAOYSA-N 418.375 4.922 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cnn1C(CC)CC 482696462 ZNCDWFMJVWVZSX-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD CC(C)N(C(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1)c1cccc(C(F)(F)F)c1 489193395 FYXKRQHWPDGNCZ-UHFFFAOYSA-N 418.375 4.855 5 20 HJBD CCOc1cc(CSc2n[nH]c(COc3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 491938851 UYKNTQKEKFVZIZ-UHFFFAOYSA-N 420.878 4.636 5 20 HJBD O=C([C@@H]1C[C@H]1c1c(F)cccc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498133076 VFYOOMRTBDIGIT-PJIJBLCYSA-N 406.866 4.765 5 20 HJBD CC[C@@H]1CCCN(C(C)(C)CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 500899740 QSXKONUTOUWCQX-MRXNPFEDSA-N 402.564 4.709 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC[C@H]1/C=C/c1ccccc1 505367839 XMWTWSLXRMJBRI-PQORCFSTSA-N 408.501 4.859 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC[C@H]2C[C@H]3CC[C@H]2C3)cc1[N+](=O)[O-])c1ccccn1 507353068 ODQSOYJMCNHOGX-OWSLCNJRSA-N 408.502 4.719 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(Cc3cccc(F)c3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 510123843 MBJGHZQNCSTGLM-UHFFFAOYSA-N 424.476 4.646 5 20 HJBD C[C@@H]1CC[C@@H](C)N1[C@@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 510461164 OXROIMBVXSDUKP-JXXFODFXSA-N 400.548 4.556 5 20 HJBD CN(C(=O)CN[C@@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cc1 511873949 DERYEGUDIPCFAE-RBUKOAKNSA-N 421.419 4.502 5 20 HJBD Cc1c(Br)cc(C(=O)N(Cc2ccco2)Cc2ccco2)cc1[N+](=O)[O-] 512247538 AFLPHACPONWBFY-UHFFFAOYSA-N 419.231 4.694 5 20 HJBD COc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1F 512304566 LZHPRJJUXCRRGR-UHFFFAOYSA-N 412.442 4.824 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(CSc2nc3ccccc3[nH]2)cc1 515930799 QXATXRMCFQIZGC-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)NCc3ccc([N+](=O)[O-])cc3)c12 515937343 ZWZLISFBIHSFJM-UHFFFAOYSA-N 408.458 4.527 5 20 HJBD Cn1ccnc1Sc1ccc(Cl)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 518286913 RLJKSPLFCMBMHX-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 519979025 FZCAYUJXPZJEOO-CQSZACIVSA-N 421.453 4.774 5 20 HJBD Cc1cc(Sc2nnc(-c3ccc(F)cc3)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 520311146 WNLRXHVHGYORCM-UHFFFAOYSA-N 409.402 4.701 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(N[C@@H](C)c3ccccc3)cc2)cc([N+](=O)[O-])c1 520422786 MYJOKSVDXNLKMG-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1ccc(NC(=O)c2ccc(Cl)cc2)cc1 521001017 YKOUFXNILCYUMZ-UHFFFAOYSA-N 424.844 4.551 5 20 HJBD C[C@@H](NC(C)(C)CNC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 521850745 QEWVMHPUTJGVNN-OAHLLOKOSA-N 424.526 4.578 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1)C1CC1 523015951 OMSOFTHYWWBHAW-UHFFFAOYSA-N 424.501 4.548 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1ccccc1C(=O)c1cccs1 523531564 KEPIWFWEQZGFGD-UHFFFAOYSA-N 408.479 4.640 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(-c2ccccc2)nc2c1c(C)nn2C 530779823 FMGIOEAKXUYCHF-UHFFFAOYSA-N 415.453 4.721 5 20 HJBD Cc1[nH]nc(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1-c1ccccc1 532798062 NHDBCWFZMHMBHG-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD CN(CCc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)C1CC1 538232104 PKBFOELSPRSBBG-UHFFFAOYSA-N 407.392 4.503 5 20 HJBD C[C@@H]1C[C@@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCN1Cc1ccccc1 538425616 PEUXBRRLZREMHG-XLIONFOSSA-N 422.554 4.861 5 20 HJBD CCOc1ccc(OCC)c(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 538967461 KHKXXVLPKLHVBQ-UHFFFAOYSA-N 407.426 4.707 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(-c2nnc3n2CCCCC3)c1 539848563 XMBZIYPWTHRPOY-UHFFFAOYSA-N 419.485 4.757 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nc2ccccc2s1 540451786 QOVYVLQLQDSNPK-UHFFFAOYSA-N 401.513 4.977 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(CS(=O)(=O)C(C)C)cc1 540834425 YVXFCOLNXRFMNC-KRWDZBQOSA-N 422.572 4.901 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3cc(C)oc3C)nc3onc(C)c23)cc1[N+](=O)[O-] 541071093 RCOSXZJOVLMVDL-UHFFFAOYSA-N 422.397 4.577 5 20 HJBD Cc1cccc(CN(Cc2ccccn2)C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c1 542596255 VPRNWJXTXBITQG-QGZVFWFLSA-N 407.445 4.770 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCc2c1cccc2C(F)(F)F 544718875 VPEMHUOLRSQSJH-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD Cc1c(Cc2noc(CSc3ccc(C(F)(F)F)cn3)n2)cccc1[N+](=O)[O-] 545078378 KXGUKKISQKKJFY-UHFFFAOYSA-N 410.377 4.583 5 20 HJBD Cc1[nH]nc(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])c1C 545229429 UICYELXBABCBFB-UHFFFAOYSA-N 402.863 4.992 5 20 HJBD Cc1c(Cc2noc(COc3ccc(Oc4ccccn4)cc3)n2)cccc1[N+](=O)[O-] 545786741 TXULSBIIPGTEIE-UHFFFAOYSA-N 418.409 4.643 5 20 HJBD O=C(CC1CCCC1)Nc1ccc(-c2noc(COc3ccccc3[N+](=O)[O-])n2)cc1 547089010 GPQDFMDIICDTKS-UHFFFAOYSA-N 422.441 4.743 5 20 HJBD COc1cc(Cc2noc(/C=C/c3ccc(Br)s3)n2)ccc1[N+](=O)[O-] 547177529 ASDWXECKXIMRQI-QPJJXVBHSA-N 422.260 4.572 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@@H]1CCCOC1 557458132 VJNCXVJJVPAATR-OAHLLOKOSA-N 406.891 4.650 5 20 HJBD CCc1ccc([C@H](Nc2ccc(F)c(NC(=O)NC)c2)c2ccc([N+](=O)[O-])cc2)o1 560571309 HRQUBIUOJSQEHY-HXUWFJFHSA-N 412.421 4.842 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F 563717198 SAMFMGZNVAUXIQ-APPDUMDISA-N 423.416 4.977 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccc(Cl)cc2)no1 568679520 CAHUDJBKFWDOEP-CQSZACIVSA-N 414.849 4.549 5 20 HJBD COCCOCc1noc(-c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])n1 571362241 JNGYHKIPQPLRLC-UHFFFAOYSA-N 421.862 4.612 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1ccnc(-c2ccc(Cl)cc2)n1 579401664 VJQAZXZSIGEALX-UHFFFAOYSA-N 405.801 4.506 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 582583537 ALSIVQQXFKMOFP-BMIGLBTASA-N 418.318 4.720 5 20 HJBD C[C@H](C(=O)N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 582583538 ALSIVQQXFKMOFP-BONVTDFDSA-N 418.318 4.720 5 20 HJBD O=C(Nc1cccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1)c1ccccn1 603871948 UPMSYBLOYOEVCE-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(F)c1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603969090 ASQZZXCFRTVTIR-UHFFFAOYSA-N 424.253 4.529 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Cl)cc1)c1cccs1 604473988 PMZQYDAYMWPCIR-GOSISDBHSA-N 401.875 4.899 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Nc1cc(Br)ccc1Cl 608945779 MIOMYVBFAXBDLY-UHFFFAOYSA-N 408.639 4.604 5 20 HJBD CCC[C@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccn1 609179746 RJQOOSZEVXNCDK-FQEVSTJZSA-N 404.470 4.873 5 20 HJBD COc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2)cc1NC(=O)C1CCCCC1 609709654 JQHAFVYMTZWKHB-UHFFFAOYSA-N 412.446 4.766 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(F)cc1F)c1ccccc1[N+](=O)[O-] 609907695 ACLZHWOHZWCHTO-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cccc(Oc2cnccn2)c1 609910424 GBPAJOMSHHUVCM-UHFFFAOYSA-N 419.422 4.553 5 20 HJBD COc1cc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 610039693 SEYMFQKBGMRZEO-INIZCTEOSA-N 414.502 4.793 5 20 HJBD NC(=O)c1cccc(-c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)c1 612900877 WRIWOZLEHIHVSY-PKNBQFBNSA-N 418.434 4.643 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 615973170 CPNZTWIRNLLFRZ-JTQLQIEISA-N 401.772 4.556 5 20 HJBD CC(C)[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccnn1C 616014569 RKPOITUXCHVBHK-IBGZPJMESA-N 424.526 4.649 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1 730183570 VQZMYPXQIWMOPW-IZZDOVSWSA-N 413.861 4.526 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2nc(/C=C\c3ccccc3)cs2)cc1[N+](=O)[O-] 735261774 AXDKNMAPUZHVTO-FPLPWBNLSA-N 410.411 4.691 5 20 HJBD Cc1c(C(=O)Nc2nc(/C=C\c3ccccc3)cs2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 735262403 IEWXHLMHOMBXPC-HJWRWDBZSA-N 424.438 4.999 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)O[C@@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 746439672 PGYVNSFWCJXKAA-HNNXBMFYSA-N 423.265 4.839 5 20 HJBD CC(C)c1ccccc1NC(=O)COC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747119739 UBJFWNROCQYAOT-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD COc1cccc(C(NC(=S)Nc2ccc([N+](=O)[O-])cc2)c2cccc(OC)c2)c1 748599940 XPMGZAKCMUGMJI-UHFFFAOYSA-N 423.494 4.688 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)c2cc(F)c(F)cc2[N+](=O)[O-])c1 750565081 XJVCTHSCVBMEIK-UHFFFAOYSA-N 412.352 4.769 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc2)co1 750817976 ZKPDDAJZTJWMSA-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 750825859 GYAHBQGVJJDXHV-UHFFFAOYSA-N 410.257 4.634 5 20 HJBD COc1ccc2cc(/C=C/C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)ccc2c1 751635404 MXIJBYHKCFGLPQ-YCRREMRBSA-N 404.378 4.654 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)COC(=O)c1c(F)ccc([N+](=O)[O-])c1F 752563073 RDFQTOBLUDYMLB-UHFFFAOYSA-N 420.412 4.915 5 20 HJBD Cc1cc(CCCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)s1 753490294 CQRKQTRRGXJYQE-ZDUSSCGKSA-N 415.471 4.950 5 20 HJBD COc1ccc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1OC1CCCC1 754072126 PSHFPYJJCYPYHG-CYBMUJFWSA-N 402.422 4.806 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@@H](SC[C@@H]1CCCO1)c1ccccc1 754923410 NBQWMBLREHYUNU-YJBOKZPZSA-N 406.891 4.840 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c2cccnc2c1C 755011459 IOJYCDVFEYROHX-UHFFFAOYSA-N 418.222 4.914 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(NC(=O)OCC(F)(F)F)cc1 755025479 XYVGGZSZFAZNHQ-UHFFFAOYSA-N 411.336 4.575 5 20 HJBD CC[C@@H](CSc1ccccc1)NC(=O)c1cccc([N+](=O)[O-])c1Br 758860535 CYAWBQOLRSIECP-LBPRGKRZSA-N 409.305 4.658 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)OC(C)(C)C 766243119 ZUQGDZOWZYFDFI-DOTOQJQBSA-N 420.510 4.511 5 20 HJBD COc1cccc(C(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1[N+](=O)[O-] 769599590 FWTJKFGBOGTZSN-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD Cn1c(CSc2nc3ccc([N+](=O)[O-])cc3s2)nnc1-c1ccc(Cl)cc1 769843493 NIWPYPWVQSDMOU-UHFFFAOYSA-N 417.903 4.946 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@@H]3CC(c4ccc(Cl)cc4Cl)=NO3)n2)s1 771224006 JGDIEMQTEMCWAW-NSHDSACASA-N 411.226 4.879 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139930 TVCKXGJIOMYBBL-MWTRTKDXSA-N 406.438 4.825 5 20 HJBD COc1cc(CNc2cccc(N3CCCCC3)c2)c([N+](=O)[O-])cc1OCC(F)F 779035529 KECMMJSBEWJPAW-UHFFFAOYSA-N 421.444 4.850 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781456751 JPYVDFCBTNMFJK-UHFFFAOYSA-N 423.472 4.841 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1ccc2nnc(-c3ccsc3)n2n1 795251233 HTGJPNVZYZQXOI-UHFFFAOYSA-N 408.226 4.860 5 20 HJBD COC(=O)c1sc(/C=C/c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc1Cl 799498277 FOOJWGCVGUSDRA-AATRIKPKSA-N 407.860 4.785 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OC[C@@H]2CCCCS2)cc1[N+](=O)[O-])c1ccccn1 802920596 OFMPLGUXAGSDFJ-ZBFHGGJFSA-N 401.488 4.605 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc(Cl)c(F)cc2Cl)cccc1[N+](=O)[O-] 815503128 IOLUGELEIYBVCJ-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(C(F)(F)F)nc1Cl 816763700 ADYWWELMIBYNQQ-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817874827 HTAMQUTZCFSASG-SNVBAGLBSA-N 415.799 4.817 5 20 HJBD CCN(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)[C@@H](C)c1cccc(C(F)(F)F)c1 915115566 LUYWTLVLRXASGW-ZDUSSCGKSA-N 420.391 4.573 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)OCc2ncc(-c3cccc(Cl)c3)o2)c1 915439931 KADDFDICRMWZGG-UHFFFAOYSA-N 416.817 4.724 5 20 HJBD CCOc1ccc(NC(=O)CNc2ccc(SC(F)(F)F)cc2)c([N+](=O)[O-])c1 1115863642 APWHHMIHNMVGRX-UHFFFAOYSA-N 415.393 4.656 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1 1117887744 MOLGGYWOUIWZAT-FRIKZZABSA-N 421.541 4.836 5 20 HJBD CO[C@H](C)c1nc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cs1 1319462534 GSLBHYVUBOILGS-LLVKDONJSA-N 407.879 4.962 5 20 HJBD COc1ccc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1-c1ccccn1 1320497688 OMCHQHQGVMPTPD-UHFFFAOYSA-N 402.410 4.999 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)n1 31790855 HJHCTQYIPUNLEX-UHFFFAOYSA-N 422.510 4.882 5 20 HJBD CC[C@@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cccc(Cl)c1 43749245 CEPYAURMOKJEEI-GOSISDBHSA-N 401.894 4.732 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCc4sccc4[C@@H]3c3cccs3)n2)c1 43783003 YTUXLEREULYMGQ-LJQANCHMSA-N 424.507 4.916 5 20 HJBD Cc1nc(-c2cccc(Cl)c2)sc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 43839953 XCCWTFIHVLTXGM-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD Cc1c(NC(=O)CCNC(C)(C)c2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 60377539 NQBCFBBMRVEFPW-UHFFFAOYSA-N 409.408 4.776 5 20 HJBD Cn1c(-c2cccc(NC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)c2)nc2ccccc21 65899290 QBJWROXFTCDSMC-UHFFFAOYSA-N 411.421 4.882 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCn2cccc2[C@H]1c1ccccc1 116822987 QPKURELAJCRGLM-OAQYLSRUSA-N 403.463 4.856 5 20 HJBD COc1ccc([C@H]2CCCCCN2Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)cc1 116910691 SXJDSFHPYMSGMK-HXUWFJFHSA-N 408.458 4.771 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 117359335 ZHXQLMDSEJXLSO-UHFFFAOYSA-N 412.364 4.810 5 20 HJBD CC[C@@H](C)c1ccc([C@@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641503 XXWBJZZUXMVKQT-FZKQIMNGSA-N 412.515 4.731 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C/c1ccc(Cl)c([N+](=O)[O-])c1 207976560 SQXRTUYQDJLSAC-XFFZJAGNSA-N 421.762 4.921 5 20 HJBD CS(=O)(=O)c1ccc(N[C@@H](Cc2ccccc2)c2ccccc2F)c([N+](=O)[O-])c1 301348011 KJMLKCFUMKNNHM-FQEVSTJZSA-N 414.458 4.533 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1OC 301766174 VJZYHDHKSRECGE-UHFFFAOYSA-N 401.463 4.836 5 20 HJBD O=C(NCc1ccc(Cn2cnc3ccccc32)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 302995240 GMBARGGIXXBJTH-UHFFFAOYSA-N 420.856 4.576 5 20 HJBD Cc1nnc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)s1 427717230 FQLRCAUCPMYRAB-UHFFFAOYSA-N 420.903 4.836 5 20 HJBD CC1(C)C[C@@H](Nc2cccc(CN3CCC(CO)CC3)c2)c2cc([N+](=O)[O-])ccc21 433234051 ITHXAOQSDIDGQY-HSZRJFAPSA-N 409.530 4.634 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435833941 HUEDPYSRTPBMHB-UHFFFAOYSA-N 416.330 4.813 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Cl)cc2NC(=O)c2ccco2)cccc1[N+](=O)[O-] 439037534 FSVKMHFXHHCECE-UHFFFAOYSA-N 413.817 4.583 5 20 HJBD COCc1nc(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(-c2ccccc2)s1 441070250 PNTCABGFAKTRIL-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD O=C(Nc1cc(F)cc(Cl)c1O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444331253 OZBYIDSABRWZNE-UHFFFAOYSA-N 400.768 4.571 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1C 448415418 HEXPQKXBLWQYHL-HOTGVXAUSA-N 413.522 4.634 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)N(C)[C@H](c1ccccc1Cl)C(C)C 464038447 FMAVITIOYGXIDG-IBGZPJMESA-N 417.893 4.659 5 20 HJBD COC[C@@H]1CCCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 466844219 VLWTWPAUXMFCSO-QGZVFWFLSA-N 414.527 4.943 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1[C@H](C(F)(F)F)[C@H]2CC[C@H]1O2 467998132 KKKWPAORWOSKOY-ZJPYXAASSA-N 422.359 4.682 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3c(Cl)cccc3[N+](=O)[O-])c2)cs1 471297343 REFZSFNONSYPAI-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD CSc1ccccc1CN(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 472232214 MGTJWEARCGLZPZ-UHFFFAOYSA-N 413.421 4.677 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 476510355 LGAKBCVNVGBBJE-GOSISDBHSA-N 410.518 4.988 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccc(OC(F)(F)F)cc1 477698142 FQEYPMCDLRYJMK-ZDUSSCGKSA-N 423.391 4.903 5 20 HJBD COc1ccc(CN[C@@H](c2cc(F)cc(Br)c2)C2CC2)cc1[N+](=O)[O-] 479582925 WHZHFOUULUUANR-GOSISDBHSA-N 409.255 4.746 5 20 HJBD CC[C@@H](NCc1cccc(NC(=O)NCc2ccncc2)c1)c1cccc([N+](=O)[O-])c1 482961588 NUHMFFQRTDQQBM-JOCHJYFZSA-N 419.485 4.552 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 483703269 XNEHOHYFXBBUNA-UHFFFAOYSA-N 419.484 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2Br)c1 484798504 OWRWHDNLBMKWKE-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=[N+]([O-])c1ccc(NCCCOC2CCN(Cc3ccccc3)CC2)c2ncccc12 484810970 QPIIIXOLKWBKEA-UHFFFAOYSA-N 420.513 4.626 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1ccc(OC)c([N+](=O)[O-])c1 484879421 VRYISTACCWAXGD-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3c(F)cccc3F)s2)c1 487606910 LBOTZZRXGKHDEH-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CSc2nnc(C)n2C(C)C)cc1OC 488218584 PWLMHVKWOYBMGA-CYBMUJFWSA-N 408.524 4.801 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 489281220 VMLDOPIYWFWPHU-NQIIRXRSSA-N 411.502 4.605 5 20 HJBD Cn1c(C2CC2)nc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc21 492179578 DDYNNAOZWAVXIJ-UHFFFAOYSA-N 404.348 4.630 5 20 HJBD CC(C)(C)n1ncnc1CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 500496473 HXHPJWUCLSSGLK-UHFFFAOYSA-N 415.881 4.677 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 502375002 NVCRRAADEKHIFP-NRFANRHFSA-N 414.820 4.672 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC[C@@H]3CCCCO3)o2)c(Br)c1 503949000 DFSIGAMBDOKQTQ-AWEZNQCLSA-N 409.280 4.666 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(SC(C)C)cc2)cc1OC 504695573 PNFZZUQPPKSMDD-CQSZACIVSA-N 418.515 4.994 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509608045 JTZMLDKGGBVSDY-UHFFFAOYSA-N 410.474 4.694 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNc3ccc(Br)cc3Cl)n2)cc1 511086137 NRLRSTXEFSLDFG-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511705410 FOQKUNGXCXEEMJ-AWEZNQCLSA-N 404.291 4.538 5 20 HJBD CCCN(C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)[C@H]1CCc2ccccc21 514904016 VFVFLFOYFULFGA-IBGZPJMESA-N 414.527 4.763 5 20 HJBD COc1ccc(CNC(=O)c2cccnc2Sc2ccc(C)c(C)c2)cc1[N+](=O)[O-] 515730564 VPCZWTNSUHLIRU-UHFFFAOYSA-N 423.494 4.696 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccc(F)cc2)cc1 515775711 TWQMYFMFZGYVHH-CQSZACIVSA-N 422.416 4.869 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]1c1cccc2ccccc12 520063561 ASSKVDIZAZYKGU-HSZRJFAPSA-N 412.449 4.911 5 20 HJBD CC(C)OCCOCc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 520104339 FRMJYGHKFWSMOF-UHFFFAOYSA-N 407.854 4.834 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 522959108 PVEWKPDQIUWUDM-HIFRSBDPSA-N 406.841 4.561 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 523558237 XFFGHLPDCHDHKU-UHFFFAOYSA-N 412.490 4.642 5 20 HJBD C[C@H]1CCCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)[C@H]1C 525549587 PBXCGDUSTIZFON-ROUUACIJSA-N 418.497 4.637 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C(=O)Nc1cccc(Oc2ccccc2)c1 527334879 CSZILFNAILOVHK-UHFFFAOYSA-N 405.410 4.581 5 20 HJBD COc1ccc(COCc2cccc(NCc3cc(OC)c(O)cc3[N+](=O)[O-])c2)cc1 532728072 LKNAMZKVUUVUJO-UHFFFAOYSA-N 424.453 4.647 5 20 HJBD C[C@@H]1CCc2c(sc3nc([C@@H](C)N[C@H](C)c4cccc([N+](=O)[O-])c4)nc(O)c23)C1 539278506 RJWXTLLALXIGLZ-JHJVBQTASA-N 412.515 4.842 5 20 HJBD C[C@@H](Nc1cc(NC(=O)CN2CCCC[C@H]2C)ccc1F)c1ccc([N+](=O)[O-])cc1 539647317 FHGYYDNIJHSQRI-HZPDHXFCSA-N 414.481 4.720 5 20 HJBD O=C(Nc1ccccc1Nc1ccc(F)cc1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 540796188 SIXJYJIUUWEIOT-UHFFFAOYSA-N 418.384 4.984 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 542336667 IBKNAUJSCJBTFM-MRXNPFEDSA-N 406.891 4.713 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CC=C(c4cccc(Br)c4)CC3)nc2c1 545171905 MNIFFBUUHABXSI-UHFFFAOYSA-N 414.259 4.788 5 20 HJBD Cc1c([C@H](C)NCCc2cn3cc(Br)cc(C)c3n2)cccc1[N+](=O)[O-] 546223821 KJQXLFAKFSFOKJ-AWEZNQCLSA-N 417.307 4.515 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@@H]1c1ccc(Cl)cc1 553779990 KGNIPLVMBPMDNM-MRXNPFEDSA-N 413.783 4.924 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Nc1cccc(-c2ccccc2)c1 554032363 WONWUTHJSXKEAN-UHFFFAOYSA-N 417.421 4.589 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(F)cc2OC2CCOCC2)cc1[N+](=O)[O-] 557504397 SBPMPJGMZVIAES-UHFFFAOYSA-N 402.422 4.667 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)Cc2cncc3ccccc23)c([N+](=O)[O-])cc1OCC 569028299 GENQIWZPATVFLH-OAHLLOKOSA-N 423.469 4.758 5 20 HJBD Cc1sc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])nc1-c1cccc(Cl)c1 571005031 RJNAIWQWHOVONE-UHFFFAOYSA-N 405.867 4.779 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc([C@@H](NC(=O)c2ccccc2)C2CC2)no1 584080009 IQIFJEJUOXTTLZ-ACJLOTCBSA-N 424.482 4.712 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 588079881 IIHVONDVFAJXMV-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD Cc1cc(Cc2noc([C@@H]3CCO[C@H]3c3ccc(Cl)c(F)c3)n2)ccc1[N+](=O)[O-] 589136102 APDQQQXIWSAQFD-KUHUBIRLSA-N 417.824 4.915 5 20 HJBD COc1cc([C@H](C)Nc2nc3sccn3c2[N+](=O)[O-])ccc1OC1CCCC1 603861225 XHLZZRGAHIPBSD-LBPRGKRZSA-N 402.476 4.807 5 20 HJBD O=[N+]([O-])c1ccc(CNc2nc(-c3cccnc3)nc3sc4c(c23)CCC4)cc1 603871224 NVTNGLSZBRRAEY-UHFFFAOYSA-N 403.467 4.762 5 20 HJBD COc1ccc(CNC(=O)N[C@H](CC(C)(C)C)c2ccc(F)cc2)cc1[N+](=O)[O-] 604078784 IVCYULFESWCTHQ-QGZVFWFLSA-N 403.454 4.719 5 20 HJBD C[C@H](CN1CCCCC1)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 608836424 WTQPGRRWTDZQRX-CQSZACIVSA-N 422.323 4.581 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(C[S@@](=O)c2ccccc2)c1 609683984 WUSYMBSROSNSSI-SSEXGKCCSA-N 423.494 4.567 5 20 HJBD CC(C)COc1ccc(C(C)(C)NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609762525 YMUNAKJNDVWSAE-UHFFFAOYSA-N 423.469 4.549 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 609865845 KHDZJKXMWNQSQE-VOJFVSQTSA-N 404.875 4.969 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2Cl)C2CCCC2)cc([N+](=O)[O-])c1OC 610174536 NVVVUJAPIQCAIZ-UHFFFAOYSA-N 418.877 4.850 5 20 HJBD O=C(Nc1nc(-c2ccc(O)cc2)cs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 610340742 UNQCVWKYYQYJOI-UHFFFAOYSA-N 424.463 4.800 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 612448834 MZVTYRLVJKVWEN-JTQLQIEISA-N 421.400 4.802 5 20 HJBD Cc1noc(C)c1[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 612473238 XYGHCQCFIOVCRE-GFCCVEGCSA-N 403.504 4.973 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(OCc2ccc(F)cc2)CC1 616123446 NURRJYPVKIJMTI-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD Cc1c(CN2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cccc1[N+](=O)[O-] 726602848 UOTLBZVVXKGYQT-KRWDZBQOSA-N 412.877 4.986 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCO[C@@H](c3ccc(Cl)c(Cl)c3)C2)cc1 727285132 JYMFBTXBXQYWEE-MRXNPFEDSA-N 412.298 4.672 5 20 HJBD C/C(=C\C(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1Cl 729196354 ZHMIHMDCTCZJMU-FYWRMAATSA-N 415.877 4.506 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1CCOc1ccc(Oc2ccccc2)cc1 741853375 SUVDMWMWKRVOBQ-UHFFFAOYSA-N 418.247 4.734 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1 743821563 PPYAFBVYDKZRDA-UHFFFAOYSA-N 422.347 4.842 5 20 HJBD Cc1c(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)cccc1[N+](=O)[O-] 744495173 GOEGUHQMKXEAAC-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD O=[N+]([O-])c1ccc(NC[C@@H](O)c2ccc(OC(F)(F)F)cc2)c(C(F)(F)F)c1 747088319 QFYIQEQPEGFYEX-CQSZACIVSA-N 410.270 4.658 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1ccc(Br)cc1[N+](=O)[O-] 750963946 KJLMBDOJRZCUJH-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@@H](OC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ncc(-c2ccc(Cl)cc2)o1 751680101 BJCKKBZHMUCWFB-SNVBAGLBSA-N 417.761 4.729 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1c(F)cc(F)cc1Br 753062135 OPKGUPFYIPFTBV-UHFFFAOYSA-N 420.597 4.611 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@@H](c2cccc(F)c2)C1 755026679 VVATUWKXZNXWJS-GFCCVEGCSA-N 408.227 4.518 5 20 HJBD CCCN(CC(=O)Nc1ccc(F)c(F)c1F)Cc1ccc(Cl)c([N+](=O)[O-])c1 761246855 RPDNGGNKWABKPG-UHFFFAOYSA-N 415.799 4.516 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 763717586 NFBWAAJLGZZMAZ-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD CC(C)(C)c1nnc(COC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)o1 763797979 UVXICVVCCYPNTI-UHFFFAOYSA-N 405.476 4.667 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2ccc(Cl)cc2)o1 763815473 TXPVZHSQWQHVHH-UHFFFAOYSA-N 419.846 4.767 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767196566 VSJIEFRRWBAPQU-CQSZACIVSA-N 410.417 4.722 5 20 HJBD O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 775557988 MRLDWSVBWBQHLS-UHFFFAOYSA-N 404.195 4.744 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccc(F)cc2)on1 776138497 LVBPACLGYZDJGJ-OAQYLSRUSA-N 419.368 4.730 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCCc3ccc(Cl)cc3[N+](=O)[O-])cs2)c1 778114999 IJXCTQMVRINADG-UHFFFAOYSA-N 418.862 4.612 5 20 HJBD O=C(NCC1(Cc2ccccc2)CCC1)c1cccc([N+](=O)[O-])c1Br 782172970 YDCQFGVDXGNPLM-UHFFFAOYSA-N 403.276 4.500 5 20 HJBD C[C@@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1cccc(Br)c1 783706271 FWCUVPQBNBLGIR-SNVBAGLBSA-N 417.215 4.529 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNCCC1=CCN(C(=O)OC(C)(C)C)CC1 793333086 VSVAIMNFNWIYMA-VKAVYKQESA-N 401.507 4.545 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 796445219 MBWLTFZVFTVGHD-LBPRGKRZSA-N 403.385 4.922 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1nccn1-c1ccccc1 803073477 RVYDVJAVLQDFQT-CQSZACIVSA-N 420.450 4.822 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1)[C@H]1CC[C@@H](C(F)(F)F)CC1 809374654 NQKOCAGRVMXTLI-GASCZTMLSA-N 413.440 4.778 5 20 HJBD COc1ccc(CSCC(=O)Oc2ccc(Cl)cc2Cl)cc1[N+](=O)[O-] 914707736 OOKUVIVOBWFHNP-UHFFFAOYSA-N 402.255 4.749 5 20 HJBD CC(C)(C)c1ccc([C@@H]2CCCN2C(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 921266884 QLEOWZGEYUHWAL-IBGZPJMESA-N 400.450 4.774 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)c1[N+](=O)[O-] 1319604688 HKSRVJUIRWLOLB-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD COc1cccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1Br 1320349901 QBZPTICOGGKJHW-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCO[C@@H](c3ccc(Cl)s3)C2)c1 1330334280 MAPZAPRNLDNNPW-CYBMUJFWSA-N 406.813 4.902 5 20 HJBD CC(C)C[C@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2[nH]1 16967621 YDOOYDLTHUHILG-LIRRHRJNSA-N 412.515 4.855 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 27827071 WODPZNAPTVLXEZ-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(C)[C@H](C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 53003536 WMYKMCQVIQZOJR-DLBZAZTESA-N 404.470 4.996 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)c(OCC(F)(F)F)c1 56313640 GKAAIGHOSIJDOQ-UHFFFAOYSA-N 409.364 4.671 5 20 HJBD Cc1nn(-c2ccccc2)c2sc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cc12 58493346 YZKPWDUZQRYTBD-UHFFFAOYSA-N 404.451 4.507 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(Br)cc1)C1CCC1 59810258 CQNNOSVSWWWVJF-LJQANCHMSA-N 403.276 4.557 5 20 HJBD CC(C)c1ccc(CNCc2ccccc2CN2C[C@H](C)O[C@@H](C)C2)cc1[N+](=O)[O-] 236718571 NURPDSCXBZEORL-OALUTQOASA-N 411.546 4.617 5 20 HJBD O=C(CSCc1cccc(OC(F)F)c1)Nc1ccc(Cl)cc1[N+](=O)[O-] 426570460 LLWAOXIHPLNSHV-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD CC(C)Oc1ccc(Cl)cc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 426735240 SHWBUIODSSQIAV-UHFFFAOYSA-N 401.806 4.542 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1cccc(NC2CCCC2)c1 428012580 USAVEFFASQVDST-UHFFFAOYSA-N 406.442 4.515 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)N[C@@H](CC)c2cccc([N+](=O)[O-])c2)c(C)c1 429049202 OZAYZHSPXMNKKU-KXBFYZLASA-N 412.490 4.704 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccc(OC(F)F)cc3)cs2)c1[N+](=O)[O-] 435613523 BBCCXLFDXNRYKJ-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD CC(C)(CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)c1ccc(F)cc1 436322907 FABSJJKMRSXSOK-UHFFFAOYSA-N 406.432 4.667 5 20 HJBD COC(=O)c1cccc(-c2nc(CSCc3ccccc3[N+](=O)[O-])cs2)c1 437435477 VHSSOQPCLXQEOB-UHFFFAOYSA-N 400.481 4.938 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccccc3-c3cn[nH]c3)cc2[N+](=O)[O-])C1 439752627 XLLAJKNXVZZFTJ-HZPDHXFCSA-N 419.485 4.720 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 443834479 DPJMLRVTSXMZPC-QGZVFWFLSA-N 421.478 4.596 5 20 HJBD COc1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OC(C)C 444322331 IQCNREBEJGILLG-UHFFFAOYSA-N 402.450 4.729 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nnc1N(C)[C@@H](C)c1sc(C(C)C)nc1C 460219221 CCGVKAXEPHWAEB-AWEZNQCLSA-N 414.535 4.959 5 20 HJBD Cc1onc(-c2c(Cl)cccc2Cl)c1C(=O)NCCc1ccccc1[N+](=O)[O-] 460486114 FSDDSLMIPKJZDK-UHFFFAOYSA-N 420.252 4.838 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2ccc(OC3CCCC3)c(F)c2)c(Cl)c1 460828314 NLCOZLGHVXPKOA-UHFFFAOYSA-N 414.842 4.510 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 462489477 NVQJJPAIFSMGKM-FOIQADDNSA-N 408.458 4.530 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](CSc3ccccc3)C2)cc1SC 464327503 MMFKUEPULYVHCV-CQSZACIVSA-N 418.540 4.580 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc2ccccc2n1CC(F)(F)F 466522112 JFTMOPFQALMWIX-UHFFFAOYSA-N 407.348 4.763 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c1 467077674 CUGOHWAPWGQKLZ-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 470284215 GTHIJQJGACUMHE-QGZVFWFLSA-N 405.376 4.668 5 20 HJBD Cc1ccc(C(=O)CCCCc2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1 472783961 FBMJHOSYRSQCLB-UHFFFAOYSA-N 418.453 4.954 5 20 HJBD CCCCSc1nnc(Sc2ncc(Br)cc2[N+](=O)[O-])s1 474787548 KZSMHCLPOFWQBN-UHFFFAOYSA-N 407.340 4.647 5 20 HJBD COc1c(C(=O)Nc2ccc(Sc3nc(C)cs3)cc2)cccc1[N+](=O)[O-] 474966620 CMJRNQQRBVPYHO-UHFFFAOYSA-N 401.469 4.772 5 20 HJBD COc1cccc(N2CCC[C@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c1[N+](=O)[O-] 475421537 FKXFXSQPZJHTHS-AWEZNQCLSA-N 414.849 4.691 5 20 HJBD C[C@@H](NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)cn1 475512422 WHSLNESEZKCTGI-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD Cc1sc2nc(CCNc3ccccc3[N+](=O)[O-])nc(O)c2c1-c1ccccc1 477828021 HLOXAEONWSJDFY-UHFFFAOYSA-N 406.467 4.935 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 478934871 BBQLNLHWRWICRS-UHFFFAOYSA-N 415.837 4.649 5 20 HJBD Cn1cc(C(=O)N[C@H]2CC=C(c3ccc(F)cc3)CC2)c(-c2ccc([N+](=O)[O-])cc2)n1 479439741 LMNWTOYHARBEBE-IBGZPJMESA-N 420.444 4.500 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 480168120 UMBULZCXOKGUNE-UHFFFAOYSA-N 424.457 4.531 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1 480228173 IHUHCWBKGZELQI-SFTDATJTSA-N 418.449 4.925 5 20 HJBD CCOc1ccc(CN(C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])C(C)C)cc1 482388484 SUVBCQCKDJQQEN-UHFFFAOYSA-N 418.515 4.775 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(F)ccc1OCC1CC1 482507026 JKCHNOOEDNBLNL-LLVKDONJSA-N 408.813 4.582 5 20 HJBD O=C(c1cc(-c2ccccc2)ccc1Cl)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487003590 URRKUFBMBOLYAQ-UHFFFAOYSA-N 410.861 4.589 5 20 HJBD CC[C@H](NC(=O)c1cccc(OCc2c(C)noc2C)c1)c1cccc([N+](=O)[O-])c1 487905041 HGNARQFBSKUIAT-NRFANRHFSA-N 409.442 4.660 5 20 HJBD CCOc1cccc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 495159722 UAYORFOQNMLWBL-LBPRGKRZSA-N 411.380 4.573 5 20 HJBD COc1cc(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)c([N+](=O)[O-])cc1OC 499109014 CVYDGQGOJRVPFY-UHFFFAOYSA-N 406.438 4.570 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 502372638 ZDJNCGTXUMUXBR-NRFANRHFSA-N 414.820 4.672 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccn2)cc1 504180389 FAYXFJVOMNENNJ-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD COc1cc(CN(C)[C@@H](C)c2ccccn2)ccc1OCc1ccc([N+](=O)[O-])cc1 508629471 KKRGECWXTPUZGR-KRWDZBQOSA-N 407.470 4.770 5 20 HJBD C[C@@H](NS(=O)(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2ccccc2)cc1 509664781 KPEABCXXOWNOSO-MRXNPFEDSA-N 412.467 4.568 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3nccc3C(F)(F)F)cc2)c1 509744412 HRKMGHAHFMLOPV-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD O=C(NCc1ccco1)c1cccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 511713494 QSVSRFLRIOFKSG-UHFFFAOYSA-N 419.359 4.749 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN[C@@H](C)c2c(F)cncc2F)cc1OC 513254829 CBRRECQEATVECD-OLZOCXBDSA-N 409.433 4.552 5 20 HJBD CCOc1cc(NC(=O)N[C@H](CC)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 513745582 BGOYDJYVUBBZOC-MRXNPFEDSA-N 417.462 4.674 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)C(CC)CC)cc1OC 515158079 AYZFZDPTTMWECT-OAQYLSRUSA-N 400.475 4.909 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)[C@@H](C)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 518725417 RFVQGFXDJNQDRA-DLBZAZTESA-N 420.465 4.915 5 20 HJBD O=[N+]([O-])c1cc(I)cc(CN[C@@H](c2cccs2)C2CC2)c1 519568486 NZQCKODHLLSKIN-OAHLLOKOSA-N 414.268 4.502 5 20 HJBD CN(C)[C@@H](CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 520206852 UTBSXFFQLFHZQU-FQEVSTJZSA-N 415.490 4.980 5 20 HJBD O=C(Nc1ccc(Cn2cnc3ccccc32)cc1)c1c(Cl)cccc1[N+](=O)[O-] 521957720 UJDILSJPAZYRTF-UHFFFAOYSA-N 406.829 4.899 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)o1 523496378 UGYVZAQUCZYJBD-FQEVSTJZSA-N 408.458 4.693 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H](Cc1ccccc1)c1cccs1 523886399 PAEYKZRMZJZLBK-IBGZPJMESA-N 405.479 4.711 5 20 HJBD COc1ccc(CN[C@H]2CCC[C@H](SC)C2)cc1OCc1ccc([N+](=O)[O-])cc1 524224917 RDOSLULLJACZOM-ICSRJNTNSA-N 416.543 4.946 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1sccc1C(=O)C(C)(C)C 525147229 JREJXQRVMNQBEB-SNVBAGLBSA-N 410.879 4.945 5 20 HJBD CNc1ccc(C(=O)N[C@H](C)c2ccc(-c3ccccc3OC)cc2)cc1[N+](=O)[O-] 525602781 FIPPLZABYCRZLA-OAHLLOKOSA-N 405.454 4.803 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccn(-c2cccc(C(F)(F)F)c2)n1 531019391 KAQUZOROGOLBST-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(-c2cn3ccsc3n2)cc1 534650076 BGFOXXRHMJBHRX-UHFFFAOYSA-N 417.450 4.634 5 20 HJBD COc1cccc(C=C2CCN(Cc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)CC2)c1 535814786 FKVIKPCCVKEJAV-UHFFFAOYSA-N 418.497 4.683 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC/C=C\c2ccccc2[N+](=O)[O-])cn1 537226417 VAFZUQLYEORCKS-CLFYSBASSA-N 405.454 4.984 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(SCCCO)cc3)o2)c([N+](=O)[O-])c1 537719399 GHTZAUQNVWLMKY-UHFFFAOYSA-N 414.483 4.950 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2F)c1C 541783171 RCVDRHYPRVEDSR-UHFFFAOYSA-N 411.437 4.509 5 20 HJBD C[C@H](C(=O)Nc1cc(C(F)(F)F)ccc1-n1cccn1)c1ccc([N+](=O)[O-])cc1F 543440745 KHZJFDUXHUJOAV-NSHDSACASA-N 422.338 4.681 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc3c(c2)CCO3)C2CCCC2)cc1[N+](=O)[O-] 543893672 FBPGTMOTFFQVMN-UHFFFAOYSA-N 411.458 4.515 5 20 HJBD COc1cc(OC)c(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)cc1OC 546232865 NHVXQQOOWUOKBX-NSHDSACASA-N 417.443 4.524 5 20 HJBD Cc1nc(Cc2noc(-c3ccc(N4CCC[C@H](C)C4)c([N+](=O)[O-])c3)n2)sc1C 547168820 RVLMEKBRQOCRHI-LBPRGKRZSA-N 413.503 4.545 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)cc2F)C1 548643181 OFPLRFQBXCDVEE-GOSISDBHSA-N 422.453 4.587 5 20 HJBD C[C@H](N(C)C(=O)c1ccc(C(=O)N(C)[C@@H](C)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 559939620 NOTFAYWVMBVHJX-GJZGRUSLSA-N 405.539 4.608 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3Cc3coc(-c4ccc([N+](=O)[O-])cc4)n3)[nH]c2c1 561945746 BEPCOISXVUVGSD-HXUWFJFHSA-N 403.442 4.772 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc3c(c2)[C@@H](SC)C(=O)N3)no1 570884340 ANFSICXVBJEBGJ-SCLBCKFNSA-N 424.482 4.737 5 20 HJBD Cc1cc(C(C)(C)C(=O)N(C)Cc2ccccc2[N+](=O)[O-])ccc1Br 580365729 AGFXJZQLWZUDKZ-UHFFFAOYSA-N 405.292 4.602 5 20 HJBD Cc1nc2cc(NC(=O)c3cc(OCc4ccccc4)ccc3[N+](=O)[O-])ccc2n1C 580809311 OCIPXVUXDNVHJZ-UHFFFAOYSA-N 416.437 4.621 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC2(CCOCC2)CC1 586840344 ICXNYKGLHUQWRW-UHFFFAOYSA-N 404.532 4.662 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2)nc2c1c(C)nn2C 590825399 GBAYLYWGVQXFHN-UHFFFAOYSA-N 415.453 4.721 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)n2)s1 603732299 HWPBQLNPKDASSB-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD Cc1cc(O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1Cl 603961476 VTTSTBAWBWPSSB-SNVBAGLBSA-N 400.765 4.564 5 20 HJBD CCOc1c(Cl)cc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC 603989893 MMSBGTXQSDKSIV-UHFFFAOYSA-N 420.874 4.800 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604257243 BXBRCAKWXXPEQL-NXEZZACHSA-N 410.270 4.544 5 20 HJBD Cc1sc(NC(=O)Cc2csc(C(C)(C)C)n2)nc1-c1cccc([N+](=O)[O-])c1 608847174 OCCJNPOMYSMRSP-UHFFFAOYSA-N 416.528 4.962 5 20 HJBD COc1cc(C(=O)N2CCC(c3nc(-c4ccccc4)cs3)CC2)ccc1[N+](=O)[O-] 609521905 XXPWTINPHPXQSY-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD O=[N+]([O-])c1c(N2CCC(c3nc(-c4ccccc4)no3)CC2)ccc2ncccc12 609560864 MHDDGXHLAOEAGD-UHFFFAOYSA-N 401.426 4.577 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1ccc(Br)cc1 609749875 FNECQVCZZXOILA-UHFFFAOYSA-N 423.288 4.589 5 20 HJBD Cc1c(C(=O)N2CCC[C@@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cccc1[N+](=O)[O-] 609836162 PNYFIXXMOXICEI-LJQANCHMSA-N 410.861 4.924 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 609976226 BKERZPAHRCQVOT-QUCCMNQESA-N 407.514 4.524 5 20 HJBD Cn1cc(-c2nc(CSCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cs2)cn1 611165711 BWOYDQHFFSKJHZ-UHFFFAOYSA-N 413.484 4.540 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(Cc4ccc5ccccc5n4)no3)cs2)cc1 612893671 GKAWYAWRLPNCEV-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccc2nccn2c1 728459966 GEHIHZHZCVUDGO-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C\C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1 729871904 MNYCADLQCFOOHL-QYMJWVLRSA-N 423.425 4.579 5 20 HJBD COc1cccc(-c2nc(COC(=O)c3c(Cl)cccc3[N+](=O)[O-])cs2)c1 730642811 HQOQHUJCBNOHBS-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 743197986 RNBLGVVYTVCQMF-CQSZACIVSA-N 424.384 4.710 5 20 HJBD COc1cc(COC(=O)[C@H](C)c2cccc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 745303816 KNTQIAVQQDDLAE-SNVBAGLBSA-N 415.776 4.705 5 20 HJBD C[C@@H](CNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)Cc1cccs1 750890736 RKPADHQDUHXFDV-SECBINFHSA-N 417.712 4.681 5 20 HJBD C[C@@H](OC(=O)c1ccc(Br)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 750965869 QCQKNVYFBDLJCY-LLVKDONJSA-N 417.215 4.930 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)C12C[C@@H]3C[C@H](C1)CC(C(F)(F)F)(C3)C2 751224720 IUELUMZYYRXAOK-KBDCMEBJSA-N 404.335 4.960 5 20 HJBD COc1ccc(S(=O)(=O)Nc2ccc(SC(C)(C)C)cc2C)c([N+](=O)[O-])c1 751283793 DULXFKLDZYUZOK-UHFFFAOYSA-N 410.517 4.603 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1 755420911 KVMPZNAZKCZWIK-DEYYWGMASA-N 415.877 4.643 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C/c3cn(-c4ccc(F)cc4Cl)nn3)nc2c1 755598851 LCHFUNSUQSXRGT-MLPAPPSSSA-N 420.187 4.846 5 20 HJBD CSc1c(F)cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1F 759109240 NXULIVJLHNYTRI-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1nc([C@@H](C)OC(=O)COc2ccccc2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 763860797 DUKPGZZRVVXBJD-CYBMUJFWSA-N 416.817 4.895 5 20 HJBD CC(C)(C)OC(=O)NC[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 769196017 RWDFZCZIWMRJJX-OAHLLOKOSA-N 417.531 4.670 5 20 HJBD COc1cc(CNc2ccc(N(C)C)cc2C)c([N+](=O)[O-])cc1OCC(F)(F)F 770403009 ONRUXICEQYRUFB-UHFFFAOYSA-N 413.396 4.531 5 20 HJBD Cc1ccccc1-c1cnc(COC(=O)c2sc(Br)cc2[N+](=O)[O-])o1 773602944 LUBICAKIAMJNIP-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD C[C@@H]1C[C@@H]1[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1 781651199 LGLTUOQRNTYGAP-ZINOCKGTSA-N 417.849 4.603 5 20 HJBD CC(C)(C(=O)OCc1ccc(Cl)nc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 787793948 OTJNWJKCAACFHL-UHFFFAOYSA-N 420.746 4.822 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2cccc(C(=O)Nc3ccccc3)c2C)c1F 790386692 MXVPGSVEYANGEU-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)[C@@H]3CCc4cc(Cl)ccc43)co2)cc1 794772798 DTPLQAKXOSSOTF-CLYVBNDRSA-N 402.859 4.839 5 20 HJBD CCC(=O)c1ccc(N2CCC(C(=O)c3c(F)cc(F)cc3F)CC2)c([N+](=O)[O-])c1 795096338 UKDUPQYRXZHWJZ-UHFFFAOYSA-N 420.387 4.704 5 20 HJBD CC1(C)CC(=O)c2cc(OC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc2O1 797164091 BVBGYJWYIBEAPJ-UHFFFAOYSA-N 413.429 4.644 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 797579793 YSQIXKNBQLRQHW-UHFFFAOYSA-N 403.605 4.594 5 20 HJBD C[C@@H](C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)cc1 798428374 XVAQYTJSLKVGTD-GFCCVEGCSA-N 404.348 4.542 5 20 HJBD Cc1ccc([C@H](O)[C@@H](C)NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 804477957 GJWYIRJVUYVUFB-OPAMFIHVSA-N 422.506 4.906 5 20 HJBD CC(=O)c1oc2cc(C)ccc2c1CC(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 805664363 QJLKKBJQVXOIIR-UHFFFAOYSA-N 401.802 4.791 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 815353980 XJDOULGUMATUBQ-LBPRGKRZSA-N 419.865 4.513 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@@H]2c2cccc([N+](=O)[O-])c2C)c(OC)c1 877841377 FWKFSVZZJPZEME-QFIPXVFZSA-N 419.437 4.692 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c1 914475137 ZBYVIKUUKUEOPN-UHFFFAOYSA-N 409.398 4.555 5 20 HJBD O=C(OCc1nc(-c2cccs2)no1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917256705 DBOOWZGGDGYNFD-UHFFFAOYSA-N 423.406 4.856 5 20 HJBD O=[N+]([O-])c1ccc2nc(NCc3ccc(-n4cnc5ccccc54)nc3)sc2c1 1318331205 WWCNJOQIUYYQPA-UHFFFAOYSA-N 402.439 4.551 5 20 HJBD CN(C)[C@H](CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1cccs1 1318346371 HYPZIVQKOVXFDA-QGZVFWFLSA-N 405.907 4.962 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 1318815263 POYJFXYEAKAGRE-INIZCTEOSA-N 421.501 4.587 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@H](c2nnc(-c3cc4c(s3)CCCCC4)o2)C1 1324113095 SGBPIDGRSYGRSZ-INIZCTEOSA-N 410.455 4.841 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3ccc([N+](=O)[O-])cc3Br)o2)c1 1326081924 SKFWPSJSZUAYAQ-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCO[C@H](c2ccc(Cl)s2)C1 1332553894 GYQYUNLDGZTQFV-ZDUSSCGKSA-N 417.712 4.646 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C2(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)CCC2)cc1 1787704713 ZTDILFSWWCDQQM-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)CCCSc1ccc(Cl)cc1 8928665 DVXMRTXVMCQUHX-UHFFFAOYSA-N 422.890 4.611 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N[C@@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 10291029 QQJTYRLSWCVQSN-SFHVURJKSA-N 415.402 4.531 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 10874785 LHOPMPLLJXXJRW-GFCCVEGCSA-N 424.375 4.921 5 20 HJBD Cc1sc(NC(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)nc1-c1ccc(F)cc1 31275141 SNFIBUPVCOZFAN-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD CCc1cc(Sc2nnc(C3CC3)n2C2CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 54389666 FVGWWHUOIRTXNP-UHFFFAOYSA-N 408.487 4.569 5 20 HJBD O=C(Cc1ccc(NC(=O)c2cc3ccccc3o2)cc1)Nc1cccc([N+](=O)[O-])c1 55183464 WEOOZGYFOMANKD-UHFFFAOYSA-N 415.405 4.775 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc2cc(F)c(F)cc2s1 58779625 YNJOBUYIBXGQAI-UHFFFAOYSA-N 421.428 4.681 5 20 HJBD CCC(CC)N(CCOC)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 107949586 ZNKIYRVHQZQMHY-UHFFFAOYSA-N 423.560 4.788 5 20 HJBD Cc1ccc(C[C@@H]2CCCN(C(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)C2)cc1 116247822 VHGANMAHVCJGOZ-FQEVSTJZSA-N 407.514 4.598 5 20 HJBD Cc1sc(NC(=O)c2oc3c(c2C)C(=O)CCC3)nc1-c1cccc([N+](=O)[O-])c1 195704314 ZVJFWEPGGCLUEG-UHFFFAOYSA-N 411.439 4.699 5 20 HJBD O=C(CCCNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)N1Cc2ccccc2C1 237995990 CCCHUECOSVROLU-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD COc1ccccc1COC1CCN(c2cc(C)nc3ccc([N+](=O)[O-])cc23)CC1 302082293 LZVVOOSPLJALJT-UHFFFAOYSA-N 407.470 4.646 5 20 HJBD O=C(CNc1cc([N+](=O)[O-])ccc1OC(F)F)Nc1ccc(Cl)cc1Cl 302958643 LTLCNDXODDFEFN-UHFFFAOYSA-N 406.172 4.554 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H](Cc3ccccc3)C(=O)O)cc2[N+](=O)[O-])cc1 426692575 TZQLYVKQXVDXQR-FQEVSTJZSA-N 422.506 4.840 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@@H](c2ccccc2)c2ccccc21 436297764 NXDBCPJWMBFMCZ-NRFANRHFSA-N 410.433 4.573 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccnc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437352379 DDMHXSZVGPAYNK-SFHVURJKSA-N 401.344 4.528 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 437467126 MGDARORERIWCPN-MRXNPFEDSA-N 409.511 4.546 5 20 HJBD CC(C)[C@H]1C[C@@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441301150 JAVCZYOHEOZKRY-MAUKXSAKSA-N 400.500 4.679 5 20 HJBD CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 443867205 IMCHGZMYIYVSKZ-HFTRVMKXSA-N 416.440 4.860 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCCC1)O2)N1CCc2ccc([N+](=O)[O-])cc2C1 446945864 KVKHUYIIXYNFTN-UHFFFAOYSA-N 409.442 4.617 5 20 HJBD Cc1ccccc1C1CC(N(C2CC2)S(=O)(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 462490845 POWHMFSFJSGBTK-UHFFFAOYSA-N 420.918 4.656 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccc4ocnc34)cc2[N+](=O)[O-])n1 477394119 SECGSMUQUAEWCT-UHFFFAOYSA-N 412.452 4.904 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479255767 FWCXBNYDCJWHCM-UHFFFAOYSA-N 402.319 4.645 5 20 HJBD CN(Cc1ccc(Br)cc1Cl)C(=O)CCc1cccc([N+](=O)[O-])c1 481695626 ODQYLVYCUBGAKE-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD CCc1ccc(N(C(C)=O)c2nc(COc3ncc(C)cc3[N+](=O)[O-])cs2)cc1 481757803 SBSOYXIYDLXTEX-UHFFFAOYSA-N 412.471 4.581 5 20 HJBD O=C(Nc1ccc(F)cn1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 484416223 PBNDTHJVYBYNKB-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD COc1cccc(C(=O)Nc2cc(C(F)(F)F)ccc2Br)c1[N+](=O)[O-] 484802129 HZXOQNGEVCRAOK-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1ccc(C)c([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 486947336 KGMRXWJRVJRANI-MRXNPFEDSA-N 424.284 4.806 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC[C@@H](c2nnc3ccc(C(F)(F)F)cn23)C1 487735993 ZAYUTGWVMSJCKR-ZIAGYGMSSA-N 419.407 4.597 5 20 HJBD COc1cccc2[nH]cc(CC(=O)Nc3nc(-c4ccc(C)c([N+](=O)[O-])c4)cs3)c12 498237593 PRZRVDBXONWTEC-UHFFFAOYSA-N 422.466 4.698 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)NC(=O)c3c(N)cccc3[N+](=O)[O-])cc2)c1 499911159 YRKKRXSPFHUYCP-MRXNPFEDSA-N 405.454 4.555 5 20 HJBD O=C(CSC(c1ccccc1)c1ccccc1)Nc1cc2c(cc1[N+](=O)[O-])OCO2 501251032 BLJHCQJJLHTMPY-UHFFFAOYSA-N 422.462 4.785 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2 502168441 NDYSNSCXRIMQJG-HSZRJFAPSA-N 417.465 4.509 5 20 HJBD COc1ccc(CSCC(=O)Nc2ncc(-c3ccccc3)s2)cc1[N+](=O)[O-] 507089716 UYKOZVDNFWKCMD-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD Cc1nc([C@@H](C)S(=O)(=O)c2cccc([N+](=O)[O-])c2)oc1-c1ccc(Cl)cc1 509138235 NGDTWXCZUYFANL-GFCCVEGCSA-N 406.847 4.747 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1cccs1)c1ccc(F)cc1F 511097627 XCYKPJAJPQOSNO-UHFFFAOYSA-N 418.421 4.937 5 20 HJBD CC[C@@H](C)N(CCc1ccc([N+](=O)[O-])cc1)CC(=O)Nc1ccccc1C(F)(F)F 512741163 VUIBPYTYYQUUPH-OAHLLOKOSA-N 423.435 4.895 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2ccc(C[S@](C)=O)cc2)cc1[N+](=O)[O-] 513100596 LBZYZIQKNXFURI-PMERELPUSA-N 423.538 4.531 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)sc2C)cc1 514500216 GKDTXZAPJZHHJV-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc(NC(=O)Cc2cccc(OCc3ccccc3C)c2)c([N+](=O)[O-])c1 518493509 KRFPXPZTWVDFGN-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC2(CCC2)c2c(F)cccc21 522384396 WMTONKFIDDXJLT-GFCCVEGCSA-N 404.825 4.623 5 20 HJBD C[C@@H](CCc1ccc(Br)cc1)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 523507557 BRSMAXMUAFSUKR-AWEZNQCLSA-N 419.319 4.772 5 20 HJBD O=C(Cc1csc(-c2cccs2)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525105245 BGLOWRZKTYGIHX-SFHVURJKSA-N 417.537 4.597 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c1)c1ccccc1 534232389 HUZCLOWHDFIEMY-UHFFFAOYSA-N 414.421 4.605 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cc3ccccc3)ccc2O)cc1SC 535420299 FHPIIXDQLHCAKO-UHFFFAOYSA-N 424.478 4.874 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1 536262660 IRGUNUJYGNYMCP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@H](N[C@H](C)c1cccc(OC(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537019412 XXWBDMCDSPXHHE-NEPJUHHUSA-N 404.373 4.658 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)ccc1OCc1ccncc1 537330250 WGSYHJSVNVEHQB-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCc1ccc(C2=CCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)CC2)cc1 537887024 HIGCFNAOELQJAE-UHFFFAOYSA-N 419.525 4.690 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@H](C)C1 539858333 FURPMFYHHNWGHD-NQIIRXRSSA-N 409.530 4.645 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4csc(-c5ccccc5)n4)n3)c2c1 545855723 XACRQWTTYVOJRQ-UHFFFAOYSA-N 417.450 4.764 5 20 HJBD CCN([C@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546770526 NGBSYIXKJDCILC-CYBMUJFWSA-N 416.421 4.527 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 547242907 CGTQBCOOAFYMIK-UHFFFAOYSA-N 413.474 4.760 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2cc(-c3ccc(OC)cc3)cs2)c1 548973892 IZTCLQPKBWNHCH-UHFFFAOYSA-N 412.467 4.661 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)ccc1OC(C)C 551304783 GEZVHZKMRPJXAZ-UHFFFAOYSA-N 423.263 4.714 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1 556884257 AISJEBPXTTWZJU-HSZRJFAPSA-N 424.464 4.845 5 20 HJBD COCc1cnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 557443207 KFQNYQSUWPTRAI-UHFFFAOYSA-N 401.469 4.601 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(OCC2CCCCC2)CC1 562453640 CFOYJJQNHLJZNY-UHFFFAOYSA-N 405.495 4.587 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 572223175 BMGPFYZWSMNPAY-IAGOWNOFSA-N 417.465 4.828 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 578266683 YMUHGVZFPPKGRW-UHFFFAOYSA-N 413.474 4.645 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1N1CCC(c2nc(-c3ccco3)no2)CC1 579335532 YKKXZXPYTTYXCW-UHFFFAOYSA-N 408.336 4.641 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 579427521 LKFSYAVZFQBJMT-HNNXBMFYSA-N 404.426 4.981 5 20 HJBD Cc1nn(CCC(=O)N[C@H](CCC(C)C)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 608805354 ZAZWJAZKOPMKLN-GOSISDBHSA-N 406.914 4.745 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@@H](CO)[C@H](C)c3ccccc3)c([N+](=O)[O-])c2)cc1 609496659 MWZNTUUALCPSQE-VGSWGCGISA-N 419.481 4.732 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609722517 ZFGUJHVFZFDQQK-LBPRGKRZSA-N 419.890 4.846 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2ccc3oc(C4CC4)nc3c2)c([N+](=O)[O-])c1 610092939 HEEFMRQBGZPTLU-UHFFFAOYSA-N 409.446 4.546 5 20 HJBD C[C@@H](CC(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610174551 OJWMWSXZRZMVSN-ZDUSSCGKSA-N 418.375 4.932 5 20 HJBD CC(C)(NC(=O)c1c[nH]c2ccc(Br)cc12)c1ccc([N+](=O)[O-])cc1 610637202 BPLUQRDKTJMOOH-UHFFFAOYSA-N 402.248 4.504 5 20 HJBD CNC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611212627 PNDXZPYYXGHSEF-UHFFFAOYSA-N 414.487 4.633 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@H](c2cc[nH]n2)C1 612531928 MLWXRLZMHQOQSL-INIZCTEOSA-N 414.531 4.620 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cc(C(=O)N2CCCCC2)ccc1Cl 726924714 HOJQKSYZROXXGC-IZZDOVSWSA-N 413.861 4.526 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc([N+](=O)[O-])ccc2F)cc1 733109335 IGHFYSWIQHOHDA-JOCHJYFZSA-N 407.445 4.943 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 738883989 AFGMADJRKIOFBR-ZDUSSCGKSA-N 405.813 4.514 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 744372098 RDHSZSRSTWRBND-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)c1ccccc1C(F)(F)F 745309521 SNCRMWHMPIISBY-NSHDSACASA-N 421.331 4.511 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)cc1 747124603 OIAZITWOGXCFDN-LLVKDONJSA-N 421.331 4.890 5 20 HJBD CCN(CC)C(=O)COc1ccc(N[C@H](C)c2ccc([N+](=O)[O-])cc2)cc1Cl 749840192 KJVNIWJLDWAEGS-CQSZACIVSA-N 405.882 4.669 5 20 HJBD Cc1cc(SC(C)(C)C)ccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 751257030 JYFIEFNCFHTGNO-UHFFFAOYSA-N 402.472 4.817 5 20 HJBD CN(Cn1c(=S)oc2ccc([N+](=O)[O-])cc21)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 758263551 RLLZGSMQYDADTA-DNVCBOLYSA-N 417.462 4.821 5 20 HJBD CC(C)[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1ccccc1Cl 762905036 OXPZLJLYGPUVNE-GOSISDBHSA-N 415.833 4.550 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 765197145 ZBLODFHADRVPIW-QFBILLFUSA-N 418.490 4.574 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC[C@H](c2nc3ccccc3o2)C1 770008842 YWEHSXPEWSYAGC-LBPRGKRZSA-N 400.822 4.801 5 20 HJBD CN(C(=O)/C=C/c1ccc2[nH]ccc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495663 OOTLORDWOMPAEI-VQHVLOKHSA-N 404.451 4.876 5 20 HJBD COCC[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C)o1 778010142 NODXHIXPWVRZCV-NSHDSACASA-N 403.313 4.613 5 20 HJBD CC(C)CCSc1ccc(C(=O)O[C@@H]([C@@H]2CCOC2)C(F)(F)F)cc1[N+](=O)[O-] 778013186 MHOABCUHJCXWOO-CJNGLKHVSA-N 421.437 4.857 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2cccc([N+](=O)[O-])c2Br)cc1 782166749 KPYRZARZBCCOMC-UHFFFAOYSA-N 421.291 4.509 5 20 HJBD C[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 785600119 BUPRCSYCWWVINN-SSDOTTSWSA-N 410.215 4.683 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F 796573096 LXSVESXOJFWEFM-AWEZNQCLSA-N 409.191 4.744 5 20 HJBD CC(=O)Nc1ccc(C)c2c1CCCN2C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813150867 NPLNUKYIXGRJMQ-UHFFFAOYSA-N 422.268 4.761 5 20 HJBD Cc1ccc(NC(=O)N(C)C)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813555416 IPDSQAMYWZGTTG-UHFFFAOYSA-N 411.245 4.556 5 20 HJBD O=C(Cc1ccsc1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 890322250 WMHUFTFFQAHIOG-UHFFFAOYSA-N 407.403 4.578 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3ccc(Br)cc3)no2)c1 904714488 ZNDCMPDACUYDFH-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD O=C1OC(c2cccc([N+](=O)[O-])c2)=N/C1=C/c1ccc(-c2cccc([N+](=O)[O-])c2)s1 912773219 AZRTVXUCOIXZOQ-GZTJUZNOSA-N 421.390 4.576 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)c2ccc(Cl)cc2Cl)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914454541 DAFHXNJLZPKSLF-SNVBAGLBSA-N 412.229 4.951 5 20 HJBD COC(=O)c1ccc([C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)o1 919191096 BQRONWKXCQTNAL-ZDUSSCGKSA-N 411.366 4.685 5 20 HJBD Cc1ccc(-c2noc(CCc3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)n2)cc1F 1116612363 MKEMZIHMUKOVLQ-UHFFFAOYSA-N 423.404 4.544 5 20 HJBD O=[N+]([O-])c1cccc(-n2ccc(-c3nnc(CSc4ccc(Cl)cc4)o3)n2)c1 1117213024 QNMNQMIMOSSBHA-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(Cc3ccc([N+](=O)[O-])cc3Cl)o2)cc1 1261425703 JGPOICMFJPBMKN-GFCCVEGCSA-N 403.822 4.761 5 20 HJBD CCc1oc2ccccc2c1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1319494900 KBQFCFVZYWROBK-GFCCVEGCSA-N 407.382 4.871 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1OC 1322144163 HVUOOVAIVGZJGY-OAHLLOKOSA-N 413.474 4.569 5 20 HJBD COc1cc(-c2nnc(-c3cc4cc([N+](=O)[O-])ccc4s3)o2)cc(OC)c1OC 1322263082 UHOCVPYNVHDTDE-UHFFFAOYSA-N 413.411 4.552 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccc(Br)cc3Cl)o2)cc1 1322307483 ZCGGWFIPBYRJNF-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ncc(-c2ccccc2)o1 1342950256 OBUNXKISOJWFJW-GFCCVEGCSA-N 402.248 4.863 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(C2(NC(=O)Cc3cccc([N+](=O)[O-])c3)CCC2)cc1 1787655433 AFYZUPKRVZIDRR-UHFFFAOYSA-N 423.513 4.708 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Cl)C(=O)Nc1ccc(C(C)(C)C)cc1 11028887 LEQIOWVFGJRIMS-GFCCVEGCSA-N 404.850 4.730 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2C(=O)c2ccc(N(C)C)c([N+](=O)[O-])c2)c1C 24370380 AALLATXXGAGZLO-UHFFFAOYSA-N 417.465 4.761 5 20 HJBD CSc1cccc(NC(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])c1 54005444 WOEYWNALXIDQGY-KRWDZBQOSA-N 417.487 4.521 5 20 HJBD CCCCc1nnc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 69343024 PQZYACCSUYHZPM-UHFFFAOYSA-N 420.438 4.539 5 20 HJBD COc1ccc(CN(C(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)[C@@H](C)C2CC2)cc1 71846586 PNIMANUPPQVOOC-HNNXBMFYSA-N 409.486 4.619 5 20 HJBD Cc1onc(-c2ccccc2Cl)c1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1 216752906 RWUYITAAPZGRBU-UHFFFAOYSA-N 423.816 4.854 5 20 HJBD COc1cc(CNc2cccc(NC(C)=O)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 237284632 URWMXNLMRCQNRD-UHFFFAOYSA-N 421.453 4.753 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3cccc(OC[C@H]4CCCO4)c3)cs2)c1 238010862 SENACAFOARTCIV-HXUWFJFHSA-N 411.483 4.888 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1Br 248243368 KAFMRPTYGBDHOR-VIFPVBQESA-N 413.655 4.510 5 20 HJBD Cc1cc(NCc2ccc(NC(=O)NC(C)C)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301123725 SAAXMFGDWBXQFC-UHFFFAOYSA-N 420.473 4.502 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(F)c(Cl)c3)C2)cc1OC(F)F 301391835 BESKBWYCUZRDRQ-INIZCTEOSA-N 402.756 4.567 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccccc3Br)o2)c(F)c1 301788704 MYWNMWJJBPKFON-UHFFFAOYSA-N 414.187 4.837 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCC[C@H]1c1cc2ccccc2[nH]1 303654923 FWXOPJOORIRVSJ-NRFANRHFSA-N 416.437 4.697 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2o1 409472494 YOBUHRMWFCTQIE-UHFFFAOYSA-N 407.357 4.597 5 20 HJBD O=C(O)C1CCC(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 426690457 BAXYBESDUDTUCU-UHFFFAOYSA-N 404.850 4.774 5 20 HJBD COc1ccc([C@@H](CCC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1 426731925 QVNRFQZVBNZDSJ-LJQANCHMSA-N 410.426 4.559 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2ccc(-c3nc(C(C)C)no3)cc2)cc1[N+](=O)[O-] 429065786 QNGWQOJSFFYODD-AWEZNQCLSA-N 409.446 4.959 5 20 HJBD COC(=O)c1cc(C(C)C)ccc1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 429857849 LCJHVEWBRBFQSG-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD CC(C)(NC(=O)Nc1ccc(C(=O)N2CCCCCC2)cc1)c1ccccc1[N+](=O)[O-] 432909517 HHKJXEQZYJBBOA-UHFFFAOYSA-N 424.501 4.668 5 20 HJBD O=C(Nc1ccc(Cl)c(Cl)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437726196 YYENTYVJESQRMV-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD Cc1c(CC(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cccc1[N+](=O)[O-] 438903415 QFFAHHTTWXUWBX-KRWDZBQOSA-N 417.465 4.575 5 20 HJBD Cc1ccnc(Oc2cc(N[C@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)ccc2C)c1 439621887 XKBJTPIBOXTQST-FQEVSTJZSA-N 418.453 4.616 5 20 HJBD CC(=O)c1cccc(O[C@H](C)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440608016 CKQYNYPYCYXKNK-GOSISDBHSA-N 418.449 4.798 5 20 HJBD COCCN(Cc1ccc(F)cc1Cl)C(=O)Nc1c(C)ccc([N+](=O)[O-])c1C 443944792 ICMCVXNXEBFXLX-UHFFFAOYSA-N 409.845 4.685 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(c2c(C)[nH]c3ccccc23)CC1 444907952 WUORDBXXCKLWNV-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD Cc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)C(C)C)s1 445919188 HLVIMJPDBNGQIY-UHFFFAOYSA-N 411.321 4.707 5 20 HJBD C[C@@H]1CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)CC[C@H]1c1ccccc1 460646606 KQGTYBMJQHUKOE-DNVCBOLYSA-N 407.495 4.984 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463413777 IETBAKSOUZXRQU-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD C[C@@H](c1ccc([S@](C)=O)cc1)N(C)c1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-] 474167310 CCIZIFZVXRQDEX-DFYVNMARSA-N 422.506 4.761 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477702198 MUIGLFGODPWEAW-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD CCOc1cc(C(=O)N2CCC(c3cccc(Cl)c3)CC2)c([N+](=O)[O-])cc1OC 478222342 DNTFYBZHMZRTEL-UHFFFAOYSA-N 418.877 4.675 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2cncc(Cl)c2Cl)cc1[N+](=O)[O-] 480866864 RYZXDXRKMOIJJY-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CCOc1ccc2nc(NC(=O)c3cc(SC)c(OC)cc3[N+](=O)[O-])sc2c1 482150721 GGONESRARBOZPR-UHFFFAOYSA-N 419.484 4.586 5 20 HJBD CCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccccc1Cl 482700822 YWWJFZKHNWCPSC-UHFFFAOYSA-N 419.268 4.831 5 20 HJBD CC(=O)Nc1cccc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1C 484090076 YPMNGULPOMKNRU-GJZGRUSLSA-N 424.501 4.596 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c1 485563523 TUHMLTISTUUBIB-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C(C)C)c2ccccc21 487738070 GFJAULCWIPDHKF-SFHVURJKSA-N 400.500 4.733 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@@H]2c2ccc(Br)cc2)cc1[N+](=O)[O-] 488070908 QOLZGPSHPSKKLC-VUCTXSBTSA-N 403.276 4.647 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1n[nH]c([C@H](C)CC)n1 489263833 WSURBKDLFSTGPI-OLZOCXBDSA-N 405.524 4.610 5 20 HJBD C[C@H](NC(=O)N1CCCC[C@H]1c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 490980880 PRVOFFUJOLRBEZ-KXBFYZLASA-N 421.457 4.643 5 20 HJBD COc1cc(CNc2cc(-c3ncco3)ccc2C)c([N+](=O)[O-])cc1OCC(F)F 491004348 HOWVUTDZGMAIFB-UHFFFAOYSA-N 419.384 4.823 5 20 HJBD O=C(NCc1ccc(Oc2cccnc2)c(F)c1)c1c(Cl)cccc1[N+](=O)[O-] 497668666 VVWSBGQUKAOXFY-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)sc1Cc1cccc(F)c1 498816829 VZHGLNSZSZQDSP-UHFFFAOYSA-N 422.441 4.890 5 20 HJBD COc1cc(CN(C)Cc2c(F)cccc2[N+](=O)[O-])ccc1OCc1ccccc1 505582860 WCVHDWNZQWDLNZ-UHFFFAOYSA-N 410.445 4.954 5 20 HJBD COc1ccc(-c2noc([C@@H](C)SCc3c(F)cc([N+](=O)[O-])cc3F)n2)cc1 507104560 RSVFTQWOWGRYTQ-SNVBAGLBSA-N 407.398 4.926 5 20 HJBD Cc1c(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 509895698 HBESNJJFLQWNQS-QGZVFWFLSA-N 406.486 4.854 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(Br)c2C)s1 516096784 JOLMBAJBTKNHBP-JTQLQIEISA-N 412.309 4.572 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CC(F)(F)F)[C@@H](C)C(C)C)cc2[N+](=O)[O-])CC1 517343218 DNKBZRPTIGDMFC-HNNXBMFYSA-N 415.456 4.880 5 20 HJBD Cc1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)n(C(C)(C)C)n1 518284824 GULNRSYKSJZOHK-GASCZTMLSA-N 413.522 4.589 5 20 HJBD CCOc1cc(CN(C)Cc2ccc(Cl)cc2[N+](=O)[O-])ccc1OC(F)F 522536356 LUMYBZGNCRAFOH-UHFFFAOYSA-N 400.809 4.880 5 20 HJBD C[C@@H](NC(=O)N1CCSC[C@@H]1c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 522613483 PXJVWZLBAVNVHG-FZKQIMNGSA-N 405.907 4.809 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(C2CCCCC2)[nH]n1 524051998 HTKXHSGVOCIQRQ-UHFFFAOYSA-N 400.482 4.564 5 20 HJBD Cc1cc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)ncn1 525132037 KMVFCEKYANQQCL-UHFFFAOYSA-N 423.479 4.706 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccn(Cc3ccncc3)n2)ccc1Oc1ccc(F)cc1 531662289 CFVTWBKWOFSURF-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](CO)c3ccc(C(F)(F)F)cc3)cs2)c1 534612815 MNBDDGYEYBNFJA-QGZVFWFLSA-N 423.416 4.560 5 20 HJBD CCNC(=O)c1cccc(CN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 536874304 DFWFVLNKEVREBF-HNNXBMFYSA-N 409.511 4.924 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Br)cc2C(C)=O)cc1[N+](=O)[O-] 541414995 NJDAYHJFQDSLAM-UHFFFAOYSA-N 409.261 4.534 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H]1CCO[C@H](c2ccc(F)cc2)C1 544651138 BACBVVGEIUJGJV-FPOVZHCZSA-N 415.465 4.574 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(CC(F)(F)F)no3)cc2[N+](=O)[O-])n1 544990290 WVIOEIFXKMURMV-UHFFFAOYSA-N 402.379 4.666 5 20 HJBD CC(C)Oc1ccc(-c2nc(-c3nc(Cc4ccc([N+](=O)[O-])cn4)no3)cs2)cc1 547190502 LOQWLXRRGPZLDL-UHFFFAOYSA-N 423.454 4.541 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)cc1OC(F)F 547228245 IPUVDBOYGCUIBO-UHFFFAOYSA-N 421.183 4.911 5 20 HJBD COc1cc(Cl)ccc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 557570235 WBLHHOXTJRAJSR-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD CCc1ccc(OCC(=O)c2ccc(NC(=O)c3ccccc3)cc2)c([N+](=O)[O-])c1 563988424 ARDYVTZDHNWSBI-UHFFFAOYSA-N 404.422 4.671 5 20 HJBD O=[N+]([O-])c1cccc(Oc2ccc(-c3noc(-c4snnc4C4CC4)n3)cc2)c1 581853917 BVAHLQMERLTJDG-UHFFFAOYSA-N 407.411 4.833 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCO[C@H](c2ccc(F)c(F)c2)C1 589534102 XNEOUMQWNGSUTL-QFIPXVFZSA-N 404.416 4.880 5 20 HJBD CN1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 603476562 FJBFRWHCERFZCP-UHFFFAOYSA-N 419.934 4.566 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1NC(C)=O 603774958 CPMGORZOIGGQBN-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD C[C@H](NC(=O)c1ccc(-c2ccc3c(c2)OCCO3)s1)c1cccc([N+](=O)[O-])c1 603966697 KDBUFPOMLFHIMH-ZDUSSCGKSA-N 410.451 4.586 5 20 HJBD C[C@@H](NC(=O)c1ccccc1OCCOc1ccccc1)c1cccc([N+](=O)[O-])c1 603966775 PWHKZRSXQNERNF-QGZVFWFLSA-N 406.438 4.544 5 20 HJBD COc1ccc([C@H](N[C@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 604478614 BMTBYNGLPMIBGB-MZNJEOGPSA-N 419.481 4.700 5 20 HJBD CC[C@H](C)N(C)CCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 609026994 ALLAPWNISJSTFK-AWEZNQCLSA-N 405.882 4.501 5 20 HJBD CC(C)Sc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2 609218453 JJXKMRKXZWWIIO-UHFFFAOYSA-N 408.863 4.772 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)c1ccccc1SCc1cccs1 609455342 WSYMSBRKGWQBSI-UHFFFAOYSA-N 414.508 4.757 5 20 HJBD CC(=O)c1ccccc1NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609765868 CZCCHWXSWFCRKE-UHFFFAOYSA-N 405.410 4.640 5 20 HJBD CN(CCOC(C)(C)C)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 611213394 UBVUBFYJIZISOP-UHFFFAOYSA-N 419.909 4.747 5 20 HJBD CCS(=O)(=O)Nc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1C 617212644 DJGCLEZGYJEQOS-UHFFFAOYSA-N 419.506 4.710 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2c(Cl)cccc2[N+](=O)[O-])c(C)c1 730647051 UGDZHSLQYMDSJR-UHFFFAOYSA-N 404.850 4.749 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731839143 GHBGPIHIXLDGPH-UHFFFAOYSA-N 410.430 4.667 5 20 HJBD C[C@H](OC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2ccc(Cl)cc2[nH]1 732519209 YTFWBZCYCOPKHI-LBPRGKRZSA-N 414.849 4.643 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 736075828 JYFCGTLBSHHBKI-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD CS[C@@H]1CC[C@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 742740952 QFGLXWLFJXPNOO-JKSUJKDBSA-N 419.934 4.874 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(Cl)cc2)no1 744485695 CTKOOBTVDKVRKN-GXJZLWQJSA-N 413.817 4.540 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCSc2ccccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745430186 WWEHSQPZKCSZLY-WBMJQRKESA-N 411.439 4.529 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])ccc2F)cnn1-c1cccc(C(F)(F)F)c1 752935397 WPOMQABSFLGJFA-UHFFFAOYSA-N 422.338 4.753 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1cc([N+](=O)[O-])ccc1Cl 754476813 BUBYVGHHXJWFNG-CYBMUJFWSA-N 401.633 4.809 5 20 HJBD O=C(OCc1ccc(OCc2cccnc2)cc1)c1cc([N+](=O)[O-])cc(Cl)c1F 754984752 QVZQOUDIKDZXPT-UHFFFAOYSA-N 416.792 4.718 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2F)cc1 758359642 DICGHMNZPXXLBU-LBPRGKRZSA-N 418.425 4.964 5 20 HJBD CC(C)(C)OC(=O)[C@@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccccc1 762555843 IZXUDUJUNNOAAH-KRWDZBQOSA-N 421.291 4.530 5 20 HJBD O=C(C[C@@H]1CCCN1Cc1ccc(Br)c([N+](=O)[O-])c1)c1cccs1 770279794 ATUDYGCDNGIOJY-ZDUSSCGKSA-N 409.305 4.656 5 20 HJBD Cc1ccc(NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c(C)c1 775092527 ZNGPHLXKGXTXBL-UHFFFAOYSA-N 402.472 4.508 5 20 HJBD CCC(C)(C)c1ccc(NC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 791113754 FDVXGFOHIMDUFS-INIZCTEOSA-N 415.515 4.587 5 20 HJBD CC(C)(C(=O)Oc1cccc(NC(=O)c2cccs2)c1)c1ccccc1[N+](=O)[O-] 796897161 KRILGLIKIUDPHD-UHFFFAOYSA-N 410.451 4.792 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800144351 QYXXXXXJAYVSED-UHFFFAOYSA-N 403.410 4.950 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N(CC(C)C)C[C@H](O)c1ccc(F)cc1 810318852 PFUOKIKBZBIEBL-FQEVSTJZSA-N 403.454 4.520 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C(F)(F)F)cc1 813153402 PGWZNJIBXNGOKV-CQSZACIVSA-N 423.174 4.944 5 20 HJBD CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813437799 QNODLZXSOLUILZ-UHFFFAOYSA-N 410.301 4.626 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)N[C@@H](c2cccs2)C(C)(C)C)cc1[N+](=O)[O-] 815406866 YMCKNIGREIRNCT-KRWDZBQOSA-N 424.906 4.679 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1ccc(Cl)nc1 890315246 JHLBDEWVHMVJRX-UHFFFAOYSA-N 422.780 4.636 5 20 HJBD Cc1cn(CC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc12 1319838481 QROHWEBJJSBVCC-HSZRJFAPSA-N 401.422 4.586 5 20 HJBD O=C(Nc1nc(-c2c[nH]c3ccccc23)cs1)c1cc(F)c([N+](=O)[O-])cc1F 1321140395 YICDPOXSEVQPCZ-UHFFFAOYSA-N 400.366 4.730 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3c(C)cncc3[N+](=O)[O-])C2)n1 1326443360 ONICCHHSZVBITG-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD COc1ccc(NC(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)c([N+](=O)[O-])c1 1345728682 BWXRSOZZWNSZJK-UHFFFAOYSA-N 403.385 4.593 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2Cc2ccccc2)cc1OC 7807613 GPUNSKPITXPEQF-UHFFFAOYSA-N 406.438 4.845 5 20 HJBD CCCn1c(SCc2cccc([N+](=O)[O-])c2)nc2sc3c(c2c1=O)CCC3 11293689 KGVKXCLYIUYMEE-UHFFFAOYSA-N 401.513 4.557 5 20 HJBD COc1cc2c(cc1OC)[C@@H](c1ccccc1)N(Cc1ccccc1[N+](=O)[O-])CC2 15297327 GOWKDGMTLKIAFJ-XMMPIXPASA-N 404.466 4.760 5 20 HJBD CN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C1CCCCC1 17356714 QZMKSSXGOQGMNQ-UHFFFAOYSA-N 413.440 4.621 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)c1ccccn1 28779669 UXKLSWIBWIKEAB-CQSZACIVSA-N 410.861 4.746 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 32156515 BRGPLOWMNVPYRT-FQEVSTJZSA-N 422.441 4.501 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCC[C@H](c2nc3ccccc3o2)C1 42132446 AZBJJCOKDIYLSU-ZBFHGGJFSA-N 419.441 4.727 5 20 HJBD C[C@@H](Sc1ccc(Br)cn1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 52915091 YVVQWMKHORDOQO-SECBINFHSA-N 407.249 4.656 5 20 HJBD O=C(NC1CCCCC1)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 56224256 LNPZPFARBPBNED-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD CCCN(CC(F)(F)F)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 59252892 BBXXULWUBBYXDI-UHFFFAOYSA-N 419.450 4.925 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccc(-n4cncn4)c([N+](=O)[O-])c3)cc2)cc1 60775056 RLHXPXGDIZEWLP-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 63981205 OLNHSJPXSLFMLM-UHFFFAOYSA-N 405.439 4.520 5 20 HJBD O=C(CSCc1coc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])c(Cl)c1 72094282 RRKFOOZTWYTFAP-UHFFFAOYSA-N 403.847 4.775 5 20 HJBD Cc1ccc(NC(=O)NC[C@H]2CCCN(c3ccc(Cl)cc3[N+](=O)[O-])C2)cc1 79096948 BQSXGFZDZCJIKS-OAHLLOKOSA-N 402.882 4.595 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1CCC(c2nc3ccccc3[nH]2)CC1 105511696 VLGZJVKBTOEZSW-UHFFFAOYSA-N 401.264 4.618 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(CN4CCCC4=O)cc3)o2)c([N+](=O)[O-])c1 237427830 DEZMDVZIKZMZDD-UHFFFAOYSA-N 421.453 4.598 5 20 HJBD Cn1ccnc1-c1cccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c1 302955388 OXIUTAANSBAKRP-UHFFFAOYSA-N 413.437 4.991 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 303434443 DAMKPMHQEKCJIE-HZPDHXFCSA-N 416.359 4.746 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2cccc(Cl)c2Cl)c([N+](=O)[O-])cc1OC 303676976 LHFZZWPJPBMPOF-SNVBAGLBSA-N 413.257 4.800 5 20 HJBD C[C@H](CCCC(F)(F)F)NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 427800097 RPDWUBRKRGBSBC-SNVBAGLBSA-N 412.358 4.810 5 20 HJBD Cc1cc(CN(C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])C2CCCC2)c2ccccc2n1 433757716 POUPEYKVIFXJNQ-UHFFFAOYSA-N 421.501 4.883 5 20 HJBD CS[C@@H]1CCCCN(C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 435302748 QSVIHNIXWPJSOC-CQSZACIVSA-N 423.585 4.869 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437389469 XKNOBZWAVKJVGU-PKOBYXMFSA-N 406.844 4.760 5 20 HJBD O=C(Nc1cccc(-c2nccs2)c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437884040 YNONFSRUHSGPRA-UHFFFAOYSA-N 424.482 4.794 5 20 HJBD Cc1ccc([C@@H](CC(=O)OC(C)C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443950500 VGFLCTXRJVUFDU-GOSISDBHSA-N 413.474 4.725 5 20 HJBD COc1ccc([C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2Cl)cc1 460381435 XAPZAKAMCRYTBB-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD O=[N+]([O-])c1ccc(NCc2nc(-c3cccc(Cl)c3)no2)c(Br)c1 462835164 QVMDQPUZCPWENH-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD CN(Cc1ccco1)Cc1ccccc1CNc1ccc2ncccc2c1[N+](=O)[O-] 462896479 UPKQRLAKKWQMQU-UHFFFAOYSA-N 402.454 4.980 5 20 HJBD CN(CC(=O)Nc1ccc(Oc2ccncc2)c(Cl)c1)c1ccccc1[N+](=O)[O-] 463628514 LRIPWFKFLCEBIT-UHFFFAOYSA-N 412.833 4.510 5 20 HJBD C[C@]1(Cc2ccccc2)CCCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 469343239 YNCOWCFJAFRIFF-HHHXNRCGSA-N 410.517 4.779 5 20 HJBD CCNc1ccc(C(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 472288933 WSSBPIUNWRGVNQ-HXUWFJFHSA-N 410.861 4.594 5 20 HJBD CCc1c(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cnn1-c1ccccc1Cl 482694059 CPNYNAOLZBBHLE-UHFFFAOYSA-N 409.833 4.730 5 20 HJBD CC[C@H](N[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1)c1cccc([N+](=O)[O-])c1 483459030 VAKVMAYAJMYASO-XZOQPEGZSA-N 424.522 4.829 5 20 HJBD O=[N+]([O-])c1c(Nc2ccc(OCc3noc(C4CC4)n3)cc2)ccc2ncccc12 484649794 FHLMUZPVZBTPOO-UHFFFAOYSA-N 403.398 4.726 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCO[C@@H]1c1ccccc1 485349883 JWLVDBGICGWWKT-DYESRHJHSA-N 414.527 4.851 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(C[S@@](=O)C2CCCCC2)c1 485488659 HVWPNFQRXFWSIF-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 490945941 ZZHHUKYIYDFZQS-MRXNPFEDSA-N 416.861 4.872 5 20 HJBD Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c(OCC(F)(F)F)c1 497801623 QYSIHDQOCQIRMG-UHFFFAOYSA-N 420.290 4.698 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1ccc(F)cc1OCCC(F)(F)F 500215063 BOAAGGYXFFHYTA-UHFFFAOYSA-N 400.328 4.636 5 20 HJBD CCc1noc(C)c1CSCc1cc(OC)c(OCC(F)F)cc1[N+](=O)[O-] 502398821 MFGJFILVTWFZPL-UHFFFAOYSA-N 402.419 4.540 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)C(C)C)cc1OCC 508768893 IAWLKXXEYNWQLP-OAQYLSRUSA-N 416.474 4.528 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)C1CC1 512056022 DZYQUSFIHMHKSL-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H]3c3cccc4c3OCCO4)cs2)c1 513123364 UJUOPKAWTZXDNS-LJQANCHMSA-N 423.494 4.827 5 20 HJBD CC[C@H](C)Oc1cc(C)ccc1CN[C@H](c1cccc([N+](=O)[O-])c1)c1nccn1C 513696812 DZDWTXYQURVYDI-HTAPYJJXSA-N 408.502 4.693 5 20 HJBD C[C@@H](NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(Oc2cccnc2)cc1 514165484 SUUGGSNRCQJJOC-MRXNPFEDSA-N 418.453 4.611 5 20 HJBD Cc1ccc(CN(C(=O)Cc2ccc([N+](=O)[O-])cc2)c2ccc3c(c2)OCCO3)s1 515076505 AOHTUWYRBXNLRB-UHFFFAOYSA-N 424.478 4.512 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccc4cnccc34)cc2[N+](=O)[O-])C1 515479886 YFUCXIFMBKSQOC-HOTGVXAUSA-N 404.470 4.878 5 20 HJBD CN(Cc1cc(Br)ccc1F)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 522828244 OEZVONWATIGCRL-UHFFFAOYSA-N 423.263 4.983 5 20 HJBD CCOc1cc(NC(=O)c2ccc(-c3ccco3)nc2C)c([N+](=O)[O-])cc1OCC 523866975 KCUMIRFLSCWQKM-UHFFFAOYSA-N 411.414 4.608 5 20 HJBD CCN(CC)Cc1nc(C2(NCc3ccc(C(C)C)c([N+](=O)[O-])c3)CCCC2)no1 530773658 IROARFFFVIYCFI-UHFFFAOYSA-N 415.538 4.502 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)cc1[N+](=O)[O-] 535335501 LKDLAMQOUUXYRY-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1SCCc2ccccc21 538257935 RAVQZHNTMJPGJP-LJQANCHMSA-N 402.541 4.856 5 20 HJBD CC(C)(C)Cc1csc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n1 540633959 IPBJGNHNPRCGKF-UHFFFAOYSA-N 405.523 4.839 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 541081837 NDRGRBFYZWSCNC-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)ccc1NC1CC1)c1ccc([N+](=O)[O-])cc1F 543587460 JYFZKVIZKLDOGM-JTQLQIEISA-N 422.254 4.813 5 20 HJBD C[C@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)C(=O)c1ccc(Cl)cc1 547264194 WYDAAESJSOBJEK-GFCCVEGCSA-N 424.844 4.765 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C 551343012 PSDNFMBXAQVKDC-MMMWYMCRSA-N 417.531 4.812 5 20 HJBD CC(C)n1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)n1 558418935 LAGPWCUSZNIATF-UHFFFAOYSA-N 402.520 4.585 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](NCCc1cnc(SC2CCCC2)nc1)C1CC1 559912342 WZQBQDASAPBDRF-HXUWFJFHSA-N 412.559 4.573 5 20 HJBD C[C@@H](CO)N(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)C[C@H](C)c1ccccc1 566943088 ZWHLKCISKVYOSS-IRXDYDNUSA-N 411.527 4.705 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@@](O)(C(F)(F)F)CC3)o2)c(Cl)c1 569172679 ZLGYYJKJJUEBQK-KRWDZBQOSA-N 418.799 4.788 5 20 HJBD CC(C)[C@@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nc(-c2ccc([N+](=O)[O-])cc2)no1 574479939 ATOYLYGWMSQQCX-VYBOSXCSSA-N 424.501 4.675 5 20 HJBD COc1cccc(C2(c3noc(C(F)(F)c4ccc([N+](=O)[O-])cc4)n3)CCC2)c1 589155453 UGTGBNMPSMOKLW-UHFFFAOYSA-N 401.369 4.597 5 20 HJBD CCN(CC)Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])cc2C(=O)c2ccccc2)o1 592750036 PGXGHRLDLUOXOU-UHFFFAOYSA-N 421.453 4.513 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(NC(=O)Nc2ccccc2)cc1 594668192 VCMACRUMFZUWMO-UHFFFAOYSA-N 422.466 4.970 5 20 HJBD O=C(NCc1cccnc1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 603465321 FFTJXAMTFUPEBA-UHFFFAOYSA-N 422.491 4.681 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c12 609019413 KFOMJLWGRMWHRI-UHFFFAOYSA-N 418.409 4.717 5 20 HJBD CCCOc1cc(NC(=O)c2cnc(C3CC3)s2)c([N+](=O)[O-])cc1OCCC 609215648 HJEAGFUSJNLSKC-UHFFFAOYSA-N 405.476 4.759 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1OC 609651799 QRJVOJKNYSGTNU-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD Cc1ccccc1C[C@H]1CCCN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609732128 YTEVPZYXCLRPCV-GOSISDBHSA-N 402.878 4.559 5 20 HJBD C[C@H](Cc1cccc(O)c1)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611213443 CXPGJJMJHKVNGM-CQSZACIVSA-N 400.500 4.696 5 20 HJBD CCCCC[C@H](NC(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 728145733 IYNOXCGCOFOFQS-KRWDZBQOSA-N 403.866 4.625 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1csc(Cc2ccccc2)n1 735443341 NRRHNLYAJIAENK-UHFFFAOYSA-N 421.434 4.654 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(OC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)cc2)cc1 735470238 MOKTWDZJGKHMOM-UHFFFAOYSA-N 424.840 4.957 5 20 HJBD CCC[C@@H](C(=O)OC1CCN(c2ccc([N+](=O)[O-])c(OC)c2)CC1)c1ccccc1 739384284 HELNBCBPZKWUQA-HXUWFJFHSA-N 412.486 4.699 5 20 HJBD C[C@@H](SC1=Nc2ccccc2C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 740767164 LTFYPGQUAXAKGU-SNVBAGLBSA-N 409.389 4.960 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1cccc(Cl)c1[N+](=O)[O-] 749909699 WPMZJIJUSICAFJ-BRPSZJMVSA-N 418.721 4.888 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](O)c1c(Cl)cccc1Cl 751993490 HDNKKKQFMBOLEU-VXJOIVPMSA-N 418.664 4.799 5 20 HJBD CC[C@@H](C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(C(F)(F)F)c1 752574289 PQBUBISQQVOPPS-CQSZACIVSA-N 408.332 4.992 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2ccc(Br)c([N+](=O)[O-])c2)C1 754374186 ULRKOCADCCRLPJ-LLVKDONJSA-N 407.289 4.746 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1ccc(Br)c([N+](=O)[O-])c1 757818511 NGDDBKWTRFBIHK-HNNXBMFYSA-N 417.259 4.534 5 20 HJBD CC(C)(C(=O)OCc1ccc(OCc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1F 762989478 MGQXMCHCBMJMQI-UHFFFAOYSA-N 424.428 4.729 5 20 HJBD Cn1c([C@H](NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c2ccccc2)nc2ccccc21 764218560 ZONZTYIRHHFXMR-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD Cc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1-c1ncco1 766053184 OXFWKZPOAIXCGN-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD COc1ccc(CSCC(=O)Oc2cccc(-c3nccs3)c2)cc1[N+](=O)[O-] 790871889 GGJNWGJXCUVLSC-UHFFFAOYSA-N 416.480 4.566 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)C(=O)c1cc([N+](=O)[O-])c(F)cc1N 791328148 IVRZUKMUAWKHCR-UHFFFAOYSA-N 400.237 4.674 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cccc([N+](=O)[O-])c1Br 791993624 BNTNXSIHWGOWLX-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD CC(C)([C@H](OC(=O)[C@@H]1CCCC(F)(F)C1)c1ccc(Br)cc1)[N+](=O)[O-] 800404681 QFHBKSZPMOVCSZ-TZMCWYRMSA-N 420.250 4.914 5 20 HJBD CC(C)[C@@](C)(NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)C(N)=O 804428766 VUUDWSGYNRJRCX-AREMUKBSSA-N 414.505 4.563 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)s1 810307628 DOCCYEVFHRRZNU-UHFFFAOYSA-N 408.461 4.806 5 20 HJBD CC(C)(C)c1ccc(/C=C\C(=O)OCc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 898822265 SYIKVNZISKPRGG-MLPAPPSSSA-N 407.426 4.699 5 20 HJBD O=C(/C=C/c1ccc(Cl)s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 915865245 QYZZJUYXNSAEPJ-SOFGYWHQSA-N 404.797 4.914 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(N(C)C(=O)C(C)(C)C)cc1 916553601 YUBVYYGDXILKGV-AWEZNQCLSA-N 416.499 4.690 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 917779599 XLOYZPIMXKGAIL-UHFFFAOYSA-N 415.877 4.681 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1F 1317957245 NAXPCVBPONIXNT-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD Cc1cc([C@H](C)NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c(C)o1 1322871735 IMOMHFGBPFJKMR-QMMMGPOBSA-N 401.644 4.712 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)c(Br)c1 1333925237 ASLSWXIICZXADN-KRWDZBQOSA-N 403.276 4.893 5 20 HJBD CCSc1nnc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)s1 6232352 JSIZQPGRQZXGBE-UHFFFAOYSA-N 412.562 4.949 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCSc2ccccc21 11250836 QKGZJSMPEJBJQQ-IBGZPJMESA-N 400.503 4.508 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)N(Cc2cccs2)Cc2cccs2)c1C 15332632 JRBPZMDBKDTUID-UHFFFAOYSA-N 422.553 4.726 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@@H](c2nc3ccccc3o2)C1 31128092 BDAFYPFWRADYAF-CYBMUJFWSA-N 407.451 4.971 5 20 HJBD CCC[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(Cl)c(Cl)c1 60709657 MCBRWZOHCRVCSD-INIZCTEOSA-N 424.284 4.806 5 20 HJBD CCc1cc(NCC(=O)Nc2c(C)cc(C)cc2C)nc(-c2ccc([N+](=O)[O-])cc2)n1 64904797 DWKMAMSLEQYNAY-UHFFFAOYSA-N 419.485 4.590 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1)c1ccccc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 104798002 DYIUBHNPODDEQH-LJQANCHMSA-N 423.856 4.748 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3ccc(OC)cc3[N+](=O)[O-])s2)cc1 195535123 OTSSETOLHXTDMR-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](c2ccc(F)cc2)C2CCC2)cc1[N+](=O)[O-] 303193821 GCPHREJFMODVCG-NRFANRHFSA-N 418.490 4.633 5 20 HJBD COc1ccc(-c2ccc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c(F)c2)c(F)c1 409879381 YZUDDXFHEUGPKO-UHFFFAOYSA-N 412.392 4.821 5 20 HJBD Cc1ccc(-c2nc(CC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)cc1 427578298 FYDZOBWYWYBWJX-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD CCCc1c(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cnn1-c1ccc(F)cc1 429618354 YIFIZBMPRMYMFK-UHFFFAOYSA-N 411.437 4.536 5 20 HJBD O=C(NC[C@H]1CCCc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435876313 IIACOKRTLOBIPS-OAHLLOKOSA-N 418.443 4.809 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])cc2)cc1 435880921 RILAVJXFVGLYHS-UHFFFAOYSA-N 422.437 4.837 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1cccnc1Oc1cccc(F)c1 436314537 DGABCYGTNWBZPA-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD Cc1ccc(-c2nc(CC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cs2)cc1 437461325 KCWFUDIUESXXTR-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD Cc1ccc(C)c([C@H]2CN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)[C@H](C)CO2)c1 444195714 OKZZZYXBBBNPSQ-WZONZLPQSA-N 421.497 4.871 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Nc2ncccn2)cc1 444604711 ASIVLCNVBDDMFW-UHFFFAOYSA-N 409.471 4.883 5 20 HJBD COc1ccc(CSCc2nc(CCn3ccc4ccccc43)no2)cc1[N+](=O)[O-] 460152822 CHLQORDIIPYEOF-UHFFFAOYSA-N 424.482 4.617 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1nc2ccccc2n1C 461276878 NLHCUCIETTYFMY-UHFFFAOYSA-N 418.478 4.909 5 20 HJBD Cc1c(NCc2cccc([N+](=O)[O-])c2)cccc1C(=O)N1CCc2sccc2C1 462206445 CBKHPGONYQFXDO-UHFFFAOYSA-N 407.495 4.775 5 20 HJBD CC(C)N(Cc1ccccc1F)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462512296 RSVQAFQWIIEQQX-UHFFFAOYSA-N 421.277 4.640 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)NCc1cc(Cl)cc(Cl)c1OC(F)F 464809086 YIWDRNJNLNXQTM-UHFFFAOYSA-N 420.199 4.502 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1Cl 466295606 DTCQLKKLLTWQHS-UHFFFAOYSA-N 407.829 4.558 5 20 HJBD CC1(CO)CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 468300563 MSYAPNJHACJEGF-UHFFFAOYSA-N 420.918 4.634 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 468322967 JAQWUKVPOIAHRX-KRWDZBQOSA-N 408.502 4.872 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 471543468 RRNVCBCZXDOPRO-UHFFFAOYSA-N 422.254 4.657 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)C(=O)N[C@@H](CC1CCCCC1)c1ccccc1 472596627 AEYGCYNLRPBLQR-FQEVSTJZSA-N 413.449 4.500 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](c1ccc(Cl)cc1)c1nccn1C 475651809 URYKGRLUYFUECA-SFHVURJKSA-N 413.865 4.510 5 20 HJBD O=C(Nc1nc2ccccc2n1CCCc1ccccc1)c1ccc([N+](=O)[O-])cc1F 476228367 IZWSFZSJSIFZMV-UHFFFAOYSA-N 418.428 4.969 5 20 HJBD CC(C)c1ccc(CSc2nnc(SCc3c([N+](=O)[O-])ncn3C)s2)cc1 476493345 TYCDDLCWEOCTKS-UHFFFAOYSA-N 421.573 4.888 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(-c3c(F)cccc3Cl)s2)c1 478761251 LAPNTMPTDJOJDJ-UHFFFAOYSA-N 424.866 4.880 5 20 HJBD CCNc1ccc(C(=O)Nc2c(C)c(C)nn2-c2ccc(Cl)cc2)cc1[N+](=O)[O-] 485853818 USYOBSPAFNSHQC-UHFFFAOYSA-N 413.865 4.735 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 486529970 QXNPPUWOECACAA-INIZCTEOSA-N 406.438 4.673 5 20 HJBD CC[C@@H](C)c1nc([C@@H](C)Nc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])n[nH]1 486794975 BGIIKQMOOXDJQO-HUUCEWRRSA-N 422.489 4.960 5 20 HJBD CCn1c(SCC(=O)c2cccc(Cl)c2)nnc1-c1ccc([N+](=O)[O-])cc1 489427401 BTYARIFSSCTQNO-UHFFFAOYSA-N 402.863 4.502 5 20 HJBD CCOc1cc(Cn2cnc3sc(C)c(-c4ccccc4)c3c2=O)ccc1[N+](=O)[O-] 491867747 JQMOFNSUHQFEEE-UHFFFAOYSA-N 421.478 4.789 5 20 HJBD CC(C)(NCc1cc(Br)c2c(c1)OCCCO2)c1ccccc1[N+](=O)[O-] 492466861 ZOEYZQZWEMQKNI-UHFFFAOYSA-N 421.291 4.544 5 20 HJBD Cc1sc(CCNC(=O)c2ccc([N+](=O)[O-])c3cccnc23)nc1-c1ccccc1 498153925 OUYDIWFKDJXVKT-UHFFFAOYSA-N 418.478 4.547 5 20 HJBD Cc1c(CC(=O)N[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)cccc1[N+](=O)[O-] 503878151 VOQQHKJSOUMPBB-FQEVSTJZSA-N 409.873 4.594 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OCC 505267059 DEDRKQODGQSHRV-GFCCVEGCSA-N 407.854 4.928 5 20 HJBD Cn1ccnc1C[C@@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)C1 508625121 YQVMSTPAENTAHW-KRWDZBQOSA-N 424.476 4.714 5 20 HJBD CCOc1cc(C(=O)N(CC)[C@H](C)c2cc3ccccc3o2)c([N+](=O)[O-])cc1OC 511503142 GHNPRTXVLSXPJE-CQSZACIVSA-N 412.442 4.972 5 20 HJBD O=C(c1cc(-c2cccc(F)c2)no1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 514447329 LTLRUGBZZYWDMK-UHFFFAOYSA-N 423.322 4.594 5 20 HJBD C[C@H](CCc1ccc(Br)cc1)NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 516165473 HWXIYFKROCKCJE-ZIAGYGMSSA-N 420.307 4.739 5 20 HJBD O=C(NC[C@H](c1ccccc1Cl)N1CCCC1)Nc1ccc([N+](=O)[O-])cc1Cl 516715880 MXPIJSNWQKPIJF-GOSISDBHSA-N 423.300 4.860 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccncc2)cc1 517841787 VSZABMAUCBPQFC-HNNXBMFYSA-N 409.467 4.688 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)NCc3cn4ccsc4n3)cc2[N+](=O)[O-])cc1 518976612 BLGOTFQTBGUSQU-UHFFFAOYSA-N 424.507 4.694 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1cc([N+](=O)[O-])cc(C)c1C 519882983 QHGGKVBAHYWNQI-UHFFFAOYSA-N 418.446 4.648 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)c3ccc(Cl)cn3)cc2[N+](=O)[O-])n1 521928584 CMJVZAPXPWPWTO-UHFFFAOYSA-N 420.903 4.836 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C(=O)NCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 530966084 GANDOXVPTZQRPZ-FDDCHVKYSA-N 403.482 4.612 5 20 HJBD Cc1cc(C[S@@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2n1 536306073 YVBBMHKRHCWMNQ-GDLZYMKVSA-N 407.451 4.555 5 20 HJBD Cn1cnnc1[C@@H]1CCCN1Cc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 537620161 OXXPOQMPDSRBSD-KRWDZBQOSA-N 413.865 4.506 5 20 HJBD CCCN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C 538737085 CAOLADDWPHLKMU-OTWHNJEPSA-N 401.532 4.744 5 20 HJBD CCNC(=O)N1CCc2ccc(N[C@@H](CC)CSc3ccccc3[N+](=O)[O-])cc21 539737744 PFXQZOUXSAKPLK-INIZCTEOSA-N 414.531 4.670 5 20 HJBD COc1ccc(NC(=O)c2ccc(Oc3ccc(C(C)=O)cc3)cc2)cc1[N+](=O)[O-] 541999904 CVZAMXYUMCTHPI-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD C[C@@H](C(=O)Nc1nnc(C(C)(C)c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1F 542550300 GXCDYNXXJHWURL-GFCCVEGCSA-N 414.462 4.654 5 20 HJBD COc1ccc(NC(=O)N2CC=C(c3ccccc3C(F)(F)F)CC2)cc1[N+](=O)[O-] 542963107 VXVFSJIBFNJWQL-UHFFFAOYSA-N 421.375 4.943 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@H]2CC(=O)N(c3cccc4ccccc34)C2)n1 545696150 KYMPXXMOUZJYJI-INIZCTEOSA-N 414.421 4.627 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(NC(=O)C2CCCCC2)c1 545958673 FTCGHATZFWHOHS-UHFFFAOYSA-N 411.458 4.765 5 20 HJBD O=C(c1ccccc1OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)N1CCCCC1 549012699 PBMHWABXMGLJDI-UHFFFAOYSA-N 408.376 4.819 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(Br)c3Cl)n2)c1 549903845 CLNUZFCIXCBGAK-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550356200 YHCJKHRNJMPMQF-UHFFFAOYSA-N 423.391 4.650 5 20 HJBD CC[C@@H](NC(=O)C(=O)Nc1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1ccc(Cl)s1 551493544 METZLKTYSYETON-CYBMUJFWSA-N 423.922 4.813 5 20 HJBD COc1nc2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2s1 552547104 PFHXVPNEOKPKGL-UHFFFAOYSA-N 401.447 4.552 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC[C@](O)(C(F)(F)F)CC3)s2)cc1 558417759 HKJLPLPJVADVMH-QGZVFWFLSA-N 400.422 4.603 5 20 HJBD C[C@@H](C(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1ccc([N+](=O)[O-])cc1F 564545484 UQLBBBMYKOEVMM-GFCCVEGCSA-N 415.396 4.524 5 20 HJBD C[C@@H](C(=O)N(Cc1cc(Br)ccc1F)C1CC1)c1cccc([N+](=O)[O-])c1 569300083 KIWMZKGEVAZQKP-GFCCVEGCSA-N 421.266 4.791 5 20 HJBD Cc1cccc(CN(C)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 576537461 YHCCRYJKFDNUEG-UHFFFAOYSA-N 413.481 4.783 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cc(Oc2ccccc2)ccn1 581875620 AQKYNVVKXHCCRA-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)c1ccccn1 584742808 VIPWJZQUHDXTQU-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1-c1nc(-c2cnc3ccccc3c2)no1 589088575 QZYFAXQYJOENRI-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD CC[C@@H](NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 603568613 ZIFRSYMESYSYOY-MRXNPFEDSA-N 408.907 4.758 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)CNc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609765294 PUVLBOZJJZAYIW-INIZCTEOSA-N 421.453 4.685 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)c1ccccc1[N+](=O)[O-] 610222650 WWLWYKHVYZXXIL-KBXCAEBGSA-N 418.877 4.551 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccccc1C(F)(F)F 610337627 WSKGXYQSNPBRQK-NSHDSACASA-N 404.348 4.746 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC2(CC1)OCc1ccccc12 613861521 KESPIYSPGRTHOS-UHFFFAOYSA-N 421.375 4.667 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1OCC(F)F 726764650 VNWGJKOZBLMFQS-UHFFFAOYSA-N 415.190 4.562 5 20 HJBD C[C@H](Nc1ccc2ncccc2c1[N+](=O)[O-])[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 732568095 JKVFRBACRVRECZ-LSDHHAIUSA-N 400.479 4.591 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(-c3ccc(COc4ccccc4)cc3)no2)cc1F 733735971 NGALRIXWLVDWRH-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)c(Br)c1 742395966 FCIDNUMRSHFJEZ-YUMQZZPRSA-N 421.135 4.924 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1NCC1(c2ccc(Cl)cc2)CCC1 742399967 DPHDLWBIIDTPHW-UHFFFAOYSA-N 401.850 4.739 5 20 HJBD CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 744157399 UMFKKYFBCAFBPD-LJHODMEESA-N 402.447 4.667 5 20 HJBD COc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1Cl 749408458 HVDGGQNNPUZFSX-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1cccc(Cl)c1[N+](=O)[O-] 751199739 HPELNZCXKSTXDL-UHFFFAOYSA-N 412.905 4.706 5 20 HJBD O=C(COc1ccc2oc3ccccc3c2c1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751623082 GSSSDPAGCDGLEX-UHFFFAOYSA-N 418.361 4.758 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 758301728 JJEFCDMUAABLTD-HNNXBMFYSA-N 419.275 4.733 5 20 HJBD Cc1ccn2cc(-c3ccc(NC(=O)c4cc(F)cc(C)c4[N+](=O)[O-])cc3)nc2c1 758392693 CRGAGQHSFZOGOW-UHFFFAOYSA-N 404.401 4.918 5 20 HJBD O=C(Nc1cc(C2CCCCCC2)[nH]n1)c1cccc([N+](=O)[O-])c1Br 760840091 ZAAXOYOFFSILBC-UHFFFAOYSA-N 407.268 4.771 5 20 HJBD Cc1ccccc1-c1noc([C@H](C)OC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 762987190 DHYHFSLFXPOISJ-ZDUSSCGKSA-N 413.405 4.674 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(Cc1ccccc1C(F)(F)F)C1CC1 763402382 IPZFLJQSFWSDTB-UHFFFAOYSA-N 400.303 4.697 5 20 HJBD C[C@H](OC(=O)Cc1ccc(C(C)(C)C)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767667455 GKSJHFSZGRPAFT-AWEZNQCLSA-N 409.442 4.789 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 778248608 AYQSYIRIQXXCJC-UHFFFAOYSA-N 420.853 4.917 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@@H](c2ccc(F)cc2)c2ccccc2Cl)cc1 779060108 CRIWUXHEJQSFCU-RTWAWAEBSA-N 400.837 4.800 5 20 HJBD O=C(OCc1nnc(-c2cc3c(s2)CCCC3)o1)c1ccc([N+](=O)[O-])c(Cl)c1 784435799 QRAGFGXDESKOOF-UHFFFAOYSA-N 419.846 4.596 5 20 HJBD COc1ccc([C@H](N[C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccccc2OC)cc1 788672890 STEBWYCNAREHJN-WNSKOXEYSA-N 407.470 4.634 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@@H](C)Cc1ccc(F)c(Br)c1 800150466 QKSOORPJQRZNLV-VIFPVBQESA-N 413.218 4.761 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(C2(O)CCCCC2)s1 809775082 ACMPKCWEQQQFHT-UHFFFAOYSA-N 421.544 4.957 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC(OCc2ccc(F)cc2)CC1 809913136 WGKSRYSLDYZJGK-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3ccc(C(=O)CC)cc3[N+](=O)[O-])CC2)cc1 812482933 UATXKSSPUZYKKA-HSZRJFAPSA-N 412.486 4.536 5 20 HJBD COc1cccc(-c2nc(COC(=O)CSc3ccccc3[N+](=O)[O-])cs2)c1 819037823 XHMMOSVOVIAPOW-UHFFFAOYSA-N 416.480 4.562 5 20 HJBD Cc1ccnc(-c2noc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)n2)c1 904941824 XXILMHPKGXVMDA-UHFFFAOYSA-N 417.212 4.508 5 20 HJBD Cc1cc(C)c(OC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)c([N+](=O)[O-])c1 915140151 FVJCBNVYXWTXLQ-UHFFFAOYSA-N 415.833 4.843 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N[C@H](c1ccccc1)c1cccc(Cl)c1 916454026 JWHXRKLRWBQXEI-JOCHJYFZSA-N 420.856 4.504 5 20 HJBD C[C@H](OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2ccccc2c1 916523094 CLPVPKPIZAGSER-GJZGRUSLSA-N 424.478 4.799 5 20 HJBD O=C(Oc1ccc(N2CCOC2=O)cc1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 917078257 JLWKDCZCICYXEE-UHFFFAOYSA-N 419.393 4.514 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)cc2)c1 917841954 QRRSGOCAOAJACS-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD O=[N+]([O-])c1ccc(NCc2ccc(-n3cnc4ccccc43)nc2)cc1OC(F)F 1319108626 WZDKPHOYPOLXKX-UHFFFAOYSA-N 411.368 4.542 5 20 HJBD Cc1ccccc1-n1nc(C(C)(C)C)cc1NC(=O)N1Cc2ccc([N+](=O)[O-])cc2C1 1329853527 LCVLCZVEZPNWQG-UHFFFAOYSA-N 419.485 4.934 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3371080 HLMRYDDZYSJHMF-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD O=c1cc(-c2ccccc2)c2ccc(Oc3nc4sccn4c3[N+](=O)[O-])cc2o1 8318509 KPDWQYUHSUTMKH-UHFFFAOYSA-N 405.391 4.870 5 20 HJBD CSc1ccc(C(=O)OCC(=O)c2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 16685013 REHWZTRIHQZPRG-UHFFFAOYSA-N 400.239 4.663 5 20 HJBD C[C@H](OC(=O)Cc1ccc(Cl)cc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528125 GQSNAOIELOYODO-JTQLQIEISA-N 422.224 4.799 5 20 HJBD Cc1c(-c2ccccc2)oc2c(C(=O)Nc3ccc([N+](=O)[O-])cc3)cccc2c1=O 19161306 LLJQEQWJRSBZQD-UHFFFAOYSA-N 400.390 4.929 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1csc(COc2ccc(Cl)cc2)n1 24826554 MUWKNRJAKCGYIC-UHFFFAOYSA-N 406.847 4.871 5 20 HJBD CN(Cc1cccc2ccccc12)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 45188515 DSMIYLJYHZUZSE-UHFFFAOYSA-N 406.485 4.967 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1ccc(COc2ccccc2)cc1 47094785 ISDIOQUDYQSXJO-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD CCCC(=O)Nc1ccc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 60859491 VPTTXTUSTMCLBY-UHFFFAOYSA-N 405.458 4.711 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 110829655 LWNFDVKVHJRTLD-UHFFFAOYSA-N 421.457 4.903 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(F)c(NC(=O)Cc2ccccc2)c1 236862553 ATEUBVCYMIJNEU-UHFFFAOYSA-N 423.444 4.926 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(Cc1ccccc1)Cc1ccccc1 255938446 BLFHIMRVXTVPIR-UHFFFAOYSA-N 412.449 4.623 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 301071675 DLNMNSFXGIYBBM-CQSZACIVSA-N 406.398 4.928 5 20 HJBD O=C(c1cc(NCCc2c[nH]c3ccc(Cl)cc23)ccc1[N+](=O)[O-])N1CCCC1 301476342 WLDULQPGAWRAOC-UHFFFAOYSA-N 412.877 4.620 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N2CCC[C@H](c3nc4ccccc4o3)C2)cc1Cl 301663671 KNMAZLGENJYCTC-LBPRGKRZSA-N 415.833 4.560 5 20 HJBD Cc1nccn1-c1ccc(CNC(=O)c2cc3ccccc3c3cccnc23)cc1F 303027993 QJPDHFZIRDROKZ-UHFFFAOYSA-N 410.452 4.951 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1OC 409679089 OTTJQIDYCQCOPE-OAHLLOKOSA-N 401.463 4.973 5 20 HJBD Cc1nc(NC(=O)Cc2ccccc2[N+](=O)[O-])sc1Cc1ccc(F)c(F)c1F 410415971 VQVBMWXCOXBZGD-UHFFFAOYSA-N 421.400 4.549 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 429064702 LVUSZWIDNJHAHW-MRXNPFEDSA-N 414.531 4.648 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc2ccccc2[nH]1 435868037 BWZZRDCDYUNSLZ-JOCHJYFZSA-N 410.477 4.685 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cn3)cc2)cc1[N+](=O)[O-] 438779701 OXUFNZIICNGBME-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1cc(NC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n(C(C)(C)C)n1 443542598 WTQRQKIHPKONPE-UHFFFAOYSA-N 423.473 4.698 5 20 HJBD COCCc1cc(O)ccc1NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 444899194 HQZLIOFSBJSFSO-UHFFFAOYSA-N 423.425 4.926 5 20 HJBD COc1ccc([C@@H]2CCCN2c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447682296 XJABSCBWFDQYGY-ZDUSSCGKSA-N 417.334 4.994 5 20 HJBD Cc1cscc1NC(=O)c1cc(C(=O)Nc2cscc2C)cc([N+](=O)[O-])c1 461020927 GXVFAWZMALLGOR-UHFFFAOYSA-N 401.469 4.839 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1Cl)C(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 461409881 YKOFREXWHHWMEB-LBPRGKRZSA-N 409.269 4.501 5 20 HJBD COc1ccc(-c2ccc(CNc3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)cc2)cc1 462913868 RTZMLGZLPFEXDZ-UHFFFAOYSA-N 403.438 4.767 5 20 HJBD CCCN(C)C[C@H]1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C1 464562867 AQCDSBSCWNCFIC-QGZVFWFLSA-N 407.580 4.537 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 467232014 VLTJLXNTEDRPAG-OAQYLSRUSA-N 424.888 4.735 5 20 HJBD C[C@H](CSCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 475870816 QOZOWHYOJADUSS-GFCCVEGCSA-N 409.305 4.833 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2OC)cc1 476121542 OAOYNVMYJGQJPZ-OAHLLOKOSA-N 406.438 4.770 5 20 HJBD CC(C)Oc1ccc(-c2cccc([C@H](C)N(C)C(=O)c3cc([N+](=O)[O-])nn3C)c2)cc1 476647037 XYKJJRSZMZXKMT-INIZCTEOSA-N 422.485 4.616 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3C[C@H](c4ccccc4)[C@H]4CCCC[C@H]43)o2)cc1 485913499 NBMZSGXYYYQQLB-NJDAHSKKSA-N 404.470 4.803 5 20 HJBD Cc1c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cccc1C(F)(F)F 486279112 APWLOGHTWGHEDJ-UHFFFAOYSA-N 409.183 4.998 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2c[nH]nc2-c2ccc(C(F)(F)F)cc2)c(Cl)c1 487553494 CSNFSBULGUIQEY-UHFFFAOYSA-N 410.783 4.947 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCC[C@@H](c2nnc3ccc(C(F)(F)F)cn23)C1 487735591 ZAYUTGWVMSJCKR-UONOGXRCSA-N 419.407 4.597 5 20 HJBD CN(C(=O)[C@@H]1COc2ccc(Cl)cc21)c1nc(-c2ccccc2[N+](=O)[O-])cs1 489772253 QNYIIXFTQKTKRC-CQSZACIVSA-N 415.858 4.511 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@H](c2nc3c(s2)CCCC3)C1 491038628 RMVMIPXZNDFNQR-LBPRGKRZSA-N 405.907 4.603 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 494534392 WOPPZODRSDOEJB-GFCCVEGCSA-N 416.817 4.662 5 20 HJBD Cn1ncc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ccccc2C(F)(F)F)c(F)c1 500903434 BCVPPFNCRDJPGV-UHFFFAOYSA-N 424.310 4.531 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1cccc([N+](=O)[O-])c1 502484411 HAKLIAJEFURYHQ-ZFWWWQNUSA-N 412.421 4.525 5 20 HJBD CC(C)Sc1ccc([C@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 504719658 CCZNCAYLIBEUMZ-HNNXBMFYSA-N 424.526 4.987 5 20 HJBD CCOc1ccc([C@H](C)NCc2ccc(C)cc2OC[C@H]2CCCO2)cc1[N+](=O)[O-] 504919607 LSNPQLUOYDSKQO-FXAWDEMLSA-N 414.502 4.711 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 505522415 CRZPCDDZLYGZAN-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=C(N=c1cc[nH]cc1)c1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 505726565 SRWPAOOUVHNRSA-UHFFFAOYSA-N 418.456 4.710 5 20 HJBD COc1cc(CNC[C@H]2CC3c4ccccc4C2c2ccccc23)c([N+](=O)[O-])cc1O 507941405 MSBSVIFDWJZYBQ-CXSBNTHRSA-N 416.477 4.696 5 20 HJBD CCc1nocc1CN(C)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 512126244 XVJXLRPTZFWKQF-UHFFFAOYSA-N 411.483 4.877 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1OC 513209820 LKVZTZUUMNMFCU-LSDHHAIUSA-N 412.490 4.755 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@H](c4ccccc4Cl)C3)cs2)c1 513742049 TWHWGVPERQIWKD-IBGZPJMESA-N 415.902 4.945 5 20 HJBD COc1ccc(CNC(=O)N[C@H](C)C(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 515829990 XMBHTNAGYAQATL-QGZVFWFLSA-N 419.481 4.623 5 20 HJBD Cc1ccc([C@@H](CC(=O)OC(C)C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 516273069 OUFSCTNDVJARTR-GOSISDBHSA-N 419.865 4.761 5 20 HJBD O=C(N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21)c1csc([N+](=O)[O-])c1 517852610 NVBGFNFOTJWVES-ZDUSSCGKSA-N 403.460 4.726 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cc(Cl)cc(Cl)c2)no1 519734800 DYMHZPJLTKJYPJ-JTQLQIEISA-N 421.240 4.618 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Cl)cc2cccnc12 520438743 UALRRMFCKLURIH-SNVBAGLBSA-N 406.225 4.856 5 20 HJBD Cc1[nH]nc(C(=O)NCc2ccc(Oc3cccc(Cl)c3Cl)cc2)c1[N+](=O)[O-] 525093848 JADCFEMMLBQQHQ-UHFFFAOYSA-N 421.240 4.655 5 20 HJBD Cc1nc2cc(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)ccc2n1C 525211246 FZDIPGSBTNOAPO-UHFFFAOYSA-N 415.453 4.654 5 20 HJBD CC(C)(C)c1ccc(O)c(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 530637453 QVDPVTJTHNAJAI-UHFFFAOYSA-N 412.364 4.791 5 20 HJBD Cc1cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])nn1-c1ccc(Cl)cc1Cl 537360075 FHLIBPUMJFXNIM-UHFFFAOYSA-N 417.252 4.599 5 20 HJBD CC(C)[C@H]1C[C@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 539036639 WVRVPDDOOVJFLS-OXQOHEQNSA-N 400.500 4.679 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCO[C@H]1c1ccccc1 541307314 LKWLBPXIICPHQL-YWZLYKJASA-N 420.918 4.624 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1cccs1)CC(F)(F)F 542192386 XTVQXBXXEZVVHB-UHFFFAOYSA-N 424.853 4.993 5 20 HJBD C[C@@H](CSc1ccc(F)cc1)c1nc(CCNc2ccccc2[N+](=O)[O-])no1 546383327 JVOZYAKGCDHUNN-ZDUSSCGKSA-N 402.451 4.667 5 20 HJBD Cc1nc(C(C)(C)c2ccccc2)sc1-c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 546492468 JVFCLERUQLSLTM-UHFFFAOYSA-N 421.482 4.721 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)ccc1OCc1ccncc1 553295494 CLWMRKWLEYAKEB-HNNXBMFYSA-N 421.453 4.953 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 561135775 JQJMYDLYLUHVGN-NJDAHSKKSA-N 405.498 4.603 5 20 HJBD CCN1CCC(N(C)C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 562840260 RONHAIKFRXPQMS-UHFFFAOYSA-N 417.893 4.597 5 20 HJBD CC(=O)c1cc(NCc2cccc(-c3nc(CC(F)(F)F)no3)c2)ccc1[N+](=O)[O-] 562911131 FRTLPNRIUODJBU-UHFFFAOYSA-N 420.347 4.564 5 20 HJBD CCc1ccc(-c2nc([C@@H](OC)c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 564560612 FFCUEEVZMVNNJR-INIZCTEOSA-N 418.247 4.706 5 20 HJBD C[C@@H](C(=O)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 575849443 VICXIHCVPFEQHS-VFNWGFHPSA-N 409.873 4.847 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(OCc2cccnc2)cc1)c1cccc([N+](=O)[O-])c1 577134571 IAIWOBJVIGNOGY-DLBZAZTESA-N 405.454 4.550 5 20 HJBD Cc1ccn2cc(CNCc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)nc2c1 609222474 MUHKGRSHEYXWEF-UHFFFAOYSA-N 401.470 4.609 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)c1csc(-c3cccc([N+](=O)[O-])c3)n1)CCC2 609508648 VLZIXDYIGWWNTH-MRXNPFEDSA-N 414.512 4.633 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)oc12 609783538 OANBORLUPGITJV-LBPRGKRZSA-N 402.834 4.813 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610044533 CLNVQLWVWHBZJQ-LLVKDONJSA-N 409.305 4.960 5 20 HJBD O=C(Nc1ccn(Cc2ccncc2)n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202644 ZPMQNFJSJPDTJM-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD C[C@H](N[C@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccc(NC(=O)c2ccncc2)cc1 618568436 STYJRBPEWZXVLO-HJPURHCSSA-N 416.481 4.970 5 20 HJBD CCC(=O)c1ccc(OCCCCC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1 727662687 OAVIWYHUCHORLA-UHFFFAOYSA-N 406.385 4.654 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1csc(-c2ccsc2)n1 735147985 JQTYKPSDBMSDCK-UHFFFAOYSA-N 424.887 4.946 5 20 HJBD COc1ccc(-c2ccc([C@@H]3NC(=O)c4sc5ccccc5c4N3)o2)c([N+](=O)[O-])c1 742441870 LNHPANUHBNTOQN-FQEVSTJZSA-N 421.434 4.932 5 20 HJBD C[C@@H]1CC(=O)c2c(OC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc(F)c21 747628880 JGWBASWAWIIQAB-SNVBAGLBSA-N 412.398 4.767 5 20 HJBD C[C@@H](C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 748142859 LUFPQCPXXMEWIC-KZULUSFZSA-N 402.834 4.517 5 20 HJBD Nc1c(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)cc(F)cc1[N+](=O)[O-] 749391471 ANXNJKSJTJIUCQ-UHFFFAOYSA-N 424.413 4.551 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCCCC[C@@H]3C[C@H](O)c3ccccc3)n2)c1 751559166 QAQSGFKTQQQGAY-CTNGQTDRSA-N 422.485 4.513 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 752110407 FBBLPNCHOUIJFM-QUCCMNQESA-N 402.878 4.757 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752556845 HOFJYMJOIQUZAF-OCCSQVGLSA-N 402.422 4.740 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])cccc21 753782922 PPXXHCCTXRSMNQ-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc2ccccc2n1C(F)F)c1ccc([N+](=O)[O-])cc1F 754027714 MKTFROHCLYCDSO-WDEREUQCSA-N 407.348 4.887 5 20 HJBD C/C=C/C=C\C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 754101063 LOVMULLNMVBORX-YOBMOSAISA-N 400.818 4.604 5 20 HJBD CCc1ccc(OC(=O)c2cnn(-c3ncccc3Cl)c2C(F)F)c([N+](=O)[O-])c1 759358689 JPPLWARGWOQSCE-UHFFFAOYSA-N 422.775 4.548 5 20 HJBD Cc1ccccc1-c1nc(C(=O)O[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cs1 759928844 HIAOTIJTBJVEGO-IRXDYDNUSA-N 412.471 4.564 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1I 773041899 TTWPAFHRIPKMTA-JTQLQIEISA-N 416.646 4.704 5 20 HJBD CSc1cccc(C(=O)Oc2ccc(NC(=O)c3cccs3)cc2)c1[N+](=O)[O-] 776733946 SUGMSFCVTKNEHO-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD O=C(N1CCC[C@H]1c1nc2ccccc2s1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777889841 XWGJHTWNMWATEE-HNNXBMFYSA-N 403.410 4.660 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)cc1 790489737 KAUQZDXHOCQWHN-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD Cc1c(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cccc1OCC(F)(F)F 790552309 XGSVOOXVODVAJA-UHFFFAOYSA-N 420.746 4.818 5 20 HJBD CC(C)CN(C[C@@H](O)c1ccc(F)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809913003 ROGBFAOZVYNEHD-QGZVFWFLSA-N 409.845 4.611 5 20 HJBD C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817863178 WTJGQMXNCXHDNN-SSDOTTSWSA-N 408.163 4.806 5 20 HJBD COc1cc(NC2CCN(c3ccc(C(F)F)cc3[N+](=O)[O-])CC2)cc(OC)c1 820670845 XAPTVWUSPFHMMS-UHFFFAOYSA-N 407.417 4.631 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)Nc1ccccc1SC(F)(F)F 918041310 DHUOLXNILBHGNR-UHFFFAOYSA-N 401.366 4.537 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N(C)Cc2ccccc2)cc1 918046630 GSZDRHYDLFAGMA-UHFFFAOYSA-N 404.470 4.895 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3[nH]2)C(C)C)c1C 919513610 GFRAZKKSODGDFP-HXUWFJFHSA-N 409.442 4.513 5 20 HJBD Cc1cccc2sc(C(=O)O[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])c(Cl)c12 1115828442 VJSCFNIKPHDKPN-LLVKDONJSA-N 418.858 4.955 5 20 HJBD Cc1nc(CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)oc1C 1348214224 UJBQEZGEYDXECI-UHFFFAOYSA-N 401.806 4.575 5 20 HJBD CC(C)/C=C/C(=O)NC1(c2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])o3)cc2)CCC1 1786208050 HWCGBJYSLVMNEC-RUVIVGLCSA-N 423.469 4.547 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COC(=O)c3ccc([N+](=O)[O-])s3)ccc21 20787649 HAEZIOAPCCHBDL-UHFFFAOYSA-N 423.450 4.580 5 20 HJBD CCn1c(SCc2ccccc2[N+](=O)[O-])nc2sc3c(c2c1=O)CCCC3 25116002 GQCYKISLFBGIFF-UHFFFAOYSA-N 401.513 4.557 5 20 HJBD O=C(Nc1ccc(OC(F)F)cc1OC(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 47252169 PWLGFWWEVFVUGD-UHFFFAOYSA-N 408.691 4.703 5 20 HJBD CCCCOc1ccccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 56028804 YEBVBGKFTKMLCT-UHFFFAOYSA-N 400.478 4.972 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccc(Br)c2)nc1 58911505 PHHIRDAGXFWWAX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCCS(=O)(=O)Nc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 63813261 XTDVDRVHXJGAGW-UHFFFAOYSA-N 419.506 4.792 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)CC2CCCC2)cc1[N+](=O)[O-] 64089144 KVWHKNPTFMCGHW-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD O=C([C@@H]1CCC[C@@H](C(F)(F)F)C1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867332 SNULNUSLZPJCSP-DGCLKSJQSA-N 412.330 4.854 5 20 HJBD CC(C)N(Cc1ccc(Cl)c(Cl)c1)C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12 108723191 GZGRLXDGFMYTPR-UHFFFAOYSA-N 407.257 4.829 5 20 HJBD Cn1c([C@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccccc2)nc2ccccc21 116147616 AYLVICXHARMHCU-HXUWFJFHSA-N 420.856 4.654 5 20 HJBD Cc1cc(Oc2ncccn2)ccc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 146043295 HZAPMXDFTSVCKR-AWEZNQCLSA-N 410.455 4.605 5 20 HJBD Cc1nn(-c2ccccc2)c2ncc(NC(=O)c3ccc(Cl)c([N+](=O)[O-])c3)cc12 170488448 HQVTVSLIQIYQGB-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 195706857 IPSRZOUGCZPSNE-UHFFFAOYSA-N 406.320 4.581 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1)N(C/C=C\c1ccccc1)c1ccc2c(c1)OCCO2 209155150 AHKHXBOZJZNWKB-DAXSKMNVSA-N 416.433 4.726 5 20 HJBD C[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 301941366 VUFOFSKMFNLPAW-UWVGGRQHSA-N 422.450 4.683 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC(c2ccc(Br)cc2)CC1 410423037 XBIHRUCAPFWODP-UHFFFAOYSA-N 417.303 4.696 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC[C@H]1c1ccc(F)cc1 426284329 WQPRERFUQHPYGK-KRWDZBQOSA-N 411.355 4.799 5 20 HJBD C[C@@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cc1 430479585 WPFOQRDWDIYVPR-QGZVFWFLSA-N 415.449 4.567 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 431621588 CULWJVWQQUXSQV-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccsc1 436116240 UHWMNCIFHHXTHY-JOCHJYFZSA-N 419.550 4.556 5 20 HJBD O=C(Nc1nc(-c2ccc[nH]2)cs1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436334860 FUAKKRRKECOHLM-UHFFFAOYSA-N 404.426 4.524 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC(c3n[nH]c(-c4ccccc4)n3)CC2)cc1[N+](=O)[O-] 439460039 GJWRKHDGUJDJFV-UHFFFAOYSA-N 419.485 4.523 5 20 HJBD COc1cc(CN(C)C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])ccc1O 442640057 ZQNKDZQHJABXMM-UHFFFAOYSA-N 424.478 4.732 5 20 HJBD CN1C(=O)Cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)ccc21 447659944 IOFVTNRGCCATCO-UHFFFAOYSA-N 419.462 4.517 5 20 HJBD C[C@@H]1C[C@@H]1[C@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Cl)cc1 461414075 RGTJVWMPLONGHF-LQGCGHSZSA-N 411.845 4.629 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)c(C)c1 462514847 VSOUBULJOJWGCL-FUHWJXTLSA-N 411.502 4.639 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 462777849 UPTBKRJGAQYDBT-UGKGYDQZSA-N 415.578 4.667 5 20 HJBD CCN(C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1)[C@@H](Cc1ccc(F)cc1)C(C)C 465705498 QIZZPQPWNDBIIM-IBGZPJMESA-N 417.481 4.541 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)cc1 471565426 XNLGINAUXLJTHA-NSHDSACASA-N 415.837 4.743 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(Br)cn1 477761054 HXKQRIPOHPJTCH-NSHDSACASA-N 424.320 4.745 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2cccs2)n1Cc1ccco1 481373283 OWEJAAVAXKZPNO-UHFFFAOYSA-N 416.459 4.988 5 20 HJBD C[C@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1ccc([N+](=O)[O-])cc1 482039780 TURRZNRAQLKNQF-KRWDZBQOSA-N 406.486 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nnc(N2CCCC2)n1Cc1ccco1 484632259 XSZDBYZEBNQLFG-HNNXBMFYSA-N 413.503 4.590 5 20 HJBD Cn1c(C(=O)Nc2ncc(Cc3cc(Cl)ccc3Cl)s2)ccc1[N+](=O)[O-] 487619699 HOEUARGTEGGUDU-UHFFFAOYSA-N 411.270 4.540 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(C(F)(F)F)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498159617 QQRFVHBXSZMXGA-ZHALLVOQSA-N 422.428 4.991 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H](C)c1ccccc1C(F)(F)F 500232937 ROXRRVXLCVBVEP-OLZOCXBDSA-N 410.392 4.762 5 20 HJBD CC[C@H]1C[C@@]1(NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(C)c1 501658300 VCPBSABXFGBHFX-ZSEKCTLFSA-N 420.412 4.568 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1Cl)OCCO2 502539221 SFXWPVHUMGTJCQ-UHFFFAOYSA-N 408.863 4.774 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(C(F)F)c3)cc2)c(C)c1[N+](=O)[O-] 507124353 CLSOZZKBOIUPFE-UHFFFAOYSA-N 400.385 4.646 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2ncc(-c3ccccc3)[nH]2)cc([N+](=O)[O-])c1C 507276966 BNPFVCOZZOVRKH-IBGZPJMESA-N 420.469 4.669 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1coc(-c2ccc(Br)cc2)n1 511067315 UZXMMRYXKCRAKH-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN[C@@H](c3cccc(OC(F)(F)F)c3)C3CC3)nc2c1 511564226 PUTYDCNJMNMSSW-GOSISDBHSA-N 407.348 4.876 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)[C@H]3CCCN(C4CCC4)C3)o2)c([N+](=O)[O-])c1 512392097 RWBCXWCJEAVQAZ-IRXDYDNUSA-N 413.518 4.606 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)cc1 512509367 OZURTBUHVAUGKV-UHFFFAOYSA-N 404.291 4.882 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2cccc(NC(C)=O)c2)cc1OC 513645843 QJOWIXSTHOTYBU-CQSZACIVSA-N 401.463 4.599 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NC(=O)NCCc3ccc([N+](=O)[O-])cc3)cc2)cs1 514051810 LDODTYSXOFYONC-AWEZNQCLSA-N 410.499 4.630 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 520995400 KVUQUOIGGNABKD-KBPBESRZSA-N 406.866 4.680 5 20 HJBD O=C(Nc1nc(C2CC2)c(Cc2ccccc2Cl)s1)c1ccc([N+](=O)[O-])[nH]1 524265459 LZDSASYFONENBO-UHFFFAOYSA-N 402.863 4.753 5 20 HJBD CCO[C@H](C)c1nc(COc2c(C)cc(Br)cc2[N+](=O)[O-])cs1 531004789 WAEJGFJMEXGDHV-SNVBAGLBSA-N 401.282 4.799 5 20 HJBD CC[C@H](NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1)c1c(C)noc1C 534539568 CPFCRVGLIZOMLA-GUYCJALGSA-N 423.473 4.649 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1(c2ccc(F)cc2F)CCC1 534968908 GKLQXQSIDLRAMX-UHFFFAOYSA-N 418.443 4.629 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nc(CCc4ccccc4)no3)co2)cc1 535507395 KBRQKTKODNTBMC-UHFFFAOYSA-N 422.466 4.851 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)Nc1cccc(NC(=O)Nc2ccccc2)c1 536284721 FLUANXSAGQHCPQ-UHFFFAOYSA-N 419.441 4.603 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1 537135537 HKJKGVNPQLHRTH-FUHWJXTLSA-N 406.891 4.620 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1sc(-c2ccccc2)nc1C 540512472 GEKRXRRVUSOVDW-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2ccccc2F)s1)c1ccc([N+](=O)[O-])cc1F 541614516 RXQWNWJNCBJMGV-NSHDSACASA-N 403.410 4.663 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccc(C(F)(F)F)cn3)no2)cc(C(F)(F)F)c1 545591494 KCJQXXYQMVKHFQ-UHFFFAOYSA-N 418.253 4.668 5 20 HJBD O=C(Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 547247564 DMGIGFOCXNWJNA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=c1c2ccccc2[nH]c2ccc(-c3nc(-c4ccc(Br)o4)no3)cc12 548687078 NKLVNBHNTIIDRY-UHFFFAOYSA-N 408.211 4.754 5 20 HJBD Cc1ccc(Cc2ccccn2)cc1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 549066338 UECNIIJEZKZISQ-UHFFFAOYSA-N 410.861 4.864 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 552302231 PBVWPBXDLDPWAP-UHFFFAOYSA-N 419.485 4.722 5 20 HJBD CCSc1cccc(F)c1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 562909757 PHSPCIQOJCXVMD-UHFFFAOYSA-N 419.478 4.921 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1cccc(O)c1)CC1CC1 564376430 FIUHEBDDYPTOJY-UHFFFAOYSA-N 409.364 4.763 5 20 HJBD Cc1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cccc1-c1ncco1 566872074 SRCVRUHYKWUVJJ-UHFFFAOYSA-N 406.423 4.934 5 20 HJBD COc1ccc(CNC(=O)c2sc3ccccc3c2Br)cc1[N+](=O)[O-] 573408726 CMZGZOHHLGPFBE-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD Cc1cc(F)ccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)CC1 574330444 QORSQWHROYEPAE-UHFFFAOYSA-N 408.376 4.664 5 20 HJBD O=C(NC1(c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)CCCC1)c1ccccc1 583230085 XXQRONAQJYOASX-UHFFFAOYSA-N 418.409 4.590 5 20 HJBD Cc1c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 584198216 ZTUNWGIKBYKOCD-UHFFFAOYSA-N 416.840 4.798 5 20 HJBD C[C@]1(CCCO)CCCN1Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 584437647 QXLBENADMXCYEI-XMMPIXPASA-N 420.513 4.575 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2)c1C(F)(F)F 585704311 BJQGHEMPBPFKPP-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1c2ccccc2CC[C@H]1C(=O)Nc1ccccc1 608873202 YKGKCLBNHWKHBP-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] 609219031 CLQDNCZVEHCQSK-MGPLVRAMSA-N 407.289 4.570 5 20 HJBD O=C(NCCCc1ccc(Br)cc1F)c1cc2cc([N+](=O)[O-])ccc2o1 609699609 NYVQGSPXVOXWLX-UHFFFAOYSA-N 421.222 4.605 5 20 HJBD CSc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)nc1 609703278 HKPJNFIIYCEQQM-UHFFFAOYSA-N 418.525 4.880 5 20 HJBD O=C(Nc1cccc(C[S@](=O)Cc2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1 609709584 AEYFQPAYAHYXOX-GDLZYMKVSA-N 409.467 4.688 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2ccccc2Cl)s1)c1ccc([N+](=O)[O-])cc1 610041868 HKNGBCPFXFYZRS-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610289321 WAQMPSFTDZKSOA-UHFFFAOYSA-N 406.282 4.905 5 20 HJBD CCc1ccc(C(=O)NC2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610434024 RLOWMMFBGVZJCQ-VXGBXAGGSA-N 412.330 4.797 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c12 611090755 RRNILCFEKGBTPA-UHFFFAOYSA-N 406.373 4.522 5 20 HJBD CCc1nn(C)cc1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 611292934 OTLDWMRFCKDCCQ-UHFFFAOYSA-N 418.856 4.765 5 20 HJBD COc1cccc([C@H](C)c2noc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c1 612918136 SWHBODRQZMRPFM-RYUDHWBXSA-N 403.822 4.932 5 20 HJBD CC(C)[C@@H](CNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1ccnn1C 615954313 LCZPXQLAXHMCKS-HXUWFJFHSA-N 424.526 4.649 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 619639050 LAMFDSUMUCELOI-MRXNPFEDSA-N 408.458 4.886 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1cnc(-c2ccccc2)s1 727289319 DVYWUQGQZREUPO-DHDCSXOGSA-N 411.826 4.716 5 20 HJBD COc1ccc(C(=O)Nc2ccc(CC[C@@H](O)c3ccccc3)cc2)cc1[N+](=O)[O-] 727762830 IDUHVFIJMLWCHO-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD CC[C@@H](CC(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 729024236 RIMSJBKARIEFDM-ZDUSSCGKSA-N 424.375 4.679 5 20 HJBD C[C@@H](NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 730480970 DNEWRVCXHJQMAH-GFCCVEGCSA-N 403.410 4.572 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H](O)c3ccc(Cl)cc3Cl)o2)cc1 732230478 SQZUHPXTZGHXEU-GOSISDBHSA-N 407.253 4.985 5 20 HJBD COc1ccccc1-c1nc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cs1 734779988 NRNBDCAWLAJULW-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1cnc(-c2cccs2)o1 739257008 UOWIMMJMCQFYRB-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD O=C(CSCc1cccc(OC(F)F)c1)Nc1cc(Cl)ccc1[N+](=O)[O-] 750007327 PUCADXZCCGPHQO-UHFFFAOYSA-N 402.806 4.722 5 20 HJBD O=C(COC(c1ccccc1)c1ccccc1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751637308 XMGJOCYKBCPVSN-UHFFFAOYSA-N 418.405 4.585 5 20 HJBD C[C@H](C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1)c1ccc([N+](=O)[O-])cc1F 755052957 GEYIPYZBKGLYCJ-JTQLQIEISA-N 406.316 4.514 5 20 HJBD O=C(Nc1ccc(F)cc1-n1cccc1)c1cc([N+](=O)[O-])ccc1Br 759189849 QROFPIAKZWHLMG-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD Cc1csc(-c2cccc(C(=O)O[C@@H]3CCCC[C@H]3n3ccc([N+](=O)[O-])n3)c2)n1 759928085 GMFDRZONRBBIHQ-IAGOWNOFSA-N 412.471 4.564 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760466128 URNZJHJDXJAATQ-UHFFFAOYSA-N 406.316 4.555 5 20 HJBD Cn1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c2ccc(Cl)cc2)n1 762839995 QRTPJTBQOBVQSU-KRWDZBQOSA-N 420.256 4.546 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 763015631 RXUHBFUYOFYONQ-NRFANRHFSA-N 408.429 4.961 5 20 HJBD COc1cccc(C(=O)Nc2nc3ccc(SC(F)F)cc3s2)c1[N+](=O)[O-] 766322335 FNUBSIACYGSUBA-UHFFFAOYSA-N 411.411 4.780 5 20 HJBD CCn1c(NC(=S)Nc2ccc([N+](=O)[O-])cc2)nc2cc(Br)ccc21 768294827 GJYADHHHLGOWRX-UHFFFAOYSA-N 420.292 4.536 5 20 HJBD COc1ccc(NCc2ccc(C)c([N+](=O)[O-])c2)cc1OCc1cn2ccccc2n1 770528575 SUBXYGIKKDAKBR-UHFFFAOYSA-N 418.453 4.751 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 772850736 ZOJPLDRIJSJVTA-GOSISDBHSA-N 409.269 4.516 5 20 HJBD CSc1ccc(C(=O)N(Cc2ccc[nH]2)c2ccc(N(C)C)cc2)cc1[N+](=O)[O-] 775787170 GZQRFJBAAXAZRM-UHFFFAOYSA-N 410.499 4.558 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)N[C@@H](c1c(F)cccc1F)C(F)(F)F 776005438 BRCFBDGSHHTCEF-AWEZNQCLSA-N 423.725 4.629 5 20 HJBD C[C@@H](Nc1ccc(OCCC(N)=O)cc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 776853448 MZVQGVIZRMSZNH-CQSZACIVSA-N 411.483 4.751 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)OCc1ccc([N+](=O)[O-])cc1Cl 778093856 XWBHPMDPAJWPTO-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2sc(NC(=O)OC(C)(C)C)nc2C)cc1[N+](=O)[O-] 782329956 XPAMZHWHWKEVPF-NSHDSACASA-N 420.491 4.506 5 20 HJBD COc1cccc([C@H](OC(=O)c2ccc(SC)c([N+](=O)[O-])c2)c2ccncc2)c1 782460163 VRKOXHOSAHHRCR-HXUWFJFHSA-N 410.451 4.667 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N(Cc1ccccc1)C1CCCCC1 783399693 CKWMYHDXKNSNHS-UHFFFAOYSA-N 415.877 4.548 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(-c3ccccn3)nc3ccccc13)CC2 791138884 MFJPOBAIBIZQTA-UHFFFAOYSA-N 410.433 4.716 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 804440945 VPLUATVZIWFVKL-GOSISDBHSA-N 413.232 4.821 5 20 HJBD COc1cc(F)c([C@@H](C)NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1OC 809971521 GHLTZPAGHMYEPI-SECBINFHSA-N 403.237 4.909 5 20 HJBD CC(C)[C@@H]1C[C@@H](CC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCO1 811218873 GOYVUAZTOMLMFA-KSSFIOAISA-N 404.488 4.603 5 20 HJBD Cc1ccc(N2CCC[C@@H](NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])C2)cc1 813026728 IUTMLYRXOUYUIM-CQSZACIVSA-N 408.285 4.609 5 20 HJBD Cc1ccccc1[C@@H]([C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)C 813206547 FLLNBWWKTAFLIR-YVEFUNNKSA-N 410.301 4.631 5 20 HJBD O=C(Nc1ccccc1C(=O)Nc1cccc(Cl)c1)c1csc([N+](=O)[O-])c1 916036903 GYXDZJUZPHCGNQ-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 916963715 AEVRIKYGILCMPK-UHFFFAOYSA-N 421.419 4.876 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Br)o1)Oc1cccc([N+](=O)[O-])c1 919675134 AHWMYSRPFZBNJB-UHFFFAOYSA-N 417.215 4.551 5 20 HJBD COc1c(Cl)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 920009925 XCEIBTNKJRYJAY-UHFFFAOYSA-N 403.866 4.745 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])Nc1ccc2c(c1)OC1(CCCCC1)O2 920993189 VUOLASNLMYIYOL-UHFFFAOYSA-N 418.833 4.697 5 20 HJBD Cc1cc(N2CCO[C@@H](c3ccc(Cl)s3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 1318968638 CKURRERURMLTKH-OAHLLOKOSA-N 416.890 4.653 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2cc(Cl)ccc2Br)o1 1322776864 BFNOIUQWUUAPMY-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cn1cc([C@@H](Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])c2ccccc2)cn1 1323718709 NYTSRGRYAZITRT-QHCPKHFHSA-N 412.449 4.761 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@H](c2nc3ccccc3o2)C1 31128198 JDWUDTZBAJZJNS-GJZGRUSLSA-N 411.483 4.623 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1[N+](=O)[O-] 64696437 KEKAHUTVWQFGCE-CQSZACIVSA-N 421.413 4.632 5 20 HJBD Cn1c(S/C=C/c2ccc([N+](=O)[O-])o2)nc2scc(-c3ccccc3)c2c1=O 106912517 YFBPEHJJNDOYAA-MDZDMXLPSA-N 411.464 4.926 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3cc(F)ccc32)cc1[N+](=O)[O-])c1ccccn1 115754084 XRHNMNRWRCQSGD-HNNXBMFYSA-N 420.444 4.895 5 20 HJBD CS(=O)(=O)c1ccc(N[C@H](c2ccc(Cl)cc2)c2cccs2)c([N+](=O)[O-])c1 301264005 LXWQDDVJRPPNSB-GOSISDBHSA-N 422.915 4.915 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2ccc(F)cc2)C2CCOCC2)cc1[N+](=O)[O-] 427720086 DNXKHAQCJIHUBU-UHFFFAOYSA-N 414.477 4.853 5 20 HJBD O=C(c1ccc(OCC(F)(F)F)cn1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 434248813 JVOWJHMNJXCGQV-UHFFFAOYSA-N 409.364 4.520 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@H]2C[C@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 437432944 IWTDEBGWGBFHRZ-NSISKUIASA-N 416.481 4.839 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cccc1[N+](=O)[O-] 438831534 KCFKAKIRPGDJEE-FQEVSTJZSA-N 424.888 4.853 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2CC(=O)c2cccs2)cc1[N+](=O)[O-] 443679821 IEEMLUYMOSPJJT-OAHLLOKOSA-N 400.500 4.831 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292190 RMDWLOJONZEFAA-FYYLOGMGSA-N 418.880 4.595 5 20 HJBD O=C(Nc1ccccc1OCCc1ccccc1)N1CCc2ccc([N+](=O)[O-])cc2C1 446934844 WMICBWYKXDMLTB-UHFFFAOYSA-N 417.465 4.807 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc(F)cc1)CC(F)(F)F 460468594 FEDZHQBQOFAUMN-UHFFFAOYSA-N 404.747 4.521 5 20 HJBD O=C(c1cc(C2CC2)nc2ccccc12)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 461683841 QIVQBQGVHQBBHX-QFIPXVFZSA-N 405.479 4.908 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1n[nH]c(C(C)C)n1 462933601 VGYVTQDFJVUMSU-MRXNPFEDSA-N 407.474 4.711 5 20 HJBD C[C@H]1CCCN(c2cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)ccn2)C1 463063158 BDPMQTDUQAQOOJ-AWEZNQCLSA-N 423.498 4.602 5 20 HJBD CCCN(CC)c1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464637289 JAEZUWQFTFJPLG-UHFFFAOYSA-N 411.502 4.515 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1Cc2ccccc2C[C@H]1c1ccccc1 464726261 RIASBTYOFFGBRS-QFIPXVFZSA-N 401.466 4.641 5 20 HJBD CO[C@@]1(C)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 477884229 WAEJNUPFGNWBKS-NRFANRHFSA-N 400.500 4.777 5 20 HJBD CN(C(=O)COc1ccc(C(=O)c2ccc(Cl)cc2)cc1)c1ccc([N+](=O)[O-])cc1 478062972 NNRAGTRMJXTGPR-UHFFFAOYSA-N 424.840 4.521 5 20 HJBD CC(C)N1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 478587927 LLSWDROTIUXESY-UHFFFAOYSA-N 413.543 4.691 5 20 HJBD CCCNC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 480673766 HFBRALBMXXFJHM-UHFFFAOYSA-N 415.515 4.735 5 20 HJBD Cc1nc2ccccc2n1C1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 480843400 VBNPEPGUZHKYQQ-UHFFFAOYSA-N 402.401 4.696 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1c(Cl)cccc1Cl)C1CC1 481902944 VDQBUZRULNWWCL-UHFFFAOYSA-N 405.241 4.583 5 20 HJBD Cc1cccc([C@H](NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)C(F)(F)F)c1 482052378 WVNKPUHYBWPSPO-SFHVURJKSA-N 407.392 4.881 5 20 HJBD Cc1nc(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)oc1-c1ccccc1 486116311 DWOPSONJRLIQQT-UHFFFAOYSA-N 405.454 4.682 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 491131011 GILFAYQWXSWTAF-ZDUSSCGKSA-N 411.483 4.696 5 20 HJBD Cc1cc([C@H](C)NC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)s1 494697719 DZKPZYCTRMJNQQ-JTQLQIEISA-N 401.410 4.852 5 20 HJBD COc1ccc(C(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)cc1[N+](=O)[O-] 498919177 TVXNUBIMICNHCP-UHFFFAOYSA-N 410.470 4.808 5 20 HJBD COc1ncccc1NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 499824624 UVZUKTNMJAEFSY-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=[N+]([O-])c1cc2c(c(C[S@@](=O)Cc3ccc(C4CCCCC4)cc3)c1)OCOC2 505758133 WZLPNJMUKWMQKV-LJAQVGFWSA-N 415.511 4.958 5 20 HJBD COc1ccc(CNC(=O)c2ccc(-c3cccc4cccnc34)cc2)cc1[N+](=O)[O-] 507787097 MTMXCQHANKAFOV-UHFFFAOYSA-N 413.433 4.749 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](C[S@](C)=O)c2ccccc2)cc1[N+](=O)[O-] 508689396 GOISALACIZLZRO-JRPXNJEYSA-N 423.538 4.572 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1 510215924 ZQUXVLYNKNUPQI-INIZCTEOSA-N 406.280 4.674 5 20 HJBD C[C@@H]1CCCCN1C[C@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 512181743 QFZNLECHBPBWAW-MSOLQXFVSA-N 414.575 4.805 5 20 HJBD CCc1nc([C@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cs1 517692901 USIJRUVXZMLQNL-AWEZNQCLSA-N 410.499 4.717 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)Nc3ccccc3Cl)no2)cc1[N+](=O)[O-] 518437878 HUJMHFVZFCFHRJ-UHFFFAOYSA-N 400.822 4.603 5 20 HJBD Cc1ccc(Sc2ccc(CNCCCN3CCCCC3=O)cc2[N+](=O)[O-])cc1 520228711 GJEIOYIEVAAVRL-UHFFFAOYSA-N 413.543 4.547 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)N[C@@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 522509153 NDAAIJMWFOAGIO-XLIONFOSSA-N 412.486 4.596 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522717620 JDRNIWHVCJZQIT-UHFFFAOYSA-N 403.866 4.722 5 20 HJBD CC(C)(C(=O)NCc1ccccc1COCc1ccccc1)c1ccc([N+](=O)[O-])cc1 523530388 QCNZZOYMMRYDMX-UHFFFAOYSA-N 418.493 4.906 5 20 HJBD C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)N(C)Cc1ccccc1C(F)(F)F 532751801 OFFZLJPKKDHBSZ-LLVKDONJSA-N 415.799 4.726 5 20 HJBD Cc1sc(NC(=O)c2csc([C@@H]3CCCO3)n2)nc1-c1cccc([N+](=O)[O-])c1 535958017 AQYKCKCJPTVFIJ-AWEZNQCLSA-N 416.484 4.587 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 536390994 QXUKUFQDYBSNQA-UHFFFAOYSA-N 420.444 4.651 5 20 HJBD Cc1ccc([C@H](C)NCC(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc1[N+](=O)[O-] 537176846 AMDSCKUJDZSULS-NSHDSACASA-N 415.799 4.865 5 20 HJBD COCCC[C@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(Br)cc1 537948536 QTBGQJYKKIXAAA-IBGZPJMESA-N 407.308 4.657 5 20 HJBD CCCCN(C)CC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)CC1 539355212 MJQPNYSXQGTVQO-UHFFFAOYSA-N 407.580 4.679 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(OC(C)(C)C)n1 540417753 IZXBFPMWLZGCPX-UHFFFAOYSA-N 403.504 4.598 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 544460352 HQBXOAHCCBXXNM-UHFFFAOYSA-N 408.458 4.738 5 20 HJBD CC(=O)N1CCC[C@H](c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)C1 545757746 PHBVDBRYRWQNNH-GDXASINISA-N 424.482 4.603 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 552295994 KFUVXWHZRZBTLL-UHFFFAOYSA-N 406.486 4.946 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)NCC)c1C 572088777 MSUYXVPUPNFATN-UHFFFAOYSA-N 416.503 4.799 5 20 HJBD O=C1CCCCN1Cc1cccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 600854759 VKDNMJGFYVENTR-UHFFFAOYSA-N 407.392 4.738 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 603986693 OQFLGKUDLRQMQE-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1F 604493742 HKXCGPDGRFVKCN-NSHDSACASA-N 420.384 4.525 5 20 HJBD Cc1sc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c(C)c1C 607758925 XWIMNEUJZSDCJG-UHFFFAOYSA-N 423.563 4.804 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 609785942 SPDGQHICXXLEGK-LJQANCHMSA-N 412.877 4.524 5 20 HJBD COc1cc(C(=O)Nc2cccc(COC3CCCCC3)c2)cc([N+](=O)[O-])c1OC 610173384 FATDRRPJWBKJHU-UHFFFAOYSA-N 414.458 4.714 5 20 HJBD CCSCc1cccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c1 610179081 UDJALCTXRLVQKC-UHFFFAOYSA-N 412.414 4.710 5 20 HJBD C[C@H](OC(=O)CCc1nnc(-c2cc3ccccc3o2)o1)c1cccc([N+](=O)[O-])c1 726219947 BAKXKQHNZUYAPO-ZDUSSCGKSA-N 407.382 4.628 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1Cc1csc(-c2ccc(Cl)cc2)n1 726431248 UQLZDOYDFGHLKS-UHFFFAOYSA-N 413.684 4.687 5 20 HJBD Cc1cc(C(=O)OCC(=O)Nc2ccccc2[N+](=O)[O-])sc1-c1ccc(F)cc1 726655220 DWVWSBLUNDYSMH-UHFFFAOYSA-N 414.414 4.566 5 20 HJBD COc1cccc([C@H](NC(=O)c2cc(F)ccc2[N+](=O)[O-])c2ccccc2Cl)c1 741605906 NJCQFVZBBCFVAC-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)c1 747124008 LXSLZRRGOCTPPI-CYBMUJFWSA-N 424.375 4.723 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(C1CC1)[C@@H]1CCc2ccccc21 751098954 TVFJGMWRMNANEZ-GOSISDBHSA-N 401.260 4.649 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 752984933 USMZSVCHVZJZLI-QMMMGPOBSA-N 417.618 4.556 5 20 HJBD COc1ccc(OCc2nc(CNCc3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 756900621 SEJJEZGZUUYWHY-UHFFFAOYSA-N 419.890 4.582 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)o2)cc1C 758739062 LKZGBAWNULGYCF-CQSZACIVSA-N 420.425 4.590 5 20 HJBD C[C@H](C(=O)Nc1ccc2c(c1)CCN2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 761682791 KUSYMYZOYPFPAE-AWEZNQCLSA-N 411.458 4.635 5 20 HJBD COc1cc(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 763014645 QTZFERZOEPGMPK-NRFANRHFSA-N 406.438 4.522 5 20 HJBD CCCOc1ccc([C@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1OC 765002583 PHLJEXNVJVODAR-KRWDZBQOSA-N 413.518 4.712 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2ccc(OC)cc2)n1 765527130 RDQXLURSOYPOGD-MRXNPFEDSA-N 410.426 4.571 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(C(F)(F)F)(C(F)(F)F)C1 770004786 XDTPSBFZYDSVCP-UHFFFAOYSA-N 405.682 4.597 5 20 HJBD O=C(Nc1ccc(O)c(-c2nc3ccccc3s2)c1)c1ccc(F)cc1[N+](=O)[O-] 770082569 QZVJGFYQGGKOQN-UHFFFAOYSA-N 409.398 4.969 5 20 HJBD Cc1oc(-c2ccsc2)nc1CC(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 770317630 IUXSMHNZQWFGHQ-UHFFFAOYSA-N 422.260 4.563 5 20 HJBD Cc1cc([C@H](N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)C2CC2)ccc1F 776032515 WKRMOXQKOANQHK-CWTRNNRKSA-N 405.857 4.764 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)C(F)(F)c1ccc([N+](=O)[O-])cc1 778040853 MPLLEXKRWXZRTL-UHFFFAOYSA-N 405.582 4.741 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 778891809 IHJSKFNHNJSMKN-UHFFFAOYSA-N 410.354 4.578 5 20 HJBD CC(C)c1ccc(C(=O)N2CCC[C@H]2C[C@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 784444462 GXZJTEVYJJVLJB-RXVVDRJESA-N 400.450 4.586 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccc2cncn2c1 790144625 KBAMPBNPRVJKLW-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD COc1c(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)cccc1[N+](=O)[O-] 793628747 OEIRSMJUCRKUBX-NRFANRHFSA-N 406.438 4.522 5 20 HJBD C[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(F)c2ccccc12 807738506 COCBHDQJQSKKIE-ZDUSSCGKSA-N 411.389 4.605 5 20 HJBD CC(C)(O)c1cnc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 811618426 RVMUZBDISYUTJW-UHFFFAOYSA-N 415.496 4.682 5 20 HJBD Cc1cc(Cl)c(C(=O)OCC(=O)N[C@H](c2cccs2)C(C)(C)C)cc1[N+](=O)[O-] 815406867 YMCKNIGREIRNCT-QGZVFWFLSA-N 424.906 4.679 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 914769012 FALVGNVRNRASKU-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OC[C@@H]1CCOC1 919012392 DKYLPSYZAZFQHF-PCJMVEANSA-N 424.478 4.715 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1csc(-c2ccsc2)n1 920779063 ZRECBYPQSBMFBK-JTQLQIEISA-N 424.887 4.944 5 20 HJBD Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)cc([N+](=O)[O-])c1 920901913 AKTPDGWJFSBTIB-INIZCTEOSA-N 404.422 4.754 5 20 HJBD CCN(CC)C1CCN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CC1 1318544456 DVNLMJNEJFJVOR-UHFFFAOYSA-N 412.490 4.725 5 20 HJBD O=C(N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319678833 RZNFGIQBRNPUKH-OAQYLSRUSA-N 422.872 4.652 5 20 HJBD CCc1ccc([C@@H](Nc2cnn(Cc3cccnc3)c2)c2ccc([N+](=O)[O-])cc2)o1 1320967620 LHGXPXDQINKZGM-QFIPXVFZSA-N 403.442 4.592 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1nc(-c2ccccc2)oc1C1CC1 1322626945 BNABCHMPKTWFOM-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD Cc1cc(CC(C)(C)C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)ccc1Br 1330552823 PQZQDHVNBVELHU-UHFFFAOYSA-N 417.303 4.777 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2CCCCN2C(=O)c2cccs2)n1 1343123689 XLAATKJRLOOVON-OAHLLOKOSA-N 412.471 4.691 5 20 HJBD Cc1nc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2c(C)c(C)sc2n1 4799231 ASHXFYDGPNYLMA-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 5044232 VYRAYYNRLAPRGW-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD C[C@H](Sc1nc2ccccc2n1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 8808061 ZGJONAGKIFTXFA-JTQLQIEISA-N 424.404 4.620 5 20 HJBD COc1cc(COC(=O)c2cccc(C(F)(F)F)c2)c([N+](=O)[O-])cc1OC(F)F 12490135 UUDHDLJKAQZQHV-UHFFFAOYSA-N 421.274 4.581 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccccc1CN(C)C1CCCCC1 15322790 MRBWFXICIYWYSA-UHFFFAOYSA-N 410.518 4.718 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(SC)cc2)cs1 29301046 ZAEMJGARKSXZER-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CCOc1c(Cl)cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1OC 54260032 HWADZCLKDBOMKI-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD COc1c(C)cnc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1C 58957775 CUWVVRDPVMHQPZ-UHFFFAOYSA-N 421.453 4.680 5 20 HJBD COc1ccc(CSCC(=O)NCC(C)(C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 63624205 BBHSANONVLOEQY-UHFFFAOYSA-N 422.934 4.584 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 65569232 ZKWOPGNJYHKWKY-IBGZPJMESA-N 411.255 4.877 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)CNc2ccccc2[N+](=O)[O-])cc1 72035469 IZDUXHJWUVHHLE-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD CC(C)COC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccco1 236631005 WUYSBXNOWVGZMA-SFHVURJKSA-N 401.488 4.815 5 20 HJBD COc1ccc(-n2c(Sc3ccc([N+](=O)[O-])cc3F)nnc2-c2ccncc2)cc1 301072574 HLSZBVYNJPIWGK-UHFFFAOYSA-N 423.429 4.536 5 20 HJBD O=C(Nc1ccc(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)c1cccnc1 301094842 GPHCQUIYRAWAHR-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@H]1c1cccc(C(F)(F)F)c1 303747400 AVHRMMCAQDEPLM-RBUKOAKNSA-N 406.404 4.856 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2c(F)cccc2Oc2ccccc2)cc([N+](=O)[O-])c1 410036890 ISTQTBSTIUOFJC-UHFFFAOYSA-N 424.384 4.955 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1OC)[C@H](c1ccc(C)cc1)c1cccnc1 427596304 IPMOEZHEVXQIAH-JOCHJYFZSA-N 405.454 4.559 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1 436203590 ZPQAWMLVSHDIOR-UHFFFAOYSA-N 404.470 4.972 5 20 HJBD Cc1cc(O[C@H](C)c2ccccc2)ccc1NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1 439309963 MTNSAZUGIPCMIA-MRXNPFEDSA-N 419.437 4.620 5 20 HJBD CCCCOC1CCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 440824801 QBBAVHZQZFCCPV-UHFFFAOYSA-N 420.453 4.513 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444298653 OYTCTVXYYZEJSG-DEOSSOPVSA-N 423.447 4.735 5 20 HJBD CCOc1cc(C(=O)N[C@@H](c2ccccc2F)C2CCCC2)c([N+](=O)[O-])cc1OC 446420602 WAPKOTRJCGWFDG-OAQYLSRUSA-N 416.449 4.803 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(O)c2)nc1-c1ccc(Cl)cc1 447237679 PENGTKOMCAAIAB-JTQLQIEISA-N 417.874 4.877 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](c3ccccc3)c3cccc(F)c3)n2)cc1 447338646 CCTAPZXVZDOSPP-OAQYLSRUSA-N 404.401 4.663 5 20 HJBD O=C(c1ccnc(Oc2ccc(F)cc2F)c1)N1CCCc2ccc([N+](=O)[O-])cc21 447512345 PGDRPZWNPJKTNB-UHFFFAOYSA-N 411.364 4.653 5 20 HJBD C[C@H](CC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 462441566 QETFZMSWFSYWJX-CYBMUJFWSA-N 423.282 4.691 5 20 HJBD CCOCCOCc1cccc(NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)c1C 463969411 DLJZCVBAEPJOFT-UHFFFAOYSA-N 401.463 4.717 5 20 HJBD O=C(NC[C@H]1CCCOC1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 464444165 TYTGYZLRRXPYFJ-CYBMUJFWSA-N 406.891 4.556 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])ccc1CC)O[C@@H](C)C2 464721364 WJNAOBBZWCHMEX-SOVGHPHASA-N 410.470 4.622 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1 466506774 SCWILSMFOSIYLY-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD Cc1nc2cc(C(=O)Nc3ccc(OCc4ccccc4)cc3[N+](=O)[O-])ccc2o1 471160999 OWSHQWLLGCQKFY-UHFFFAOYSA-N 403.394 4.876 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1 473411385 OLDSZUYZMKVLRJ-UHFFFAOYSA-N 409.442 4.603 5 20 HJBD COc1cc(NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)cc(OC)c1Br 475559257 OGSPVQIMLMJDBL-UHFFFAOYSA-N 424.251 4.635 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)[C@H]1CCN(Cc2ccccc2)[C@@H](C)C1 475584364 PDBPUWMECQTTHG-ICSRJNTNSA-N 410.518 4.728 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1c(O)c(Cl)cc(Cl)c1Cl 478017862 UQFYFVNTDDLTCA-UHFFFAOYSA-N 404.637 4.701 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2)n1 479766070 OYZRDFDYGSOIIF-AWEZNQCLSA-N 413.865 4.933 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(Cc2cccc(F)c2)CCC1 481796133 NOPLWCHTGREDSN-UHFFFAOYSA-N 412.461 4.517 5 20 HJBD O=C(Nc1nc(-c2ccccc2F)co1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 482119162 IFPRBCFVXBNTHP-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2c(Cl)ccc3c2CCO3)cc1[N+](=O)[O-] 484579834 BMTJNGJFENCLTJ-UHFFFAOYSA-N 411.639 4.506 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(C)(C)C)cc1 485374697 GMZPEPULNQHAHC-UHFFFAOYSA-N 404.488 4.763 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1Cl 485759045 MWIKJDXXUKSHMY-UHFFFAOYSA-N 421.906 4.704 5 20 HJBD COc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)ccc1OC1CCCC1 486019909 WKXLCJOJALYLLZ-UHFFFAOYSA-N 410.470 4.730 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OC(F)F)cc1 497534672 KTMPGSZLXFYEDC-NSHDSACASA-N 419.409 4.811 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498984885 HTOCRRMKVVPXNZ-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Oc2ncc(Cl)cc2Cl)cc1 499694085 QBRGYHOVRIWXLE-UHFFFAOYSA-N 419.224 4.923 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(OCCOC)c(F)c1 504093960 MLKJQATUSZQCSY-UHFFFAOYSA-N 408.451 4.514 5 20 HJBD O=[N+]([O-])c1cc(CNc2ccc(Cn3ccnc3)cn2)ccc1Oc1ccc(F)cc1 508491106 QSMVCBSFOPSVJY-UHFFFAOYSA-N 419.416 4.778 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(Cc1ccsc1)c1ccccc1 509536102 HOYSPDVUKWOCLX-UHFFFAOYSA-N 402.497 4.614 5 20 HJBD CCN(Cc1ccc2ccccc2c1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 511923606 QSFNGCBXGLFAEU-UHFFFAOYSA-N 404.875 4.612 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(C(=O)N4CCCC4)cc3)o2)c([N+](=O)[O-])c1 512341568 FUJIHQUWJYCOPE-UHFFFAOYSA-N 421.453 4.712 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CNc2cc(NC(C)=O)ccc2F)cc1OC 513646566 ZRHXLPDDLFJDSE-ZDUSSCGKSA-N 419.453 4.738 5 20 HJBD CC(C)[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc2c(c1)OCCO2 516716288 JLRRXZDJEDRHCB-SFHVURJKSA-N 405.838 4.538 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3cccc([N+](=O)[O-])c3)o1)O[C@H](C)C2 517540957 RDIGODABMODXQO-OAHLLOKOSA-N 408.454 4.867 5 20 HJBD O=C(NC[C@@H]1CCCCN1Cc1cccc(Cl)c1)c1c(Cl)cccc1[N+](=O)[O-] 520315700 IYUHKSSCPRUOHF-INIZCTEOSA-N 422.312 4.686 5 20 HJBD CCN(C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 520387955 YCIVKIXGQJPMPD-KGLIPLIRSA-N 406.866 4.634 5 20 HJBD CCN([C@H](c1ccccc1)c1ccc(F)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1 521667146 OUWNVIOVHZPOJV-OAQYLSRUSA-N 414.458 4.534 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(C(F)(F)F)ccc1Cl 521834856 QTRPNGBJKSTEMZ-UHFFFAOYSA-N 401.772 4.973 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)c1ccc([N+](=O)[O-])cc1Br 523490643 XWFZEONLFWWVBQ-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(-c3nc4ccccc4n3C)c2)cc1[N+](=O)[O-] 524793167 KNIYZYBYSRXYCZ-UHFFFAOYSA-N 416.437 4.800 5 20 HJBD Cc1ccc(Br)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 525140641 QKDIUVKEYILEKM-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(Nc1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(-c2nc3ccccc3s2)o1 534009863 OPSAPSZGOWKJIG-UHFFFAOYSA-N 405.395 4.593 5 20 HJBD Cc1cc([C@@H](NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C2CC2)ccc1F 534770124 VWJNRLPBPPXRHV-VWLOTQADSA-N 414.480 4.870 5 20 HJBD C[C@H](CO)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536295560 SSHSQXWGBQNHAZ-ZDUSSCGKSA-N 408.907 4.538 5 20 HJBD C[C@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(OCc2cccnc2)cc1 538123737 AUFXSQKMMAWTPA-HNNXBMFYSA-N 404.426 4.561 5 20 HJBD C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538255136 RKUIGHNWHGZLOW-CQSZACIVSA-N 423.494 4.637 5 20 HJBD C[C@@H](Nc1ccc(CC(=O)N2CCc3ccccc32)cc1)c1cccc([N+](=O)[O-])c1 538634365 IPHZFHVAWWABDA-QGZVFWFLSA-N 401.466 4.900 5 20 HJBD C[C@H](NCC(=O)Nc1ccc(Cl)cc1C(F)(F)F)c1cccc([N+](=O)[O-])c1 539280494 MBCHJVGLBGMAQS-JTQLQIEISA-N 401.772 4.556 5 20 HJBD Cc1csc(Nc2ccc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)cc2)n1 541665147 YIVGWAUHBZLGNO-GFCCVEGCSA-N 400.435 4.985 5 20 HJBD Cc1c(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)oc2c(Br)cccc12 542942422 TXGFGOUWIPBJMG-UHFFFAOYSA-N 401.216 4.615 5 20 HJBD C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1nccs1 543512983 PEYPCHHHYVQEDL-ZDUSSCGKSA-N 405.545 4.867 5 20 HJBD O=C(Nc1ccc(F)cc1-n1cccc1)c1ccc(Br)cc1[N+](=O)[O-] 544697165 JXNDWTAHGJOVGN-UHFFFAOYSA-N 404.195 4.539 5 20 HJBD Cc1ccc(-c2noc(CCn3cc([N+](=O)[O-])cn3)n2)c(Sc2ccccc2)c1 545045532 QJSDSUDRYBJODU-UHFFFAOYSA-N 407.455 4.544 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)c(Cl)c1 545570665 RGTDAICMLVYWAR-UHFFFAOYSA-N 422.828 4.863 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C[C@@H](C)C(=O)OC)cc1 546784048 LZKRMISMLWYLIV-CQSZACIVSA-N 416.499 4.701 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nc(Cc2ccc(OCc3ccccn3)cc2)no1 546981544 GLNKDMHSBUYVDG-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1ccc(Oc2ccccc2Cl)nc1 549088337 PGYNGCXUNNZSER-UHFFFAOYSA-N 411.845 4.750 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 553140053 LXODQXGDPMXEMK-CYBMUJFWSA-N 418.375 4.510 5 20 HJBD Cn1c(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)nnc1-c1ccc(F)cc1 554969056 BIAHTAHHTYBHPB-UHFFFAOYSA-N 412.368 4.841 5 20 HJBD COc1cccc(C(=O)N[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 557453366 WLPGEDHGSOKMFD-GOEBONIOSA-N 408.376 4.688 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccco3)c(-c3ccco3)s2)c1[N+](=O)[O-] 582159998 ZJOMIWGGYZGEGR-UHFFFAOYSA-N 411.395 4.832 5 20 HJBD Cc1cc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)nnc1C 609644858 YAUQJJKRIRVIHH-UHFFFAOYSA-N 411.849 4.519 5 20 HJBD C[S@@](=O)Cc1cc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)ccc1Cl 609655700 MZXZDXUNVZIRDO-HHHXNRCGSA-N 408.907 4.677 5 20 HJBD CCOC(=O)c1ccnc(N2CCC[C@H]2c2ccc(Cl)c(Cl)c2)c1[N+](=O)[O-] 609961390 JJSCVXHFARFNDM-HNNXBMFYSA-N 410.257 4.815 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NC(c2cccs2)c2cccs2)cc1OC 609978240 FHYXQLMMNZJLTG-UHFFFAOYSA-N 418.496 4.645 5 20 HJBD O=C(N[C@@H]1CCC(F)(F)C1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 610790618 XETNBTYUTSQSAX-CQSZACIVSA-N 409.820 4.778 5 20 HJBD O=C(c1cc(Cl)c2c(c1)OCO2)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728166363 FKQHXJLWLPXJDP-UHFFFAOYSA-N 409.225 4.687 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)C1CCC1)c1cc([N+](=O)[O-])ccc1Br 729656771 HTGOCOIGUKYECU-KRWDZBQOSA-N 407.239 4.768 5 20 HJBD C[C@@H](OC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1nc(-c2ccccc2)cs1 730084097 ACPHYGPKBOISST-CQSZACIVSA-N 420.450 4.822 5 20 HJBD Cc1c(COC(=O)c2ccc(F)c(NC(=O)OC(C)(C)C)c2)cccc1[N+](=O)[O-] 730629728 BXXKDHDRHZTZSW-UHFFFAOYSA-N 404.394 4.746 5 20 HJBD O=[N+]([O-])c1cc(NCc2nc(-c3ccc(Br)s3)no2)ccc1Cl 733998225 OQNFBTKODKXWDX-UHFFFAOYSA-N 415.656 4.734 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OC[C@@H]1CCCN(Cc2ccccc2)C1 734298050 GXCMYFXHINCNMJ-PKOBYXMFSA-N 414.527 4.531 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 734803432 CQIJBHWHDUFADW-KRWDZBQOSA-N 421.316 4.596 5 20 HJBD Cc1c(OC(=O)CCc2nc3cc(Br)ccc3s2)cccc1[N+](=O)[O-] 744035780 RKENSPDKJRGYQP-UHFFFAOYSA-N 421.272 4.814 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(OC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 744245326 VTKKNLSCPCODRQ-UHFFFAOYSA-N 411.414 4.502 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H](C)c2ccc(C)o2)c2ccccc2)c([N+](=O)[O-])c1 745665196 RABYIVPJTVUAGV-IERDGZPVSA-N 422.437 4.831 5 20 HJBD Nc1ccc(C(=O)Nc2ccccc2NC23CC4CC(CC(C4)C2)C3)cc1[N+](=O)[O-] 748717228 BMQRFZFHKLLVQS-UHFFFAOYSA-N 406.486 4.810 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c1)c1cccs1 749882050 CYDUCOFLSPTTNY-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1onc(-c2cccc(Br)c2)c1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 752754126 MYEGTBQFPWBJDA-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2cc(Cl)ccc2n1C 754337328 OPYARJXVCGDOAI-MNOVXSKESA-N 405.813 4.682 5 20 HJBD O=C(N[C@@H](C1CCCCC1)C(F)(F)F)c1cccc([N+](=O)[O-])c1Br 758639216 SAJMCMWTZPEDCB-ZDUSSCGKSA-N 409.202 4.598 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1Br 760836188 IJPDBESYFARDTN-HNNXBMFYSA-N 403.276 4.513 5 20 HJBD COc1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 761577715 QGFVLHKJHXAJLJ-CYBMUJFWSA-N 415.446 4.693 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c(C)c1 762898487 ORQVLSOBUPQPOH-UHFFFAOYSA-N 409.442 4.577 5 20 HJBD O=[N+]([O-])c1cccc(CN(C(=S)Nc2ccc(OC(F)(F)F)cc2)C2CC2)c1 768473764 VRYWMQQEDSPFTB-UHFFFAOYSA-N 411.405 4.855 5 20 HJBD COc1cc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)ccc1OC(F)F 770007939 BAFOONPTNJEJLF-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD COc1cc(CNc2ccc(OC(F)F)cc2)c([N+](=O)[O-])cc1OCC(F)(F)F 770480209 LSDXMIXKDCAVPJ-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD Cc1ccccc1Cn1c(NC(=O)c2cc([N+](=O)[O-])ccc2F)nc2ccccc21 770886793 YJULERHTLNUUHS-UHFFFAOYSA-N 404.401 4.693 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4c(Cl)nc5ccccn45)no3)cs2)cc1 771332486 LAQWRDNJFXNXDB-UHFFFAOYSA-N 424.829 4.736 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@H](c2ccc(Br)cc2)C2CCC2)cc1 776605195 JLKFQVXLNXCQMS-OALUTQOASA-N 405.292 4.522 5 20 HJBD CSc1cccc(C(=O)O[C@H](C(=O)Nc2ccccc2)c2ccccc2)c1[N+](=O)[O-] 777073598 LTDINFPENAABEQ-FQEVSTJZSA-N 422.462 4.854 5 20 HJBD COC1(CC(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCC1 792524566 IPSQEGNHRYXKLC-UHFFFAOYSA-N 404.850 4.725 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CS(=O)(=O)[C@H]3CCc4cc(Cl)ccc43)co2)cc1 795400181 KTTCPBIKPVQUPF-SFHVURJKSA-N 418.858 4.506 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@@H]2CCC(F)(F)C2)c2ccccc2)c([N+](=O)[O-])c1 796373054 IEIUKJDCJRKHTM-QAPCUYQASA-N 418.396 4.562 5 20 HJBD CC(C)[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1cccnc1 797801637 QQGUJWYNGUUZJM-OAQYLSRUSA-N 419.437 4.587 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)nn1C1CCCCC1 813283528 VAQSJHNQYIRWBN-UHFFFAOYSA-N 407.268 4.620 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCC(=O)C2CCCCC2)cc1 915441412 CGIQHHJXTFIRFJ-UHFFFAOYSA-N 413.426 4.702 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2cccc(COc3ccccc3)c2)cc1[N+](=O)[O-] 917853732 QXXNMEYTRNBRGB-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1)c1ccccc1C(F)(F)F 919869457 AVMWFPQSJOSFPK-ZDUSSCGKSA-N 407.392 4.705 5 20 HJBD CN(C(=O)c1ccc(Cn2cccc2)cc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1319814919 WULXTDLKLADWTL-UHFFFAOYSA-N 418.478 4.845 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CSc2cccc(Br)c2)o1 1322150773 BGRLBAVHWNLILY-UHFFFAOYSA-N 422.260 4.612 5 20 HJBD COc1ccc(Cc2nnc(-c3sc(-c4ccccc4)nc3C)o2)cc1[N+](=O)[O-] 1326252078 KANWOQDDHXUHQJ-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=C(Cc1c[nH]c2cnccc12)OCc1ccc(Oc2cccc([N+](=O)[O-])c2)cc1 1516818659 CWGZSHFQSUEJHX-UHFFFAOYSA-N 403.394 4.549 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 3471425 HCSJMWNVCYDPOZ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 5746036 BLWDCONTKZXSSI-STQMWFEESA-N 404.850 4.946 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)c(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6847739 IDCVBORWLJIKGX-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cn1c(SCC(=O)C23CC4CC(CC(C4)C2)C3)nc2cc3ccccc3cc2c1=O 7168746 KRMUAPUHEWZEHK-UHFFFAOYSA-N 418.562 4.964 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N1CCC[C@@H]1Cc1ccc(F)cc1 50589848 KYQXNAFPXONQGF-GOSISDBHSA-N 411.458 4.705 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64917022 PGRDOHMITHCMON-UHFFFAOYSA-N 424.482 4.874 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cc([N+](=O)[O-])ccc1Cl 216043449 SXFSVFWHINXOKG-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])oc12 216756565 JXCOQZSBDJELMO-GFCCVEGCSA-N 417.849 4.682 5 20 HJBD CC(C)NC(=O)[C@@H]1CCC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 236681377 GCKJFUVHUTZVNJ-CABCVRRESA-N 419.909 4.681 5 20 HJBD COc1cc(CNc2cccc(SC)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 237287064 TZBLUHNEJSMRIC-UHFFFAOYSA-N 402.394 4.879 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3nc(-c4ccc(F)cc4)no3)C2)c2ncccc12 301230578 HMAITTMKGLFFJH-HNNXBMFYSA-N 419.416 4.716 5 20 HJBD Cc1cc(NCc2cccc(NC(=O)c3ccncc3)c2)c2cc([N+](=O)[O-])ccc2n1 302171749 MDDJXOLJEVFBSR-UHFFFAOYSA-N 413.437 4.711 5 20 HJBD Cc1cc([N+](=O)[O-])cc(Cl)c1NCc1ccc(N(C)C(=O)c2ccncc2)cc1 302835614 VRWRJIRGVGQUSF-UHFFFAOYSA-N 410.861 4.840 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(CCc1ccccc1)Cc1ccncc1 303102260 IEDSKNOSZOPTEL-SFHVURJKSA-N 421.522 4.742 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccccc1OC(F)F 426247999 JNLSPMPGSPYPHS-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD Cc1cc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1NC(=O)c1ccco1 432767299 KCSLNXXDBMVNQP-UHFFFAOYSA-N 422.441 4.805 5 20 HJBD Cc1c(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cccc1-c1ncco1 432912856 XQSMFZLLVGKMAP-UHFFFAOYSA-N 404.329 4.804 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)F)ncc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 436274216 LOKOJZOACACEBO-MRVPVSSYSA-N 401.295 4.927 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(F)cc1 438189564 MNNGBORJXDKHEF-INIZCTEOSA-N 424.350 4.630 5 20 HJBD Cc1nc(NC(=O)c2sc(COc3ccc(Cl)cc3)nc2C)ccc1[N+](=O)[O-] 440409694 OPDCACKZWIHLME-UHFFFAOYSA-N 418.862 4.548 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCC(=O)c1ccc(Br)cc1 445254128 YLOWWUKSAXPELQ-UHFFFAOYSA-N 405.248 4.657 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 460452824 HILUKCRNAKAGRJ-MRXNPFEDSA-N 424.419 4.938 5 20 HJBD Cn1c(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)c(Cl)c2ccccc21 461718132 WLCSVLOHAGTMMV-IBGZPJMESA-N 401.875 4.628 5 20 HJBD CC[C@H]1Oc2cc([C@H](C)Nc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc2NC1=O 467006707 WHZCUZPJHABOIP-MGPLVRAMSA-N 409.364 4.896 5 20 HJBD CCc1c(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cnn1-c1ccccc1 469500612 YSDIRTBXOKGJTC-UHFFFAOYSA-N 408.461 4.682 5 20 HJBD Cc1ccc([C@@H]2CCN(C(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)C2)c(C)c1 471337585 REWGWJUOXNDIGR-QGZVFWFLSA-N 407.495 4.965 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1OC(F)F 478851990 GNJCBJAQHXQGGC-UHFFFAOYSA-N 420.340 4.528 5 20 HJBD CCOc1cc(C(=O)N2CCC[C@@H](c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC 484335792 FIDJXTGUJKMSEB-OAHLLOKOSA-N 416.449 4.551 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2ccc(Cl)cc2)s1)c1ccc([N+](=O)[O-])cc1 484779960 UMAJLSMTGUDWGE-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)NC3CCCCC3)cc2)c1[N+](=O)[O-] 486254385 UPAVZEVIDTXJJF-UHFFFAOYSA-N 413.499 4.632 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(Cl)cc2C(=O)c2ccc[nH]2)c1[N+](=O)[O-] 486416885 GEJGCHTXHBEPBU-UHFFFAOYSA-N 415.858 4.782 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCCc2ccccc2)CC1 486420184 BQQFCLGWXBOJFO-UHFFFAOYSA-N 414.527 4.571 5 20 HJBD CCOc1cc(NCc2ccc(OCC(F)(F)F)c(OC)c2)ccc1[N+](=O)[O-] 486933361 XDGSGBATZCVMLB-UHFFFAOYSA-N 400.353 4.555 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H]1c1cccc(Br)c1 489845349 SELMOADVPCXKAK-OAHLLOKOSA-N 409.667 4.988 5 20 HJBD CCOc1cc(CNc2cc(Cl)ccc2OC[C@@H]2CCOC2)ccc1[N+](=O)[O-] 492358062 QABKGEPNGPUSPX-OAHLLOKOSA-N 406.866 4.674 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)Cc2ccccc2[N+](=O)[O-])cc1 496613966 XGTQXYMRXCYMJB-XMMPIXPASA-N 403.482 4.733 5 20 HJBD C[C@H]1CCC[C@H](OCCCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)C1 499132007 BGXRMSMLRXUVGZ-IRXDYDNUSA-N 420.506 4.850 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(OCc2ccccc2)CC1 502537876 BUVRSWWJIXWSNR-UHFFFAOYSA-N 414.527 4.918 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])c(S(C)(=O)=O)c1)c1ccc(OC(F)(F)F)cc1 507613426 BJDWTFFKFGBSMU-SNVBAGLBSA-N 421.418 4.750 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CCN(c2cncc3ccccc23)CC1 507843083 BGDBYRDMOSPNDX-UHFFFAOYSA-N 418.500 4.899 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 508271783 OMINXXUFLHXAEP-LBPRGKRZSA-N 409.895 4.869 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc(F)c(NC(C)=O)c2)cc1OC 513616328 ZJWGOGQDZNEFBX-CYBMUJFWSA-N 419.453 4.738 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)c1 518523796 UVRCATMMXDMUNU-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD Cc1ccc(CCNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(C)c1 519951343 IXJPMHZMZDMUJV-SFHVURJKSA-N 418.497 4.752 5 20 HJBD C[C@H]1CN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC(C)(C)O1 520480213 AMDKDDQHTCYUMN-ZDUSSCGKSA-N 404.850 4.680 5 20 HJBD CC(C)Cn1cc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C(F)F)n1 535642118 OMTLFWJVPYLNHK-UHFFFAOYSA-N 406.311 4.656 5 20 HJBD CC(C)[C@@H]1C[C@H](CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)CCO1 542866374 GMUBVNVQUGHAEG-KDOFPFPSSA-N 403.504 4.522 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccs2)Cc2cccs2)cc1[N+](=O)[O-] 543891915 LFXWUFUSVBGZDL-UHFFFAOYSA-N 403.485 4.961 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1c1nc(-c3ccc([N+](=O)[O-])cc3C)no1)O[C@H](C)C2 544745134 WQNCAGMVVWAOFE-XVGQJIODSA-N 421.453 4.946 5 20 HJBD CC(=O)Nc1ccccc1-c1noc(-c2ccc(N3CCC[C@@H](C)C3)c([N+](=O)[O-])c2)n1 544923127 KMLLGEQHQCWWDH-CQSZACIVSA-N 421.457 4.507 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC(C)C 546161800 XUNAZFRLOPVQGT-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CC(C)OCc1ccccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 547717026 INFOLNSQJNMIOZ-UHFFFAOYSA-N 400.478 4.536 5 20 HJBD CC(C)(C(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1ccccc1[N+](=O)[O-] 551685961 LDDYWHANBMLRLN-UHFFFAOYSA-N 409.417 4.624 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2cccs2)CCCCC1)c1cccc([N+](=O)[O-])c1 552043801 BRXDCGWSKNOJLJ-HXUWFJFHSA-N 410.543 4.971 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1Sc1nccn1C)c1cccc([N+](=O)[O-])c1 555780732 VXQPOYPGGGQLJJ-GFCCVEGCSA-N 416.890 4.875 5 20 HJBD COc1c(-c2nc(-c3ccc(-c4nc5ccccc5[nH]4)cc3)no2)cccc1[N+](=O)[O-] 557516970 ALMDNCLSLNZEHQ-UHFFFAOYSA-N 413.393 4.864 5 20 HJBD COCCCCOc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 559896301 ZAJQNOLAGGAFRR-UHFFFAOYSA-N 413.257 4.959 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 560358024 DWPIINXLGODORG-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CSc2nnc(-c3ccncc3)n2C2CC2)c1 574587289 YCVZBLZHLZLMRB-UHFFFAOYSA-N 421.404 4.894 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 575149175 LFCSXYKVGSZCCE-ZDUSSCGKSA-N 420.391 4.727 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nc(C(C)(C)c3ccccc3)no2)ccc1[N+](=O)[O-] 576383957 DBKQJWAYTHKCBV-LJQANCHMSA-N 420.469 4.589 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 582822310 JGSHJFWSZVYEJU-MWTRTKDXSA-N 420.465 4.621 5 20 HJBD NC(=O)c1ccnc(Nc2cccc(Oc3cccc(C(F)(F)F)c3)c2)c1[N+](=O)[O-] 584204872 HCGBXEDOZDUINB-UHFFFAOYSA-N 418.331 4.643 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 589376883 UCOSSIKJCWFNDY-INIZCTEOSA-N 408.502 4.605 5 20 HJBD Cc1cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1-n1nnnc1C(C)C 600857957 YZXMLQIKMFMTHV-UHFFFAOYSA-N 420.395 4.633 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@@H]3CCCN(Cc4ccccc4)C3)o2)cc1 608837174 WIFSWPDGFRZSCK-FQEVSTJZSA-N 405.498 4.857 5 20 HJBD O=C(COc1ccc2ccccc2c1Br)Nc1ccc([N+](=O)[O-])cc1F 608870311 ASZKXSOCTMQQDP-UHFFFAOYSA-N 419.206 4.667 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c(C)c1 608942300 FQOYBVHNCSHJFL-ZDUSSCGKSA-N 402.472 4.817 5 20 HJBD C[C@H]1CO[C@H](c2ccccc2Cl)CN1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 609546086 RRCQCHUFHGFART-GTNSWQLSSA-N 410.257 4.896 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccccc3)ns2)cc1SC 609830753 JNENQEBYUUJKCM-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cc(F)ccc3c2Cl)cc([N+](=O)[O-])c1 609884570 LHEDOUSSBCZVPZ-UHFFFAOYSA-N 408.794 4.641 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 610042528 GVIARZUPUOIOSL-INIZCTEOSA-N 423.513 4.692 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1CO 615895227 YIJQAOFXBZZKQM-MRXNPFEDSA-N 420.469 4.524 5 20 HJBD COc1cc([N+](=O)[O-])ccc1Oc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 727432834 WDSZLWMIPLMFBA-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD CCc1cccc(CC)c1NC(=O)c1ccc(I)c([N+](=O)[O-])c1 727747739 PBXGCSBOODCWMM-UHFFFAOYSA-N 424.238 4.577 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])O[C@H](c1ccc(Br)cc1)C(F)(F)F 731147058 GHZKWBSQQODLJT-OAHLLOKOSA-N 418.165 4.747 5 20 HJBD COc1c(C)cnc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1C 735368011 HARDCNCXYVSPMP-UHFFFAOYSA-N 422.441 4.729 5 20 HJBD COc1cc(C(=O)O[C@@H]2CC[C@@H](C)C[C@H]2C)c([N+](=O)[O-])cc1OCc1cscn1 736028149 MCOUYOABLOPEFE-PBFPGSCMSA-N 420.487 4.620 5 20 HJBD COc1cc(-c2nc(-c3cccc(NC(=O)OC(C)(C)C)c3)no2)ccc1[N+](=O)[O-] 747866856 ZBWYHJZVRDODDS-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD C[C@H](C(=O)OCc1csc(-c2ccc(F)cc2)n1)c1ccc([N+](=O)[O-])cc1F 755065042 NZYAAHBZYDGDRR-NSHDSACASA-N 404.394 4.843 5 20 HJBD O=C(COC(=O)CCc1cccc([N+](=O)[O-])c1)Nc1ccccc1Oc1ccccc1 756530661 YLNGWAUTQQDPGA-UHFFFAOYSA-N 420.421 4.502 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(CSc4nc5ccccc5s4)n3)c2c1 762308048 HZAMRTYECYBSPF-UHFFFAOYSA-N 423.479 4.952 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)ccc2C)n1 763803548 TZSDBBAMKGUROM-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@@H]2c2cccs2)c1=S 764860451 SBDTUSDXVJXCIJ-MRXNPFEDSA-N 415.544 4.865 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC([C@H](O)c2ccc(Cl)cc2)CC1 766244697 SBVJKKMDKIGWFH-HXUWFJFHSA-N 417.893 4.843 5 20 HJBD Cc1cc(C)c(OCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c(C)c1 776134984 FNUROWQHDRBQKS-QHCPKHFHSA-N 406.438 4.627 5 20 HJBD COc1cc([C@@H](C)NC[C@H](O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 776605522 LSYHMRYHFBNTSX-VGSWGCGISA-N 422.481 4.567 5 20 HJBD Cc1ccc([C@@H](NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c2ccccn2)cc1 791957503 VMVBWICOMIUVLQ-OAQYLSRUSA-N 413.836 4.539 5 20 HJBD COc1cc(COC(=O)[C@@H](F)c2ccc(C(F)(F)F)cc2)c([N+](=O)[O-])cc1F 801898313 PENOYOGQPIGGIA-HNNXBMFYSA-N 405.275 4.515 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)OCc2c(F)cc([N+](=O)[O-])cc2F)on1 803245351 VPTJZHGYRZPVTL-UHFFFAOYSA-N 420.393 4.819 5 20 HJBD CC(C)C(=O)Nc1cc2ccccc2cc1C(=O)N(C)CCc1ccccc1[N+](=O)[O-] 804176089 BUHJYUPQXFVFNG-UHFFFAOYSA-N 419.481 4.657 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N(Cc1cccc(O)c1)CC1CC1 810198962 NSIORDFDFHMMOZ-UHFFFAOYSA-N 420.263 4.507 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)OCc2ccc([N+](=O)[O-])cc2F)s1 811288370 FHJOIPJSNOHNLA-UHFFFAOYSA-N 410.423 4.524 5 20 HJBD Cc1cc(C(=O)OCc2cc([N+](=O)[O-])ccc2C(F)(F)F)c2cnn(C(C)C)c2n1 815184575 ZFUBMRRZIABOEM-UHFFFAOYSA-N 422.363 4.605 5 20 HJBD O=C(c1ccc(CNCc2c3ccccc3cc3ccccc23)cc1)N1CCOCC1 822349632 BZSQRMDSWMPJEV-UHFFFAOYSA-N 410.517 4.755 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc(Cl)c([N+](=O)[O-])c1 914744653 YHGQWEYDSJMHAB-MYJAWHEDSA-N 418.721 4.888 5 20 HJBD O=C(CSc1nnc(-c2ccc3ccccc3c2)o1)OCc1ccc([N+](=O)[O-])cc1 917565376 OMYRAEHPEWINQO-UHFFFAOYSA-N 421.434 4.634 5 20 HJBD CSc1ccc2cc(Cn3cc(Br)c([N+](=O)[O-])n3)c(Cl)nc2c1 917569407 YOAIUEYDWCSJTA-UHFFFAOYSA-N 413.684 4.526 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(CNC(=O)OC(C)(C)C)o2)n1 1116612447 PALSKDNUKNNAOZ-UHFFFAOYSA-N 414.418 4.546 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(SC(F)(F)F)cc1 1254418236 ZIRWMOXDUSXBOJ-UHFFFAOYSA-N 415.349 4.686 5 20 HJBD Cc1sc2ncnc(SCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])c2c1C 6113568 PACZZVBSYUDYMW-UHFFFAOYSA-N 408.892 4.601 5 20 HJBD Cc1cccc(NC(=S)NCCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 7369325 NDHTUMTYTWPTSF-UHFFFAOYSA-N 412.437 4.629 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11117407 ANZVIISRGUSDIT-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1nc2sc3ccccc3n2c1=S 27532907 SGOODSPYAINIRH-UHFFFAOYSA-N 419.919 4.731 5 20 HJBD CCOc1ccc(Oc2ccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)cc2)cc1 27803669 ODNYSAVOODSWKH-UHFFFAOYSA-N 422.393 4.767 5 20 HJBD O=C(NCc1cccc(COCc2ccco2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 43342184 LQACIZFNAJVXAX-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Sc2nncs2)cc1 47407920 ASXUNLVVSWRTBF-LLVKDONJSA-N 418.525 4.717 5 20 HJBD C[C@H](NC(=O)c1[nH]c2ccc(Br)cc2c1Cl)c1cccc([N+](=O)[O-])c1 58516288 BYRZLBKPOFFIMJ-VIFPVBQESA-N 422.666 4.983 5 20 HJBD COc1cc(C(=O)N2CCC(c3cccc4ccccc34)CC2)c([N+](=O)[O-])cc1OC 58725686 KPOTUBAZYMBJDJ-UHFFFAOYSA-N 420.465 4.785 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 64722637 IBLDJEVPJCYNRE-RDTXWAMCSA-N 418.877 4.778 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccc(F)cc2)nc2onc(C)c12 105512083 ZFIRQCMGEAKZGN-UHFFFAOYSA-N 422.372 4.506 5 20 HJBD Cc1cc(NC(=O)Cc2coc3cc4c(cc23)CCC4)n(-c2ccc([N+](=O)[O-])cc2)n1 105587162 ITJZHLFDIUSWSX-UHFFFAOYSA-N 416.437 4.505 5 20 HJBD CCN(Cc1cccs1)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 108787831 NIZMSKQOMAKHGP-HNNXBMFYSA-N 410.499 4.887 5 20 HJBD O=C(Nc1nc2c(s1)CCCCC2)c1csc(-c2cccc([N+](=O)[O-])c2)n1 215711369 IIZXBEDJFISRFN-UHFFFAOYSA-N 400.485 4.696 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3CCCc4c3cnn4C)cc2[N+](=O)[O-])cc1 237967903 BUZAZYJROLSBHP-LJQANCHMSA-N 408.527 4.955 5 20 HJBD C[C@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2)n1-c1ccc(Cl)cc1)N(C)C 301117498 ZUXBLSIESHGCKS-GFCCVEGCSA-N 403.895 4.603 5 20 HJBD Cc1ccc([C@@H](C)Nc2cc(C(F)(F)F)nc(-c3cccnc3)n2)cc1[N+](=O)[O-] 301605965 YJYMQMUKOPFANS-GFCCVEGCSA-N 403.364 4.947 5 20 HJBD CCCn1nccc1C1CCN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)CC1 409654109 VYOZMVNHTVAQNU-UHFFFAOYSA-N 421.501 4.559 5 20 HJBD O=C(c1ccc(Br)cc1[N+](=O)[O-])N1CCC(=Cc2cccc(F)c2)CC1 431022953 PMQBNAOIYVRJDC-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD COc1cccc(C(=O)N[C@@](C)(c2ccc(Br)cc2)C(C)C)c1[N+](=O)[O-] 436010808 LYCNVEKFTCNILM-LJQANCHMSA-N 421.291 4.667 5 20 HJBD C[C@@H](c1ncc(-c2ccc(Cl)cc2)o1)N1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 443594813 UGKJGCHQVGTGPE-BBRMVZONSA-N 413.865 4.546 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1ccc(Cl)cc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 443719710 CNZIRHSSPZXWDM-CQSZACIVSA-N 423.856 4.731 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444101695 QILNFDZFCOLWLM-YJBOKZPZSA-N 400.453 4.839 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1cccs1 444173718 CUXPQDHBBSUKJZ-INIZCTEOSA-N 416.502 4.620 5 20 HJBD C[C@@H](Oc1ccc(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1)c1ccccc1 444632640 GWKUORWVVNYYII-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCc2c(Br)ccc(Cl)c2C1 446485243 OKZRUWYMKZJFCL-UHFFFAOYSA-N 424.682 4.909 5 20 HJBD COc1cccc2c1CC[C@@H]2Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447678224 YRSASUABLPLYIH-NSHDSACASA-N 403.307 4.752 5 20 HJBD CSc1cccc(C(=O)N[C@H]2C[C@H](c3ccc(Br)cc3)C2)c1[N+](=O)[O-] 462635730 BCUIRZQUQRHVJQ-MQMHXKEQSA-N 421.316 4.755 5 20 HJBD Cc1cc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2cccnc2c1C 464459947 JVMVHYZYBQEPCY-UHFFFAOYSA-N 407.473 4.958 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 466503669 KZJGJPXWGQGLBD-ACJLOTCBSA-N 408.813 4.502 5 20 HJBD CC[C@H](Cc1ccccc1Br)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 466652253 UBEBZOUIEMKVPG-CQSZACIVSA-N 417.303 4.511 5 20 HJBD Cc1cc(C(F)(F)F)ccc1CNC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 466882744 CIWLIPGSJJXRMI-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc(C)c(NC(=O)CN2CCCCC2)c1 468747485 XDNDYHQYSCNJCG-AQTBWJFISA-N 422.529 4.843 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H](CCO)c2ccco2)cc1 469564607 AVYLRPGPIHLVOP-KRWDZBQOSA-N 402.834 4.847 5 20 HJBD CCn1c(COc2ccc(C)cc2)nnc1Sc1nc2ccccc2cc1[N+](=O)[O-] 473534106 PNEIKEQIEMCJJA-UHFFFAOYSA-N 421.482 4.793 5 20 HJBD COc1cc(OCc2cc(-c3c(OC)ccc4ccccc34)no2)ccc1[N+](=O)[O-] 475878185 WGRPQHLGYRDQHS-UHFFFAOYSA-N 406.394 4.999 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cccc([N+](=O)[O-])c2OC)cc1 475896948 DEGRBZQEQVKGAM-QFIPXVFZSA-N 419.481 4.813 5 20 HJBD Cn1cc(C(=O)Nc2nc(-c3ccccc3F)cs2)c(-c2ccc([N+](=O)[O-])cc2)n1 476946668 XCOZOZNALAUFIW-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD C[C@@H](Cc1ccc(F)c(Br)c1)NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-] 478408475 XQZCMUGRSNNMTJ-LBPRGKRZSA-N 423.282 4.521 5 20 HJBD COc1ccc(-n2c(SCc3cccc([N+](=O)[O-])c3)nnc2-c2ccccn2)cc1 481381208 YWYIQENYDWOAJF-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 484984969 PGGYJLZIKXWVKS-OAHLLOKOSA-N 401.532 4.566 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)c1sc(Br)cc1[N+](=O)[O-] 486417994 KBMRZOUQYDPGEM-UHFFFAOYSA-N 420.216 4.559 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(-c2ncco2)cc1 488589001 BFBLNRAGBVEXQU-UHFFFAOYSA-N 406.320 4.590 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1COc1ccc(-c2noc([C@H]3CCCO3)n2)cc1 488788627 XWWNRMVNGKNBLC-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC[C@H]2CCCO2)c(F)c1 489510762 PHMDNRDSCPPPEU-OAHLLOKOSA-N 419.478 4.689 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1nc(C(C)(C)C)no1 489927939 GGDHENHTVHNXTC-GFCCVEGCSA-N 406.508 4.514 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccc(Oc3ccccc3)cc2)cc1[N+](=O)[O-] 493961390 PSGPQCUMPDHJAG-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N(CCCO)Cc1ccccc1 494137209 PAGCIILSCIWDBU-UHFFFAOYSA-N 421.453 4.804 5 20 HJBD Cc1ccc(N[C@H](c2ccccc2)c2cccc([N+](=O)[O-])c2)cc1N1C(=O)CCC1=O 495200814 KYGGKQBYVOSPPA-XMMPIXPASA-N 415.449 4.758 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C\c3ccccc3[N+](=O)[O-])n2)cc1C(F)(F)F 499620434 SJDKEODEQZSMOZ-CLFYSBASSA-N 418.331 4.792 5 20 HJBD CC(=O)Nc1ccc(-c2noc(/C=C\c3ccc([N+](=O)[O-])cc3)n2)cc1C(F)(F)F 499622856 CAHZAKBKAMQAER-WTKPLQERSA-N 418.331 4.792 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 502294111 MIQREABTUQOTDS-HNNXBMFYSA-N 415.471 4.824 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)[C@H]1CCCOC1 503926159 ITEONZFXXUWDAT-OLZOCXBDSA-N 409.280 4.522 5 20 HJBD Cc1nn(-c2ccccc2)cc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 511505302 KBHMQGVSZMARRH-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD CN(Cc1c(F)cccc1F)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 511955584 NWTAIEZLPRFRPQ-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD Cc1ccc2c(C3=CCN(C(=O)CSc4ccc([N+](=O)[O-])cc4)CC3)c[nH]c2c1 515134081 RUKXETOQMVJQKU-UHFFFAOYSA-N 407.495 4.793 5 20 HJBD CN(Cc1ccc(F)cc1F)C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 515182478 KQPWZLBZJQBKFC-UHFFFAOYSA-N 411.408 4.757 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1cccc([N+](=O)[O-])c1 517599857 KGVCQMSSZMYFAI-IFMALSPDSA-N 406.461 4.783 5 20 HJBD CCOc1cc(C(=O)Nc2ccc3c(ccn3CC(C)C)c2)c([N+](=O)[O-])cc1OC 519032825 BKUOUYYAQSHPPW-UHFFFAOYSA-N 411.458 4.865 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522743929 RWXQXYYMHUOOLR-HNNXBMFYSA-N 404.894 4.715 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccco1)c1ccc(F)cc1F 524555983 HGJZKZZCUYSMPD-UHFFFAOYSA-N 406.772 4.895 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])cc1OC(F)F 532701802 XPJDPBVDWLNVGS-LJQANCHMSA-N 410.392 4.975 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(Cc3cccc(-c4cccnc4)c3)C3CC3)c1)OCOC2 533080907 AOCDSDCWFDLMNA-UHFFFAOYSA-N 417.465 4.688 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)c1C 534020205 YNNKMOLXPOEAHT-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3nnc(-c4cccnc4)n3C3CC3)co2)cc1 534791594 MRBBKDFPFCDIAV-UHFFFAOYSA-N 420.454 4.531 5 20 HJBD Cn1c(COc2ccccc2)nnc1Sc1ccc([N+](=O)[O-])c(-c2ccccc2)n1 534876590 KOLVYEZDFNNRBV-UHFFFAOYSA-N 419.466 4.516 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CC=C(C(F)(F)F)CC2)cc1 536034500 DZIPFBLIKYYRFQ-UHFFFAOYSA-N 422.359 4.730 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@H]1NCCc1nc(-c2ccncc2)cs1 539071070 UIEHMSVXHWJADN-PKOBYXMFSA-N 408.527 4.657 5 20 HJBD Cc1ccc(NC(=O)[C@H](N[C@@H](C)c2cnn(C(C)C)c2)c2ccccc2)c([N+](=O)[O-])c1 542572225 CVJWNHSJGDBJDD-HTAPYJJXSA-N 421.501 4.711 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NCc1csc(-c2ccccc2)n1 544198312 WYURIQPDWULNDC-UHFFFAOYSA-N 419.915 4.780 5 20 HJBD COc1cc(Br)ccc1-c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 545069280 WDRUZHNBMSUBET-VIFPVBQESA-N 422.210 4.707 5 20 HJBD Cc1cc(Cc2noc(-c3cncc(-c4ccc5c(c4)CCO5)c3)n2)ccc1[N+](=O)[O-] 545076543 AYVLFVPGSAGMCQ-UHFFFAOYSA-N 414.421 4.541 5 20 HJBD CCC(=O)Nc1cccc(-c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)c1 545566631 LACLZTJZATZSKV-UHFFFAOYSA-N 421.438 4.784 5 20 HJBD Cc1cc(Cc2noc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)nc(C)n1 545701285 LVNHEYLBUZVMEU-NTMALXAHSA-N 419.466 4.874 5 20 HJBD CC(=O)CCc1ccc(O[C@@H](C)c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cc1 547194206 CBSXVQPYFRYMCW-INIZCTEOSA-N 409.442 4.539 5 20 HJBD O=C1COc2c(cc(Cl)cc2NC(=O)c2cc3ccccc3c3cccnc23)N1 567788646 LPZWHNXAKLLBBB-UHFFFAOYSA-N 403.825 4.625 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Oc2ccc(Br)c(Cl)c2)cccc1[N+](=O)[O-] 571287919 KXNMZZKEDXDRFP-SNVBAGLBSA-N 413.655 4.725 5 20 HJBD O=C(Nc1c(O)cc(Br)cc1Cl)c1cc(Cl)cc([N+](=O)[O-])c1 572505376 KEKKMGSDGQGBCG-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@@H](c4ccc(F)c(F)c4)C3)cs2)c1 573272985 AGCFPZDRQDNKEY-LJQANCHMSA-N 417.437 4.570 5 20 HJBD O=C(NC1CCCC1)c1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 600859981 LJRHRLFCWYAKAC-UHFFFAOYSA-N 407.392 4.898 5 20 HJBD Cc1cc(O[C@H](C)C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)ccc1C(C)C 603753461 GJMPZXJOBGZJQW-OAHLLOKOSA-N 414.458 4.594 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2ccc3ncccc3c2[N+](=O)[O-])CC1 603840588 MULVUMHKMLAPHR-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)[C@H](C)c1cc2ccccc2o1 604445403 WJSAFVXSRBZLBV-OLZOCXBDSA-N 402.834 4.981 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCC(C)(C)CC2)cc1[N+](=O)[O-] 608882301 FLSLWMPWVNEZLF-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)o1 609019221 MNXZAHXWQYXIOP-INIZCTEOSA-N 423.454 4.862 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(N[C@@H](C)c3ccccc3)cc2)cc1[N+](=O)[O-] 609044113 BBIPIURTLKJCAC-INIZCTEOSA-N 405.454 4.958 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)cc1[N+](=O)[O-] 609107623 OSMXRLBJRJXYBQ-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CC1(CC(=O)Nc2nccs2)CCCCC1 609287312 UBEXNBMUUFZEIE-UHFFFAOYSA-N 416.503 4.668 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2CCc3ccc(F)cc32)cc1[N+](=O)[O-])c1ccccn1 609304212 MZKMVHZHDUTVFY-XOBRGWDASA-N 420.444 4.719 5 20 HJBD Cc1ccc([C@@H]2[C@H](C)CCCN2C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 609997885 ZEYRDGJKLGKXKU-QRQCRPRQSA-N 405.454 4.770 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N1CCC[C@H](c2cc3ccccc3[nH]2)C1 619804492 FJOJYOXZQPWCBN-SFHVURJKSA-N 416.485 4.739 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNc1ccc([N+](=O)[O-])cc1C(=O)NC1CC1 727432739 PPMAJIDWCGCLRZ-UHFFFAOYSA-N 408.483 4.531 5 20 HJBD CC(C)[C@H](CC(=O)OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1ccccc1 728771046 XMXPOBNBWIUQQK-NRFANRHFSA-N 411.502 4.579 5 20 HJBD CCN(CC)C(=O)c1ccc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])cc1 729188028 FHGONKQVLZIUCE-UHFFFAOYSA-N 418.449 4.963 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc([N+](=O)[O-])ccc1F 730502837 FTADXSWZGBOBHF-QFIPXVFZSA-N 406.413 4.578 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2cccnc2)cc1 735443654 PXBKPXVZAKMGOH-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=C(CCC1CCC1)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 749311405 RZWYLCHLTHDORH-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD COC(=O)c1cc(C(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 751113024 SOSSDQHNNZWRSW-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD CC(C)n1cc2cc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3Br)ccc2n1 755014526 UPEVAVXGDURXKI-UHFFFAOYSA-N 421.226 4.679 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 756735192 CKLVNBWZGYQSKF-GFCCVEGCSA-N 406.464 4.533 5 20 HJBD CC(C)[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Cl 763403195 FBDMKSICGSLNPI-FZKQIMNGSA-N 404.850 4.558 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H]2CCCN2C(=O)OC(C)(C)C)no1 769889758 RAVQTUICERRMSD-DOTOQJQBSA-N 416.478 4.786 5 20 HJBD O=C(N[C@H]1CC[C@H](Cc2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 783851922 SGBYBLLJPZSJIS-HIFRSBDPSA-N 421.266 4.638 5 20 HJBD COC(=O)c1cc(NC(=S)Nc2ccc(Cl)c(Cl)c2)c(C)c([N+](=O)[O-])c1 792684594 CEXFLLPERSOMTC-UHFFFAOYSA-N 414.270 4.806 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(OCC[C@H](O)c3ccccc3)cc2o1 808244577 GECJHJVYROFATP-QFIPXVFZSA-N 417.417 4.871 5 20 HJBD O=C(Nc1cccc(C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c1)c1ccc(F)cc1 813241967 IXBDOWNHUIGYKO-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)c1ccc(C(F)(F)F)nc1Cl 817869448 CKBKEOPSIHEBHJ-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD COc1ccc([N+](=O)[O-])cc1-c1nc(-c2ccc(COc3ccccc3)cc2)no1 904444223 MAUIPSFQRYANRM-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(OC)c(NC(=O)OC(C)(C)C)c1 915214917 SIPFSUVMACTZIT-UHFFFAOYSA-N 403.435 4.571 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nnc(-c2cccc(Cl)c2)o1 917264352 YRDBBMFXLVBOKZ-SNVBAGLBSA-N 413.773 4.962 5 20 HJBD O=[N+]([O-])c1ccccc1NCc1nnc(-c2cc(-c3ccncc3)nc3ccccc23)o1 1322731545 SKOGTTGLCYGIOU-UHFFFAOYSA-N 424.420 4.867 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H](c2nc3ccccc3s2)C1 8104664 QYHKEEXCFPWTOK-AWEZNQCLSA-N 413.524 4.703 5 20 HJBD Cc1ccc(NC(=O)N[C@H](C)c2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 47323288 OWIHWCYKXJANPW-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)[C@@H]2CCc3ccccc32)cc1[N+](=O)[O-])c1ccccn1 53301203 WLFXBDAAGHNQAT-OPAMFIHVSA-N 416.481 4.922 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2cccc(C)c2)cc1[N+](=O)[O-] 56001866 AHCCOLSXAWCTNN-SFHVURJKSA-N 400.500 4.509 5 20 HJBD COc1cc(C(=O)Nc2cc(C)ccc2C)c([N+](=O)[O-])cc1OCc1cscn1 57179177 JWAAUCDJLIBFIS-UHFFFAOYSA-N 413.455 4.508 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN(Cc3ccc(C(F)(F)F)cc3)C3CC3)n2)cc1 60843473 VSDUPYYXYJCMDR-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 64813614 HCQZIUGHZVZJIJ-GFCCVEGCSA-N 422.913 4.903 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1Cc2ccccc2[C@H](c2ccccc2)C1 65723196 IDSVIJXAHJDUCP-QHCPKHFHSA-N 411.461 4.724 5 20 HJBD COc1cc(OCC(=O)Nc2cc(Cl)c(Cl)cc2Cl)ccc1[N+](=O)[O-] 66017701 PWQBBWMFWSMAAV-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD Cc1cc(N[C@@H](C)c2cc(F)ccc2N2CCC(O)CC2)c2cccc([N+](=O)[O-])c2n1 302022585 OPQWMZHITYAKID-HNNXBMFYSA-N 424.476 4.725 5 20 HJBD CC[C@H](C)[C@@H](C)NC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 302954928 FMDMJYGAXGIYTA-DLBZAZTESA-N 406.486 4.541 5 20 HJBD C[C@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1ccc(OC(F)(F)F)cc1 426257325 PSMJPXJLPSLTGU-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD CCOc1cc(Br)c(F)cc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 427983072 JVLGWZDTNSJLFT-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD Cc1ccc(Sc2ccc(CN(C)Cc3nncn3C(C)C)cc2[N+](=O)[O-])cc1 430196008 KNGBLOQHDQMXMR-UHFFFAOYSA-N 411.531 4.859 5 20 HJBD C[C@H](Oc1ccc([N+](=O)[O-])c(CO)c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 431888872 YUZGYPLZGHJENT-VIFPVBQESA-N 410.213 4.584 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 433005210 KXGVRLPVZUDHRM-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccc(Sc3ccncc3)cc2)cc([N+](=O)[O-])c1 435371905 YBZLLZBNORHFLF-UHFFFAOYSA-N 423.450 4.570 5 20 HJBD C[C@H](OC[C@H]1CCCCO1)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435444061 UUXQHCZCZIWKLQ-DZGCQCFKSA-N 422.528 4.720 5 20 HJBD CC(C)c1nc(-c2ccccc2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n[nH]1 436154515 PQAFYHGUUZVZED-UHFFFAOYSA-N 423.476 4.842 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCC2CCN(CC(F)(F)F)CC2)s1 436406609 IIIUMKUZJPWMQI-UHFFFAOYSA-N 413.465 4.687 5 20 HJBD COC(=O)c1sc2cccc(F)c2c1COc1ccc(C(C)=O)cc1[N+](=O)[O-] 440857171 FEDQEWJZGRLFLA-UHFFFAOYSA-N 403.387 4.517 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2ncc3n2CCCC3)c1 444533438 JPIOXSWPPAROGR-UHFFFAOYSA-N 419.485 4.625 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC=C(c2c(C)[nH]c3ccccc23)CC1 444920696 GPYURUBBIRPBTE-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD C[C@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)[C@@H](OC1CCOCC1)c1ccccc1 447588943 VYDDHNFKINONNK-PKKBDPGCSA-N 410.514 4.887 5 20 HJBD CCn1c(-c2cccc([N+](=O)[O-])c2)nnc1N(Cc1ccco1)Cc1cccs1 460280796 DJCYSZQJOFQWFI-UHFFFAOYSA-N 409.471 4.735 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(Cc2nnc3n2CCCCC3)cc1 463743190 ARUALWVMWREJAN-UHFFFAOYSA-N 421.501 4.514 5 20 HJBD Cc1sc(S(=O)(=O)N(Cc2cccs2)c2ccc(F)cc2)cc1[N+](=O)[O-] 466967037 KTZCAYHTZRERDR-UHFFFAOYSA-N 412.489 4.561 5 20 HJBD CCC[C@@H](NC(=O)c1cc(OC)c(OCC)cc1[N+](=O)[O-])c1ccc(F)cc1F 469212927 RGHBAEATHCBPKK-MRXNPFEDSA-N 408.401 4.552 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2cc(Br)ccc2Br)c(F)c1 479613260 NPJQJPZUXDKVJJ-UHFFFAOYSA-N 418.060 4.549 5 20 HJBD O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 481485493 XUGYTRYWXCLPNP-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD Cc1ccc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])cc1-c1ncco1 481886341 AUDNMSHGZNQKPP-UHFFFAOYSA-N 421.331 4.752 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)c1ccn(-c2ccccc2[N+](=O)[O-])n1 482275741 YJNGLRWESSAGKW-UHFFFAOYSA-N 410.499 4.983 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc(Cl)cc2)C2CC2)cc1SC 482408940 PJJJCFUFAQLIQA-UHFFFAOYSA-N 406.891 4.784 5 20 HJBD CC(C)c1c(NC(=O)CNc2ccccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 482743466 DRLRCJINGDOCOZ-UHFFFAOYSA-N 413.865 4.608 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CC[C@@H](c2ccccc2F)C1 482905042 SPUCDEKDUFBQAK-HUUCEWRRSA-N 418.490 4.539 5 20 HJBD O=C(Nc1ccc(OCc2ccncc2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482957186 KOEPEYTTZALZOI-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3SCCOC)sc2C1 483247945 TZFBQQIEPNNODK-GFCCVEGCSA-N 421.544 4.557 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(Oc3ccc(C)cc3)nc2)c([N+](=O)[O-])cc1OC 483960333 VDBKEPNXEGLIPP-UHFFFAOYSA-N 423.425 4.750 5 20 HJBD CSc1cccc(C(=O)NCC2(N[C@@H](C)c3ccccc3)CCCC2)c1[N+](=O)[O-] 486209209 CUZUIRMBYBLFPQ-INIZCTEOSA-N 413.543 4.710 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2c(C)cc(C)cc21 486973095 DROGSPQGNBOSLE-UHFFFAOYSA-N 400.500 4.543 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(Cc2cccc(F)c2)s1 487063435 RSLQALPNBVNVHY-UHFFFAOYSA-N 418.475 4.541 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc([S@@](C)=O)c(F)c2)cc1[N+](=O)[O-] 495298579 CLMLYECXIWIHRD-MUUNZHRXSA-N 424.519 4.862 5 20 HJBD COc1cc(C(=O)N[C@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 500756320 BUIDBPQSNJJQHJ-MRXNPFEDSA-N 404.438 4.658 5 20 HJBD COCC[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccco1 505653619 FMKYBULMCJVVGT-GOSISDBHSA-N 412.467 4.847 5 20 HJBD CCN(Cc1c(F)cccc1F)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 505916044 WSCSRDDUJNTYHB-UHFFFAOYSA-N 403.410 4.659 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1ccc(F)c(Cl)c1 507012813 DTLIAQBFNBFSQV-UHFFFAOYSA-N 422.787 4.815 5 20 HJBD Cc1cc(C)c(NC(=O)CN(C)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C)c1 507441404 NGUBFDQBNNRKKZ-UHFFFAOYSA-N 424.526 4.714 5 20 HJBD Cc1cc(Cl)c(C(=O)N[C@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1C 510349215 UPLZYSWQYASHOR-GOSISDBHSA-N 418.877 4.678 5 20 HJBD Cc1c(I)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2ccoc2c1 510398607 SKTQEQPBGULPEF-UHFFFAOYSA-N 422.178 4.506 5 20 HJBD COc1ccc(Br)cc1[C@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 510585624 VMKKCFRDLASHFQ-MRXNPFEDSA-N 420.263 4.735 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 512761984 LSZQVBGZMSZMGV-UHFFFAOYSA-N 420.444 4.957 5 20 HJBD COc1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)c([N+](=O)[O-])cc1OC(F)F 515510967 ZTFXYAFEYNYZCN-INIZCTEOSA-N 410.392 4.551 5 20 HJBD Cc1c(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cnn1-c1cccc(C(F)(F)F)c1 515681997 VZEHDEDSUFLYEQ-LBPRGKRZSA-N 418.375 4.599 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 517112561 UPWOTUBWRBAKFN-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD O=C(NCCOCC1CC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 518657029 WNRLLXFRDZDEBM-UHFFFAOYSA-N 406.891 4.556 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 522859647 NMPDLZHUEBEHJL-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCSC[C@@H]2c2ccc(Cl)cc2)c1 523847230 OYMZDHNRWGGWPM-QGZVFWFLSA-N 408.932 4.900 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C)c(NC(=O)Nc2ccccc2)c1 525431086 OFQWCTHQCSPEDQ-UHFFFAOYSA-N 420.425 4.808 5 20 HJBD Cc1nc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Br 537506811 CKSNCXQWECDWCT-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD CCOC[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 537624471 KNLYXQPPGQWNCI-AWEZNQCLSA-N 423.307 4.866 5 20 HJBD CC(C)CO[C@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539355708 OIKSBUSPAMCAFM-OAHLLOKOSA-N 405.520 4.768 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCC(=O)C[C@@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539541400 CZDNNNHEEJRNPJ-GOEBONIOSA-N 415.515 4.713 5 20 HJBD Cn1c(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)nc2ccccc21 541605794 NDXWQYRCAZLRAR-UHFFFAOYSA-N 424.526 4.694 5 20 HJBD C[C@@H](C(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])cc1F 544403594 GAHCJGDZWCCXOC-GFCCVEGCSA-N 413.430 4.790 5 20 HJBD C[C@@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 544468030 GIGLDIDPHDBFIQ-CHWSQXEVSA-N 414.849 4.581 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(Cc3ccccc3F)no2)cc1[N+](=O)[O-])c1ccccn1 544929684 OOJLCASEJOPAAW-CQSZACIVSA-N 419.416 4.943 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)cc1 547195604 RGVWMBXUMPBEEV-HQOGMNCSSA-N 413.817 4.636 5 20 HJBD CC[C@@H](CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1ccccc1Cl 552049303 SRRLPVSRLBKZBX-PXNSSMCTSA-N 414.893 4.518 5 20 HJBD COc1ccc([C@H](C)NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c(C)c1OC 583432861 DNAGTZVQNCTJQU-LBPRGKRZSA-N 424.906 4.543 5 20 HJBD C[C@H](Cc1cccs1)N(Cc1ccc(Cl)cc1)C(=O)c1cc([N+](=O)[O-])cn1C 592996378 CPMITFKDCBZXNL-CQSZACIVSA-N 417.918 4.922 5 20 HJBD Cc1c(Cl)cccc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 603643608 QDEJIJITTOWCTF-QGZVFWFLSA-N 419.865 4.761 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C/c3ccc(-c4ccc([N+](=O)[O-])cc4)o3)nc2c1 603784818 RQSAMDCGBFSAHI-XYOKQWHBSA-N 424.434 4.960 5 20 HJBD O=C(N[C@H]1CCC[C@H]1Cc1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609975051 DOBSPXPDBAWXCK-YJBOKZPZSA-N 422.403 4.677 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC[C@@H]1c1cccc(Cl)c1 610061025 MLHVGEJOGUZUCJ-MRXNPFEDSA-N 409.667 4.988 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660463 TXUIEAMFPZAUNG-UHFFFAOYSA-N 419.403 4.503 5 20 HJBD Cc1c(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cccc1C(=O)Nc1ccccc1 617714034 NNYBRWTXAPDNRT-OAHLLOKOSA-N 403.438 4.898 5 20 HJBD CC(=O)c1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)c(F)c1 728489192 SXCORQKBBZAAMV-ZDUSSCGKSA-N 423.400 4.724 5 20 HJBD CC[C@H](C)Oc1ccccc1/C=C/C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 729825950 DQUOTOSWWBYEHN-FEAKQIBJSA-N 412.442 4.585 5 20 HJBD CCc1ccc(OC(=O)CCc2ncc(-c3ccc(F)cc3F)o2)c([N+](=O)[O-])c1 734737822 SCTMLEIZZDSAFE-UHFFFAOYSA-N 402.353 4.629 5 20 HJBD CC(C)Oc1cccc([C@H](O)CNC(=O)c2cc3ccccc3c3cccnc23)c1 735119666 BZEATWZMCWXLES-HSZRJFAPSA-N 400.478 4.639 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1)C(C)C 735167775 FTLMWDOTJTULNA-UHFFFAOYSA-N 404.854 4.649 5 20 HJBD Cc1cc2cc(C(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)oc2cc1C 745584790 VTLPWSNHWFCGAI-CYBMUJFWSA-N 407.382 4.926 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccccc1OCc1cn2ccccc2n1 746080361 WNLQVXZSYIDIOE-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCOCC2)c1)c1c2ccccc2cc2ccccc12 746515798 XLWKYBWDKDGCNG-UHFFFAOYSA-N 410.473 4.718 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2)cs1 748612777 DKWPQRRKZXWIJD-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD CCc1ccc([C@H](Nc2cc(OC)c(OC)c(OC)c2)c2ccc([N+](=O)[O-])cc2)o1 753702878 ATZJDILGUCUHPC-OAQYLSRUSA-N 412.442 4.978 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757957440 JDBQUGRWSVJETB-SECBINFHSA-N 408.197 4.870 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC1 758304069 AKXWXDPPTUVQLA-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(-n3cnc4ccccc43)nc2)no1 762566392 PRZYHFBHVBYMDY-CQSZACIVSA-N 412.409 4.531 5 20 HJBD Cc1cccc([C@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)c1 765733936 GMVOQQDPXUVNEU-FQEVSTJZSA-N 410.861 4.540 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc2nc(C(F)F)[nH]c2c1 776136313 JEBLEKKRONULGL-GOSISDBHSA-N 424.363 4.750 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CN3CCC[C@H]3c3nc4ccccc4s3)c2)c1 777951759 TWLJVSMDKDOQFJ-IBGZPJMESA-N 405.483 4.863 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCN(C(=O)c2cc3ccccc3c3cccnc23)C1 779013887 NFYQZSWZMKDIIP-WMZOPIPTSA-N 419.525 4.763 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1OC[C@H]1CCCCO1 790878155 UWTNBJHYVCLXCK-CQSZACIVSA-N 422.840 4.516 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 792873330 RWWBJVAWMHWBNR-MRXNPFEDSA-N 421.885 4.654 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc([C@H](F)c3ccc(C(F)(F)F)cc3)no2)cc1F 801001583 LATYKJYLQIQRKW-OAHLLOKOSA-N 415.274 4.774 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(C2(O)CCCCC2)s1 809775183 FJCVQZJLLMVHKN-UHFFFAOYSA-N 407.517 4.567 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@@H]1C[C@@H](O)c1ccc(F)cc1 809920206 VGHSSGDGIBBKLG-RHSMWYFYSA-N 407.829 4.507 5 20 HJBD O=[N+]([O-])c1ccc2oc(/C(Cl)=C/c3cn4ccc(Br)cc4n3)nc2c1 811692536 XIDLQBZCQXTXNB-SDQBBNPISA-N 419.622 4.883 5 20 HJBD O=C(NCc1ccnc(OC2CCCC2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812916961 LDWQQJPRGICMJQ-UHFFFAOYSA-N 410.257 4.548 5 20 HJBD Cc1cc(C)n(-c2ccccc2CNC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 813057623 NTLQGNNXKOMYQH-UHFFFAOYSA-N 419.268 4.634 5 20 HJBD C[C@H](COC(=O)C(C)(C)c1csc(-c2c(Cl)cccc2Cl)n1)[N+](=O)[O-] 813112301 PDVCVHGTFVTNRQ-SECBINFHSA-N 403.287 4.603 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1Cl 817862558 KVPGJYWZCVYMAC-NXEZZACHSA-N 401.772 4.643 5 20 HJBD CC(C)(C)c1ccc(C(=O)COC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 844239178 ASUGZGUBVURNOZ-UHFFFAOYSA-N 424.497 4.535 5 20 HJBD COc1cc(C=O)c([N+](=O)[O-])cc1OCC(=O)Nc1c(C(C)C)cccc1C(C)C 915665123 IUJUFFAZWCTGTP-UHFFFAOYSA-N 414.458 4.680 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)ccc1F 918863317 GKWOJEYNFGWCFL-JTQLQIEISA-N 405.769 4.664 5 20 HJBD Cc1sc(NC(=O)c2cnn(C3CCCCC3)c2)nc1-c1cccc([N+](=O)[O-])c1 1116029350 GSCWGHYCNPSLFB-UHFFFAOYSA-N 411.487 4.981 5 20 HJBD CC(C)(Oc1ccccc1)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 1252172974 PIGMJLHLGZGGJQ-UHFFFAOYSA-N 420.421 4.610 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3cc4c(s3)CCCCCC4)o2)cc([N+](=O)[O-])c1 1326585277 XBHGTKJTARQWJC-UHFFFAOYSA-N 400.416 4.941 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1341361670 IWYPAFBKAYMGFJ-UHFFFAOYSA-N 402.838 4.535 5 20 HJBD CCc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)n(C)n1 1350993676 KLXWXYLHNHOAJX-UHFFFAOYSA-N 416.890 4.948 5 20 HJBD C[C@]1(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CC=CCC1 1791989758 XDXGBQNTLJYVLH-QFIPXVFZSA-N 423.469 4.682 5 20 HJBD COc1ccc(NC(=O)CSCc2c(Cl)cccc2Cl)c([N+](=O)[O-])c1 10109750 HXMBJNBZSRYKQT-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD Cc1cc(OCCCC(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)ccc1Cl 10964485 HYEMLTVQLSMZMZ-UHFFFAOYSA-N 420.849 4.516 5 20 HJBD COc1ccc2c(c1)CCCN2C(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 11273062 GTRBUWMLGOUWIT-UHFFFAOYSA-N 424.478 4.690 5 20 HJBD O=C1c2ccccc2N[C@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1C[C@H]1CCCO1 15503576 QHMMJPXYGAAMOJ-VGSWGCGISA-N 419.437 4.600 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCC(c4c[nH]c5ccccc45)CC3)o2)cc1 22277075 VWUHRYQICRGLCS-UHFFFAOYSA-N 403.442 4.506 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COc3ccc([N+](=O)[O-])cc3C=O)ccc21 27391212 PGTHKTMKNWEROW-UHFFFAOYSA-N 417.421 4.553 5 20 HJBD Cc1c([C@H](C)NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)oc2ccccc12 46649707 XOQZTLIWSCRXGW-INIZCTEOSA-N 407.470 4.743 5 20 HJBD CCOc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1Cl 46668911 BFKKAIGIERHOSU-UHFFFAOYSA-N 406.869 4.846 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cc1Cl 56274033 TYWUKEBTPCSQPS-ZDUSSCGKSA-N 411.241 4.712 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccc(Br)cc1)C1CCC1 63069544 WBINEALCSCGEKH-GOSISDBHSA-N 418.291 4.698 5 20 HJBD Cc1cc(C)c(-c2csc(NC(=O)CSc3ncccc3[N+](=O)[O-])n2)cc1C 65693190 HMACUIQNGUVQEN-UHFFFAOYSA-N 414.512 4.769 5 20 HJBD Cc1cc(Cl)cc2c1N(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)CCC2 97576090 YKRLQBCQDNYQAG-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)cs1 109188690 SNBRKDNYHRKHDS-UHFFFAOYSA-N 413.503 4.550 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 303434439 DAMKPMHQEKCJIE-CVEARBPZSA-N 416.359 4.746 5 20 HJBD O=C(NCc1ccnc(OC2CCCCC2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426283839 ZVAFKHWOTLMPQP-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nnc(Cc3ccc(Cl)cc3)s2)c1 426295886 OWJMCACVWNQDNB-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD O=C(O)C[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccsc1 426696188 QVOISTVFRDYQLU-QGZVFWFLSA-N 416.430 4.893 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccccc1-c1cc(F)c(F)c(F)c1 427397874 IZDRMCANWNCOQS-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 427486278 IJESIALOMXHPPZ-UHFFFAOYSA-N 422.510 4.875 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1cc(Br)cc([N+](=O)[O-])c1 431769715 IVSFLEONWCDHIG-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c(Br)c1 432535868 SOJPADJURUTZDC-UHFFFAOYSA-N 418.291 4.827 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N(Cc1ccc(F)cc1F)C1CC1 436109231 KAIFWFUVBCEHBC-UHFFFAOYSA-N 404.416 4.764 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(Cl)cc2C(=O)N2CCCC2)cc1[N+](=O)[O-] 439108031 NRHPWWSJGDTFOK-UHFFFAOYSA-N 415.877 4.860 5 20 HJBD CSc1cccc(C(=O)Nc2cc3c(cc2Br)CCC3)c1[N+](=O)[O-] 443857820 JAVKRFWMDPWWFA-UHFFFAOYSA-N 407.289 4.820 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 443870145 SBSCFCXFWNCVQD-MRXNPFEDSA-N 406.364 4.617 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(/C=C\c3cc(C(F)(F)F)ccc3Cl)n2)n1C 445599437 GOCRAJOEVWDEPJ-UTCJRWHESA-N 413.743 4.529 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN(c2cccc3cnccc23)C[C@H]1C 446313953 UENPKDKIUMEIBL-MRXNPFEDSA-N 419.485 4.502 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@@H](C)NC(=O)Nc1ccc([N+](=O)[O-])cc1C 446483861 FKEABHPUDKFCCC-SJKOYZFVSA-N 422.279 4.563 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NCc2cc(OC)c(F)cc2[N+](=O)[O-])cc1Cl 464095162 VRHDQCGJEHOOMX-UHFFFAOYSA-N 423.872 4.926 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc([N+](=O)[O-])cc1F 464267185 PMMAGGWBJAFOJM-INIZCTEOSA-N 415.421 4.804 5 20 HJBD Cc1cc(F)ccc1-c1nc(C(C)(C)C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)cs1 464274756 WIRCGMLEYTVRFZ-UHFFFAOYSA-N 414.462 4.786 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)CSc1nnc(-c2ccc(Cl)cc2)o1 464722332 DUBIEIJPJJUUQS-UHFFFAOYSA-N 418.862 4.591 5 20 HJBD Cc1ccc(C[C@H](NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 467232012 VLTJLXNTEDRPAG-NRFANRHFSA-N 424.888 4.735 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCCSc2ccc(F)cc21 471239387 RCTQMSQAQRZMRR-UHFFFAOYSA-N 418.493 4.823 5 20 HJBD O=C(Nc1ccc(Cl)cc1-n1cccn1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 471903870 XUVYTJUCVUWYTM-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD CN(C)c1ccc(-c2cncc(C(=O)Nc3ccc4cc([N+](=O)[O-])ccc4n3)c2)cc1 471920851 BYJGIMLIKSYETP-UHFFFAOYSA-N 413.437 4.523 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3ccc([N+](=O)[O-])cc3Cl)c2)cc1 473459320 BOJKKAGOWULBQD-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD Cn1cc(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)c(-c2ccc(Cl)s2)n1 475899527 SMQSNVHTTBSWMJ-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])CCC2 478295426 XJKQAYJKDTZMBG-BXUZGUMPSA-N 423.922 4.570 5 20 HJBD O=C(NCc1ccc(F)cn1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 478542782 ZWGGCQGZEYIEDA-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD O=C([C@H]1C[C@H]1c1ccc(OCc2ccccc2)cc1)N1CCc2cc([N+](=O)[O-])ccc21 480234432 RVLZZQSLAXBTPF-GOTSBHOMSA-N 414.461 4.867 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 481446249 SGRNLEIEEUJTTL-YJYMSZOUSA-N 401.850 4.769 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H]1CCCC[C@H]1C(F)(F)F 482231618 ZJNXNPZRESEVMA-WCQYABFASA-N 408.804 4.502 5 20 HJBD CC(C)[C@@H](CC(F)(F)F)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485686010 IECGMWOBSLACTL-QGZVFWFLSA-N 410.392 4.881 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 486199126 WSVZIIBYDWUQDK-OAHLLOKOSA-N 424.526 4.911 5 20 HJBD CN(Cc1nccn1C(F)F)C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 487000458 OXHRINNJHRXURV-UHFFFAOYSA-N 418.425 4.610 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)o2)cc1 488847790 AAVWIOSIESDPQU-CYBMUJFWSA-N 412.471 4.888 5 20 HJBD CC(C)n1nc(-c2ccccc2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 498173131 KAUSTZUUMXMUDK-UHFFFAOYSA-N 416.384 4.893 5 20 HJBD COCC1(CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCC1 501914998 LHNAVTDPDLKAAK-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD COc1cc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(C)C 502619433 PRSYOEYVAPDFBH-LBPRGKRZSA-N 407.854 4.927 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(CCO)Cc3ccc(Cl)c(Cl)c3)co2)cc1 503626556 HCZGPCORPKENOZ-UHFFFAOYSA-N 422.268 4.551 5 20 HJBD CC(C)(C)c1ccc(-c2nc(CC(=O)Nc3ccccc3Cl)no2)cc1[N+](=O)[O-] 504343222 MQQKXECNBACFAJ-UHFFFAOYSA-N 414.849 4.777 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](Oc2ccccc2Cl)C1 504692061 LUVLSUHOEIKUGB-OAHLLOKOSA-N 400.818 4.678 5 20 HJBD Cc1c(NC(=O)Cc2csc(Cc3cccc(Cl)c3)n2)cccc1[N+](=O)[O-] 508345488 VIEINCRMINZWPX-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)[C@H](C)c3cccs3)cc2[N+](=O)[O-])C1 512650769 GWZUNGSNVGNHMC-OWCLPIDISA-N 401.532 4.972 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1cccc(C(F)F)c1)c1ccccc1[N+](=O)[O-] 513922339 PICZRTMAEFYHFY-MRXNPFEDSA-N 421.400 4.737 5 20 HJBD Cc1nc(COc2ccccc2-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cs1 514690620 IFRPBUMVGIDVDD-UHFFFAOYSA-N 422.466 4.888 5 20 HJBD CC(C)[C@H](Oc1ccccc1Br)C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 515675529 KWBDNYHXZUADBM-ACJLOTCBSA-N 421.291 4.638 5 20 HJBD Cc1cc(N2CCCCCC2)ccc1NC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 516775347 MBJYPBMQIMKPES-UHFFFAOYSA-N 406.486 4.877 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1ccc(Oc2ccccc2Cl)cc1 518903302 APUWWWDQZQZMQC-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)c1nc(CC(=O)Nc2cc(Cl)cc(Cl)c2)no1 519734828 DYMHZPJLTKJYPJ-SNVBAGLBSA-N 421.240 4.618 5 20 HJBD C[C@H](Nc1ccc(F)c(C(F)(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 520850172 KJSWPWJBLIIPJU-QMMMGPOBSA-N 405.735 4.845 5 20 HJBD COCc1ccccc1CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522709017 TYBCZOGXLWVLQR-UHFFFAOYSA-N 407.426 4.855 5 20 HJBD COc1ccc(CC(=O)N(Cc2cc(F)ccc2F)c2ccccc2)cc1[N+](=O)[O-] 523891347 VRYAWKACFYZVKK-UHFFFAOYSA-N 412.392 4.658 5 20 HJBD CC[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525796813 OQUKATUWZUTSQH-WOJBJXKFSA-N 404.470 4.579 5 20 HJBD O=C(Nc1cccc2c1CN(c1ccccn1)C2)c1cc2cc([N+](=O)[O-])ccc2s1 533841671 PXYDRDMQAWTRDO-UHFFFAOYSA-N 416.462 4.977 5 20 HJBD C[C@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)N1CCCc2ccccc21 534794920 VPAAUOHVLNUMTQ-HNNXBMFYSA-N 423.494 4.851 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 536477513 UIGGYCDXWUTMLC-UHFFFAOYSA-N 420.469 4.525 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)Nc1ccc([N+](=O)[O-])c(OC(F)F)c1 537570512 SIXFSWGENGFLTK-MRVPVSSYSA-N 408.327 4.745 5 20 HJBD Cc1cc(C(=O)NC(C)C)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 539361557 ZLUQOEPBMOYLDB-UHFFFAOYSA-N 415.515 4.794 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3ccnc(-c4ccccc4)c3[N+](=O)[O-])CC2)c1 539435349 WDHUWJQFZVLXJM-UHFFFAOYSA-N 416.481 4.682 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](CCc1ccccc1)c1ccccc1 540376520 QWGUDQNLRSZGEX-NRFANRHFSA-N 419.481 4.506 5 20 HJBD CCCc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cnn1-c1cccc(F)c1 544294858 JCRDUIADTIABIE-UHFFFAOYSA-N 416.840 4.707 5 20 HJBD Cc1cc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)ccc1NC(=O)CC(C)C 545071340 SFOTVTHDLMNNQP-UHFFFAOYSA-N 408.458 4.837 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc(CSCc2coc(-c3ccccc3)n2)n1 546945061 UOOPKORGZLXYQL-UHFFFAOYSA-N 408.439 4.657 5 20 HJBD COc1ccccc1-c1nnc(SCc2cc([N+](=O)[O-])ccc2C(F)(F)F)o1 558806942 GNLFSHJVHULIBS-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD CN(C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@H]1CC[C@@H](C(F)(F)F)CC1 561802651 BPPQODQBPFLIOR-AOOOYVTPSA-N 411.327 4.781 5 20 HJBD CCN1CCC(CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 563868891 XJBGOJKHFKUDCX-UHFFFAOYSA-N 403.910 4.862 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1 568452475 ZPTLLJYQPRFKGU-AWEZNQCLSA-N 412.421 4.849 5 20 HJBD COc1ccc(C(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)cc1 590836119 NQUKMKTWTKCULQ-UHFFFAOYSA-N 408.376 4.722 5 20 HJBD Cn1c([C@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc2ccccc21 604338701 RPMKBFALYSKFLA-GOSISDBHSA-N 404.426 4.595 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1cccc(CSc2ccccn2)c1 609207939 XYLDJPUQETVXEF-UHFFFAOYSA-N 408.483 4.646 5 20 HJBD C[C@H](C(=O)Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1ccsc1 609972196 MWYAARANWZASBB-ZDUSSCGKSA-N 408.439 4.844 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])NCC1(c2ccc(Br)cc2)CCCC1 609980423 NXAIZFUQQUYAAL-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD O=C(NC[C@@H]1CCC[C@@H](O)C1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610198424 DGFKZACINMMTLV-CZUORRHYSA-N 420.918 4.680 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)cc2)oc1C 610900035 XIGNTYMANLPEGF-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 611284236 RBZSKIRUDKCVDD-HXUWFJFHSA-N 417.387 4.905 5 20 HJBD O=[N+]([O-])c1ccc(NCc2cccc(OCc3ccccn3)c2)c(OC(F)F)c1 612778825 ZFALBLDQUBBRQS-UHFFFAOYSA-N 401.369 4.782 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(OCc2ccc(F)cc2)CC1 613295530 QVWQTIKKUKSRAG-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCC[C@@H]3c3cc(F)cc(Br)c3)c2c1 613431251 HPDBXOQTDQLZPM-QGZVFWFLSA-N 417.238 4.781 5 20 HJBD CCCOc1cc(NC(=O)c2c(C)noc2C(C)C)c([N+](=O)[O-])cc1OCCC 619206710 NXCYBVQYGYQPTG-UHFFFAOYSA-N 405.451 4.845 5 20 HJBD CC(C)C[C@@H](C)CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 727881642 LHXQSZQHAZTEOE-NEPJUHHUSA-N 404.385 4.556 5 20 HJBD COc1cc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)cc2c1O[C@H](C)C2 728166037 USWDUEQSNBOWMJ-GFCCVEGCSA-N 416.861 4.637 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2csc(-c3ccc(C)o3)n2)cc1SC 728649252 HYKAXBPYQRVPIX-UHFFFAOYSA-N 420.468 4.707 5 20 HJBD COc1ccc(CC(=O)O[C@@H](c2ccc(Br)cc2)C2CC2)cc1[N+](=O)[O-] 734727757 PMEHGCJPCQEOHI-LJQANCHMSA-N 420.259 4.603 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444459 WDLANKGDDBHBDL-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD C[C@@H](OC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)o1 741315572 DBSWOKDCDSCAEH-CQSZACIVSA-N 418.409 4.568 5 20 HJBD Cc1nc(S[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)sc1C 741859084 YNWKVTDOUMFVMW-LLVKDONJSA-N 420.541 4.911 5 20 HJBD C[C@H](Nc1ccc(NC(=O)CN2CCCCCCC2)cc1)c1ccccc1[N+](=O)[O-] 743474543 KWQKBTRTXYOBMB-SFHVURJKSA-N 410.518 4.973 5 20 HJBD COc1ccccc1CN(Cc1c(F)cccc1[N+](=O)[O-])c1ccc2nc(O)[nH]c2c1 744408992 IGMYNNJGKMECBF-UHFFFAOYSA-N 422.416 4.531 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CC2(CCCC2)c2c(F)cccc21 749151037 PYKUEMKMXXBKEN-UHFFFAOYSA-N 419.250 4.969 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2cc(Cl)ccc2n1C 754337330 OPYARJXVCGDOAI-QWRGUYRKSA-N 405.813 4.682 5 20 HJBD Cc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnc(Cl)c1Br 759286015 XWCKHTCQFPMOJY-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)Nc2ccc([N+](=O)[O-])c(C)n2)n1 762337990 NTCSBQSXLNQGAG-UHFFFAOYSA-N 400.485 4.608 5 20 HJBD CC(C)(C)N1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 763962886 UAJOLMQZZQBLSV-UHFFFAOYSA-N 405.564 4.622 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764176892 YBWOPDCAEVXHFM-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CC(C)c1ccc(-c2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3F)o2)cc1 768351830 HADNRSVFUFHSPR-UHFFFAOYSA-N 416.434 4.638 5 20 HJBD CCn1c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc2ccc(Br)cc21 770897856 AWWFNTWGDOTDKQ-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 776130621 BJBJXSSTDFQLEP-SFHVURJKSA-N 402.328 4.955 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2C[C@H]3CC[C@H]2C3)cc1)c1cc([N+](=O)[O-])ccc1Cl 783505392 HPVXRNMSIIHVQZ-GDZNZVCISA-N 414.845 4.842 5 20 HJBD CC(C)c1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])o2)cc1 789920600 ORYAUBUFIGAGSY-UHFFFAOYSA-N 400.416 4.969 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OC[C@H](O)c1ccc(C(F)(F)F)cc1 790137375 ACWNPLNJMXFWBQ-ZDUSSCGKSA-N 424.144 4.628 5 20 HJBD COc1ccc(OC(=O)c2cc(-c3cc(C)oc3C)nc3onc(C)c23)cc1[N+](=O)[O-] 792120075 YMFPRIOLGATBMG-UHFFFAOYSA-N 423.381 4.544 5 20 HJBD Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812954966 HLUWPNFWGPGSTJ-UHFFFAOYSA-N 422.268 4.698 5 20 HJBD C[C@H]1CN(C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])C[C@@H](c2ccsc2)O1 813480002 GJYSJAQQJNALQE-XPTSAGLGSA-N 401.271 4.565 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1nnc(-c2ccc(Cl)cc2Cl)o1 920498026 XGLNNORUFDLXHT-BAQGIRSFSA-N 420.208 4.708 5 20 HJBD COc1ccc(C(NC(=O)Nc2ccc([N+](=O)[O-])cc2)c2ccc(OC)cc2)cc1 1115843411 HAZWOOVMJDUHNO-UHFFFAOYSA-N 407.426 4.523 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 1322957845 OFARQHBCVROPKF-UHFFFAOYSA-N 423.828 4.916 5 20 HJBD CCN(CC)C1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 1324050309 OPYQQACBQORCAX-UHFFFAOYSA-N 413.543 4.692 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1-c1nnc([C@@H](C)Oc2cccc(Br)c2)o1 1326150344 LVBYSISFUFKCHP-LLVKDONJSA-N 404.220 4.856 5 20 HJBD O=C(Nc1nc(-c2cccc(Br)c2)cs1)c1ccccc1[N+](=O)[O-] 6222342 KOYPUEGUUCTSLW-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@H](SCC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2[nH]1 10376357 OAELNMLKGFEDMP-LBPRGKRZSA-N 418.906 4.577 5 20 HJBD CC(C)CCCNC(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 25328209 AYBLPKVBVOEUFP-UHFFFAOYSA-N 406.486 4.542 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3cccc(NC(N)=O)c3)cc2[N+](=O)[O-])cc1 29148122 HUDWIOOLEIWALC-UHFFFAOYSA-N 422.466 4.797 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)Nc3cccc([N+](=O)[O-])c3C)c2)cc1 48053217 AIPIVPQRKDDYMW-UHFFFAOYSA-N 418.453 4.786 5 20 HJBD Cc1cc(C(=O)Nc2nc(-c3ccccc3OC(F)F)cs2)ccc1[N+](=O)[O-] 110601213 QTAYBSDQIDYXIB-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CCc1cnc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 237016373 WDZHZMVCVNALLI-UHFFFAOYSA-N 422.304 4.926 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3cccnc3)n2Cc2ccco2)c(Cl)c1 301112021 WPJHMWSWDXTROB-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N1CCC(Nc2ccccc2)CC1 301354740 LXQDCYWODSWDDX-UHFFFAOYSA-N 410.352 4.603 5 20 HJBD CCN(C(=O)CCn1nc(C)c([N+](=O)[O-])c1C)[C@@H](c1ccccc1)c1ccc(F)cc1 303256232 CAGUCMCVZQQNDG-QHCPKHFHSA-N 424.476 4.575 5 20 HJBD CC1CCN(c2ccc(C(=O)N3c4ccccc4N(C)CC[C@@H]3C)cc2[N+](=O)[O-])CC1 303846683 CQEYVKBMOAOEOV-SFHVURJKSA-N 422.529 4.706 5 20 HJBD CCn1cccc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)c1=O 433208329 NAMWXVWHWAQYRW-UHFFFAOYSA-N 413.861 4.512 5 20 HJBD C[C@@H](Oc1cccc2ccccc12)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 435813945 OFFWUWJYVSDDHK-QGZVFWFLSA-N 420.465 4.585 5 20 HJBD COc1cccc(C(=O)Nc2sc3c(c2C(=O)c2ccccc2)CCC3)c1[N+](=O)[O-] 435920331 IQPLINZLWVMSHB-UHFFFAOYSA-N 422.462 4.637 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OC 436091276 ILSXIDMBIHCELO-HNNXBMFYSA-N 416.477 4.580 5 20 HJBD O=C(Cc1csc(-c2cccc(F)c2)n1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437114289 JEQUHUMGUVXMPV-UHFFFAOYSA-N 411.458 4.591 5 20 HJBD CC1(C)CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC[C@@H]1O 438533229 LNJSQCLKVQLHOQ-KRWDZBQOSA-N 420.918 4.632 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2c(C)cc(Cl)cc2[N+](=O)[O-])c(C)c1 439114069 GETDBBJUQNQLLK-ZDUSSCGKSA-N 403.866 4.646 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 439640622 DCBKMBRJPXRSSB-LLVKDONJSA-N 401.271 4.647 5 20 HJBD CCOC(=O)c1c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])sc(C)c1C 443809594 NGMUMZLRHDFADE-UHFFFAOYSA-N 416.377 4.721 5 20 HJBD O=C(Nc1ccc(-c2cncnc2)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444031003 HFGLLBCPPIEJFA-UHFFFAOYSA-N 410.408 4.530 5 20 HJBD Cc1cc(C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)sc1-c1ccc(F)cc1 444630521 HCDRLGRYIOBPAV-UHFFFAOYSA-N 410.430 4.577 5 20 HJBD CN(CCc1cccc(NC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)c1)C1CC1 445146713 YLIOXEIEVNSSPO-UHFFFAOYSA-N 411.505 4.570 5 20 HJBD Cc1ccc([N+](=O)[O-])c(N[C@@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)c1 447056520 LNXJKXBXASGKSZ-NRFANRHFSA-N 414.458 4.647 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463120122 NNVGAAYIHIMRGH-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(CN(C)Cc2ccccc2)CC1 463966344 HIYVHTQZWMGUMS-UHFFFAOYSA-N 410.518 4.588 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)c1 471426631 JXAKMQMQSWUKTK-UHFFFAOYSA-N 401.850 4.693 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN2CCC(O)(Cc3cccc(F)c3)CC2)o1 473071198 GDXDDBCTLPHPTA-UHFFFAOYSA-N 410.445 4.564 5 20 HJBD CN(Cc1ccc(Br)o1)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475206921 LPWGUDHKHPXMDX-UHFFFAOYSA-N 422.157 4.633 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CC[C@]2(CCCN(Cc3ccccc3)C2)C1 475546079 LEBSHZYHXJZASH-DEOSSOPVSA-N 422.529 4.732 5 20 HJBD Cc1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1NC(=O)N(C)C 475699339 PMZXLWZVWKKQRF-UHFFFAOYSA-N 411.487 4.732 5 20 HJBD CC(=O)N(c1nc(COc2cc(C)c([N+](=O)[O-])cc2F)cs1)c1ccccc1F 479191038 SKZZSAQXXQHEIZ-UHFFFAOYSA-N 419.409 4.902 5 20 HJBD CC[C@@H](CN(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccccc1 482259781 FONBKOGTPIUSAQ-AWEZNQCLSA-N 422.428 4.861 5 20 HJBD O=C(Nc1cccc(COc2ccc(F)cc2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 485162165 QCGRHFJCRDYYAJ-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD O=C(Nc1cccc(Oc2ccncc2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 485712013 XQSUOCRJEJDRMV-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cc(F)cc(Br)c1 485811459 AHQRWHDLYJGABX-IAQYHMDHSA-N 424.270 4.564 5 20 HJBD Cc1cccc(CSCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 486136740 UOYKYLUHPVILPM-UHFFFAOYSA-N 412.433 4.728 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CSCc2coc(-c3ccccc3)n2)cc1[N+](=O)[O-] 488104125 JCDHODXQLMFGHU-HNNXBMFYSA-N 411.483 4.669 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCC 489265219 NWDRGUBYEWSKIV-JKSUJKDBSA-N 415.490 4.822 5 20 HJBD Cn1cccc1[C@H]1CCCCCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 497222387 XVGCWHYHECSOCY-LJQANCHMSA-N 410.499 4.814 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2nncc3ccccc23)cc1 498573383 ZACWAEUCIXKOHM-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD Cc1cccc([C@@H](NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccn2)c1 498601148 YJZQLYKRHQXCPC-LJQANCHMSA-N 415.371 4.836 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 500285354 QPBDAXJVTICDKG-UHFFFAOYSA-N 407.451 4.821 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 504686566 GWQLZIFTILNZGC-ZDUSSCGKSA-N 406.529 4.546 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCc1cc(C)nc(N2CCCC2)c1 516896126 BGQXZJHJXYNUNV-GOSISDBHSA-N 400.548 4.559 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)C(=O)N1CCC[C@@H]1c1cccc2ccccc12 517815674 FZJDHNZUUWBZAZ-HXUWFJFHSA-N 423.856 4.704 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2C)cc1 518030111 QEDJNCZONCARHE-KRWDZBQOSA-N 420.513 4.575 5 20 HJBD O=[N+]([O-])c1cc2c(NCc3nc4c(s3)C[C@@H](c3ccccc3)CC4)ncnc2s1 518550620 ITQCZTYYBAGLPO-ZDUSSCGKSA-N 423.523 4.941 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ncc(Cc2ccccc2)s1 520387669 CCROWNSBVJSBKG-LBPRGKRZSA-N 417.874 4.702 5 20 HJBD C[C@@H](C(=O)Nc1cc(Br)ccc1NC1CC1)c1cccc([N+](=O)[O-])c1 521528296 GHUZMDZQLYOZHV-LLVKDONJSA-N 404.264 4.674 5 20 HJBD Cc1[nH]nc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1-c1ccccc1 522168200 CVFFRRREJJMUSU-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD C[C@H](O)[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 533015115 KQAILEGWOGCOIK-KBPBESRZSA-N 420.918 4.632 5 20 HJBD C[C@H](C(=O)N1CCc2ccc([N+](=O)[O-])cc21)c1cccc(C(=O)c2ccccc2)c1 534135417 DQNYXLYYJZDBPA-INIZCTEOSA-N 400.434 4.519 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cc2ccccc2c2cccnc12 537244691 RAGHIXQTCKZSAZ-GMAHTHKFSA-N 404.416 4.926 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cc(Cl)ccc1Br 537592808 RNLLGJWWMLDEOE-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(CN[C@H](CC(F)(F)F)c1ccc(F)cc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 539278346 LSBGLJYDFRVURL-CQSZACIVSA-N 419.762 4.609 5 20 HJBD C[C@@H](C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1)c1c(F)cccc1F 539353894 GTKMAYDFKNCOCD-LLVKDONJSA-N 403.410 4.763 5 20 HJBD CC[C@@H](C)n1nc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1C 539445710 DBQWGTONWAFLRO-ZBFHGGJFSA-N 422.489 4.891 5 20 HJBD CC(C)[C@H]1C[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 541595625 DLCPZTCGLUGIPL-VQIMIIECSA-N 406.548 4.811 5 20 HJBD C[C@H](CC(=O)c1ccco1)NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542653303 LBESSCABSZUWIO-CQSZACIVSA-N 416.499 4.861 5 20 HJBD COc1ccc(CSCc2nc(Cc3c(F)cccc3Cl)no2)cc1[N+](=O)[O-] 546257511 DMPKIBYBUPFSCD-UHFFFAOYSA-N 423.853 4.803 5 20 HJBD COc1ccc(CSCc2nc(Cc3c(C)[nH]c4ccccc34)no2)cc1[N+](=O)[O-] 546360762 NAPJOEXKRVIKLT-UHFFFAOYSA-N 424.482 4.800 5 20 HJBD CCOc1ccc(/C=C/c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)cc1OC 547193982 GOPPQFUQCORUFG-WEVVVXLNSA-N 420.425 4.628 5 20 HJBD CN(Cc1ccccn1)[C@@H]1CCCN(c2c(Cl)cc(Cl)cc2[N+](=O)[O-])CC1 550786430 UWQOLUAZKCOTKC-MRXNPFEDSA-N 409.317 4.788 5 20 HJBD C[C@@H](NCc1ncn(-c2ccccc2)n1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 550931740 UNJQRWNGEZWPGZ-OAHLLOKOSA-N 405.483 4.755 5 20 HJBD COc1ccc(Cn2cc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)cn2)cc1 551236214 LDYXVEPZVKHGDY-UHFFFAOYSA-N 421.482 4.584 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCC=C(c3ccco3)C2)cc1 553034286 DWRFGJLRSXBKKY-UHFFFAOYSA-N 420.421 4.918 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 561871685 BWJKWPCNHLUTKL-MRXNPFEDSA-N 407.264 4.589 5 20 HJBD CC(C)(NC(=O)Nc1ccc(NC(=O)CC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 567029141 GSTFVUZYSBVYEO-UHFFFAOYSA-N 424.379 4.543 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)N1CCOc2ccc([N+](=O)[O-])cc2C1 569231151 MEMLIXZMXWQVIH-QGZVFWFLSA-N 424.501 4.709 5 20 HJBD COc1cc(-c2noc(-c3cccc([N+](=O)[O-])c3OC)n2)cc(Cl)c1OC(C)C 574315709 YLBPNVSKFZLZLN-UHFFFAOYSA-N 419.821 4.770 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)c1OCC(F)F 584462153 RFOCAPZYVFKDJT-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1 603448957 ZTJCYSVYIKFKJD-NRFANRHFSA-N 423.513 4.817 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C[S@@](=O)[C@@H](C)c1ccc(OC(F)(F)F)cc1 609366912 MPCZONWBXSBZSW-YBOJIHHZSA-N 403.378 4.512 5 20 HJBD Cc1sc(NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)nc1-c1ccc(F)cc1 609490348 ONSPNPQVKWHVAP-UHFFFAOYSA-N 424.413 4.672 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccc2c(c1)CCO2)C1CCCC1 609785090 DDRFFBSFQOGQDM-UHFFFAOYSA-N 414.889 4.697 5 20 HJBD Cc1ccc(C)n1-c1nc(CC(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cs1 609859027 CJNOPHBHKYGOMN-UHFFFAOYSA-N 424.526 4.977 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nc4ccccc4n3C(F)F)o2)cc1 609919208 NZBMRUKPWOYKFT-UHFFFAOYSA-N 412.396 4.932 5 20 HJBD COc1cc2nc(C)sc2cc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 610043914 XTHDNHLAPYXZKW-UHFFFAOYSA-N 422.260 4.536 5 20 HJBD Cc1ccc(C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)cc1Oc1ccncc1 610047276 YOGMQYKNMQXTRN-UHFFFAOYSA-N 405.454 4.843 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC(c3ccccc3C(=O)O)CC2)cc1[N+](=O)[O-] 615167130 YIVKPFHEAFUDTB-UHFFFAOYSA-N 410.470 4.610 5 20 HJBD Cc1ccc(CN(c2ccc(F)cc2)S(=O)(=O)c2ccc(Cl)c([N+](=O)[O-])c2)o1 731180445 YJRKDQSJRDFYJK-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD O=C(Nc1ccccc1C(=O)O[C@H]1C=CCCC1)c1ccc([N+](=O)[O-])cc1Cl 733417203 RMWVEHLQISPSOZ-AWEZNQCLSA-N 400.818 4.766 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734890895 NIRDNUAKVRTTFW-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD Cc1cc(C(=O)N2CCCN(C(=O)OC(C)(C)C)c3ccccc32)cc([N+](=O)[O-])c1 741953831 HIJZZOHOLDDWSI-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)C(=O)Cn1ccc2cc([N+](=O)[O-])ccc21 743624084 KJTAZBLGIBOXSG-UHFFFAOYSA-N 405.376 4.617 5 20 HJBD CC/C(=C\C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cccc(Cl)c1 745755459 UIMRWTBQXDGODX-ACCUITESSA-N 413.817 4.835 5 20 HJBD CC1=C(C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)CCC1 746781632 PUBQEOFMTNGCPC-UHFFFAOYSA-N 400.818 4.906 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccccc1NC(=O)c1ccccc1 748016350 USZWWBQJPFBLJY-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD Cc1c(C(=O)N(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748618990 MRVVFCJDMPCMAV-BZNIZROVSA-N 413.430 4.641 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1 749055285 OEFSKTOWNZEZBA-LJQANCHMSA-N 401.378 4.591 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)Oc1ccc(Sc2ccncc2)cc1 749702833 SGBCHLJFQGXMHL-UHFFFAOYSA-N 422.871 4.562 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2NC(=O)c2ccsc2)cc1[N+](=O)[O-] 752746956 RAYPNGVFBKUIOR-CQSZACIVSA-N 409.467 4.708 5 20 HJBD Cc1coc(-c2ccc(C)c(NS(=O)(=O)c3cc([N+](=O)[O-])c(Cl)cc3C)c2)n1 761907878 PALLKDHMMSSPNT-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)Cc1cc(F)ccc1[N+](=O)[O-] 767623521 LEEINGIWKPKNRX-UHFFFAOYSA-N 404.829 4.848 5 20 HJBD C[C@H](OC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(F)c2)no1 771894577 QPHGOVUOENWWDX-NWDGAFQWSA-N 417.418 4.569 5 20 HJBD Cc1cc(Br)ccc1CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 778556316 DJMCOAIWCFUVCJ-UHFFFAOYSA-N 411.683 4.520 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1csc(-c2ccc(F)c(F)c2)n1 779787732 QANOOKRTQQGYTD-UHFFFAOYSA-N 423.828 4.922 5 20 HJBD O=C(CCCC(=O)c1ccc(Br)cc1)OCc1ccc([N+](=O)[O-])c(F)c1 785033228 WHYPEKNNTJDOTO-UHFFFAOYSA-N 424.222 4.593 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c(OCc2ccccc2)c1 790985200 HIOIJTUICCALNC-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD COCCC[C@H](CNC(=S)Nc1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1 795093144 XZOUOLOLVRDCQG-OAHLLOKOSA-N 407.923 4.745 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)c1cc2sc(N3CCCC3)nc2s1 796535315 YMWKCISZIDIMIO-UHFFFAOYSA-N 408.892 4.772 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799320022 WRVCDDBWFBOARV-UHFFFAOYSA-N 423.450 4.627 5 20 HJBD Cc1ccc(C(=O)Nc2c(F)ccc([N+](=O)[O-])c2C)cc1NC(=O)c1ccccc1 800152182 SQWQNOUNXAKHEA-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD Nc1ccc(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)c([N+](=O)[O-])c1 804352267 PVCZNVSYQNCXCE-UHFFFAOYSA-N 420.469 4.587 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)C(F)(F)F 807744125 BDFXBJGJQJLQCV-JTQLQIEISA-N 404.291 4.655 5 20 HJBD CSc1cccc(-c2nc(Cc3nc(-c4ccccc4)oc3C)no2)c1[N+](=O)[O-] 809276220 DDHPITBJFYTERS-UHFFFAOYSA-N 408.439 4.921 5 20 HJBD C[C@H](c1nc(-c2c(Cl)nc3cc(Cl)ccn23)no1)c1ccc([N+](=O)[O-])cc1F 809525385 IXWCVTQWUJDWDZ-QMMMGPOBSA-N 422.203 4.890 5 20 HJBD O=C(OCc1ccccc1)c1ccc(CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 813282439 QAYINWPPGYEMNI-UHFFFAOYSA-N 424.840 4.535 5 20 HJBD Cc1ccc(-c2noc(CCCOC(=O)c3cc(Cl)ccc3[N+](=O)[O-])n2)cc1F 913229505 IXPUPLRGCYSAIP-UHFFFAOYSA-N 419.796 4.535 5 20 HJBD Cc1cc[n+](/C(C(=S)N[C@H](C)c2ccccc2)=C(/O)c2cccc([N+](=O)[O-])c2)cc1 917612633 NIKFTIZCKWRPKP-QGZVFWFLSA-O 420.514 4.753 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])c1ccc(N2CCCC2=O)cc1 920308030 VIIBWWFEOXHRTE-UHFFFAOYSA-N 416.433 4.650 5 20 HJBD CCCCO[C@@H](C)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 920878782 QXHBATHFTBHKNZ-AWEZNQCLSA-N 406.866 4.971 5 20 HJBD Cc1c(OCC(=O)N(CC(F)(F)F)c2ccc(Cl)cc2)cccc1[N+](=O)[O-] 1317898197 WARUSKUUYARNOD-UHFFFAOYSA-N 402.756 4.531 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNC23C[C@H]4C[C@@H](CC(O)(C4)C2)C3)o1 1329848708 JJYCQYBBHXVTJZ-RKOMNEFPSA-N 402.878 4.682 5 20 HJBD Cc1ccc(OCc2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)cc1C 1331860022 JVXZYSSKGFCJJR-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1-c1nnc(-c2cnn(-c3ccccc3)c2)o1 1345971756 HRFZENCGJQKXDQ-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD COc1ccc([C@@H](Cc2ccccc2)NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1 1347433927 BJCUNERVHIEYRW-HSZRJFAPSA-N 417.465 4.613 5 20 HJBD Cc1nc(CSCC(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cs1 1518323418 PHYMKEAHVXIONY-UHFFFAOYSA-N 407.300 4.643 5 20 HJBD C[C@@H](OC(=O)c1cccc([N+](=O)[O-])c1)C(=O)N1c2ccccc2Sc2ccccc21 22470218 WEUAYRFIDUYTLO-CQSZACIVSA-N 420.446 4.970 5 20 HJBD O=c1nc(SCc2ccccc2[N+](=O)[O-])[nH]c2scc(-c3ccc(F)cc3)c12 26701807 OYKSQLIMSCUIGQ-UHFFFAOYSA-N 413.455 4.991 5 20 HJBD COc1ccc(CSCC(=O)Nc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 31909063 GPENJRAWXMMLEM-UHFFFAOYSA-N 423.903 4.738 5 20 HJBD Cc1c([C@@H](C)NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)oc2ccccc12 46649706 XOQZTLIWSCRXGW-MRXNPFEDSA-N 407.470 4.743 5 20 HJBD CCOc1cc(C(=O)Nc2ccccc2OC2CCCC2)c([N+](=O)[O-])cc1OC 46665341 VHPHDXTYBMRDTI-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD CC(C)Oc1ccccc1[C@H](C)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 55152103 KEFKHBRMBUHICA-UONOGXRCSA-N 405.882 4.713 5 20 HJBD COc1ccc(-c2cc(NC(=O)c3ccc([N+](=O)[O-])o3)ccc2OC(F)F)cc1 57290486 OMOLADSODMUVSR-UHFFFAOYSA-N 404.325 4.717 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(Cc1ccccc1)c1ccccn1 58537762 BTRQNACKEOWIOW-UHFFFAOYSA-N 419.484 4.783 5 20 HJBD Cc1cc(C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c2ccccc2n1 59253339 OWFQGWPJIFYSMK-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(COc2ccc3ccccc3c2)o1 65819277 ICNDXIZHDBIWRK-UHFFFAOYSA-N 416.433 4.893 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCC[C@H]1c1cc2ccccc2[nH]1 303400386 QSGKCGAUHPJSKF-QFIPXVFZSA-N 415.453 4.629 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303933311 XPDWVHVTGKSISI-IEBWSBKVSA-N 414.480 4.698 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CCNc1ccnc2c([N+](=O)[O-])cccc12 432323653 FMKUFIAVXNVNQS-UHFFFAOYSA-N 414.849 4.554 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)c1ccc(-n2ncc3ccccc32)cc1Cl 435509369 BUWNZYPYDMLCQA-UHFFFAOYSA-N 420.856 4.560 5 20 HJBD O=C(Nc1ccc2ncsc2c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436097784 ARSTWUMVOFRQQA-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD COc1cc(C(=O)N2CCCC[C@H]2c2cccc(Cl)c2)cc([N+](=O)[O-])c1OC 436466495 REVWFHICNJDSST-INIZCTEOSA-N 404.850 4.633 5 20 HJBD CCN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](Cc1ccc(F)cc1)C(C)C 437108115 MHHDSYUHMPYHLF-JOCHJYFZSA-N 424.476 4.649 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1ccc([N+](=O)[O-])cc1Br 439691565 GLZQNJFSXHXURL-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD COCc1ccccc1CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440756357 MXGWDGFVSWZWTE-UHFFFAOYSA-N 408.479 4.822 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c2c(C)noc2n1 446426199 OMQWBKBZMNFLLX-UHFFFAOYSA-N 418.409 4.579 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCc2cccc(Cl)c21 447341600 QALYWSFTBZUHPU-UHFFFAOYSA-N 401.669 4.665 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@@H]1CCO[C@@H](c2ccccc2)C1 447687021 SMUANAPEDLVPPF-IUODEOHRSA-N 417.334 4.976 5 20 HJBD Cc1nc(CSc2ccccc2C(=O)NCCc2ccccc2[N+](=O)[O-])cs1 460489548 FXPZBWVIGKMYGI-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)c1 460727062 DKJLOBPRWSMVPE-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD CCC(CC)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(OC)c(OC)c1 461311949 VWLMGPQUDFXMHM-UHFFFAOYSA-N 420.893 4.753 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 463770511 RWUHBQIEIUAGPY-SNVBAGLBSA-N 404.473 4.525 5 20 HJBD COc1ccc(OC)c([C@@H]2C[C@H]2C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 472802107 JAVYGQJCOHHWPD-PZJWPPBQSA-N 410.470 4.691 5 20 HJBD NC(=O)c1ccc(CSCc2nc(-c3c(F)cccc3F)cs2)c([N+](=O)[O-])c1 478909334 HNAHBCLHEQAIBE-UHFFFAOYSA-N 421.450 4.529 5 20 HJBD C[C@H](N[C@@H](c1ccccc1)c1nccs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 479922505 WMVWOFXHXIIOCD-GUYCJALGSA-N 407.455 4.542 5 20 HJBD Cc1nc2cc(Cl)c(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)cc2s1 484705242 UNZQWZVWZIUOJQ-UHFFFAOYSA-N 413.846 4.604 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@](C)(c2ccccc2)C1 486778775 LYWRCZSPXIUFEZ-QFIPXVFZSA-N 414.527 4.527 5 20 HJBD COc1ccccc1[C@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccccc1 498905576 CCDYDQFICCUKDQ-HSZRJFAPSA-N 403.438 4.690 5 20 HJBD O=C(Nc1cnccc1Oc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 499612447 BANSXGHCBQKGFF-UHFFFAOYSA-N 418.453 4.637 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ncccc2Cl)c1C(F)F 503072882 KHGPJWUCYMAFBU-UHFFFAOYSA-N 421.791 4.636 5 20 HJBD CCc1cnc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])o1 505606989 LXFYTQCSJPXRAY-UHFFFAOYSA-N 417.874 4.880 5 20 HJBD CC(C)c1[nH]nc(C(=O)NC2(c3ccc(C(F)(F)F)cc3)CCCC2)c1[N+](=O)[O-] 509534868 ARFQGSZRWQDGDR-UHFFFAOYSA-N 410.396 4.659 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1Cl 511742852 MVUXQIQMRUOYRY-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD CC(C)CNC(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 512590315 LLQUJVWZIQWKIP-UHFFFAOYSA-N 410.499 4.716 5 20 HJBD COc1cccc2c1CCCCN2C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 513905240 IHTRMDBZNGMCJG-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1Cc2ccccc2-c2ccccc2C1 522425123 OWACCKMBBJVHMZ-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD CCOc1cc(C(=O)NCC2(c3cccs3)CCCCC2)c([N+](=O)[O-])cc1OC 523493861 AVEYHEJZBKIZHZ-UHFFFAOYSA-N 418.515 4.696 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)N(C)C)ccc1Cl 539297165 NZCMKASSJIFTFG-UHFFFAOYSA-N 421.906 4.703 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1ccc(OC[C@H]2CCCCO2)c(F)c1 542496909 MUJXUMCVMYFSEG-DNVCBOLYSA-N 418.465 4.541 5 20 HJBD C[C@H](NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1cccc(N2CCCC2)c1 543163056 XYVZCCPQRRUYDF-AWEZNQCLSA-N 419.934 4.818 5 20 HJBD O=C(Nc1cccc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)c1)c1ccc(F)cc1 544912239 PGSHMNDIUAQUSW-UHFFFAOYSA-N 418.384 4.627 5 20 HJBD COc1ccc(CNCc2nc3c(s2)C[C@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 545928539 GLQGBMRKMMGNBT-QGZVFWFLSA-N 409.511 4.622 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccnc2N2CCCCC2)no1 546989566 FHZPUCARTWIZKZ-CQSZACIVSA-N 411.487 4.883 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@H]2C[C@@H]2c2ccccc2C(F)(F)F)n1 547082641 GMZSVWDQEWAYQT-KGLIPLIRSA-N 418.375 4.922 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 549277071 AINNXTQLUGMICA-GOSISDBHSA-N 423.391 4.573 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNc3ncnc4sc([N+](=O)[O-])cc34)c2)cc1 561121993 RBJBMEOXLWYVAJ-UHFFFAOYSA-N 419.466 4.772 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc3cc(Br)cnc23)c1 565387708 ZXNDXUFXSQZONJ-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD CNC(=O)c1cc(Oc2ccc(N[C@H](C)c3cccc([N+](=O)[O-])c3C)cc2F)ccn1 569397077 ZTMRWMVMIJOBIO-CQSZACIVSA-N 424.432 4.762 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 576018433 ANFDMODSQADFOG-GOSISDBHSA-N 411.483 4.950 5 20 HJBD O=C(NC1(c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)CCC1)c1ccccc1 580416885 HFASFABHOBBGKQ-UHFFFAOYSA-N 420.450 4.669 5 20 HJBD CCCOc1ccc(OCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 601909105 PKGXKMZHKHQVNV-UHFFFAOYSA-N 413.455 4.525 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)c1ccccc1 603454075 NZHRYNRZLZWLOS-NRFANRHFSA-N 421.522 4.779 5 20 HJBD CC(C)Oc1ncccc1CNCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 603681168 MWBNCWOKOJMPFA-UHFFFAOYSA-N 406.486 4.835 5 20 HJBD C[C@@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 603743240 FZXWEWOGFUDKKJ-NXEZZACHSA-N 400.237 4.719 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cc1OC 604243518 ILOGTCWGMGKNFP-MRXNPFEDSA-N 423.469 4.503 5 20 HJBD CC1(C)CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])[C@@H]1c1ccccc1 604490320 VLFPUVKHJCUSRG-QGZVFWFLSA-N 408.376 4.759 5 20 HJBD Cc1ccccc1NC(=O)[C@@H]1CCc2ccccc2N1C(=O)c1ccc([N+](=O)[O-])cc1 608799889 OOQUHLVVAXYWFX-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ncc(Cc3ccc(C)c(Cl)c3)s2)c1[N+](=O)[O-] 609473204 DEPIFIGOHAJABG-UHFFFAOYSA-N 419.894 4.532 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609927740 KIFLVZSWGSSSLA-AAEUAGOBSA-N 409.364 4.745 5 20 HJBD Cc1nncn1-c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611217683 GPDXJBGRSVWQTF-UHFFFAOYSA-N 423.498 4.771 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc(-c3cccc([N+](=O)[O-])c3)o2)N1CC(F)(F)F 726871785 KZWQMPKBQCUGLG-GOSISDBHSA-N 417.343 4.984 5 20 HJBD C[C@@H](Oc1ccccc1Cc1ccccc1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 728178575 CRVMGYFXOVDSKC-CQSZACIVSA-N 412.392 4.870 5 20 HJBD COc1cc(C=O)c(Br)cc1OCc1cc([N+](=O)[O-])ccc1OC(C)C 729152192 IFEFYXCCOHBNOO-UHFFFAOYSA-N 424.247 4.545 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Oc1ccccc1OC(F)F 729955823 GQEZXCHSGNOERT-UHFFFAOYSA-N 420.290 4.623 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnn(-c2ccccc2Cl)c1 730188190 GINXFZUTXVVSNY-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD O=C(NCCc1ccc(Br)cc1F)Nc1ccc([N+](=O)[O-])cc1Cl 731042405 NPNJRAGNYYNBAI-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(Oc3ccc(Cl)nn3)cc2)cc1 733211920 INCRUUWRRVENTG-UHFFFAOYSA-N 401.835 4.639 5 20 HJBD Cc1cc(Cl)ccc1O[C@@H](C)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735445726 WHDZPHKGJIGOPA-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(O)cc3C)cc2[N+](=O)[O-])n1 740747688 OIHNMAHYKOFDSH-UHFFFAOYSA-N 401.469 4.777 5 20 HJBD C[C@H]1CCC[C@H](OCc2cccc(NS(=O)(=O)c3cccc(F)c3[N+](=O)[O-])c2)C1 745099259 LZFVYNNKCOZQEC-YOEHRIQHSA-N 422.478 4.630 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)cc1 747124604 OIAZITWOGXCFDN-NSHDSACASA-N 421.331 4.890 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ccc(N2CCc3sccc3C2)cc1 748652971 FJYXIQCZAKAPGS-XCVCLJGOSA-N 405.479 4.871 5 20 HJBD C[C@@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nc2ccc(Cl)cc2n1C 750212427 CIQNOBPPIWGRHQ-LLVKDONJSA-N 405.863 4.531 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)C(C)C 754365412 MQOPCCFPNVDJPJ-OAHLLOKOSA-N 415.465 4.737 5 20 HJBD Cc1cc(Br)ncc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 754459810 ZRVCQILUPXVYMA-UHFFFAOYSA-N 407.268 4.503 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2sc3c(c2C(=O)c2ccccc2)CCC3)c1 756693238 PKPWJNASZHMWEI-UHFFFAOYSA-N 422.462 4.637 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl 758404995 OPSMXEWRFHFOLT-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD CC(=O)c1ccc(-c2ccc(C(=O)O[C@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)o2)cc1 759940057 NPIHNOUUBQJWMU-HKUYNNGSSA-N 423.425 4.595 5 20 HJBD O=c1cc(-c2ccc([N+](=O)[O-])cc2)c2ccc(Oc3ncnc4c3CCCC4)cc2o1 763325033 OVKXHTKYTRMUFE-UHFFFAOYSA-N 415.405 4.829 5 20 HJBD O=C(Nc1cccc(COCCc2ccccc2)c1)c1cc(F)c([N+](=O)[O-])cc1F 763427982 ZAOAXMXMHNLOSA-UHFFFAOYSA-N 412.392 4.885 5 20 HJBD O=C(Nc1c(N2CCCC2)cccc1N1CCCC1)c1ccc([N+](=O)[O-])c(Cl)c1 764226400 XNKOQJFCELEPJN-UHFFFAOYSA-N 414.893 4.701 5 20 HJBD O=C(NCC[C@H](O)c1ccccc1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 768166510 ZXTHRTSCGPWXAL-NRFANRHFSA-N 408.479 4.600 5 20 HJBD C[C@H](OC(=O)c1cnc(Cl)c2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 769186031 BTPPKBWGLTVDFC-NSHDSACASA-N 424.800 4.764 5 20 HJBD Cc1cc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)nn1C1CCCCC1 776325083 HUORKGYDWNMDFT-UHFFFAOYSA-N 410.430 4.617 5 20 HJBD CCOc1cccc2cc([C@H](C)NCC(=O)Nc3cc(Cl)ccc3[N+](=O)[O-])oc12 782132020 GBFVGPIKGYWFCO-LBPRGKRZSA-N 417.849 4.682 5 20 HJBD CN(C)c1ccc(N(C)C(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 783280744 WUFRHPVWZHYBSH-UHFFFAOYSA-N 405.454 4.516 5 20 HJBD CC(C)c1ccc(C(=O)Oc2ccc(-c3noc([C@@H]4CCCO4)n3)cc2)cc1[N+](=O)[O-] 784092732 BSWLROBACVXYPR-IBGZPJMESA-N 423.425 4.839 5 20 HJBD COC(=O)[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)c(Cl)c1 790536314 HYEXXUIHVMKZAN-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1ccccc1[N+](=O)[O-] 790745577 JQVRMHFLJOVEOK-UHFFFAOYSA-N 400.431 4.618 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1C 792592784 ADWATTLUGATKFM-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CNc1ccc(OCC(F)(F)F)c(Cl)c1 799970294 ZLYFEFCRMYOQPL-UHFFFAOYSA-N 417.771 4.548 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)[C@H](C)CC(=O)Nc1ccccc1 800240213 XGOIFSUWLQXQHQ-CQSZACIVSA-N 403.866 4.518 5 20 HJBD Cc1cc(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)ccc1NC(=O)OC(C)(C)C 801091518 ZOQMCUNWCGLFHO-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1nc2ccccc2cc1Br 808421664 PDWIIICBFYNAPN-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3ccccc3OCc3cn4ccccc4n3)n2)s1 809468154 GYAJFHGAKTXVAR-UHFFFAOYSA-N 419.422 4.600 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1cccc(F)c1)C[C@@H]1CCCO1 809919954 KFUBPMABEUESBA-HNNXBMFYSA-N 407.829 4.600 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(-n2cccn2)c(F)c1 815149994 ITYOSIJUMSIDAP-LBPRGKRZSA-N 419.254 4.533 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(C(F)(F)F)nc1Cl 817870412 OWDUOXAKJDUKOQ-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD COc1ccc(CNc2ccc3c(c2)OCO3)cc1OCc1ccc([N+](=O)[O-])cc1 916839434 PMTKYDROZJNNNX-UHFFFAOYSA-N 408.410 4.523 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1F 917610767 ANXQGUHFTZOVMM-UHFFFAOYSA-N 416.409 4.613 5 20 HJBD COc1ccccc1/C=C(/C(=O)N1Cc2ccc([N+](=O)[O-])cc2C1)c1ccccc1 1116135764 NDGXMYYFDYCCPR-HYARGMPZSA-N 400.434 4.686 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCO[C@@H](c3ccc(Cl)s3)C2)c(Br)c1 1116673832 MZICWGFDTBISIG-CYBMUJFWSA-N 417.712 4.646 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H](c3nc4ccccc4[nH]3)C2)cc1[N+](=O)[O-] 1337204221 NCYVXLOCIKVINT-INIZCTEOSA-N 406.486 4.788 5 20 HJBD O=C(Nc1ccc(Oc2cccc(F)c2)nc1)N1CCc2ccc([N+](=O)[O-])cc2C1 1340203905 ISINBNWDUPGRTC-UHFFFAOYSA-N 408.389 4.511 5 20 HJBD O=C(/C=C/c1ccccc1[N+](=O)[O-])Nc1ccc(C2(NC(=O)CC3CC3)CCC2)cc1 1792315701 SDSNGPIYZMBNIW-MDWZMJQESA-N 419.481 4.542 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N(C)[C@H](c2ccccc2)c2ccc(F)cc2)c1 26334061 RZFPHFFWFXLSFX-HSZRJFAPSA-N 408.429 4.669 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCn2cccc2[C@H]1c1ccccc1 44576008 QFVZXRPZCAXOCU-IIBYNOLFSA-N 407.495 4.509 5 20 HJBD C[C@@H](NC(=O)Cc1csc(-c2ccc(Cl)cc2)n1)c1cccc([N+](=O)[O-])c1 55465878 GJXBOJMYXXMDGF-GFCCVEGCSA-N 401.875 4.792 5 20 HJBD O=C(N[C@@H]1CCc2c(Cl)cccc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 55713027 LWLWTFXJAZBVFT-OAHLLOKOSA-N 414.767 4.607 5 20 HJBD CCCCCc1nnc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)s1 64869713 LNCLACCCKQNERQ-UHFFFAOYSA-N 403.489 4.555 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccnn2[C@H]2CCCc3ccccc32)c1 115955060 KXEUYJAMHGPYFO-SFHVURJKSA-N 408.483 4.691 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNc3cc(C)nn3CCO)cc2[N+](=O)[O-])c1 236742748 ODFKJELVSLNLLY-UHFFFAOYSA-N 424.501 4.928 5 20 HJBD C[C@H]1CCCN(Cc2ccc(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)C1 247941009 UVKQOUUQHUGZCO-IRXDYDNUSA-N 413.543 4.946 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3ccc(-c4cccc(C(F)(F)F)c4)o3)CC2)n1 425994436 PTHGAVUAWUFUDK-UHFFFAOYSA-N 420.391 4.907 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)c2)n1 430442453 YEKCNBMBSISTEV-CYBMUJFWSA-N 412.471 4.635 5 20 HJBD Cc1cc(N2CCC(c3ncc4n3CCCC4)CC2)c(Br)cc1[N+](=O)[O-] 432944185 QMHIVZANRCBXCW-UHFFFAOYSA-N 419.323 4.583 5 20 HJBD COc1cccc(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)c1[N+](=O)[O-] 435904855 ZYURKSKLABHTFQ-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CN(Cc1ncc(-c2cccc(Br)c2)o1)Cc1ccccc1[N+](=O)[O-] 441225742 FEDWXLWOTJDZET-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD O=C(NCC1(Cc2ccc(F)cc2)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444293368 USXAQZZUYCRXFR-UHFFFAOYSA-N 400.453 4.573 5 20 HJBD O=C(Cc1noc(/C=C/c2ccccc2[N+](=O)[O-])n1)Nc1cc(Cl)cc(Cl)c1 445376335 SUKQIRNLNZZSMG-AATRIKPKSA-N 419.224 4.636 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CC[C@](CO)(c4ccccc4)C3)o2)c(Cl)c1 463029170 CPMCQDYSXHHNFP-QFIPXVFZSA-N 412.873 4.644 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccccc1-c1nc(C(C)(C)C)no1 466501051 YOSCSSWPSBHGOE-UHFFFAOYSA-N 410.430 4.593 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(C)[C@H](c1ccc(Cl)cc1)C1CCCC1 466517970 XKYDPFHQVPOTHI-FQEVSTJZSA-N 404.898 4.533 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](COc2ccccc2Cl)C1 471035641 PJOSDLGYUKCBJT-HNNXBMFYSA-N 418.877 4.578 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCC1(c2cc(Cl)ccc2OC)CCOCC1 474151243 DXCSYJRJJZPGMG-UHFFFAOYSA-N 420.893 4.564 5 20 HJBD Cc1c(CN[C@@H](C)c2ccc(OC[C@@H]3CCCCO3)c(F)c2)cccc1[N+](=O)[O-] 478494198 UBQQDYJCWJQPRV-LPHOPBHVSA-N 402.466 4.841 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1 481294692 KUAMVXHDEKSOOI-OAQYLSRUSA-N 417.465 4.712 5 20 HJBD COc1ccccc1-c1nc(C2CCN([C@@H](C)c3cc([N+](=O)[O-])ccc3C)CC2)no1 484317080 PGKBYMUQPNHAIR-INIZCTEOSA-N 422.485 4.902 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485580079 MSKKMVBQYKJTHO-XPNTWCBSSA-N 416.481 4.579 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(-c3ccc(OC(F)F)cc3)o2)ccc2ncccc12 485894689 SJGBIDNXPPLAJP-UHFFFAOYSA-N 416.365 4.946 5 20 HJBD Cc1ccc([C@H](C)NC(=O)[C@H]2C[C@@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 487979073 HXHXMENOMPFKQB-VUCTXSBTSA-N 403.276 4.647 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2nc(C)cc2C)c(F)c1 488256386 YBJYCFHBYFGIFD-UHFFFAOYSA-N 414.462 4.901 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1c(Cl)cccc1[N+](=O)[O-] 494778816 IZDYVCOVCKRQAJ-NSHDSACASA-N 411.241 4.569 5 20 HJBD Cc1ccc(CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c(OCC2CC2)c1 495057216 FLLVURXKULJLPG-UHFFFAOYSA-N 409.486 4.566 5 20 HJBD CC(C)[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N1CCCC[C@H]1C 498083770 CYGIMTCTOJRGIR-IERDGZPVSA-N 423.582 4.604 5 20 HJBD O=C(c1cnc(-c2ccsc2)s1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498134066 FPDVQFVDIOCTOU-KRWDZBQOSA-N 403.510 4.668 5 20 HJBD Cc1ccc2c(C3=CCN(CCC(=O)Nc4ccccc4[N+](=O)[O-])CC3)c[nH]c2c1 500122077 IIFMVXKXUCQSIP-UHFFFAOYSA-N 404.470 4.502 5 20 HJBD CCOc1ccc(C(=O)N(C)c2ccc(Br)cc2Cl)cc1[N+](=O)[O-] 500737372 HWBCOPFQGAWEMM-UHFFFAOYSA-N 413.655 4.686 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(Cl)c1-n1cccn1 502918504 IMATZDUJDSJFQY-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 505050281 ZNHUZCKHTGNPKD-ZDUSSCGKSA-N 416.524 4.976 5 20 HJBD O=c1c2ccc(C(F)(F)F)cc2nc2n1CC/C2=C/C=C/c1ccccc1[N+](=O)[O-] 508591514 YMYVSGXTNXYMPE-YTHZFBKKSA-N 413.355 4.824 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C[C@@H]1CCOC1)c1ccccc1 511374170 WJJCMNKAGNAWJS-SIKLNZKXSA-N 411.502 4.683 5 20 HJBD COc1cc(CN2CCCC[C@@H]2c2ncc[nH]2)ccc1OCc1ccc([N+](=O)[O-])cc1 512540680 AKWVLCDLZVFVRA-HXUWFJFHSA-N 422.485 4.633 5 20 HJBD CCc1ccc(-c2nc(CC(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cs2)cc1 513032530 RXCUMMHUBBMWTN-UHFFFAOYSA-N 409.511 4.524 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1ccc(F)cc1Cl)c1ccccc1[N+](=O)[O-] 515376892 MUOIUBOWICKZBW-MRXNPFEDSA-N 423.828 4.592 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccccc1F 521147044 ISKZCLDTNPGRAE-UHFFFAOYSA-N 408.429 4.732 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cccc(Br)c1 522937143 ZNRGXXITBJHZPL-MRXNPFEDSA-N 409.667 4.988 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CCC[C@@H]1C1CCCC1 522937694 UBURRRPXVLVJES-QGZVFWFLSA-N 423.300 4.882 5 20 HJBD Cc1ccc(C[C@H](N[C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c2ccccn2)cc1 523739490 UGMAGMHAXCLTSH-GCJKJVERSA-N 418.497 4.507 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)ccc1C(=O)N(C)C 524851567 OUOBNZVNJMZASB-UHFFFAOYSA-N 419.437 4.650 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCCc2ccc([N+](=O)[O-])cc2)c1 532105343 QCOQBDXUGTVNMB-UHFFFAOYSA-N 408.502 4.554 5 20 HJBD COc1cc(CNCc2cc(Cl)cc(Cl)c2OC(F)F)c([N+](=O)[O-])cc1O 532300791 KFGRTEVYTCAEHQ-UHFFFAOYSA-N 423.199 4.507 5 20 HJBD Cc1ccccc1-c1cnc(C[S@](=O)Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)o1 536304843 HDBGXQXPKLXLIU-SSEXGKCCSA-N 423.450 4.662 5 20 HJBD CC(C)[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc2c(c1)OCCO2 537042105 VTVQNUXBYFWYEE-HSZRJFAPSA-N 423.469 4.550 5 20 HJBD Cc1cc(C(=O)N(C)c2cccc([N+](=O)[O-])c2)nn1-c1ccc(Cl)c(Cl)c1 537463679 XBZAKOPMOPEJDE-UHFFFAOYSA-N 405.241 4.672 5 20 HJBD Cc1coc(-c2ccc(C)c(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)n1 537756284 RAANPUHKIINLPV-UHFFFAOYSA-N 403.442 4.879 5 20 HJBD COC(=O)c1ccc(-c2nc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)cs2)cc1 538280178 VEKUZXNSVNUYJR-AWEZNQCLSA-N 411.483 4.664 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])s2)cc1Cl 538580974 IWXVNCBFIFYGKY-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 540330719 IVWCBXQSYAJIMX-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1 542613634 WKSBMRQVHTWFIB-DLBZAZTESA-N 413.493 4.528 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(SC(c2ccccc2)c2ccccc2)s1 543032144 AXPXBXIVNLBTPU-UHFFFAOYSA-N 405.522 4.942 5 20 HJBD Cc1ccc(C(=O)CCCCC(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)cc1 544818009 PUTLCZHDCMACGT-UHFFFAOYSA-N 406.442 4.675 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 551417641 DOCRAFLUOIWTLX-FQEVSTJZSA-N 424.419 4.880 5 20 HJBD Cn1ccnc1[C@H](NCCCCc1ccc(Cl)s1)c1ccc([N+](=O)[O-])cc1 551585512 ZJKRIYDOTGLJNW-GOSISDBHSA-N 404.923 4.745 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2cccs2)CCCCC1)c1ccc([N+](=O)[O-])cc1 552246088 IGJFHPHJGIZRMB-FQEVSTJZSA-N 410.543 4.971 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)c1 554872488 SZILGSLXJOVQCT-UHFFFAOYSA-N 419.409 4.571 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1cccc([N+](=O)[O-])c1 555727525 WQSMXLQZQJMMRG-ZDUSSCGKSA-N 405.907 4.544 5 20 HJBD O=C(NC[C@H]1CCCO[C@@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559204683 ZPHYQKRULLSHFT-RDTXWAMCSA-N 408.376 4.511 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc([C@@H]2CCOC2)cc1 563961578 CFFFNXLGGWMOKZ-CQSZACIVSA-N 423.391 4.558 5 20 HJBD CCc1nc2cc(CNc3ncc([N+](=O)[O-])cc3Br)ccc2n1C1CC1 580098658 SVKZFDVQSKVAKE-UHFFFAOYSA-N 416.279 4.611 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1N1CCC(Sc2ccc(Cl)cc2)CC1 582154357 RQYBOXZEWDCBAS-UHFFFAOYSA-N 416.890 4.658 5 20 HJBD CCC[C@H](C)N(C)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 603613323 WGOMEEIPJVNZSB-AWEZNQCLSA-N 400.500 4.808 5 20 HJBD Cc1ccc(OC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)cc1C 603890428 MAPHADKEWZRRFM-KRWDZBQOSA-N 422.485 4.866 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)cc2)n1 609549159 NMVTZDGHOLTCGH-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD COC(=O)c1ccc(OCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 613110068 GECXUOFFHXXTBS-UHFFFAOYSA-N 402.790 4.577 5 20 HJBD C[C@H](C(=O)Nc1cccc(COc2ccc3c(c2)OCO3)c1)c1cccc([N+](=O)[O-])c1 617700920 DQACWXGGLVDKNF-HNNXBMFYSA-N 420.421 4.645 5 20 HJBD COc1ccc(NC(=O)CNc2ccc(SC(C)(C)C)cc2C)c([N+](=O)[O-])c1 725795574 YVBHHOFJNMKHMK-UHFFFAOYSA-N 403.504 4.853 5 20 HJBD COc1cc(/C=C(\C(=O)OCc2c(F)cccc2[N+](=O)[O-])c2ccccc2)ccc1O 728995327 VWJBPFSQQHYAFN-ATVHPVEESA-N 423.396 4.732 5 20 HJBD COc1ccc(CCNC(=S)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 743061575 LJFNIBLKVYGPMR-UHFFFAOYSA-N 421.522 4.727 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C)[C@@H](Cc1ccc(F)cc1)C(C)C 744377296 AKIMFTDYNJYFSM-IBGZPJMESA-N 417.437 4.680 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 746058299 NNWFTGAXKSGLGG-SECBINFHSA-N 408.197 4.870 5 20 HJBD O=C(Nc1nc(COc2ccccc2)cs1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 746796627 ULRDYUJKDQYKNN-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1ccc([N+](=O)[O-])cc1F 749054426 LRKVDCUHPGLSRP-LJQANCHMSA-N 419.368 4.730 5 20 HJBD O=C(N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1c[nH]c2cc([N+](=O)[O-])ccc12 754471006 BEUPQGPCWBZJBW-CQSZACIVSA-N 406.225 4.637 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCCCC[C@@H]1c1ccncc1 755023261 DPMHDKHNQCBCNA-MRXNPFEDSA-N 419.279 4.902 5 20 HJBD CCOc1cc(CNCc2cc([N+](=O)[O-])ccc2Cl)ccc1OCC(F)(F)F 756900605 RCUGYIQBTAUSRS-UHFFFAOYSA-N 418.799 4.878 5 20 HJBD O=C(Nc1ccc(OC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1)c1cccs1 762936398 HEEVJLHGRCTIMQ-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD Cc1c(NC(=O)C[C@H](C)[C@H]2CCCN(C(=O)OC(C)(C)C)C2)cccc1[N+](=O)[O-] 763099889 GNZZPAWJVIOOMN-HOCLYGCPSA-N 405.495 4.515 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cs1 763720582 KBIYHVABRMCROX-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CCN(CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)CC1)CC1CC1 771457021 JHIAMYCPUZEDGY-UHFFFAOYSA-N 408.930 4.614 5 20 HJBD O=C(OCc1cccc2cccnc12)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 781255443 CXRFSENIEFLMEW-UHFFFAOYSA-N 416.383 4.865 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(N2CCCC2=O)cc1 786928392 RQNNKMNORKVHNL-CYBMUJFWSA-N 407.392 4.591 5 20 HJBD C[C@@](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])(c1ccccc1)C(F)(F)F 790974141 UDXZBCDQWRHXGB-MRXNPFEDSA-N 404.747 4.524 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(C(=O)c2cc([N+](=O)[O-])c(N(C)C)cc2F)CC1 791207410 AFYDVMGESDDHSO-UHFFFAOYSA-N 424.476 4.609 5 20 HJBD CCCCn1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1C(C)C 791642254 XTXHAILYDZMIFS-UHFFFAOYSA-N 413.522 4.957 5 20 HJBD COCC[C@@H](NCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 792927667 QLCGZLFISWYCMJ-MRXNPFEDSA-N 414.245 4.677 5 20 HJBD CC(C)(C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccccc1Br 798729501 FGKHKRYXKYSDKP-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD C[C@]12CCN(c3ccc([N+](=O)[O-])c(OCc4ccccc4)n3)C[C@@H]1C2(Cl)Cl 804706606 STVCOKHVOBKYGZ-YJBOKZPZSA-N 408.285 4.589 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCCc1nnc(-c2ccccc2)o1 806110241 ZXVSTOAFNPCMNQ-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])c(OC(F)F)c3)CC2)cc1 812481565 AOBSWZZCUAHZJS-FQEVSTJZSA-N 422.428 4.545 5 20 HJBD Cc1ncn(-c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)c1C 813318903 KAFHCIHCLSELOD-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc2)n1 813400753 WBXAKIYPBPOCPB-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 815353979 XJDOULGUMATUBQ-GFCCVEGCSA-N 419.865 4.513 5 20 HJBD Cc1ccccc1-c1noc([C@@H](C)OC(=O)c2cc([N+](=O)[O-])c(C)cc2Cl)n1 815405243 OOLTYDWZXGMAOT-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cccnc1Nc1ccccc1F 824177452 XIBBKSGWQQIACI-UHFFFAOYSA-N 414.824 4.798 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 916149149 UIDGIYSLIYQETH-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD CN(C)c1ccc([C@@H](CNc2cccnc2[N+](=O)[O-])c2c[nH]c3ccccc23)cc1 916983630 ZNKDIGHSUDNHKN-LJQANCHMSA-N 401.470 4.781 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1ncn([C@H](C)c2ccccc2)c1=S 919950699 PSKNBTMPGPPUDD-QGZVFWFLSA-N 411.531 4.800 5 20 HJBD CCOc1cc(C(=O)O[C@@H](C)c2ccc(OC)c(Cl)c2)c([N+](=O)[O-])cc1OC 1122497593 ZCSIQPUHQYWVMH-NSHDSACASA-N 409.822 4.582 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CC(Cc2ccccc2C(F)(F)F)C1 1319519260 JRHLJIGPFVQCJZ-UHFFFAOYSA-N 407.392 4.937 5 20 HJBD COc1cc(CN2Cc3ccc([N+](=O)[O-])cc3C2)cc(OC)c1OCc1ccccc1 1319718534 QXRYDQRXPITANN-UHFFFAOYSA-N 420.465 4.707 5 20 HJBD COc1cc(-c2nnc(-c3ccc(Br)cc3Cl)o2)ccc1[N+](=O)[O-] 1326268475 BLIOBQWEVRWHKG-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CCOc1cc([N+](=O)[O-])c(-c2nnc(CSc3ccc(Cl)cc3)o2)cc1OC 1341385884 XDMWKNAYNJVEAD-UHFFFAOYSA-N 421.862 4.998 5 20 HJBD CSc1ccc(COC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 7935916 BTHCKEXBKCECPC-UHFFFAOYSA-N 411.483 4.535 5 20 HJBD COc1ccc(Nc2nc(COC(=O)c3cc([N+](=O)[O-])ccc3Cl)cs2)cc1 10532271 XCEOQHZUBXRLFI-UHFFFAOYSA-N 419.846 4.814 5 20 HJBD COc1cc(C(=O)O[C@@H]2CCCCC2=O)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 10911951 SIUBXAUNXIJOIX-QGZVFWFLSA-N 419.817 4.718 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc(-c3ccccc3C)cs2)cc1OC 32561330 RBYFIDFXPCKNTH-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)COc2ccccc2C(F)(F)F)c1 55634388 KTCDBGGEGISKIQ-LLVKDONJSA-N 414.405 4.533 5 20 HJBD Cc1cc(N2CCC[C@@H]2CC(=O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302014361 BLBPMNVENFXLLQ-QGZVFWFLSA-N 408.483 4.664 5 20 HJBD CC(C)Oc1ccc2ccccc2c1CNc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 302189081 AJQMTOONBMFXHB-UHFFFAOYSA-N 420.512 4.612 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1S(=O)(=O)N(Cc1ccccc1)c1ccccc1 303022189 PBOLPTMJSIZRGX-UHFFFAOYSA-N 402.859 4.644 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)Nc3ccc(C)c([N+](=O)[O-])c3)c2C)cc1 303265470 DVUASYIHSDWEQV-UHFFFAOYSA-N 406.486 4.645 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)NCCCCSc1ccccc1Br 303958627 BIVHDEBTVMLNKS-UHFFFAOYSA-N 423.332 4.589 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1 430397428 GTLJDIHKLOFTSA-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1nnc(-c2ccccc2Cl)o1 431218733 FQJIUXSWQYAOCV-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNC[C@@H]3CCCC(=O)N3)cc2[N+](=O)[O-])c1 433201748 XXPZFANBVLENCW-SFHVURJKSA-N 411.502 4.577 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2ccc(Cl)cc2)c2ccsc2)c1[N+](=O)[O-] 433994919 VSLCBMQSFZALKF-OAHLLOKOSA-N 404.879 4.676 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccnc(-n2cccn2)c1 435454412 YJARMEXMKPGQQF-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2csc(CCc3ccccc3)n2)cc1[N+](=O)[O-] 435511191 MALWHZWBQFCKPS-MRXNPFEDSA-N 424.526 4.705 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc(OC)c3[N+](=O)[O-])n2)cc1Cl 435644591 IOPGRUNCWWOXGU-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@]2(O)CCC[C@@H](C(F)(F)F)C2)s1 436405773 GOZVPYYAYKSULG-FZKQIMNGSA-N 414.449 4.897 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 439642555 FGJYWPVQQAHFAJ-AWEZNQCLSA-N 411.487 4.554 5 20 HJBD Cc1cc(CCCC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)c(C)s1 439731174 XESGQXGJWPJOHF-UHFFFAOYSA-N 402.516 4.666 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](c1ccco1)c1ccccc1OC 439883196 SATBCDNYYPPUHH-FQEVSTJZSA-N 411.414 4.506 5 20 HJBD CC(C)c1nc2ccccc2n1Cc1noc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)n1 445663097 WMQUFKLOBKRAKN-UHFFFAOYSA-N 416.441 4.571 5 20 HJBD Cc1ccc(CN(C(=O)c2sc(Br)cc2[N+](=O)[O-])C(C)C)s1 446018489 DIDCGMVQNWKAAL-UHFFFAOYSA-N 403.323 4.840 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Oc3ccccc3F)c(F)c2)c1 460639610 IGNNIFGTMVJCHR-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD COc1ccc(NC(=O)CNc2cccc(CSC(C)C)c2C)c([N+](=O)[O-])c1 462171019 QQFFNKWPTIWVJC-UHFFFAOYSA-N 403.504 4.604 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(C(F)(F)F)ccc1NC(C)=O 462492272 QEJNLZASQBHWPM-AWEZNQCLSA-N 423.391 4.779 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463129163 SHLWUMACWYFSSX-UHFFFAOYSA-N 408.376 4.865 5 20 HJBD O=C(CCN1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)Nc1cccc2ccccc12 464771324 DECQUFMTQWRJGM-UHFFFAOYSA-N 419.481 4.620 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](CO)c3cccc(Cl)c3F)s2)cc1 466390478 VGGBYWPDXKRSHI-KRWDZBQOSA-N 406.866 4.939 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(C(C)(C)C)c1-c1ccccc1 466495960 HDHHEHRJRQNAES-UHFFFAOYSA-N 408.458 4.864 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2cccc(Br)c2)C2CCOCC2)cc1 467298803 GQKBHXRORZOXRY-UHFFFAOYSA-N 405.292 4.539 5 20 HJBD O=C(Nc1cc(-c2ccccc2)ns1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 475479226 NCFHVJQQLSGIIM-UHFFFAOYSA-N 423.372 4.912 5 20 HJBD COc1c(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)oc2ccccc12 476542965 WTPQJSQLWQLVNJ-UHFFFAOYSA-N 416.408 4.505 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1cccc(OC)c1 477467198 WJTMFKCLLUHBOU-IRXDYDNUSA-N 411.502 4.752 5 20 HJBD CC[C@H](C)n1ncc(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])c1C 480467983 HRTYWYHXPSKATP-NSHDSACASA-N 416.400 4.633 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H](NCC1(c2cccc(Cl)c2)CCOCC1)C1CC1 481039713 NZMAGSBCKLFONL-OAQYLSRUSA-N 414.933 4.907 5 20 HJBD CCc1c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cnn1-c1cccc(Cl)c1 482700693 RDUZAVWWMOXKRH-LBPRGKRZSA-N 416.840 4.878 5 20 HJBD COc1cc(CNc2cc(F)ccc2OC(F)F)c([N+](=O)[O-])cc1OCC(F)F 491037170 NOFXWQAJNJJSMK-UHFFFAOYSA-N 422.306 4.600 5 20 HJBD Cc1c(CC(=O)Nc2ccc(F)cc2OCCC(F)(F)F)cccc1[N+](=O)[O-] 500232115 NQNOSMJBIZPHND-UHFFFAOYSA-N 400.328 4.555 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)c1c[nH]c2ncccc12 502108963 RRRXHZVXRWZHBI-SECBINFHSA-N 406.261 4.597 5 20 HJBD Cc1nn(Cc2ccc(C(=O)NC3(c4ccccc4)CCCC3)cc2)c(C)c1[N+](=O)[O-] 505176884 OYISAFVLCKCPQV-UHFFFAOYSA-N 418.497 4.656 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 505889194 XYLJVJQAQNDQMA-CZUORRHYSA-N 406.866 4.682 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H]2CCSc3ccc(Cl)cc32)cc1OC 519085370 CEEMZHAANTUMLI-AWEZNQCLSA-N 422.890 4.622 5 20 HJBD Cc1cc(Cl)cc2nc(S[C@@H]3CCN(c4cccc([N+](=O)[O-])c4)C3=O)oc12 522684562 NLTFLJPTEGBSTN-OAHLLOKOSA-N 403.847 4.595 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])s1 525048247 BIPRAPNGPHICFH-NEPJUHHUSA-N 411.911 4.562 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)NCc2cccc([N+](=O)[O-])c2)cc1 525256636 IGZLIOFMSGWSDE-MRXNPFEDSA-N 405.454 4.831 5 20 HJBD COCCC1(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CC1 533187224 HAKHVEHLZUMGTN-UHFFFAOYSA-N 409.280 4.524 5 20 HJBD Cc1ccccc1Cc1noc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)n1 534794054 UADCFOOKFPQULY-UHFFFAOYSA-N 422.466 4.965 5 20 HJBD Cc1cccc(C(=O)NCCc2csc(-c3ccc(Cl)cc3)n2)c1[N+](=O)[O-] 535441679 MSHAOHMKDRAQFP-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(C(=O)OC(C)(C)C)CC1 537518257 GOIZLZDPZAEQTF-UHFFFAOYSA-N 422.547 4.681 5 20 HJBD COc1cc(C)c([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1OC 538189899 CGYBIVCNXWYFBP-AWEZNQCLSA-N 418.515 4.912 5 20 HJBD COc1ccc(CN(C(=O)c2csc([N+](=O)[O-])c2)C2CCCCC2)cc1OC 538802915 AILSXBYQQIDPBT-UHFFFAOYSA-N 404.488 4.649 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 540446720 XIXNKMVAFGGOCN-HZPDHXFCSA-N 414.767 4.527 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC(CCCO)CC1 542680710 WLMWWNUCLRVNRT-UHFFFAOYSA-N 406.548 4.502 5 20 HJBD O=C(CN1CCCCCC1)Nc1ccc(NCc2ccc([N+](=O)[O-])cc2Cl)cc1 543397079 WYNRDETVTPAEHG-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD C[C@H](CN(C)c1c([N+](=O)[O-])cnc2ccc(Br)cc12)c1nccs1 544121456 HNXQUBZIBRRQRE-SNVBAGLBSA-N 407.293 4.602 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 545102566 KHINWVQLJNGAHJ-VGMNTSGFSA-N 416.231 4.647 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CC=C(c2cccc(Br)c2)CC1 545869231 GWLHLZJDAJMXFD-UHFFFAOYSA-N 402.248 4.679 5 20 HJBD O=C(Nc1ccccc1)[C@@H](N[C@@H]1CCCc2ccc([N+](=O)[O-])cc21)c1ccccc1 556064170 UZCMLXMQVJOXIL-PKTZIBPZSA-N 401.466 4.942 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C1CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 562094324 MMQPLDBAXITGPY-NRFANRHFSA-N 418.443 4.883 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)Cc1cccc([N+](=O)[O-])c1C 574483451 PUKMEQDTZBMSHK-UHFFFAOYSA-N 424.478 4.908 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-n2nccc2C(F)(F)F)cc1 576858237 IFJHSQKWVYZHCC-UHFFFAOYSA-N 424.766 4.634 5 20 HJBD CCC(CC)(OC)c1noc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)n1 580132955 LIYKOIUEKHOVHO-AWEZNQCLSA-N 411.462 4.875 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 589373161 LFCAVMCHQZIJEZ-GHMZBOCLSA-N 413.318 4.752 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 590239502 XVVIXWVZIUUVLR-UHFFFAOYSA-N 417.181 4.615 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccco2)c(-c2ccco2)s1 603056090 GAAOGURUJGNHCY-UHFFFAOYSA-N 411.395 4.589 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(Cn3c(Cc4ccccc4)nc4ccccc43)n2)cc1 603748408 OSBRFORKQCKTPA-UHFFFAOYSA-N 411.421 4.634 5 20 HJBD Cc1cc(O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)ccc1Cl 603961475 VTTSTBAWBWPSSB-JTQLQIEISA-N 400.765 4.564 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC(c4c[nH]c5cc(F)ccc45)CC3)n2)c1 609540687 FIDIBOXAQJZGJD-UHFFFAOYSA-N 421.432 4.645 5 20 HJBD COc1cc(OCC(=O)Nc2cccc(Cl)c2SC(C)C)ccc1[N+](=O)[O-] 610176255 ZVRQMDLBMOELPK-UHFFFAOYSA-N 410.879 4.775 5 20 HJBD CC[C@@H](NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1)c1ccncc1 610176741 DQXGJBDXFKEOIC-OAHLLOKOSA-N 414.512 4.787 5 20 HJBD C[S@](=O)c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 610575279 VVJHWZRNHVLZKL-MHZLTWQESA-N 404.875 4.535 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(Nc3cccc(COCC(F)(F)C(F)F)c3)c2c1 611700486 GYVJSXBAFOJDIX-UHFFFAOYSA-N 410.327 4.699 5 20 HJBD CC(C)c1nc(C(=O)Oc2ccc(NC(=O)c3cccc([N+](=O)[O-])c3)cc2)cs1 726497241 JEKKSNYSIISEEE-UHFFFAOYSA-N 411.439 4.646 5 20 HJBD Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)NC(=O)c1ccc(N)c([N+](=O)[O-])c1 730243197 HLTCAARYLPHJHV-JTQLQIEISA-N 416.890 4.753 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCC(=O)Nc3ccc([N+](=O)[O-])cc3C)c(F)c2)cc1 730351445 RZBBFMAKOVXATB-UHFFFAOYSA-N 422.412 4.813 5 20 HJBD O=C(OCCCOc1cccc2ccccc12)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735354269 STZWFEHXEGIHFG-UHFFFAOYSA-N 418.405 4.628 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2ccccc2)nc1 735445773 YVTMUQSPNXJDNZ-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD COc1ccc(-c2nc(C(=O)Oc3cc([N+](=O)[O-])c(Cl)cc3C)cs2)cc1 735642935 IQKUNXLUHBUQFB-UHFFFAOYSA-N 404.831 4.908 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)o1 739611519 FYEAXPRULSWDDU-KRWDZBQOSA-N 401.419 4.724 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(F)(F)F 746436412 IFSCAJSZWFGXNL-GOSISDBHSA-N 419.359 4.858 5 20 HJBD COC(=O)COc1c(C)cc(/C=C(/Cl)c2nc3cc([N+](=O)[O-])ccc3o2)cc1C 751518804 ZMTPTBCFENSQOS-OVCLIPMQSA-N 416.817 4.642 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2cccc(COCc3ccccc3)c2)c1[N+](=O)[O-] 753103247 MVBBGCYWUGZFKS-UHFFFAOYSA-N 408.429 4.689 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N(Cc1ccccc1)C[C@@H](O)c1cccs1 755748286 TVXKSPRWEYLTGH-LJQANCHMSA-N 411.483 4.732 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(Cl)cc(Cl)n1 762470998 HTGFWWMXULKSPC-KRWDZBQOSA-N 404.209 4.638 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2C[C@@H](C)[C@H]2c2ccccc2)c1=S 765038714 OQUWUWRTGBPLEF-BEFAXECRSA-N 409.515 4.660 5 20 HJBD CC(C)([C@H](OC(=O)c1ccoc1Cl)c1ccc(Br)cc1)[N+](=O)[O-] 774203079 RAFIPOVTINUXRM-GFCCVEGCSA-N 402.628 4.649 5 20 HJBD CCCc1nc(COC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cs1 781258996 ZFKTXGPEEYQIHA-UHFFFAOYSA-N 414.433 4.726 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cccc(Nc2ccccc2)c1 781972642 CTTLSYCLOUHEOC-UHFFFAOYSA-N 406.398 4.818 5 20 HJBD CO[C@H](C)c1nc(COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cs1 782940511 HXFHHISPHFYAHN-CYBMUJFWSA-N 414.439 4.908 5 20 HJBD Cc1cc(=O)oc2cc(N(C)C(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc12 813248539 VRBDLGKFSFHNNG-UHFFFAOYSA-N 407.209 4.593 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)C1CC1 819021463 ZIQJOYURYKXJKX-UHFFFAOYSA-N 408.241 4.669 5 20 HJBD COc1cc(CNc2ccc3c(c2)OCO3)ccc1OCc1ccc([N+](=O)[O-])cc1 916839748 YYPDVVKMUYXSMO-UHFFFAOYSA-N 408.410 4.523 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C1CCCCC1 917806573 LYGWHHLHIDIVLH-UHFFFAOYSA-N 421.453 4.998 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1 919515903 GHMZGZKPLCWJKT-KGLIPLIRSA-N 409.442 4.774 5 20 HJBD O=C(Nc1ccc(C(=O)NC2CCCCC2)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 920006857 XWPBUWPDNGQIFY-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD CCOc1cc(-c2nnc([C@H](C)Oc3cccc(Cl)c3)o2)c([N+](=O)[O-])cc1OC 1322425097 ODQWLPZQCXSTNU-NSHDSACASA-N 419.821 4.846 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc([C@@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)o1 1322682692 HTWQVVDNRBPDPR-GTNSWQLSSA-N 414.805 4.637 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(-c2cc3c(s2)CCCCC3)o1 1322918548 AYWJXBWZFZDBBL-ZDUSSCGKSA-N 416.507 4.580 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(C34C[C@H]5C[C@@H](CC(Br)(C5)C3)C4)o2)c1 1325863153 MNOUMTDFDBJRPB-SKLAPFLYSA-N 404.264 4.630 5 20 HJBD Cc1ccc(-c2nnc(-c3ccn(-c4c(Cl)cc([N+](=O)[O-])cc4Cl)n3)o2)o1 1327808151 JXGUUBMFPHOILW-UHFFFAOYSA-N 406.185 4.706 5 20 HJBD Cc1ccc(SCCC(=O)O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cc1 10210665 MIGYNXNSHDXGFH-CYBMUJFWSA-N 422.890 4.609 5 20 HJBD CCOc1ccc(SCC(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 16168420 MOEVGJMADYSLGQ-UHFFFAOYSA-N 415.496 4.848 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 21120973 TUQDTOPXNQNCPJ-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1OCC 56364328 NVIIQZSGXBQOBK-LSDHHAIUSA-N 401.463 4.514 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)c1cccc(OCc2cccs2)c1 60297095 XDKCCAVELBZLPY-UHFFFAOYSA-N 420.450 4.878 5 20 HJBD COc1ccc(Cn2nc(-c3cc(C)ccc3C)c3ccccc3c2=O)cc1[N+](=O)[O-] 60815573 FJFWOPNCUSLAQG-UHFFFAOYSA-N 415.449 4.645 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2Cl)n1)NCc1ccccc1[N+](=O)[O-] 61162583 ZMEWVBCABIYQCJ-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD CCOc1cc(NC(=O)/C=C/c2nc3ccccc3s2)c([N+](=O)[O-])cc1OCC 96992174 OPYIOFAAWPSGQO-MDZDMXLPSA-N 413.455 4.654 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)cc1[N+](=O)[O-] 195682992 YXDOVGAOUYNERF-UHFFFAOYSA-N 410.499 4.937 5 20 HJBD CCN(C(=O)c1cc(C(=O)O)cc([N+](=O)[O-])c1)[C@H](C)c1cccc(C(F)(F)F)c1 237042335 BSSALPGGBPWHDQ-LLVKDONJSA-N 410.348 4.535 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2cccs2)CC1 247818113 FKVDTZSLRCLSSF-KRWDZBQOSA-N 407.923 4.513 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1 302358159 FBSJGRSWQSBYCG-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCC(COc4ccccc4)CC3)o2)c1 303534296 RXTNKANVZIPAEW-UHFFFAOYSA-N 407.470 4.583 5 20 HJBD CC(C)(NC(=O)NCc1cccnc1Oc1cccc(F)c1)c1ccc([N+](=O)[O-])cc1 431880825 FESFTVURHMVVEQ-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD Cc1c(NC(=O)[C@H](C)N2CCC[C@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 433955771 QPAGHUBDTJRPMZ-KSSFIOAISA-N 410.499 4.677 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(COc2ccc(Cl)cc2Cl)on1 435158528 KUGAKJJVOVGUOR-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1c(F)cccc1F 436109723 YQPJTNAPYFWTSX-JTQLQIEISA-N 414.358 4.729 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCc2cc(Br)ccc21 437128691 TUIWFSUKVHXUQN-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CO[C@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@@H](C)C1 441441933 CHQNRRHKDXFHSE-GUYCJALGSA-N 404.850 4.680 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OCc2cccnc2)c1 443984895 FLYCUZKJSGUXJQ-QGZVFWFLSA-N 407.470 4.737 5 20 HJBD CCS[C@@H]1CCCCN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444010713 QLINDPIHBJGMIH-OAHLLOKOSA-N 416.493 4.707 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)s1 444101040 MLWPPWJNTUFGJM-NSHDSACASA-N 424.320 4.854 5 20 HJBD Cc1c(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cnn1-c1ccccc1 444250953 LIORUSBUTBBJGY-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD CC(C)(C)[C@@H](CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 444363208 IDZAHRVYLILWQS-MRXNPFEDSA-N 408.907 4.536 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(-c2ccccc2C(F)(F)F)on1 464492643 FREBWGXENURLHI-UHFFFAOYSA-N 421.331 4.920 5 20 HJBD C[C@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(-c2cccc(F)c2)no1 464933634 HUIMMTAXDBYONF-LBPRGKRZSA-N 412.421 4.572 5 20 HJBD CC(C)(C)[C@@H](NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(F)cc1F 468345047 AABHZBRPRNPFOH-IBGZPJMESA-N 415.396 4.644 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(=Cc3ccc(F)c(F)c3)CC2)c1 469149340 YXOHNGQZHMLENF-UHFFFAOYSA-N 404.438 4.915 5 20 HJBD COCCCC[C@H](NC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccccc1 471335588 INYZVXDAMLMYHR-SFHVURJKSA-N 421.291 4.645 5 20 HJBD Cc1cc(=NC(=O)c2ccc(Cl)cc2C(F)(F)F)c2cc([N+](=O)[O-])ccc2[nH]1 472030826 YONXUXGJBNEKLC-UHFFFAOYSA-N 409.751 4.798 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 472835731 NQPQJLJTHXLDTA-OAHLLOKOSA-N 410.449 4.764 5 20 HJBD C[C@@H](NC(=O)C1(Cc2ccc(OC(F)(F)F)cc2)CC1)c1cccc([N+](=O)[O-])c1 473654250 MQVNEDDPHFIUQA-CYBMUJFWSA-N 408.376 4.694 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2cccc(CO)c2)cc1 475123044 WSSBSVJHDXZPAY-UHFFFAOYSA-N 400.438 4.552 5 20 HJBD Cn1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)c1 477305085 DRDQAYSIFUEHFX-UHFFFAOYSA-N 401.875 4.668 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@H](C)Cc1ccccc1C(F)(F)F 484666191 WHSMNGOMRANMMN-CYBMUJFWSA-N 410.392 4.716 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H](c3ccc(F)cc3)C(F)(F)F)o2)c1 484897175 WMZFZYYSDTZTKE-GOSISDBHSA-N 409.339 4.825 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485611681 WVABDRKIONNRGW-QZNHQXDQSA-N 416.481 4.579 5 20 HJBD COc1cccc(CNC(=O)c2cccc(SC)c2[N+](=O)[O-])c1OC1CCCC1 486213125 IGKNHRBFGYIZMG-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(Oc2cccnc2)cc1 486698655 MLHUIVHOSWDRCN-UHFFFAOYSA-N 417.343 4.731 5 20 HJBD O=C(CSc1nnc(-c2cccc3ccccc23)o1)Nc1ccccc1[N+](=O)[O-] 488851304 IDZJVYNVYUGMLS-UHFFFAOYSA-N 406.423 4.529 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccccc1OC(F)F 489807498 KOMLDYQSLCQXDA-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccco1)Cc1cccs1 498236119 FLZDQINOJKRGBW-UHFFFAOYSA-N 408.382 4.693 5 20 HJBD Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccc([C@@H]3C[C@@H]3C)o2)n(-c2ccc([N+](=O)[O-])cc2)n1 500617691 DFUONUZPXIONQS-PSMGESJCSA-N 406.442 4.548 5 20 HJBD COc1cc(CSCc2nc(C)c(C)s2)c([N+](=O)[O-])cc1OCC(F)F 502394497 SLJRGHVDDVEYNY-UHFFFAOYSA-N 404.460 4.754 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1 504713412 VYCLWLNKYRFQIR-CQSZACIVSA-N 420.556 4.826 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2cccc(C(F)(F)F)c2)C(C)C)c([N+](=O)[O-])c1 508044938 MIKGSASAGNLEFA-UHFFFAOYSA-N 416.421 4.521 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccc(Cl)s3)nc2)cc([N+](=O)[O-])c1OC 512909836 GSMTVYJYZZDRHK-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC(C)(C)c4ccccc43)cc2)c(C)c1[N+](=O)[O-] 514816677 LPMHYSYUIQCXML-UHFFFAOYSA-N 418.497 4.785 5 20 HJBD Cc1cc(Cl)ccc1O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 515098068 CGWRQIHQJKXXHN-JTQLQIEISA-N 400.765 4.564 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3cccc(CN4CCCCC4)c3)no2)cc1 518875756 FBYDEHPWISFCFI-UHFFFAOYSA-N 421.501 4.675 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1C1CC1 519841317 LSCRNIXAWVNMNX-UHFFFAOYSA-N 411.487 4.701 5 20 HJBD CCCO[C@H]1CCCN(c2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])CC1 520827495 HGILBORRDAPUCP-FQEVSTJZSA-N 411.502 4.941 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521772280 OQAPMQVAQOCTCN-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1CN1CCc2ccccc21 522743806 MIUSCDVGKPQVFI-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD COc1ccccc1CS[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 522848517 JOORXXDTCFXRPX-NSHDSACASA-N 414.405 4.883 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1CCCc2cc(OC(F)F)ccc21 524229319 QLRLTZZDKMVPIB-QGZVFWFLSA-N 410.804 4.586 5 20 HJBD C[C@H]1c2ccccc2CCN1C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 531363492 URXHXBWGZZXDHX-SJORKVTESA-N 416.481 4.922 5 20 HJBD CN(C(=O)c1oc2ccccc2c1CSc1ncccn1)c1cccc([N+](=O)[O-])c1 532827128 JHFFQRXNLBZHKY-UHFFFAOYSA-N 420.450 4.700 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@](=O)[C@H]3CCc4c(Cl)cccc43)co2)cc1 534060640 IYENBHUPPCSJCP-MYUZEXMDSA-N 402.859 4.839 5 20 HJBD C[C@@H](C(=O)N1CCc2c1cccc2[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 534889746 BSUCALJWMVAZMG-MRXNPFEDSA-N 400.434 4.519 5 20 HJBD C[C@@H]1CN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@H](c2ccsc2)O1 537648726 YZWBEXNVBRVPBB-FZKQIMNGSA-N 401.513 4.742 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C)n(-c3ccc(Cl)cc3Cl)n2)c1 539652821 QJAGRXRLBUWXKS-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CCC[C@@H](C)NS(=O)(=O)Cc1cccc(N[C@@H](C)c2cc([N+](=O)[O-])ccc2C)c1 539729549 QXMMPGXZGGLODS-SJORKVTESA-N 419.547 4.684 5 20 HJBD C[C@@H](N[C@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 540191406 MVTIOHPGTGKXOE-VXGBXAGGSA-N 407.264 4.540 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)NCC(=O)N(C)c1ccc([N+](=O)[O-])cc1 542523976 FGACHHUTEUUONP-FQEVSTJZSA-N 409.511 4.501 5 20 HJBD C[C@@H]1C[C@H](CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCO1 542581643 JZWMASFKVIROEL-HZPDHXFCSA-N 406.548 4.812 5 20 HJBD CO[C@@H](c1noc(-c2ccc(NC3CC3)c([N+](=O)[O-])c2)n1)c1ccccc1Cl 545104207 GFSCYHFUFBVISW-QGZVFWFLSA-N 400.822 4.608 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(/C=C(\c2ccccc2)C(F)(F)F)n1 545671926 LSGQWWIPHRBISU-WYMLVPIESA-N 404.348 4.735 5 20 HJBD CC[C@@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1=O 545688928 JDSCRYIDDIFRJB-ZBFHGGJFSA-N 420.469 4.987 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546800426 DZYULSQCEZFYRR-HNNXBMFYSA-N 402.472 4.644 5 20 HJBD CC(C)n1c([C@@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)nc2ccccc21 547270452 VPFKUTOSERUMEJ-CQSZACIVSA-N 412.465 4.820 5 20 HJBD Cc1nc2cccc(-c3noc(-c4csc(-c5cccc([N+](=O)[O-])c5)n4)n3)c2[nH]1 551062646 XJQUCIGULLFUFX-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551364760 BVZSLNWDDISRFW-UHFFFAOYSA-N 401.344 4.606 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3cc(OCC)ccc3[N+](=O)[O-])ccc2s1 554885516 AQCYJDBKSFKFQP-UHFFFAOYSA-N 414.439 4.637 5 20 HJBD COc1ccc([C@@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C)c1OC 563768960 CTTNBBSTAIYWTL-CQSZACIVSA-N 413.499 4.895 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(COCc2ccco2)c1 564444099 PQWZENOQDCCABI-UHFFFAOYSA-N 409.442 4.789 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3ccncn3)no2)cc1Sc1ccc(Cl)cc1 569153713 MRLSOBGCBJAOTC-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@H]3CCCC(F)(F)C3)n2)c(Br)c1 575365135 NARGSUWZADMECK-JTQLQIEISA-N 402.195 4.624 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cc2c(cc1Br)CCC2 579378444 HAFVYUZCIJDGCN-UHFFFAOYSA-N 418.291 4.552 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 603569085 MWZPSUXJCADSIX-UHFFFAOYSA-N 411.483 4.764 5 20 HJBD C[C@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603637920 LQAFBLGOTLWABR-QMMMGPOBSA-N 421.183 4.909 5 20 HJBD Cc1ccc(-c2nc(CC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cs2)cc1 603638760 GQIFVWKQNXXYHL-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD CN(CCCCc1ccccc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 604099488 YLVUACCTLUMDEF-UHFFFAOYSA-N 410.392 4.631 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)N[C@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 604127118 KHBCMJATHQABMX-OAHLLOKOSA-N 420.469 4.645 5 20 HJBD Cc1ccc(Cl)c(OCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 604242893 JDHODPFAZXSBTJ-UHFFFAOYSA-N 416.783 4.527 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NC3CCN(CC(C)C)CC3)c([N+](=O)[O-])c2)cc1 608972598 ZGWSMBNCKGANOH-UHFFFAOYSA-N 410.518 4.688 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nc(-c2cc(F)ccc2F)cs1 609783846 QYOFBSBDKZILOO-UHFFFAOYSA-N 409.801 4.831 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1ccc(F)cc1F)c1ccc([N+](=O)[O-])cc1 609907598 NWZDCPBHHPIJOC-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 610746220 VXOYQYIRGJSWSB-GFCCVEGCSA-N 404.348 4.672 5 20 HJBD COCc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611200917 JLKWUPKDAFUSRA-UHFFFAOYSA-N 400.500 4.706 5 20 HJBD C[C@H](C(=O)N(C)CCCc1cc(-c2ccc(F)cc2)no1)c1cccc([N+](=O)[O-])c1 617717005 NFRWMLRQRHXMTF-HNNXBMFYSA-N 411.433 4.584 5 20 HJBD O=C(O[C@@H](c1cc(Br)ccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 730406666 WYPJQKJAZBBDQZ-ZDUSSCGKSA-N 422.128 4.957 5 20 HJBD Cc1c(C(C)(C)C)nn(-c2ccccc2)c1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 730504425 KJKLQNLDUXWMNB-UHFFFAOYSA-N 423.429 4.547 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)cc2)nc1 733280179 XECKZYNPGOBWRG-UHFFFAOYSA-N 418.453 4.943 5 20 HJBD C[C@H](NC(=O)Nc1cccc(CNC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 736987913 CWTWYNXSSIDQJL-AWEZNQCLSA-N 414.462 4.502 5 20 HJBD O=[N+]([O-])c1ccc(Oc2nn3cc(-c4ccccc4)nc3s2)c(Br)c1 737414332 HUDBKYDNSVWPLN-UHFFFAOYSA-N 417.244 4.921 5 20 HJBD Cc1cc(COC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccc2n1 744133254 FVEPIPXNWNZHMM-UHFFFAOYSA-N 405.435 4.932 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccc(OC(F)(F)F)cc1 744332696 NDRWSCHRCLLLPL-UHFFFAOYSA-N 413.351 4.659 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1cc(Oc2ccccc2)ccn1 745952350 RNCBOSBJGOVELP-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1ccc(Cl)cc1[N+](=O)[O-] 750115369 KKBIYMVZZVZRNF-UHFFFAOYSA-N 400.605 4.560 5 20 HJBD O=C(OCc1coc(-c2ccccc2)n1)c1cc([N+](=O)[O-])c(Br)cc1F 751693232 WKGCLNTYUCVGGC-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCCCC[C@@H]1c1ccco1 754978241 TUVNIOWOXRWGSD-CYBMUJFWSA-N 411.227 4.847 5 20 HJBD CC(C)CSCCCNC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 756300671 CYUAEYFMJJIBBU-UHFFFAOYSA-N 409.733 4.520 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)OCc1c(F)cccc1[N+](=O)[O-] 756617290 NGCFZPOGKJHBMJ-UHFFFAOYSA-N 406.218 4.809 5 20 HJBD O=C(OCc1ncc(-c2cccc(Br)c2)o1)c1ccc(F)cc1[N+](=O)[O-] 758112398 FCKHUEQFVQDRKY-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC[C@@H](O)c1ccc(Cl)c(Cl)c1 760127956 IJLCTGYCRJKCGU-QGZVFWFLSA-N 412.273 4.764 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc([C@@H](CNC(=O)OC(C)(C)C)c2ccccc2)n1 762073136 XLACBBJUXXKUSJ-SFHVURJKSA-N 424.457 4.610 5 20 HJBD CN(CCO)c1ccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)cc1 770541746 WFSPAJLTEPEMMH-UHFFFAOYSA-N 411.433 4.567 5 20 HJBD O=C(OCc1nc(-c2c(F)cccc2F)cs1)c1c(F)ccc([N+](=O)[O-])c1F 772182046 OSGXMYIDEZZBGB-UHFFFAOYSA-N 412.320 4.632 5 20 HJBD O=C(N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 779458499 IFVFZQOPLCIPQT-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ncoc1-c1cccc(C(F)(F)F)c1)CC2 784107491 UBUAJEGNPZDVJA-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 784174127 LPFCEXBELWBLAO-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(C3(c4ccccc4F)CCCC3)no2)C1 789872017 HXIBCTXCWXQGOI-LJQANCHMSA-N 422.416 4.843 5 20 HJBD C[C@@H](OC(=O)Cc1csc2nc(-c3ccccc3)cn12)c1cccc([N+](=O)[O-])c1 792671336 WSKYVCRYRATNEL-CQSZACIVSA-N 407.451 4.818 5 20 HJBD CC1(C)CC(=O)c2cc(OC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc2O1 797172167 YUBPJCRJXUWKFG-UHFFFAOYSA-N 424.434 4.681 5 20 HJBD COc1c([N+](=O)[O-])cc(NC[C@H]2CCCC(F)(F)C2)c([N+](=O)[O-])c1Br 799963799 OSIYOMQKESCWIV-QMMMGPOBSA-N 424.198 4.512 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 805372053 ZGFDGZHTKABDKX-LLVKDONJSA-N 400.784 4.970 5 20 HJBD CC(=O)c1cc(Cl)ccc1OS(=O)(=O)c1c(Cl)ccc([N+](=O)[O-])c1Cl 809386301 MLTCMMMOALGINB-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 809912184 AHWNHILDGBZMPV-SFHVURJKSA-N 424.284 4.879 5 20 HJBD CC1(C)CC(=O)c2sc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])nc2C1 812917284 RYHBALGOFITOCB-UHFFFAOYSA-N 414.270 4.766 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3c[nH]c4ccccc34)cs2)cc1[N+](=O)[O-] 1115815165 KSCHCCCHFABJIH-UHFFFAOYSA-N 407.455 4.884 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(Cl)c(F)c2)c([N+](=O)[O-])cc1OCC(F)F 1116512982 AMJPQFYFMQPICZ-SNVBAGLBSA-N 418.799 4.891 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2cc(N3CCCC3=O)ccc2Cl)n1 1325467399 AGYFTUHIABJPKD-UHFFFAOYSA-N 412.833 4.709 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)c1ccc(F)cc1F 1329532440 HXUCLWSTIYRTQD-LLVKDONJSA-N 402.319 4.568 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2ccc(C)s2)c2ccccc2)c([N+](=O)[O-])c1 5974468 BLZJECLIBABFHT-IBGZPJMESA-N 410.451 4.810 5 20 HJBD Cc1sc2nc([C@H](C)Sc3nc4ccc([N+](=O)[O-])cc4s3)[nH]c(=O)c2c1C 10592988 RVJZWXGTBFBKID-VIFPVBQESA-N 418.525 4.973 5 20 HJBD Cc1ccc(C)c(SCC(=O)O[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 11082527 GSIBCHMHOSBGDF-ZDUSSCGKSA-N 422.890 4.528 5 20 HJBD C[C@@H](Sc1ccc(C(F)(F)F)cn1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 21636087 GKCLXIOCPCFDCZ-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD COc1cc(CSc2nnc(-c3cccs3)o2)c([N+](=O)[O-])cc1OC(F)F 24976750 NBKMBFPKCZAWOG-UHFFFAOYSA-N 415.399 4.609 5 20 HJBD Cc1ccccc1-n1c(C)nn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c1=S 27533318 YMCPJDXTHOVNTL-UHFFFAOYSA-N 417.922 4.671 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC 43838637 LLABBFWFLLRGAY-QGZVFWFLSA-N 417.437 4.900 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(C(=O)Nc3ccccc3)cc2)cc1[N+](=O)[O-] 63950076 HHWYPAMTDZUMSJ-UHFFFAOYSA-N 404.426 4.531 5 20 HJBD Cc1c(C(=O)Nc2nc(-c3ccc(Cl)s3)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 72105821 UYKXFPOHJFXWGR-UHFFFAOYSA-N 424.847 4.902 5 20 HJBD COc1ccc(C)cc1C1(CNc2ccc([N+](=O)[O-])c3cnccc23)CCOCC1 108931285 SPCSGMROGNFVJW-UHFFFAOYSA-N 407.470 4.620 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1cc(Br)cc([N+](=O)[O-])c1 162463007 SJWCEJKCYIWOAD-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=c1c(Cl)c(NCc2cccc3ccccc23)cnn1-c1ccc([N+](=O)[O-])cc1 301150815 DADPRGLLHXKRDW-UHFFFAOYSA-N 406.829 4.559 5 20 HJBD O=[N+]([O-])c1cnc(Nc2cccc(CS(=O)(=O)Cc3ccccc3)c2)c(Cl)c1 301295457 XDRPULCPSPCRIK-UHFFFAOYSA-N 417.874 4.502 5 20 HJBD O=C(Nc1ccc(F)c(Cl)c1)C1CCN(c2c(F)cc([N+](=O)[O-])cc2F)CC1 301771228 FTXBPUUWDXYQEF-UHFFFAOYSA-N 413.783 4.521 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Oc2ncc(Br)cc2[N+](=O)[O-])cc1 302018986 GXXCUVPZFWQBIV-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2cc3ccccc3s2)c([N+](=O)[O-])cc1OC 410284064 VBNGEXYRKLNQAC-LBPRGKRZSA-N 400.456 4.708 5 20 HJBD Cc1noc(C[C@@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2)n1 428655344 LIGBTVOFGHOARR-HXUWFJFHSA-N 404.426 4.620 5 20 HJBD CN(C)[C@@H]1CCCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 430420545 AAKZPQGFWPUQBQ-QGZVFWFLSA-N 417.893 4.597 5 20 HJBD COc1ccc(C)cc1[C@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435829164 VGJCXHKMXBACGY-ZDUSSCGKSA-N 422.431 4.768 5 20 HJBD CC1(C)Oc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc2O1 436101214 KWWGFTXVYKOWQF-UHFFFAOYSA-N 422.387 4.719 5 20 HJBD Cc1[nH]c2ccc(CNC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)cc2c1C 436317193 ZUSAXEVSJCQLBK-UHFFFAOYSA-N 413.452 4.849 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Br)c(F)c1 438611254 XQVZOZPJBABCPR-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD COc1cccc(C2(F)CCN(C(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)CC2)c1 439379792 RBAICAMDCBZSOL-UHFFFAOYSA-N 401.438 4.713 5 20 HJBD C[C@H](c1ccccc1[N+](=O)[O-])N1CCC(C(=O)N(Cc2ccccc2)C2CC2)CC1 440656074 BKIUMLWFANCWFX-GOSISDBHSA-N 407.514 4.559 5 20 HJBD O=C(NCCCCNc1ccccn1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442732357 VVUBNMMIXHGQBE-UHFFFAOYSA-N 422.510 4.763 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)cc([N+](=O)[O-])c1 444410768 CPMHLOWQTSWMHZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CC=C(c2cccc(Br)c2)CC1 446484989 LRMMMEMJCPYCQE-UHFFFAOYSA-N 416.275 4.987 5 20 HJBD Cn1ccnc1[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 446851048 AJVVMNDVDWNUQA-AWEZNQCLSA-N 400.866 4.621 5 20 HJBD CCN([C@@H](C)c1ccc(F)cc1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447028246 HESXYFQDURCMQJ-NSHDSACASA-N 420.384 4.525 5 20 HJBD Cc1cnc(C2CCN(c3cc(C(F)(F)C(F)(F)F)ncc3[N+](=O)[O-])CC2)s1 447683458 QDHDYMQLZRLMLI-UHFFFAOYSA-N 422.379 4.793 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)c1c([N+](=O)[O-])cccc1C(F)(F)F 479272448 RCQVWHLRTYOQEV-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@@H](c2ccc(F)cc2Cl)C1 479288255 UNXLTJNXISUIFC-QGZVFWFLSA-N 406.841 4.526 5 20 HJBD COc1cc(C(=O)N2CCC(c3nc(C(C)(C)C)cs3)CC2)cc([N+](=O)[O-])c1C 480085940 VCBBSYAMYBDJBM-UHFFFAOYSA-N 417.531 4.686 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1OC 480436947 PMDXYARGCPYVJV-AWEZNQCLSA-N 418.515 4.851 5 20 HJBD CC(C)c1c(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1 483441114 FFYFBFVCGJHYNU-UHFFFAOYSA-N 407.474 4.610 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cn1 485270565 FZFKNHLZLCZRSY-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(n2ccc(C(F)(F)F)n2)CC1 487405621 OGTMLCPCPWNCGZ-UHFFFAOYSA-N 424.404 4.502 5 20 HJBD CC(C)(NC(=O)NCc1ccc(Oc2cccc(F)c2)nc1)c1ccccc1[N+](=O)[O-] 488628225 MURPVHADOAGLHP-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD C[C@H](c1ccc(C(C)(C)C)cc1)[S@@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 488807083 QJZGBHKKVDHKPU-JMGYQRAPSA-N 402.516 4.731 5 20 HJBD O=C([C@@H]1C[C@@H]1c1cccc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 494004786 SWHQYRCBVCLANN-HZPDHXFCSA-N 412.795 4.943 5 20 HJBD Cc1ccc(-n2nnc([C@@H](C)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2C)cc1 494463115 FBCFBUVTBZSDTH-CYBMUJFWSA-N 419.407 4.662 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c2ccccn2)c1 499014278 OXZDKFCIFHDPPL-HSZRJFAPSA-N 416.481 4.762 5 20 HJBD C[C@]1(c2ccccc2)CCCN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])C1 499889916 SSYDIYCUILSBCI-FQEVSTJZSA-N 422.403 4.730 5 20 HJBD CCOc1cc(C(=O)N(C2CC2)[C@@H]2CCCc3ccccc32)c([N+](=O)[O-])cc1OC 500235350 JBRYGJHGOJFXEY-LJQANCHMSA-N 410.470 4.684 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@@H](OC)c1ccc(F)cc1 504535185 YXLLHLAHLLQQKB-ORAYPTAESA-N 406.479 4.742 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CCCc3occc32)cc1[N+](=O)[O-])c1ccccn1 506164167 OXEJBYILBKCXGP-KBXCAEBGSA-N 406.442 4.563 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccccc1OC(F)F 509312164 YZUKEMMLEQVLEW-AWEZNQCLSA-N 407.417 4.877 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)cc1F 512155526 IVXYEIYPVRCBOS-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CNc1ccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)cc1[N+](=O)[O-] 515027431 NKJAIWBCBBFHFP-UHFFFAOYSA-N 413.865 4.851 5 20 HJBD O=C(c1n[nH]c2ccc([N+](=O)[O-])cc12)N1CCC(c2cccc3ccccc23)CC1 520179354 CMHQOFOTYJJFLV-UHFFFAOYSA-N 400.438 4.644 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@H]1CCc1ccccc1 520389932 YBFMCGCPPUFOSN-QAPCUYQASA-N 402.878 4.639 5 20 HJBD CCN(C[C@H]1CCCO1)C(=O)c1ccc(Sc2ccc(C)cc2)c([N+](=O)[O-])c1 521577492 ROKSWVZYHYCAKS-QGZVFWFLSA-N 400.500 4.696 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)Nc1cccc(Oc2ccnc3ccccc23)c1 522315507 CGPWXCUKDKMYHE-UHFFFAOYSA-N 415.405 4.953 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H]3CCN(c4cccc(Cl)c4)C3)cs2)c1 531191414 YJBQDDHRDBUYJH-INIZCTEOSA-N 414.918 4.740 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@H](CO)c3ccc(C(F)(F)F)cc3)o2)c1 535368577 UPWTXAMOPMFGQU-GOSISDBHSA-N 406.360 4.697 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 535557578 UVWRDNFEGSALCJ-SFHVURJKSA-N 412.534 4.726 5 20 HJBD O=C(CCc1ncc(-c2ccc(Cl)cc2Cl)o1)Nc1cc([N+](=O)[O-])ccc1O 536194876 YJJCQBFGTNCINU-UHFFFAOYSA-N 422.224 4.834 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C\CNC1(c2cccc(Br)c2)CCOCC1 537297262 SZIMOQYGHKQMBX-XQRVVYSFSA-N 417.303 4.666 5 20 HJBD CCc1nn(C)c(CC)c1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 538422392 OMLJKJJWIFPAPS-UHFFFAOYSA-N 402.882 4.656 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(-c2ccncc2)cc1 539339161 JOMARHRCEABIMQ-LSDHHAIUSA-N 424.888 4.988 5 20 HJBD Cc1cc(Br)cc([N+](=O)[O-])c1OCc1nnc(-c2ccccc2)s1 545917307 OXSMGBUVZDORCQ-UHFFFAOYSA-N 406.261 4.763 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(C)cc1Sc1nnc(-c2ccc(Cl)s2)o1 553410519 CEQRBKKDOYGAMF-UHFFFAOYSA-N 411.848 4.606 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(NC(=O)CC(F)(F)F)cc2)cc1[N+](=O)[O-] 555043123 QLHJLWOBDGRVRB-GFCCVEGCSA-N 424.379 4.677 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccnc3C(F)(F)F)cc2[N+](=O)[O-])C1 570968917 OPCVGTHEPBBYPN-STQMWFEESA-N 422.407 4.743 5 20 HJBD O=C(Cc1nc(-c2ccc(F)cc2)cs1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 572349935 IQIZHBOJPQBOQA-UHFFFAOYSA-N 423.372 4.640 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC(C)(C)[C@@H]3CCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 575153185 OFAFXPJLEHEFRE-XAUMDUMWSA-N 408.502 4.814 5 20 HJBD CSc1cccc(-c2nc(C3(NC(=O)c4ccccc4)CCCC3)no2)c1[N+](=O)[O-] 577680710 OYUDUDBJZNBKTL-UHFFFAOYSA-N 424.482 4.566 5 20 HJBD Cc1nc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)sc1C(F)(F)F 577812844 LBNRFKMTPYDOGB-UHFFFAOYSA-N 417.412 4.577 5 20 HJBD COc1ccc(OCCN[C@@H](C)c2ccc(Oc3cccnc3)cc2)c([N+](=O)[O-])c1 579167722 CQYCIJZHJXEEAO-INIZCTEOSA-N 409.442 4.520 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 581948620 UHSVTZJZYWYWND-FQEVSTJZSA-N 424.419 4.880 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCc2[nH]c3c(Br)cccc3c2C1 588814581 PXLOBNFNNFOYEY-UHFFFAOYSA-N 414.259 4.604 5 20 HJBD COc1ccc(CNc2ccc3c[nH]nc3c2)cc1OCc1ccc([N+](=O)[O-])cc1 600858616 CBXZFWSLDVWNAS-UHFFFAOYSA-N 404.426 4.671 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc([N+](=O)[O-])cc3F)cc2)s1 603857680 ORXZPFGXRVRTSR-UHFFFAOYSA-N 408.432 4.697 5 20 HJBD C[C@@H](NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 604078216 WNQUFEMWBGLGEN-IAGOWNOFSA-N 420.469 4.690 5 20 HJBD Cc1cccc(COc2ccc([C@@H](C)NC(=O)Cc3ccc([N+](=O)[O-])cc3)cc2)c1 609033340 SRAIPAPJWRDXMT-GOSISDBHSA-N 404.466 4.902 5 20 HJBD COc1ccc(CN[C@@H](c2ccc(Br)cc2)C2CCC2)cc1[N+](=O)[O-] 609287624 FATJAIMDYSIPCI-LJQANCHMSA-N 405.292 4.997 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cn1C)[C@H](c1cccnc1)c1csc2ccccc12 609561045 BNRWFUSAZLIWTF-OAQYLSRUSA-N 420.494 4.795 5 20 HJBD O=C(Nc1cc(-c2ccco2)nn1-c1cccc([N+](=O)[O-])c1)c1cc(F)cc(F)c1 609816795 IQMLMVMYNRNZNP-UHFFFAOYSA-N 410.336 4.571 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)c1ccc(Br)cc1[N+](=O)[O-] 610571765 MMIALRGQHVWWHB-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD CC1(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCC(F)(F)CC1 612064433 HTAZVGNLXDPAGK-UHFFFAOYSA-N 412.380 4.790 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN[C@@H](C)c1sc(-c2ccccc2)nc1C 612504612 CGDVEUSFQQZFLR-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD C[C@@H](c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(OCc3cccnc3)cc2)no1 617813023 YGWQZZNLLUVTFQ-HNNXBMFYSA-N 402.410 4.771 5 20 HJBD Cc1nc(-c2ccc([C@H](C)N[C@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 619503611 CCNKKUYCTKHEMK-UONOGXRCSA-N 410.499 4.705 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 727399279 ODRBGZLDJQQKKM-BYVAAYKLSA-N 407.253 4.515 5 20 HJBD CC(C)[C@@H](CC(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 728639684 SDXFFSRHNFQQAV-MRXNPFEDSA-N 404.850 4.560 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1cc(Cl)cc2cccnc12 735150576 UCYLDSXEEXVHTQ-UHFFFAOYSA-N 421.236 4.962 5 20 HJBD Cc1c(C(=O)Nc2nc(/C=C\c3ccccc3)cs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 735264242 UZJDHQQVMMXHSR-FPLPWBNLSA-N 410.411 4.691 5 20 HJBD COc1cc(N2CCC(OC(=O)c3ccc4ccccc4c3)CC2)ccc1[N+](=O)[O-] 739381095 QYAVSWMUPDXMME-UHFFFAOYSA-N 406.438 4.582 5 20 HJBD CCC(CC)(CNc1cc([N+](=O)[O-])c(OC)c(Br)c1[N+](=O)[O-])SC 742424924 GLPZXUNXTGNDBR-UHFFFAOYSA-N 422.301 4.608 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1cccc(Cl)c1 745299273 CRGZJYFDWUXTKT-WDEREUQCSA-N 411.241 4.576 5 20 HJBD Cc1c(CC(=O)Nc2cc(-c3ccccc3Br)no2)cccc1[N+](=O)[O-] 749248328 VASURJSNAKKLKJ-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750185713 WSFBBIBFYSOJDI-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD Cc1csc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)cc2)n1 751237410 IITHSVODJWNAKU-UHFFFAOYSA-N 412.427 4.804 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 751863687 UATUHCPHBCLQOG-NWDGAFQWSA-N 417.368 4.721 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1cccs1)CC(F)(F)F 752341378 XCFOMYHRNIAIRB-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD Cc1ncc(NC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)s1 752414315 RRHCGZKVCNSNLI-UHFFFAOYSA-N 416.846 4.518 5 20 HJBD C[C@H](Sc1nc2ccccc2s1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 762575360 XHYUJZXHZBDPHM-JTQLQIEISA-N 407.904 4.655 5 20 HJBD COc1cc(C(=O)Nc2cccc(CC[C@H](O)c3ccccc3)c2)ccc1[N+](=O)[O-] 762993247 KLYWCPSPWPMTEL-NRFANRHFSA-N 406.438 4.522 5 20 HJBD Cc1ccc(N(C)C(=O)c2cc(C(=O)N(C)c3ccc(C)cc3)cc([N+](=O)[O-])c2)cc1 763442584 YHOXYHSDUOJCKJ-UHFFFAOYSA-N 417.465 4.765 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 764448799 XGLZJDHHNUTUNL-HXUWFJFHSA-N 407.470 4.715 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)Cc2nc3cc([N+](=O)[O-])ccc3o2)c1 767904517 KDNUEGWHONDXTG-JOCHJYFZSA-N 417.465 4.780 5 20 HJBD Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)OCc1ccc([N+](=O)[O-])c(F)c1 784386627 STZBAPDUEHVPLP-UHFFFAOYSA-N 423.322 4.808 5 20 HJBD COc1c(C(=O)Nc2ccc(O)c(-c3nc4ccccc4s3)c2)cccc1[N+](=O)[O-] 793705421 QEWKKGFNIVYKNZ-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(C2CC2)cnc1Cl 796903742 GNHUJAZXGDWMRO-IBGZPJMESA-N 409.829 4.862 5 20 HJBD CS[C@H](C)[C@@H](C)OC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 797194771 PXTZVRMDDVZNEO-VXGBXAGGSA-N 420.453 4.513 5 20 HJBD CCN(c1ccc2[nH]ccc2c1)S(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 809834596 BIYSFIQPGUYXHE-UHFFFAOYSA-N 414.270 4.598 5 20 HJBD O=C(NC[C@H]1CCCN(c2ccccc2)C1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813236782 QZNFNGDJIUTPBQ-CYBMUJFWSA-N 408.285 4.548 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccccc1[N+](=O)[O-] 815530359 HUODWTDFEPCWCO-UHFFFAOYSA-N 412.427 4.543 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)nc1Cl 817869322 AKBHCQRNPKUJFG-BDAKNGLRSA-N 419.762 4.782 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cc(-c2ccc(F)cc2)no1 915370488 CWLDQVIQLUYQQT-GFCCVEGCSA-N 402.403 4.613 5 20 HJBD COc1ccc(NC(=O)c2cc(-c3ccccc3)nn2-c2ccccc2)c([N+](=O)[O-])c1 916665324 ZBYPZMAKQMQGSO-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1S(=O)(=O)Nc1ccc(OC2CCCC2)c(Cl)c1 919959901 HCABCCGTRFEKRN-UHFFFAOYSA-N 414.842 4.510 5 20 HJBD COc1cc(OCC(=O)O[C@H](C)c2ccc(Cl)c(Cl)c2)ccc1[N+](=O)[O-] 921113311 OJPKENVDPZNDFY-SNVBAGLBSA-N 400.214 4.593 5 20 HJBD COc1cc(C(=O)NC[C@@H]2C[C@H](C)CC[C@@H]2C(C)C)c([N+](=O)[O-])cc1OC(F)F 1115903339 JYGHSXQATQPVPP-HZSPNIEDSA-N 414.449 4.643 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c(C)c1 1256560965 WZCCMIBHTDCPIL-UHFFFAOYSA-N 421.478 4.666 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCC(c3nc4ccccc4o3)CC2)c1Br 1342337943 NELPIYYANRDOKR-UHFFFAOYSA-N 417.263 4.586 5 20 HJBD C[C@H](OC(=O)c1cccc(C(F)(F)F)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 6841037 GECHLQOGHVDHOH-JTQLQIEISA-N 407.304 4.582 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)cc2c1C 9551919 OIDOVIMKJVQXGR-GFCCVEGCSA-N 415.833 4.530 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3cc(C)ccc3OC)cs2)cc1[N+](=O)[O-] 15637313 DZUBONNXYGRVGR-UHFFFAOYSA-N 412.471 4.719 5 20 HJBD CC(C)C[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 16967487 MLOOZQOUVDPNNB-IBGZPJMESA-N 407.474 4.563 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Sc2nccn2-c2cccc(Cl)c2)cccc1[N+](=O)[O-] 25408523 PBQXHCILDMRQHA-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc(-c3ccc(F)cc3)[nH]c2c1 58308347 ZVHWCTRTOJUSOE-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD COc1ccc(CS(=O)(=O)Cc2c(C)cc(C(C)(C)C)cc2C)cc1[N+](=O)[O-] 61804878 ATFLDGRKIBBDJT-UHFFFAOYSA-N 405.516 4.633 5 20 HJBD Cc1nc([C@@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccccc2F)no1 237683001 PIEDRIUQQMSOJP-FQEVSTJZSA-N 408.389 4.565 5 20 HJBD Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)c3cccc4ccccc34)C2)cc1[N+](=O)[O-] 247990439 MMGRACNURHXCLR-GOSISDBHSA-N 417.465 4.547 5 20 HJBD COc1ccc2oc([C@H](C)Nc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])c(C)c2c1 301199553 PKGIKSBGYKWOAO-ZDUSSCGKSA-N 409.442 4.723 5 20 HJBD CC(C)(C)[C@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccc(F)cc1F 302100774 UYONOLFSCXAXOO-CQSZACIVSA-N 404.460 4.537 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])[C@@H](Oc1ccc(F)cc1Cl)c1ccccc1 409812085 YGRDMRGKTPFTNK-FQEVSTJZSA-N 414.820 4.824 5 20 HJBD COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 431173093 OBCPMPKOFUWWBZ-UHFFFAOYSA-N 415.254 4.662 5 20 HJBD COc1cccc(C(=O)Nc2nc(-c3ccccc3C(F)(F)F)cs2)c1[N+](=O)[O-] 435877064 NBRDHISQGMDQSB-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1cc(CN(C)C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 436083557 OIOHYRJNCFZNDH-INIZCTEOSA-N 422.460 4.883 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2N[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)n1 436298889 IGTIJUAAPDFCOP-VDHUWJSZSA-N 408.424 4.830 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437388957 KNDYEHMTMZAHAK-UHFFFAOYSA-N 416.865 4.793 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1ncc(Br)cn1 439285520 OONHRCKDVXSVKB-ZETCQYMHSA-N 419.098 4.763 5 20 HJBD Cc1ccc(Br)cc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 439886489 GRCKHZYMKBGKBO-UHFFFAOYSA-N 421.294 4.682 5 20 HJBD Cc1nc(NC(=O)c2cc(-c3ccccc3)nc3c2cnn3C(C)C)ccc1[N+](=O)[O-] 440403572 FRBCHKKBNCLDGT-UHFFFAOYSA-N 416.441 4.543 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCC2(CCOCC2)C1 440615775 QOQVDSKOYSETTE-UHFFFAOYSA-N 416.861 4.683 5 20 HJBD CC(C)OC(=O)CC[C@H](C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442816623 WROCBWOUCFWHBW-HNNXBMFYSA-N 416.499 4.596 5 20 HJBD CSc1ccc(C(=O)Nc2c(F)cc(Br)cc2F)cc1[N+](=O)[O-] 443886312 SHVSHVRVOICGAJ-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD Cc1cnn(-c2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2F)c1 444034557 HSPJNDJLQNSKLF-UHFFFAOYSA-N 412.424 4.567 5 20 HJBD O=C(c1ccc(Oc2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)cc1)N1CCCC1 462501399 MRFDVWQEOOGVGX-UHFFFAOYSA-N 419.437 4.597 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CC[C@]2(CCCN(Cc3ccccc3)C2)C1 463973475 MFCHPDRLMNQALW-DEOSSOPVSA-N 422.529 4.732 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc2ccccc12)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638802 MQRHXOXYBKSBEK-ZEQRLZLVSA-N 416.477 4.922 5 20 HJBD CCSc1cccc(Br)c1NC(=O)Cc1cccc([N+](=O)[O-])c1C 464713168 QLPIDBRYZIEJQV-UHFFFAOYSA-N 409.305 4.959 5 20 HJBD COc1ccc(N(C)C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 466896198 RDIHXWQHVVODCA-UHFFFAOYSA-N 403.847 4.657 5 20 HJBD CC[C@H](C[C@@H](C)CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467926241 IARWIGWYXZAOSO-UKRRQHHQSA-N 422.934 4.926 5 20 HJBD Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 470045456 VRVDTCGIWPWHFY-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F 471094704 HNBYFEGIKBBHIA-CHWSQXEVSA-N 410.392 4.934 5 20 HJBD COc1ccc(OCCS[C@H](C)c2nc(-c3ccccc3)no2)c([N+](=O)[O-])c1 476188666 ITUWECMVXWLCGI-CYBMUJFWSA-N 401.444 4.527 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCCSc2ccc(F)cc21 485741054 HWZMLXSNIFONTD-UHFFFAOYSA-N 409.442 4.939 5 20 HJBD NC(=O)N1CCCc2ccc(NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)cc21 487173492 VGALRIQPVOHQSF-UHFFFAOYSA-N 408.483 4.767 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2ccc([N+](=O)[O-])c(C)c2)ccc1Cl)C(C)C 487668730 ULYFPLHSTACHPC-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C)c(C(=O)N(C)C(C)C)c1 488237366 UTQMHKIOHFLFBJ-UHFFFAOYSA-N 415.515 4.748 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1 489179221 BQYRHYDMDUWFKN-JKSUJKDBSA-N 417.531 4.763 5 20 HJBD Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)c1ccc([N+](=O)[O-])c2cccnc12 489263339 KSVIOMNPMVIEND-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD C[C@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C 495370293 FMVNAVWKWCQKLW-IHSNIMORSA-N 423.582 4.508 5 20 HJBD CCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1cccnc1 496590679 HDGNDZNOHICFTP-JOCHJYFZSA-N 409.873 4.824 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 499518842 JDLXTNOCDXAHDJ-CQSZACIVSA-N 409.202 4.695 5 20 HJBD Cc1ccc(Br)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 501549487 HTRGOYLOIUGNRP-UHFFFAOYSA-N 421.294 4.864 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2ccc([N+](=O)[O-])s2)cc1 502508845 TZUWQWFOOAXRSQ-KRWDZBQOSA-N 400.431 4.518 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3cc(F)ccc3C2)cc1[N+](=O)[O-])c1ccccn1 508421551 ZGULOEMFGMQPAM-HNNXBMFYSA-N 420.444 4.501 5 20 HJBD COCCC[C@H](c1ccc(Cl)cc1)c1noc(-c2ccc(F)cc2[N+](=O)[O-])n1 508650504 KLOMWDVWIRZVOY-OAHLLOKOSA-N 405.813 4.996 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2C)c2cc(Br)ccc2n1 508782736 DHYASZJLKBPQRU-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD CCNc1ccc(C(=O)Nc2ncc(Cc3ccc(F)cc3F)s2)cc1[N+](=O)[O-] 511445806 RBDNGSXQKCREIW-UHFFFAOYSA-N 418.425 4.604 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCc1cccc(C(=O)N2CCCCC2)c1 517178190 SWIXPZKVVQATJE-UHFFFAOYSA-N 400.500 4.663 5 20 HJBD C[C@@H](Cc1ccc(Cl)cc1Cl)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517718223 YLDCXXCRYZQTLN-JTQLQIEISA-N 420.252 4.516 5 20 HJBD O=C(N[C@H](c1ccc(F)cc1)[C@@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2s1 520017338 SMZWBDQXOCFQJL-QFBILLFUSA-N 400.431 4.599 5 20 HJBD O=C(c1ccc(OC(F)F)c(F)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 520260427 OARHZLZWUBTGHF-UHFFFAOYSA-N 422.281 4.540 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCC3CCN(Cc4cccs4)CC3)o2)cc1 521834009 RMYXMFNLJOKNIL-UHFFFAOYSA-N 411.527 4.918 5 20 HJBD CC(C)n1c(CNC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 524054178 DYCQRHDSSGOLLY-UHFFFAOYSA-N 421.482 4.579 5 20 HJBD CCCCOc1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1OC 524654065 RDYMCTJDTCHWGJ-NRFANRHFSA-N 416.499 4.670 5 20 HJBD COc1cc(C(=O)Nc2cc(F)ccc2Oc2ccccc2)cc([N+](=O)[O-])c1OC 525054591 ZVHMCPZUUCIDEM-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD COc1ccc2c(c1)c(C(=O)NCc1ccc([N+](=O)[O-])cc1)c(C)n2C1CCCC1 525173919 WGKQXUHOMJHYCF-UHFFFAOYSA-N 407.470 4.912 5 20 HJBD CCc1c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cnn1CC(C)C 533755993 MOVVIVGNDDEUMN-UHFFFAOYSA-N 413.503 4.694 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 534962879 OULPALGCRJTTJS-KRWDZBQOSA-N 420.252 4.597 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])c1cc(Br)ccc1Cl 538695115 CAAKBWXVRPHOOF-UHFFFAOYSA-N 418.074 4.637 5 20 HJBD O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 540422524 UGVLXWRYLYTMTD-UHFFFAOYSA-N 405.332 4.783 5 20 HJBD Cc1nccn1-c1ccc([C@@H](C)NCCc2ncc(-c3cccc([N+](=O)[O-])c3)o2)cc1 541955973 ZVWANLSOGMNZHY-MRXNPFEDSA-N 417.469 4.637 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(OC[C@@H]4CCOC4)CC3)o2)c(Cl)c1 544371855 UTTBDGFGRSIENM-OAHLLOKOSA-N 420.893 4.526 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545828346 USELENMVIIHJPF-SGTLLEGYSA-N 408.857 4.557 5 20 HJBD Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)cc(C)c1OCc1cccnc1 546238677 KJWOCCBVJKJNCK-UHFFFAOYSA-N 416.437 4.826 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(CCC3CCOCC3)no2)ccc1NCc1ccccc1 556409928 LBUJYFLXAXKZLN-UHFFFAOYSA-N 408.458 4.616 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccnc2OCC(F)F)no1 569373613 OCAWEMBLCLTCHP-JTQLQIEISA-N 408.386 4.537 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)CSc2ccccc2[N+](=O)[O-])cc1F 581629875 OTBWMHCGIJDBML-UHFFFAOYSA-N 416.430 4.661 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(COc2cccc(F)c2)c1 603959461 KXYMLGPKUPDLFT-UHFFFAOYSA-N 410.401 4.721 5 20 HJBD Cc1csc(Sc2ccc(NC(=O)CCOc3ccccc3[N+](=O)[O-])cc2)n1 604116626 ASTUAUVEBKRZLR-UHFFFAOYSA-N 415.496 4.919 5 20 HJBD CC(C)(C)Oc1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 607549715 VEMLTZOXRPHZSJ-UHFFFAOYSA-N 400.478 4.971 5 20 HJBD CC(C)(C)[S@](=O)Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 609695254 KSEDPWJPNKJROA-MUUNZHRXSA-N 400.456 4.641 5 20 HJBD CSC[C@H](C)C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791452 BHMZHRNERRRAER-NSHDSACASA-N 412.870 4.795 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@H]1CCCN1c1ncc([N+](=O)[O-])cc1Cl 609891547 DAGZRHZHHSDERW-CQSZACIVSA-N 415.664 4.558 5 20 HJBD COc1ccc([C@@H](NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c2ccccc2)cc1 611144390 YBOTUVYLECZHAU-DEOSSOPVSA-N 415.449 4.533 5 20 HJBD CC(C)n1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 611205981 YFJQJJQCIVWAJF-CQSZACIVSA-N 402.520 4.898 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3ccc([N+](=O)[O-])cc23)c1)C1CCCCC1 611710819 YDPXGHLITFEIRF-UHFFFAOYSA-N 405.458 4.669 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)ccc21 726510509 AUQNRZLXNPASLT-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@@H](c1ccc(-c3ccc([N+](=O)[O-])cc3)o1)N2 730272103 PVIBZAMPVYCAEU-NRFANRHFSA-N 405.410 4.592 5 20 HJBD COc1ccc(-c2ccc(CNC[C@H](O)c3ccc4ccccc4c3)o2)c([N+](=O)[O-])c1 731452608 FYZOKUUQTUGLLR-QHCPKHFHSA-N 418.449 4.840 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccc(F)cc2)cc1F 731578795 QCHZRIMRPBPTEI-UHFFFAOYSA-N 420.375 4.978 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C(c3ccccc3)c3ccccc3)no2)cc([N+](=O)[O-])c1 731787651 ATZVTXIELVOCFK-UHFFFAOYSA-N 402.366 4.733 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1csc(-c2ccc3c(c2)OCO3)n1 735821569 NTIAZSAMDNAZDX-UHFFFAOYSA-N 417.830 4.661 5 20 HJBD Cc1ccc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)cc1NC(=O)OC(C)(C)C 744354737 ANYZNEVACIJGTQ-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD C[C@@H](OC(=O)CSc1ccccc1Cl)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746145887 UTHPPQUMFFMQRY-LLVKDONJSA-N 419.846 4.695 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1ccc(NC(=O)c2ccccc2)cc1 748013803 NLRFVVGBZJIVII-HNNXBMFYSA-N 420.421 4.774 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 748722061 OQIBNQGMCNSKPW-UHFFFAOYSA-N 420.347 4.607 5 20 HJBD COc1ccc(OC(=O)c2csc(-c3cccc(C(F)(F)F)c3)n2)c([N+](=O)[O-])c1 751056431 IOYXLFPPEKUVEZ-UHFFFAOYSA-N 424.356 4.965 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cnc2ccccc2c1C(F)(F)F 751637346 YFXMBZJCWMNFDE-UHFFFAOYSA-N 417.299 4.660 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 754455514 UMCUBVBEYWYBRE-FQEVSTJZSA-N 417.893 4.843 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CCC[C@@H]1c1cccc(F)c1 754989914 PJBZFKTUYCDKEH-CQSZACIVSA-N 411.202 4.613 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc3nc(N4CCCC4)sc3c2)c1[N+](=O)[O-] 758390499 MVILTHUYGAZVKS-UHFFFAOYSA-N 400.435 4.505 5 20 HJBD CC1(CC(=O)O[C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CC1 758831222 WUOYSFOHIXZMGR-GOSISDBHSA-N 402.834 4.661 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 761975448 HUHIIOWTFTZFKK-HUUCEWRRSA-N 413.474 4.923 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2sc(NC(=O)OC(C)(C)C)nc2C)n1 762070573 DVNUNNZMXRVGNG-UHFFFAOYSA-N 417.447 4.732 5 20 HJBD Cc1coc(-c2cc(NC(=O)NCc3cc([N+](=O)[O-])ccc3Cl)ccc2C)n1 764229600 OHLOCCZJOWVZBP-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD C[C@H](NC1CCN(C[C@@H]2CCCO2)CC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 765973103 CMCAZRKZDWCTQS-WMZOPIPTSA-N 415.559 4.617 5 20 HJBD C[C@@H](OC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 777296185 INGDASZLQGHDME-CQSZACIVSA-N 400.431 4.789 5 20 HJBD O=C(Cc1cccc(Cl)c1Cl)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 789247212 DNDCUMGAPFCVTA-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1nc(COc2ccccc2)cs1 790643964 UTLHSFMISMSUQM-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD O=C(NCc1cnc(-c2ccc(Cl)cc2)s1)C(F)(F)c1ccc([N+](=O)[O-])cc1 793485612 JCUCYPBVPVBVOO-UHFFFAOYSA-N 423.828 4.780 5 20 HJBD Cc1cccc([C@@H](O)CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 799695507 KNADFGRICXBTFJ-IBGZPJMESA-N 408.479 4.518 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800631952 NQKINOIQHBNPTR-UHFFFAOYSA-N 419.478 4.570 5 20 HJBD O=C(O[C@@H]1CCOc2c(F)cc(F)cc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 806006101 RCPFJXOVGMPWGL-MRXNPFEDSA-N 418.377 4.677 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(CCCO)[C@@H]1CCCc2ccccc21 809919544 BRSITFODECVFIK-QGZVFWFLSA-N 403.866 4.542 5 20 HJBD O=C(Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930085 IGEHXUICIFUFGQ-OAHLLOKOSA-N 421.240 4.882 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1C[C@H]1c1ccccc1OC(F)(F)F 813247451 YLASIRFVWIGRST-QWRGUYRKSA-N 400.740 4.889 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCO[C@@H](c2ccccc2Cl)C1 813440155 JOPSCXXPFCQGSQ-OAHLLOKOSA-N 415.660 4.769 5 20 HJBD CC(=O)c1ccc(N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)c([N+](=O)[O-])c1 813613740 QNDNCNWUTNHBLV-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD O=C(O[C@H](c1nc2ccccc2o1)C(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 816062050 FZZLTWIJLGJSJG-OAHLLOKOSA-N 405.288 4.678 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 842479373 HNJXNBCCDMXQFD-UHFFFAOYSA-N 422.510 4.639 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccc(F)cc2F)C2CCCC2)cc1[N+](=O)[O-] 914516783 BJQDJOBMDPXTNL-UHFFFAOYSA-N 419.384 4.675 5 20 HJBD CCCc1noc2nc(C3CC3)cc(-c3nnc(COc4ccccc4[N+](=O)[O-])o3)c12 1328230484 CLGNHRBBNZCLIS-UHFFFAOYSA-N 421.413 4.590 5 20 HJBD O=C(O[C@H]1CCCC[C@@H]1C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1343428585 GARIMCJUCDUIRX-JSGCOSHPSA-N 400.378 4.996 5 20 HJBD COc1ccc(Cl)cc1NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 19179908 NVDPQCMGDAWLES-UHFFFAOYSA-N 417.771 4.716 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](CC(C)C)c2ccccc2)cc1[N+](=O)[O-] 31913100 ZPLVRMWHUQLVDT-GOSISDBHSA-N 402.516 4.740 5 20 HJBD COc1ccc([C@H]2CCCCCN2C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)cc1 32821624 VMTSMQLXFXVRLB-JOCHJYFZSA-N 420.469 4.547 5 20 HJBD O=C(N[C@H]1CCSc2ccccc21)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 42397723 XWRFFSZMELMCPP-AWEZNQCLSA-N 412.389 4.503 5 20 HJBD COc1ccc(CN(C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OC(F)F 43342201 WPGFMAVVIBFIDW-UHFFFAOYSA-N 415.780 4.522 5 20 HJBD CCC[C@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2cc3ccccc3c3cccnc23)C1 50731786 MLVYYKMDFJBEBR-PKOBYXMFSA-N 403.526 4.545 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1csc(Br)c1 57310999 ALHHYIYZRBHLKV-SNVBAGLBSA-N 415.334 4.558 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1ccccc1)C[C@@H]1CCCO1 64934675 ZIWGHPGRLPTTHQ-IBGZPJMESA-N 423.494 4.540 5 20 HJBD COc1ccc(C(=O)Nc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cc1[N+](=O)[O-] 72042987 ZZUGESNWQBVTIP-AWEZNQCLSA-N 409.467 4.585 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3ccccc3)CC2)cc1SC 97548949 ATTRQBCBPZUCDC-MRXNPFEDSA-N 400.500 4.735 5 20 HJBD C[C@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)C(=O)N1CCCCC1 237557011 YUPKKWRICAKKFS-HNNXBMFYSA-N 417.893 4.531 5 20 HJBD Cc1nc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)sc1C 237735389 HGSQEOJENQECPY-UHFFFAOYSA-N 422.304 4.980 5 20 HJBD O=C(Nc1cccc(CNc2ncc(Cl)cc2[N+](=O)[O-])c1)c1cccc(F)c1 301742766 MDNCIEZTPLHOGV-UHFFFAOYSA-N 400.797 4.647 5 20 HJBD CC(=O)Nc1ccc(Cl)c(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)c1 426596903 XFUVXUWPQMZAJV-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(C)[C@@H](C)c1sc(-c2ccccc2)nc1C 427387175 OUVWOYRWGZYUQU-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCC(c2ncccc2Cl)CC1 429265516 QCMOOUZNWLSIAI-UHFFFAOYSA-N 413.783 4.682 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1NC(=O)C(C)(C)C 433126389 OJRSZPNQOXXUIF-UHFFFAOYSA-N 412.490 4.945 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437670227 UIUZDPNYOITFJY-UHFFFAOYSA-N 410.257 4.977 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(C(=O)c4ccc(C(C)C)c([N+](=O)[O-])c4)C3)[nH]c2c1 439756395 MCNWKIJRXHIPIA-KRWDZBQOSA-N 406.486 4.923 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)Cc2cnc(C(C)C)nc2)cc1[N+](=O)[O-] 441402748 JSGRXVDYPXCOHL-UHFFFAOYSA-N 416.547 4.919 5 20 HJBD Cc1cc(-c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)ccc1[N+](=O)[O-] 463185504 PDJSXYUZUPVPSU-UHFFFAOYSA-N 404.411 4.620 5 20 HJBD Cc1nn(C)c(Sc2ccccc2)c1C(=O)NCCCCc1ccc([N+](=O)[O-])cc1 463764071 FKLDUQRJJHAULS-UHFFFAOYSA-N 424.526 4.541 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](CC(C)(C)C)c2ccc(F)cc2)c([N+](=O)[O-])c1 463912586 PBZXJMXOOHLYBG-IFXJQAMLSA-N 417.481 4.837 5 20 HJBD C[C@@]12CC3CC(C(=O)N4CCC(Oc5ccc([N+](=O)[O-])cc5)CC4)(C1)C[C@](C)(C3)C2 464627088 LIJZNZFMUHUILH-MDQWUCQQSA-N 412.530 4.961 5 20 HJBD CCOc1c(Cl)cc(NC(=O)c2ccn(-c3ccccc3[N+](=O)[O-])n2)cc1Cl 466288064 LAGYBKRZWGBFDB-UHFFFAOYSA-N 421.240 4.738 5 20 HJBD O=C(CCC(=O)c1cc(Cl)sc1Cl)NCc1ccc([N+](=O)[O-])cc1Cl 466308317 OQQLSIQWJLLUGT-UHFFFAOYSA-N 421.689 4.896 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1cc(F)c(Cl)cc1Cl 468308712 LPGXKFSEKBGMOG-QMMMGPOBSA-N 407.250 4.617 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NCc1ccc[nH]1 468956061 PBTRHWDUBHJSKR-FOWTUZBSSA-N 418.478 4.780 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3cc4ccccc4o3)s2)cc1[N+](=O)[O-] 470369365 MIHZAIHFYBLEEZ-UHFFFAOYSA-N 412.452 4.834 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 471853375 ZUIIHLNNTVENSB-AWEZNQCLSA-N 413.865 4.684 5 20 HJBD CCOC1CC(CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 474002398 VWQWEIBNJCTRSM-UHFFFAOYSA-N 420.513 4.742 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)c(NC(=O)c3cccs3)c2)ccc1[N+](=O)[O-] 475302694 YICOZRQIDKIKCE-UHFFFAOYSA-N 416.846 4.518 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 476831302 ZXTPYAKMVKFQLF-OAQYLSRUSA-N 422.460 4.810 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](C)Cc1ccccc1C(F)(F)F 484699158 IPNLHHUHXJJKIV-CYBMUJFWSA-N 410.392 4.716 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(-c3cccc(Cl)c3)s2)c1[N+](=O)[O-] 486231059 TZLQWEYFDYVZFI-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD O=C(Nc1ccc2oc(O)nc2c1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 486998962 OVCUIRMJMTZWTP-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccccc2)[C@@H](C)C1 487738425 JCGSZJXSSQGNIW-LPHOPBHVSA-N 414.527 4.599 5 20 HJBD C[C@@H](Sc1nnc(-c2cccc3ccccc23)o1)C(=O)Nc1ccccc1[N+](=O)[O-] 488815555 BRQOCINGZILJRX-CYBMUJFWSA-N 420.450 4.917 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1cc(Cl)cc([N+](=O)[O-])c1 491747887 QCUJDUBDVMNEGH-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD CC(C)(NCc1cn(-c2ccc(Cl)c(Cl)c2)nn1)c1ccccc1[N+](=O)[O-] 492104555 LMENSJLOERLTJQ-UHFFFAOYSA-N 406.273 4.507 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)N=c1cc[nH]cc1 499607944 IHBNLPMKNFKDAW-DTQAZKPQSA-N 416.462 4.591 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 499976228 CSWGNAGUZIMSQA-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CC2(CCCC2)c2c(F)cccc21 501799133 QXLNYDTVFSIENV-UHFFFAOYSA-N 423.488 4.809 5 20 HJBD CSc1ccc(C(=O)N2CCC[C@H](Oc3ccccc3Cl)C2)cc1[N+](=O)[O-] 504667688 DKCYKBIRZZGMFB-AWEZNQCLSA-N 406.891 4.654 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCCc1ccc(OCc2ccccc2)cc1 506117217 CQUMLVSGUJPGAC-UHFFFAOYSA-N 419.481 4.558 5 20 HJBD O=C(NC1CCN(c2ccccc2)CC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 507636441 ODRWEBIBMJKNQS-UHFFFAOYSA-N 416.481 4.737 5 20 HJBD C[C@H](NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1ccccc1C(F)(F)F 509093714 KADALZOUJAEEPJ-ZDUSSCGKSA-N 407.392 4.705 5 20 HJBD CCCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)[C@@H]1CCc2ccccc2C1 509987286 QEMGFXBJUUINOZ-JOCHJYFZSA-N 421.541 4.607 5 20 HJBD C[C@H](c1ccc(Cl)cc1Cl)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 515083812 ALQXSHCGYKSUIN-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD C[C@H](Nc1cccc(COc2cccc(F)c2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 515085946 DRPJHWYKBCXXGA-HNNXBMFYSA-N 409.417 4.752 5 20 HJBD O=C(c1cnc(-c2ccccc2)nc1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 524769831 PQVJTQIVYSUFAJ-UHFFFAOYSA-N 410.433 4.899 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C[C@@H](C)S1 525205511 BCDQNMUQQRWSDG-ZIAGYGMSSA-N 419.934 4.826 5 20 HJBD Cc1ccc(Sc2c(Cl)cccc2NC(=O)Cn2cc([N+](=O)[O-])cn2)cc1 525563199 BXBQOZNGDJYFHD-UHFFFAOYSA-N 402.863 4.543 5 20 HJBD O=C(NCCOc1ccccc1-c1ccccc1)c1c[nH]c2cccc([N+](=O)[O-])c12 534984957 PQYAULYAGCYTEP-UHFFFAOYSA-N 401.422 4.552 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3ccc(-c4noc(C5CC5)n4)cc3)co2)cc1 536275059 MHAZGMUQYLBVPU-UHFFFAOYSA-N 404.382 4.756 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3cccc(-c4ccc5c(c4)CCO5)c3)n2)c1 537839314 CWMNBNQRPRKFAN-UHFFFAOYSA-N 414.421 4.859 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)nn2C(CC)CC)c([N+](=O)[O-])cc1OCC 538415498 BSODKLABINTKJU-UHFFFAOYSA-N 404.467 4.511 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cc(Cl)ccc3OCC(F)(F)F)no2)s1 546470981 YFHORTXWFZIVQV-UHFFFAOYSA-N 405.741 4.968 5 20 HJBD COc1ccc(C)cc1Cc1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 546953322 FBHKRYPCPVKVOQ-LBPRGKRZSA-N 403.822 4.679 5 20 HJBD Cn1cc(C(C)(C)NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cn1 547410248 GZEIBRXDBBQJNY-UHFFFAOYSA-N 400.866 4.799 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 565093809 VKYLBYPSMDGASV-GFCCVEGCSA-N 401.369 4.562 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(F)c(Cl)c2)C1 569653002 IUZRPQHHBWYHBO-SFHVURJKSA-N 407.829 4.555 5 20 HJBD COc1cc(Br)ccc1-c1noc([C@H](C)c2cccc([N+](=O)[O-])c2)n1 574317644 OTACIVGTGZMGPL-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD Cc1cccc(C(=O)NCCCCSc2ccccc2Br)c1[N+](=O)[O-] 609652849 UBLZEHLDUPQKTO-UHFFFAOYSA-N 423.332 4.968 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(-c2nccs2)cc1 609723505 YUICLGBOAQWUFS-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD CC(C)C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 609783565 QPKUOLRSGFCHTE-SFHVURJKSA-N 400.866 4.571 5 20 HJBD Cc1ccc(C[C@@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c2ccccn2)cc1 609787616 MQLVXVFNOPPVKD-OAQYLSRUSA-N 409.873 4.594 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609982016 ZKDXJTBLVNHMAX-GOEBONIOSA-N 424.526 4.894 5 20 HJBD Cc1cc(NC(=O)[C@H](C)c2ccccc2C(F)(F)F)n(-c2ccc([N+](=O)[O-])cc2)n1 610222111 HHOQAPLYOXGWRM-CYBMUJFWSA-N 418.375 4.850 5 20 HJBD C[C@H](C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1)c1ccccc1C(F)(F)F 610234032 ZLAVDSWPMQOYNE-SGTLLEGYSA-N 410.417 4.991 5 20 HJBD O=[N+]([O-])c1cnc(N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c(Br)c1 610512797 UTOGXIYVBLZAFR-CYBMUJFWSA-N 401.264 4.618 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCC(OCC2CC2)CC1 611206373 GEFNCRSDOGBKFH-UHFFFAOYSA-N 404.532 4.661 5 20 HJBD CCc1ccc([C@H](Nc2cnn(Cc3ccccn3)c2)c2ccc([N+](=O)[O-])cc2)o1 612415941 ZPBUURMMUWSBBA-JOCHJYFZSA-N 403.442 4.592 5 20 HJBD CCOc1ccc(-n2c(Sc3ccc([N+](=O)[O-])cc3)nnc2-c2ccncc2)cc1 619708909 FZGNPBVKHAEKDI-UHFFFAOYSA-N 419.466 4.787 5 20 HJBD O=C(CC1CCCCC1)NC1CCC(C(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])CC1 726328346 IWAJCUMWEDJAAR-UHFFFAOYSA-N 422.909 4.799 5 20 HJBD O=C(Nc1ccc(N2CCc3sccc3C2)cc1)c1cc(F)c(F)cc1[N+](=O)[O-] 727709095 IMSDVDMYPJBGCX-UHFFFAOYSA-N 415.421 4.750 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2csc3ccccc23)c([N+](=O)[O-])cc1OC 729958187 DTYIBTNDCHAQRD-GFCCVEGCSA-N 400.456 4.708 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)OCc3ccccc3[N+](=O)[O-])ccc21 734623496 SNYLEAUXZKODAD-UHFFFAOYSA-N 407.348 4.901 5 20 HJBD O=[N+]([O-])c1cccc([C@H](O)CSc2nnc(-c3ccccc3)n2-c2ccccc2)c1 749665552 MAFIXHBTMPFMEL-HXUWFJFHSA-N 418.478 4.668 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749915571 IMPZAIKWXQAUIO-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CC(C)(C)OC(=O)N1CCc2cc(NC(=O)c3cccc(Cl)c3[N+](=O)[O-])ccc21 749961194 QFYGBLBYXPIUFA-UHFFFAOYSA-N 417.849 4.798 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCC(c2cccc(F)c2)CC1 752048338 HNGGJSXZQSYAMC-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=C(NC[C@@H]1CSCCS1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 753427489 GFBSJQLLAMLNTA-OAHLLOKOSA-N 424.931 4.619 5 20 HJBD CC(C)CC1(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CCC1 757143226 MMGZDMQNHAYXJL-LBPRGKRZSA-N 416.396 4.700 5 20 HJBD O=C(c1cc(-c2ccccc2)nn1-c1ccccc1)N1CCc2cc([N+](=O)[O-])ccc21 758719081 TYMZDVXVFCNTCJ-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD O=C(NOCc1cccnc1)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 767246241 HBDXHXMORNEORR-UHFFFAOYSA-N 415.858 4.656 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 767483195 QBPFPSONOMDJQO-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc1 770324203 KLZCJQLUBXOACD-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(CC1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1)NC1CCCC1 772003398 WPGDTPJMPUABNG-UHFFFAOYSA-N 400.306 4.567 5 20 HJBD O=[N+]([O-])c1cccc(-c2nccc(/C=C\c3cc(F)cc(C(F)(F)F)c3)[n+]2[O-])c1 772805130 FXMNGYIEYGURAU-PLNGDYQASA-N 405.307 4.619 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc3c(c2)CN(c2ccc(C(C)C)cc2)C3)c1[N+](=O)[O-] 772930388 FNHNVTKNINRQFT-UHFFFAOYSA-N 419.485 4.776 5 20 HJBD CCc1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 775092400 YEQPRFQKPPSHEA-AWEZNQCLSA-N 416.499 4.842 5 20 HJBD CC(C)CN(C(=O)c1ccnc(F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495708 LWQGEIBLOZHEDN-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD CC[C@@H]1C[C@@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 779742686 LQMYCKMGXXNEHA-JKSUJKDBSA-N 418.877 4.971 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1Cc1ccccc1 781557814 VNIJGCLIMVNEAM-UHFFFAOYSA-N 405.410 4.665 5 20 HJBD CC1(NC(=S)/C(=C(/O)c2cccc([N+](=O)[O-])c2)[n+]2ccc(C(C)(C)C)cc2)CC1 789425773 YGJIPZTXCGFKJW-UHFFFAOYSA-O 412.535 4.533 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1cccc(Cl)c1[N+](=O)[O-] 789694787 JMBFBPFIOUUJGP-LLVKDONJSA-N 414.676 4.564 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(OC)c(OC2CCCC2)c1 791500660 IVBARQOICQACRL-UHFFFAOYSA-N 400.431 4.576 5 20 HJBD CC(C)C(=O)Nc1cc2ccccc2cc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 801566035 JNQOVTIBYUBVKK-UHFFFAOYSA-N 419.481 4.657 5 20 HJBD Cc1nc([C@H](NC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)c2ccc(Cl)cc2)no1 806253876 WSEQDMYICSNRDG-OAHLLOKOSA-N 422.228 4.504 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCCN(Cc2ccc(Cl)cc2)CC1 809920377 XXDMTLWQGCHUFV-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD C[C@@H](NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 809976465 DVLCFNKOXPVLCO-SECBINFHSA-N 411.220 4.942 5 20 HJBD CC(C)COc1cn(-c2ccccc2)nc1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813245986 RZNPUNBPONQJJH-UHFFFAOYSA-N 414.849 4.721 5 20 HJBD O=C(Cc1c[nH]c2cccc(Cl)c12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 816005241 FDLAAHZLQHWOOK-QFIPXVFZSA-N 421.840 5.000 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccccc1OC[C@H]1CCCCO1 864027215 WZNFJKYSOKBRIU-CQSZACIVSA-N 421.291 4.917 5 20 HJBD CCOC(=O)c1c(-c2ccccc2)csc1NC(=O)c1ccc([N+](=O)[O-])s1 912630038 AEKAFYIOCHFHBX-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD O=C(OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1cc2c(Cl)cccc2s1 918966501 NETMKTULUMPSFD-UHFFFAOYSA-N 415.814 4.870 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccc(Sc4ccccc4Cl)nn3)o2)c1 1322097556 CJEQQHWKCLOPDE-UHFFFAOYSA-N 411.830 4.906 5 20 HJBD C[C@@H](OC(=O)c1cccc(Oc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 6485665 SAMHPGDOBBRHRM-OAHLLOKOSA-N 406.394 4.571 5 20 HJBD CC(=O)N(c1nc(COc2ccc([N+](=O)[O-])c(C)c2)cs1)c1ccccc1F 10320444 NKZWVOHCZYFATG-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD CSCc1c(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)oc2ccccc12 10965169 LSAWQLBTGKZWSW-UHFFFAOYSA-N 414.439 4.618 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])n1 47169897 WRISQEANVJXWCC-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 64847957 OVTQDVFIOLNKCE-UHFFFAOYSA-N 402.863 4.623 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1Sc1nccn1C 72069213 MGGHQJIBLBZCBV-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD CCOc1cccc2cc([C@@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)oc12 216757337 CPEICFXUDFWLMN-GFCCVEGCSA-N 417.849 4.682 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1 237667879 HSJRHXXTUYNUHA-HYBUGGRVSA-N 420.513 4.561 5 20 HJBD COc1ccc([C@@H](Nc2ncc([N+](=O)[O-])cn2)c2ccc(C(F)(F)F)cc2)cc1 302231575 SCAKKUHLBTYNBS-KRWDZBQOSA-N 404.348 4.614 5 20 HJBD O=C(Nc1ccccc1N1CCCCC1)c1ccc(Br)cc1[N+](=O)[O-] 429966635 DUEHPNFNUZAQDY-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)o2)cs1 435950988 USXIGQOQDGYWEL-UHFFFAOYSA-N 415.474 4.636 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)cc1 437677216 LOSIUDMTWBJZRH-ZDUSSCGKSA-N 418.291 4.793 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 438376706 JZHPRKXWALTOTC-QFBILLFUSA-N 403.841 4.617 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCc2ccc(C)cc2OC(C)(C)C)cc1SC 440637504 HVOCBAWUAIVKLA-UHFFFAOYSA-N 418.515 4.741 5 20 HJBD CCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1F 441397419 QWIKRAGKRYQUOQ-UHFFFAOYSA-N 405.857 4.657 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2CC(=O)c2cccs2)cc1[N+](=O)[O-] 443679820 IEEMLUYMOSPJJT-HNNXBMFYSA-N 400.500 4.831 5 20 HJBD CCc1cccc2c(CCNC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)c[nH]c12 444014075 WVFMSPDBXVVQHT-UHFFFAOYSA-N 409.489 4.698 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444119714 BHKZFNGBKCIUDE-CABCVRRESA-N 416.493 4.706 5 20 HJBD COc1cc(Cl)ccc1-c1noc(CSCc2ccc(OC)c([N+](=O)[O-])c2)n1 445672682 MKKUUHOWXDYVCB-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc([C@H]4CSc5ccccc5O4)no3)cs2)c1 446008124 XOXUKKLHFKNVTD-OAHLLOKOSA-N 424.463 4.994 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(F)cc(Br)cc1F 462614405 MARRWAGBZWTJEA-NSHDSACASA-N 413.218 4.843 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)CCc1cccc(Br)c1 463760503 PMGWPHPUWFBMOG-UHFFFAOYSA-N 406.280 4.681 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CC[C@]2(CCOC2)C1 466524940 GTVDXVLUHGKPSG-FQEVSTJZSA-N 418.902 4.652 5 20 HJBD Cc1sc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2N)nc1-c1ccc(Cl)cc1 468746724 ZXJJMSUIVCKXHL-SNVBAGLBSA-N 416.890 4.753 5 20 HJBD C[C@@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)Cc1cccc(F)c1 468876017 HQAGCLWLCCNZHS-CQSZACIVSA-N 412.383 4.894 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccccc2)CC(F)F)c([N+](=O)[O-])cc1OCC 469717024 XEPJJYPRWGGXCN-UHFFFAOYSA-N 423.416 4.691 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)CSCC(=O)c1ccc(Cl)cc1 470908494 IXDUZNVARDUCSM-UHFFFAOYSA-N 420.918 4.601 5 20 HJBD CSc1ccc(C(=O)N[C@H](c2cccc(Cl)c2)c2ccccn2)cc1[N+](=O)[O-] 472295963 SJJCPFXSHDFWJI-LJQANCHMSA-N 413.886 4.885 5 20 HJBD C[C@H](NC(=O)Nc1ccc(OCc2ccccn2)c(F)c1)c1cccc([N+](=O)[O-])c1 472903797 KDXNZIOGXUAPPX-AWEZNQCLSA-N 410.405 4.591 5 20 HJBD CCOC(=O)c1csc([C@@H]2CCCN2c2ccc(C(F)(F)F)cc2[N+](=O)[O-])n1 478040668 NHAOMIWKXFQTDG-ZDUSSCGKSA-N 415.393 4.588 5 20 HJBD CSc1ccc(C(=O)NC[C@H](c2cccc(Cl)c2)N2CCCC2)cc1[N+](=O)[O-] 478274744 CCKVOPUGHGJWHM-GOSISDBHSA-N 419.934 4.537 5 20 HJBD Cc1ccc(Br)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 480832637 KVSDNWDXAJDJHA-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD CC(C)n1ccc(NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)n1 481819455 AMTWRDHEDJFLKB-UHFFFAOYSA-N 402.458 4.837 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@@H](C)c2cccc([N+](=O)[O-])c2C)CC1)c1ccccc1 482147909 RWMMIIOFWZNJKJ-GHTZIAJQSA-N 409.530 4.739 5 20 HJBD CCc1c(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cnn1C(CC)CC 482686616 IIZRDGSQZYCCIP-LBPRGKRZSA-N 408.886 4.774 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)C(C)(C)C)cc1SC 482730899 HGZQYKNXZNPQLH-GOSISDBHSA-N 406.479 4.982 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)CCCCCC3)cc1SC 483434132 CIHLYCSZHFKEMQ-UHFFFAOYSA-N 407.517 4.693 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1nc(O)c2ccccc2n1 485272640 NLQBQWLYOVGSFW-VIFPVBQESA-N 406.261 4.859 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OCC(F)(F)F)c(F)c1 504958827 CGGWNEQDLZYXOP-UHFFFAOYSA-N 421.734 4.650 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@H]3c3ccc4c(c3)OCCO4)cs2)c1 506099806 WAZGUWIFHZHOKQ-IBGZPJMESA-N 423.494 4.827 5 20 HJBD Cc1ccc(S(=O)(=O)N(Cc2ccco2)c2ccc(C)c(C)c2)c([N+](=O)[O-])c1 509596094 MUBJYJYUECBTRE-UHFFFAOYSA-N 400.456 4.509 5 20 HJBD O=C(c1cc2cc(Br)ccc2o1)N1CCCc2c1cccc2[N+](=O)[O-] 513837477 BAKVOCCXUXWJCH-UHFFFAOYSA-N 401.216 4.697 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2c(C)cccc2[N+](=O)[O-])cc1 515847307 ILJOWYGXKILISX-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cc(Br)cs1 519108881 MBVCMBMEPDZWRD-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NC(=O)COc2ccc([N+](=O)[O-])cc2)cc1 525591141 RILDTOVUMWXHDF-MRXNPFEDSA-N 406.438 4.527 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSc3n[nH]c(/C=C\c4ccccc4)n3)co2)cc1 535505119 JRZHGQFVSIOLRE-WDZFZDKYSA-N 405.439 4.831 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1F 535506852 IBGOLJQKPHPVIL-ZDUSSCGKSA-N 415.446 4.958 5 20 HJBD CC(C)Oc1cccc(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 537146240 XYPYLUXRZCDCGS-UHFFFAOYSA-N 411.483 4.711 5 20 HJBD C[C@H](N[C@@H](C)c1ccccc1OC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 538145120 RVPBCLNYGNAQFX-RYUDHWBXSA-N 404.373 4.658 5 20 HJBD Cc1nc(-c2ccco2)ccc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538254235 LTCUSCOXWDMOAT-UHFFFAOYSA-N 420.450 4.958 5 20 HJBD Cc1c([C@@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cnn1-c1ccc(F)cc1 541611574 KVUOXOOHIVKKFO-CQSZACIVSA-N 421.432 4.734 5 20 HJBD Cn1cc(C(=O)Nc2ncc(C3CCCCC3)s2)c(-c2ccc([N+](=O)[O-])cc2)n1 542929081 QIIHDFCIFPHYIU-UHFFFAOYSA-N 411.487 4.752 5 20 HJBD CC(=O)c1cc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)n(C)c1 545765690 XLNJXMFAKGNLNC-UHFFFAOYSA-N 404.382 4.645 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cc(F)ccc4Br)n3)c2c1 546489344 DEHMRNNEDVBULP-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD CSc1cccc(C(=O)NCc2cccc(COCc3ccco3)c2)c1[N+](=O)[O-] 548271245 OBTYKPXWMIMMDX-UHFFFAOYSA-N 412.467 4.557 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-c3csc(C)n3)cc2)c1 549212790 ZWZHPAAOUFKBEW-ZDUSSCGKSA-N 411.483 4.916 5 20 HJBD CC[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 550186347 GDZWEDPJKTZXOI-OAHLLOKOSA-N 410.392 4.507 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)cc1 556564548 QJTSWNYAWGDTLM-AWEZNQCLSA-N 413.474 4.647 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(S(=O)(=O)CC)cc1 556608359 HDMSTYSMUHRVKU-WBVHZDCISA-N 422.572 4.610 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)c1[N+](=O)[O-] 557453368 WLPGEDHGSOKMFD-ZBFHGGJFSA-N 408.376 4.688 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCc2c(OC(F)F)cccc21 559432801 XFRBGPSTWMGFAC-LBPRGKRZSA-N 416.384 4.760 5 20 HJBD COc1ccc([C@@H](Cc2ccncc2)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 560552083 KYDHCOCVBJBOTH-OAQYLSRUSA-N 411.889 4.768 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(NCCc3ccccc3)cc2)c1 580837371 QCDFYBKBZQIONU-UHFFFAOYSA-N 405.454 4.900 5 20 HJBD C[C@H](CF)NC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 589084458 CSPCNYZUVYVQJX-SNVBAGLBSA-N 402.369 4.853 5 20 HJBD C[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Oc2ccccc2)c(F)c1 603991794 RHMRIYHLWJRTFV-ZDUSSCGKSA-N 424.384 4.746 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 604085579 HFBUOPJJFHZFHP-UHFFFAOYSA-N 403.360 4.900 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(Cl)c2Oc2cccnc2)cc1[N+](=O)[O-] 609012113 NARMCBCWQASSFB-UHFFFAOYSA-N 413.817 4.625 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 609529445 GLPVIZKJSOQOGL-UHFFFAOYSA-N 422.460 4.809 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@H](C)Oc1cccc(Br)c1 610226298 WBBGXBWBNQMXBT-AWEZNQCLSA-N 421.291 4.562 5 20 HJBD C[C@@H](NCc1c(F)cccc1[N+](=O)[O-])c1ccc(NC(=O)c2ccc(F)cc2)cc1 611383971 ZIHWOPBRJVOMID-CQSZACIVSA-N 411.408 4.976 5 20 HJBD C[C@@H](C(=O)Nc1ncc(Cc2cc(F)cc(F)c2)s1)c1ccc([N+](=O)[O-])cc1F 612448835 MZVTYRLVJKVWEN-SNVBAGLBSA-N 421.400 4.802 5 20 HJBD COc1cc(COc2ccc(F)cc2Br)c([N+](=O)[O-])cc1OC(F)F 619253832 JRNBCZRNGZQCQL-UHFFFAOYSA-N 422.153 4.685 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3cccc4ncccc34)no2)c2ccccc12 671157502 RPQPCLNGOQXPGT-UHFFFAOYSA-N 404.429 4.801 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@H](Oc1ccc(F)c(F)c1)c1ccccc1 730452694 OKEAZPICRQSNDP-LJQANCHMSA-N 402.328 4.771 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(Br)c(F)c1 732740162 UDXKGPOPQWUESF-SECBINFHSA-N 400.225 4.583 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1coc(-c2ccccc2)n1 739162653 ZZZRSAVDXUFOEV-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD C[C@H](OC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ncc(-c2ccccc2)o1 741307828 VFULAPZQRRXSSX-INIZCTEOSA-N 421.453 4.771 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)cc2)n1)OCCOc1ccc([N+](=O)[O-])cc1 742856457 ZJIBHAYCKUQISH-UHFFFAOYSA-N 418.858 4.536 5 20 HJBD C[C@@H](OC(=O)c1cc(F)ccc1[N+](=O)[O-])C(=O)Nc1ccccc1Cc1ccccc1 743197272 JATCGUMTNKEVTB-OAHLLOKOSA-N 422.412 4.509 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(N2CCCCCC2)cc1 749621950 HFXBHMLCDCVECC-UHFFFAOYSA-N 403.866 4.646 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)Oc3ccc(OC)cc3[N+](=O)[O-])cs2)cc1 749786007 LRGCWKPMBMLBNA-UHFFFAOYSA-N 414.439 4.735 5 20 HJBD O=C(CCN1CCC[C@@H]1c1nc2ccccc2s1)Nc1cc([N+](=O)[O-])ccc1F 753916399 VBUYGDOQMFPRLI-QGZVFWFLSA-N 414.462 4.509 5 20 HJBD O=C(Cc1c[nH]c2cc(Cl)ccc12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760521435 YZFQJMDKRYMSEX-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD CCc1ccc(CCOC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)nc1 764819775 SKAYTUAUEIEYJI-INIZCTEOSA-N 420.469 4.520 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(CNC(=O)OC(C)(C)C)cc2)c1 777831066 RCYCFHIADROBHF-UHFFFAOYSA-N 417.487 4.594 5 20 HJBD CCN(C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H](C)c1cc2ccccc2o1 781606831 OKYQCMVFXHCCSE-AWEZNQCLSA-N 411.414 4.792 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781706024 RQUVUGGPVJGXLC-KGLIPLIRSA-N 419.865 4.740 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2)c1)c1cccc([N+](=O)[O-])c1Br 782166673 IVSYMRYNTNHGQL-UHFFFAOYSA-N 418.291 4.596 5 20 HJBD CC[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cc([N+](=O)[O-])cc(C)c1F 790904577 FWGNGWSXUMNAHU-HNNXBMFYSA-N 415.465 4.539 5 20 HJBD CC(C)c1c(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cnn1-c1ccc(Cl)cc1 791638847 YNQFEQRTYMCLBJ-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(N3CCCCC3)c3ncccc23)cc1 795002129 PNCOWAAOJLJIID-UHFFFAOYSA-N 407.499 4.942 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(OC(F)F)c(C(F)(F)F)c1 795050073 XLTHLTGLCAUYMJ-UHFFFAOYSA-N 419.306 4.574 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc([N+](=O)[O-])c(F)c2)c2ccc(OC)cc2)cc1 801519356 BJTVADVCXSDKRV-JOCHJYFZSA-N 410.445 4.825 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC(n2ccc(C(F)(F)F)n2)CC1 809973685 XXWOFSDADIFQRR-UHFFFAOYSA-N 423.222 4.954 5 20 HJBD O=[N+]([O-])c1cc(NCc2nnc(-c3ccc(Br)s3)o2)ccc1Cl 857626306 FTDJBOVWFVSWPQ-UHFFFAOYSA-N 415.656 4.734 5 20 HJBD CC[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 909935318 GREIKERWHVUFFY-OAQYLSRUSA-N 404.422 4.946 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2cccc(F)c2)n1 914581023 RDRBDRBRDIHGMV-GFCCVEGCSA-N 402.403 4.613 5 20 HJBD COc1cc(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)ccc1OCCC(C)C 916005728 RSTVOCHSNYMIIJ-UHFFFAOYSA-N 403.504 4.515 5 20 HJBD Cc1ccc(OC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)c([N+](=O)[O-])c1 917028557 GPKIPQIDWVNJQX-UHFFFAOYSA-N 408.458 4.808 5 20 HJBD COc1cc(C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccccc1 917700915 LPWRIVHMKPRPGS-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD Cc1cccc(Oc2ncccc2NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)c1C 917808595 MNDYTZNWFFMCPC-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCc1nc(-c2ccc(C(C)C)cc2)no1 1116929329 GDFYTXUFBKDFBO-UHFFFAOYSA-N 412.421 4.787 5 20 HJBD CSc1nc2ccc(NC(=O)N3CCc4ccc([N+](=O)[O-])cc4C3)cc2s1 1340206303 WQFKOVFWSJLGKH-UHFFFAOYSA-N 400.485 4.517 5 20 HJBD O=C(Nc1ccc(F)c(F)c1F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 15001650 JDSPGJFGHRZTEJ-UHFFFAOYSA-N 401.344 4.877 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)Nc3ccc4oc(=O)[nH]c4c3)cc2[N+](=O)[O-])cc1 31254902 JGJCCPFZFVBZCV-UHFFFAOYSA-N 421.434 4.741 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 51237614 JOQNFAQVZAAVGU-HNAYVOBHSA-N 403.866 4.880 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1Cl 55689527 SAJICQJVMTYTPT-LLVKDONJSA-N 407.879 4.577 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(C)cc2OCc2ccccc2)cc([N+](=O)[O-])c1 59784652 VSOSDOFEXTXZHF-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD C[C@@H](SCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(F)cc1 109369692 PVHOYSPLCHOXDZ-SNVBAGLBSA-N 413.268 4.929 5 20 HJBD COc1ccc(NC(=O)c2ccc3c(c2)nc(C)n3-c2ccccc2)c([N+](=O)[O-])c1 216434625 QHMZHUNYYAIMBF-UHFFFAOYSA-N 402.410 4.503 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1cc(Br)ccc1Br 229560673 FQSQVKNWVUGRSC-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCCC[C@@H]1c1cc2ccccc2[nH]1 303654925 FWXOPJOORIRVSJ-OAQYLSRUSA-N 416.437 4.697 5 20 HJBD COc1ccccc1[C@H](CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)N(C)C 409726775 IMFFAEQDFMEAPA-FQEVSTJZSA-N 411.527 4.724 5 20 HJBD COC[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccc(Cl)cc1 426604022 RZEUJZMKSHQQDD-LJQANCHMSA-N 405.882 4.556 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426737177 MOGUKFSNVRCAEH-MNOVXSKESA-N 412.273 4.588 5 20 HJBD Cc1cc(-c2noc(-c3ccc(Sc4nc(C)cs4)c([N+](=O)[O-])c3)n2)ccn1 432678768 ODPNCGLWUCKIBA-UHFFFAOYSA-N 411.468 4.931 5 20 HJBD CCCNc1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 434510915 OGSXYTOEIOBMBW-UHFFFAOYSA-N 403.457 4.572 5 20 HJBD COc1cccc(C2CCN(C(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)CC2)c1 436245951 OIDYLTDRWGHELF-UHFFFAOYSA-N 412.489 4.710 5 20 HJBD Cc1cc(Br)ccc1NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437533286 GWDNICRADLXKRN-UHFFFAOYSA-N 404.264 4.862 5 20 HJBD CCN(CCC1CCN(C(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)CC1)CC1CC1 439736820 FNQTUEGGJRJEBZ-UHFFFAOYSA-N 401.551 4.692 5 20 HJBD O=C(NCCc1ccc(F)cc1Cl)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444032356 JWAQBJFSVDBYSI-UHFFFAOYSA-N 412.823 4.585 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N[C@H]1CCCc2c(O)cccc21 447690224 CAEIQKLJMQHLIT-NSHDSACASA-N 403.307 4.839 5 20 HJBD CCN(c1ccccc1)c1ccc(CNC(=O)c2cc(OC)ccc2[N+](=O)[O-])cc1 460562305 HMRPTYPYWMIIRH-UHFFFAOYSA-N 405.454 4.691 5 20 HJBD COc1cccc(-c2noc([C@H](C)NCc3ccc(-c4ccc([N+](=O)[O-])cc4)o3)n2)c1 462755050 SVOLKVLWBRSRAO-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1ccc(COCC(F)(F)F)cc1 463965857 BGGFYTAHEUYDLZ-UHFFFAOYSA-N 411.380 4.612 5 20 HJBD CCOC(=O)c1nc(-c2ccccc2)oc1COc1ccc([N+](=O)[O-])cc1Cl 465034196 JTBBTYAERIPVSV-UHFFFAOYSA-N 402.790 4.659 5 20 HJBD C[C@@H]1CN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CCCO1 466741487 AIIXERLECSYRQH-CYBMUJFWSA-N 406.891 4.650 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1ccc2ncccc2c1 471557911 YLQHKKZRGAHQKS-UHFFFAOYSA-N 421.400 4.570 5 20 HJBD Cc1cc(Br)c(F)cc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 471574439 PZOMOXLUWGGKCA-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD C[C@@H](NC(=O)C(=O)Nc1cc(-c2ccccc2)ccc1Cl)c1cccc([N+](=O)[O-])c1 472552359 AQPFVQZVBNFHKL-CQSZACIVSA-N 423.856 4.731 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2cc3ccccc3o2)c([N+](=O)[O-])cc1OCC 472764390 CHXYHCMIQVCFSY-UHFFFAOYSA-N 413.430 4.802 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1ccc(OCc2ccccn2)cc1 475636532 UZLPLSUSPNOXNV-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD COC(=O)c1csc(-c2cccc(CNc3ccc([N+](=O)[O-])cc3Cl)c2)n1 477795128 DGHUDYDYXQEMKE-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD COc1cc(CNCCc2ccc(Cl)cc2[N+](=O)[O-])ccc1OCC(F)(F)F 478282305 ZHZZTNNNCHOPQI-UHFFFAOYSA-N 418.799 4.530 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1ccco1)N1CCCC1 479010023 JXOVLQYRPGJFNH-GOSISDBHSA-N 417.531 4.503 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cc1 480613098 SDVKXOHCOLVFMP-IBGZPJMESA-N 417.509 4.992 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1c(F)cccc1F)C(F)(F)F 482378145 KSEDTCZZRPOAJF-AWEZNQCLSA-N 423.725 4.629 5 20 HJBD COCCC[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccc(Br)cc1 482517710 FBVOLLYKTBRGHI-IBGZPJMESA-N 407.308 4.657 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)Sc1nc(O)c2cnn(-c3ccccc3)c2n1 484640750 HYSUCTJULPEXFU-CYBMUJFWSA-N 407.455 4.591 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(-c2ccccc2)on1 486090959 ALDYTAPPSAQDFL-UHFFFAOYSA-N 411.483 4.928 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@@H](c1ccccc1)C(F)(F)F 486674514 IQBPDJRFWFRGBF-ZDUSSCGKSA-N 418.824 4.803 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OCc2cscn2)c1 487032832 VYOYSRNMAJNUDT-UHFFFAOYSA-N 415.496 4.995 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc([S@](C)=O)cc1 489031070 NABSDKXMUTYUEU-SUMNFNSASA-N 420.556 4.571 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(F)cc1OCCC(F)(F)F)c1cccc([N+](=O)[O-])c1 505846146 UFUBBGYYKZWMHH-LLVKDONJSA-N 415.343 4.948 5 20 HJBD C[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccc(Cl)cn1 507190756 VVIDTOIJTYSEKU-CQSZACIVSA-N 410.861 4.746 5 20 HJBD C[C@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1)c1ccc([N+](=O)[O-])cc1F 507285802 SEPMBZRTIXFLLY-YCRPNKLZSA-N 422.460 4.981 5 20 HJBD C[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)c(Br)c1 507701255 ZPDQBZSQHNLCCY-SECBINFHSA-N 415.646 4.570 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 510861713 PVHKUPVDLCPSLR-SECBINFHSA-N 401.316 4.699 5 20 HJBD O=C(Nc1ccc(NC2CCOCC2)cc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 513077775 XBPJKQQCZJBDJC-UHFFFAOYSA-N 424.482 4.562 5 20 HJBD Cc1sc(NC(=O)COc2ccccc2Cl)nc1-c1cccc([N+](=O)[O-])c1 515624925 HWDRYPXNLHVPHP-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H](n3cc(Cl)cn3)C2)cc1[N+](=O)[O-] 516941681 QZJIUMSQXFGUCI-MRXNPFEDSA-N 422.938 4.670 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)s1 520729718 WWBHNDKPCYGIIE-HNNXBMFYSA-N 416.547 4.738 5 20 HJBD Cc1ccc(C[C@H](NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c2ccccn2)cc1 522344116 CJGDCMOHXUCQDT-QHCPKHFHSA-N 418.497 4.591 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(CC(F)(F)F)c1ccccc1 522720748 IDDQVPXPOCAPOE-LLVKDONJSA-N 402.756 4.611 5 20 HJBD CC[C@@H](C)[C@@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc2ccccc2[nH]1 524554906 KEYNOLJYXUKSIV-CWTRNNRKSA-N 400.866 4.571 5 20 HJBD COc1cc(F)c(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 532085465 SWIPWQMJEKHKDY-UHFFFAOYSA-N 413.380 4.746 5 20 HJBD COc1cc(C(=O)N(C)[C@H]2CCSC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 535192094 TVZISSNVLFQSNH-AWEZNQCLSA-N 422.890 4.627 5 20 HJBD CCOc1cc(Sc2nnc(Cc3cccs3)n2C2CC2)ccc1[N+](=O)[O-] 536510627 SSMMLYUMFVZLQR-UHFFFAOYSA-N 402.501 4.723 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](Oc2ccccc2Cl)C1)c1ccccc1[N+](=O)[O-] 538144853 LBTOREURRZZEPH-GJZGRUSLSA-N 403.866 4.562 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc(COCCOC(C)C)c1 539840746 IJCULZZECVHWLS-UHFFFAOYSA-N 400.475 4.714 5 20 HJBD Cc1ccccc1-c1nnc(Sc2ncc([N+](=O)[O-])c(C)c2Br)o1 542508705 NGNLXQGFZQEBGC-UHFFFAOYSA-N 407.249 4.570 5 20 HJBD CC[C@H](C)Oc1ccc(-c2noc(-c3cc(O)nc4ccc([N+](=O)[O-])cc34)n2)cc1 547167652 IBLUJOCOFJOLSR-LBPRGKRZSA-N 406.398 4.743 5 20 HJBD CCOc1cc(/C=C\c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)ccc1OC 547199112 TXDYZYYQVWORMW-UITAMQMPSA-N 420.425 4.628 5 20 HJBD COCCN(C(=O)c1occc1C(C)C)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 551095516 LBJBMVKORFWUMA-UHFFFAOYSA-N 415.471 4.728 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NC2(c3ccc(Cl)cc3)CCC2)cc1SC 560156248 GSUSPPGFXKBXHK-UHFFFAOYSA-N 406.891 4.788 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(C[S@@](=O)CCCOc3ccc(Cl)cc3)co2)cc1 573853841 PRFDQQCAGRDTRC-NDEPHWFRSA-N 420.874 4.621 5 20 HJBD CCCOc1ccc(NC(=O)C(=O)Nc2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(C)c1 580459200 GVAQYKPFLOYHJA-UHFFFAOYSA-N 413.474 4.567 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCc3sccc3[C@@H]2c2ccccc2)c1 603905590 JJMNZKXPEFNPRD-QFIPXVFZSA-N 408.479 4.518 5 20 HJBD O=C(Nc1cc(-c2ccccc2)nn1-c1ccccc1)c1ccc([N+](=O)[O-])cc1F 603952177 JJZOSYGKROMDLF-UHFFFAOYSA-N 402.385 4.839 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)[C@@H](C)Oc1ccccc1C(F)(F)F 608896201 OHBZDKUTIHDTOL-CQSZACIVSA-N 410.392 4.818 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1Br)C12CC3CC(CC(C3)C1)C2 610037135 ZJMITOLVJORANC-UHFFFAOYSA-N 407.308 4.788 5 20 HJBD C[C@@H](O)CN(Cc1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 610167264 XYJXJKOGBUBORM-QGZVFWFLSA-N 421.453 4.802 5 20 HJBD COc1cc(C(=O)N[C@H](C)C(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])c1OC 610169934 HAIHVZKQKRWRAQ-MRXNPFEDSA-N 420.465 4.562 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)CSc1ncnc2sc(C)c(C)c12 610664671 MGZOIXWPFBAYPI-UHFFFAOYSA-N 402.501 4.564 5 20 HJBD CN(C(=O)c1cc(COc2ccc(Cl)cc2Cl)on1)c1cccc([N+](=O)[O-])c1 611090711 BMKWZLVGUCQYKQ-UHFFFAOYSA-N 422.224 4.745 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@H]2[C@H](C(F)(F)F)[C@@H]21 619684428 ANGHZUJEVWRBFP-AFAVFJNCSA-N 408.401 4.769 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N2CC[C@@H](c3ccccc3F)C2)CC1 730507304 RUJKFRHAMOVKBD-QGZVFWFLSA-N 411.477 4.600 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2-c2nc3ccccc3[nH]2)c1 731979337 QBKSUFKLIXZWTM-UHFFFAOYSA-N 402.410 4.707 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cccc(N(C)C)c2)cc1[N+](=O)[O-])c1ccccn1 741952902 UYWJOKBRHLSGFU-MRXNPFEDSA-N 420.469 4.586 5 20 HJBD CC(C)c1ccc(C(=O)[C@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 744915109 JKGYTWDXDYPXOR-LBPRGKRZSA-N 420.259 4.909 5 20 HJBD Cc1c(CC(=O)NC2CCN(c3ccc(C(C)(C)C)cc3)CC2)cccc1[N+](=O)[O-] 747832531 OMWAXFMTXXGGSZ-UHFFFAOYSA-N 409.530 4.528 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749915575 IMPZAIKWXQAUIO-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752558023 RVWRFTOPIXYTQA-AWEZNQCLSA-N 402.422 4.658 5 20 HJBD Cc1ccsc1C(=O)Nc1ccc(OC(=O)c2ccc(F)cc2[N+](=O)[O-])cc1 757885752 ZMIVKBIKESFINO-UHFFFAOYSA-N 400.387 4.575 5 20 HJBD O=C(Nc1cnccc1-c1cccc(F)c1)c1cccc([N+](=O)[O-])c1Br 758641674 PTUHSLATXPWVHC-UHFFFAOYSA-N 416.206 4.811 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1cc([N+](=O)[O-])ccc1Br)CCC2 764304419 MYSNKBKQUCHPBX-CQSZACIVSA-N 424.320 4.526 5 20 HJBD C[C@@H](OC(=O)[C@H]1CCc2c(Cl)cccc21)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775927671 GTQJRDOJKKBEQB-BZNIZROVSA-N 413.817 4.632 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)cc1[N+](=O)[O-] 777219854 BNWJTKSGRPXCKQ-QRVBRYPASA-N 409.442 4.610 5 20 HJBD O=C(Nc1cccc(-c2cn[nH]c2)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 785212355 JRKKRGCRJJUOLX-UHFFFAOYSA-N 414.421 4.816 5 20 HJBD CC(C)(C)c1ncc(/C=C/C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 785382260 WRAZLFLJFKESRX-SNAWJCMRSA-N 413.421 4.697 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 791146169 QSOPVJYSIXTTJO-UHFFFAOYSA-N 407.426 4.564 5 20 HJBD Cc1ccc(F)cc1-c1nnc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])s1 791879079 XMHJVXSFVYLHEY-UHFFFAOYSA-N 424.816 4.535 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 796255041 IZOJXOYVRZYBEN-JTQLQIEISA-N 412.776 4.544 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)cc2nsnc12 809228309 HBMANUSDNIBJBB-UHFFFAOYSA-N 418.394 4.504 5 20 HJBD CN1CCC[C@H](CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)[C@@H]1c1cccs1 809920565 WAMHJKTZPQAUGI-SJKOYZFVSA-N 408.911 4.514 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cccc([N+](=O)[O-])c3Cl)n2)cc1OC 816832280 VWBCLZDNYRTGHA-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)[C@@H]1C[C@H]1C 819099235 RQNLURRTRGLQQB-BMLIUANNSA-N 422.268 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)Cn1c(SC(F)F)nc2ccccc21 819990194 QMWGYSMGPWUQDC-UHFFFAOYSA-N 406.414 4.515 5 20 HJBD Cc1cc(NC(=O)c2cccc(F)c2)sc1C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 917610718 RDTIXPMBQDDHRH-UHFFFAOYSA-N 417.393 4.748 5 20 HJBD C[C@@H](OCC1CC1)C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 920818958 SSVDNDDVPRWENT-CYBMUJFWSA-N 404.850 4.581 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCc2cnn(C(C)C)c21 1116627877 ZKUSZRNHCCVMDT-QGZVFWFLSA-N 402.520 4.682 5 20 HJBD Cc1cc(-c2nc([C@H](NC(=O)OC(C)(C)C)c3ccccc3)no2)ccc1[N+](=O)[O-] 1318485688 CNIXQKKXLJJSOW-QGZVFWFLSA-N 410.430 4.567 5 20 HJBD CC(C)(C)c1nnc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)s1 1319605954 QCSGEXQILLIENV-UHFFFAOYSA-N 406.895 4.947 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC(Cc2c(F)cccc2Cl)C1 1320507435 KYASRGCYKNRNQY-UHFFFAOYSA-N 420.871 4.789 5 20 HJBD O=C(Nc1ccn(C2CCCCC2)n1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1320811618 GLTRYZHTAFJDEA-UHFFFAOYSA-N 422.435 4.914 5 20 HJBD COc1cc(C)ccc1Oc1ccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cn1 1322120283 GPYKPJUDAHOLBF-UHFFFAOYSA-N 420.425 4.647 5 20 HJBD C[C@@H](Sc1ncnc2sc3c(c12)CCCC3)C(=O)Nc1cccc([N+](=O)[O-])c1 2064037 WCPHSCCDHDOOLP-LLVKDONJSA-N 414.512 4.598 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccc(Br)cc1 5595516 URLKRGFAIXGDSB-UHFFFAOYSA-N 408.251 4.523 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl 8248977 KQQUKJKEUSLWJH-JTQLQIEISA-N 400.237 4.638 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC(=O)c2ccco2)cc1 11212241 GUACTOTZJNFKNH-CYBMUJFWSA-N 411.439 4.559 5 20 HJBD COc1ccc(C)cc1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 15082293 DZJQGAKHVAYSFT-UHFFFAOYSA-N 400.378 4.661 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c(OC)c1 43342721 IGZALIPAGCCIHS-QGZVFWFLSA-N 405.838 4.634 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CCC[C@@H]1c1ccccn1 55515612 HHXSUNKCUSDJTJ-OAQYLSRUSA-N 402.454 4.579 5 20 HJBD CN(C(=O)c1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1)c1ccccc1 55728755 OJZLHKSDNAQRNP-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)c1 58428728 QRPYYRJGVQRAMJ-UHFFFAOYSA-N 403.442 4.697 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H]1CCSc2c(F)cccc21 64419944 ZUWVYSKXEKAZHS-YGRLFVJLSA-N 410.854 4.508 5 20 HJBD CC(C)(CNc1cc2nc[nH]c(=O)c2cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 65233321 INJDZAWNJMFRHK-UHFFFAOYSA-N 407.257 4.528 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc(Cl)cc2)n(-c2ccc([N+](=O)[O-])cc2)n1 105585810 ZHIWWESMGVEPMA-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD CSc1ccc(C(=O)N(C)Cc2c(C)nn(-c3ccccc3C)c2C)cc1[N+](=O)[O-] 195622639 DSNNDNXWJCSCRS-UHFFFAOYSA-N 424.526 4.700 5 20 HJBD Cc1ccc(Cn2ccccc2=NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 252498110 RDBVLBKJHKBAAN-UHFFFAOYSA-N 403.463 4.709 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(C(F)(F)F)ccc1Br 327169138 UXHOMUCHKGYUEJ-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@@H](CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)Oc1ccc(Cl)cc1 430103191 GTFLSCULDSRGBJ-ZDUSSCGKSA-N 424.859 4.671 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@H]1c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 430555214 HTGYNDAIEORPLZ-IRXDYDNUSA-N 403.276 4.512 5 20 HJBD CC1CCN(C(=O)c2ccc(NC(=O)NC(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)CC1 431411133 WOJUIMBDFRMFGF-UHFFFAOYSA-N 424.501 4.524 5 20 HJBD CCCNc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1 434548173 DURLMGMGWAIPKU-UHFFFAOYSA-N 421.447 4.711 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 436401763 XMIVLSPLHQJDJP-SNVBAGLBSA-N 410.327 4.673 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCS[C@H](C(C)C)C2)cc1 437213953 FMNXUELFNOMOMM-FQEVSTJZSA-N 416.499 4.609 5 20 HJBD O=C1CCCN1c1ccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 440605300 ZFGHAAOPWXPLEO-UHFFFAOYSA-N 415.449 4.569 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(Cc1ccsc1)c1cccnc1 440729086 URLIGZFHBNWENG-UHFFFAOYSA-N 407.373 4.917 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1cccnc1)c1ccc(F)cc1 443872954 BNIQBUBUDXKVIE-UHFFFAOYSA-N 419.334 4.995 5 20 HJBD CCOc1cc(C(=O)N(C)[C@@H]2CCC[C@H]2c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 443900019 VTIFAGYCFXHQEX-PKOBYXMFSA-N 412.486 4.719 5 20 HJBD CC[C@@H](C(=O)N1CCC(N[C@H]2CCCc3ccc([N+](=O)[O-])cc32)CC1)c1ccccc1 447539090 FOWDJSKCPORYNR-VWNXMTODSA-N 421.541 4.747 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460350681 QPUNLNBGTXDESN-JOCHJYFZSA-N 423.494 4.847 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCc3c(F)cccc32)cc1[N+](=O)[O-])c1ccccn1 461072411 DOCRMGPJEFZQQY-CQSZACIVSA-N 406.417 4.505 5 20 HJBD Cc1cc(N2CCC(c3ncc4n3CCCC4)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461962097 CNXWQQYXJCNAQD-UHFFFAOYSA-N 408.424 4.839 5 20 HJBD CC(C)(C)c1cnc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])s1 464090443 MPDSCGADVMMLIC-UHFFFAOYSA-N 403.382 4.542 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2ccccc2CCC(F)(F)F)cc([N+](=O)[O-])c1 465145805 KJFFDUUEQGIDQE-UHFFFAOYSA-N 410.348 4.519 5 20 HJBD CC(C)C[C@@H]1CCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 465302760 AKLDDRHRXUDZDH-LBPRGKRZSA-N 411.289 4.596 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(Br)s2)c1 468169480 KYXBBXSUOHBCEU-MRVPVSSYSA-N 401.307 4.632 5 20 HJBD COc1c(F)cc(F)cc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 472492964 CSLFWQUQGBNDLA-CHWSQXEVSA-N 419.428 4.616 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Oc1ccc(S(=O)(=O)c2ccccc2)cc1 473514827 UGQKXGFPCKCPEI-UHFFFAOYSA-N 406.419 4.768 5 20 HJBD Cc1nc(NC(=O)c2cn(Cc3ccccc3)nc2-c2cccs2)ccc1[N+](=O)[O-] 475310324 CRWHFZQCDZUGBS-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccco3)n2Cc2ccccc2)c(F)c1 476481404 JPTKNSHTDXPTNV-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD COc1cccc(C(NC(=O)Nc2cccc([N+](=O)[O-])c2C)c2cccc(OC)c2)c1 481816918 SHXSANLHUSFPMJ-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD CSc1cccc(C(=O)Nc2nc(-c3c(F)cccc3F)cs2)c1[N+](=O)[O-] 485764325 AITKUPPMPQTPOZ-UHFFFAOYSA-N 407.423 4.971 5 20 HJBD CSc1cccc(C(=O)N(Cc2cccnc2)Cc2ccccc2C)c1[N+](=O)[O-] 486238150 ZVQRQUNDLFMTPI-UHFFFAOYSA-N 407.495 4.863 5 20 HJBD CC(=O)c1cc(N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@@H]2C)ccc1[N+](=O)[O-] 488472873 CCCJZCIQRBEEAJ-HXPMCKFVSA-N 408.376 4.783 5 20 HJBD COc1ccc([C@@H]2CCCN2c2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)c(OC)c1 489336519 VJBRDIRAFVGKOK-FQEVSTJZSA-N 420.469 4.719 5 20 HJBD CCc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2I)c1CC 489629049 WLVMCHUFTUCXJL-UHFFFAOYSA-N 424.238 4.577 5 20 HJBD Cc1c(CC(=O)Nc2cc(-c3cccc(C(F)(F)F)c3)nn2C)cccc1[N+](=O)[O-] 490003399 GCPJXIHUQZXIBY-UHFFFAOYSA-N 418.375 4.504 5 20 HJBD Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)CC3 498715844 OUKISGLEQASIKC-QGZVFWFLSA-N 418.497 4.616 5 20 HJBD C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 498975857 FBYGIEHAZXFRNM-GFCCVEGCSA-N 419.268 4.921 5 20 HJBD C[C@@H](c1ccccc1C(F)(F)F)[C@@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 499763406 OPHKQADKSQEFTP-ZIAGYGMSSA-N 409.408 4.947 5 20 HJBD CNC(=O)c1ccc(N[C@@H](C)c2ccc(OCCC(C)C)c(OC)c2)c([N+](=O)[O-])c1 506979941 XVKPQRUPYXAALH-HNNXBMFYSA-N 415.490 4.561 5 20 HJBD CC(C)(C)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1I 508924501 QBEUJTXIBYQBSH-UHFFFAOYSA-N 424.238 4.749 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(Cl)ccc1OC[C@H]1CCCO1 509813334 PWHZMQXYWFGZMH-CQSZACIVSA-N 422.890 4.537 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 513575007 SPBRKOVCSLHSSM-YJBOKZPZSA-N 402.878 4.558 5 20 HJBD COc1cc([C@H](C)N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])cc(OC)c1OC 513790970 HIGCYTDAASDNHQ-BXWFABGCSA-N 414.502 4.683 5 20 HJBD O=C(Nc1ccccc1C(F)(F)F)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 514428073 QRFYBOWNZQKCQW-UHFFFAOYSA-N 408.254 4.807 5 20 HJBD O=C1NCc2c(NCc3ccc(Oc4ccc(Cl)cc4[N+](=O)[O-])cc3)cccc21 517159413 QCWQRDKCSYTYHD-UHFFFAOYSA-N 409.829 4.896 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNCc1cc([N+](=O)[O-])ccc1OC(F)F 518763477 OEKWIMSGAKXNKI-INIZCTEOSA-N 419.472 4.860 5 20 HJBD C[C@H](NC(=O)NCc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 519198148 QVODXIKKUSPTER-JTQLQIEISA-N 412.671 4.571 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1OC 523786960 NXGWVFUFKMBDQG-UHFFFAOYSA-N 415.490 4.653 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3c(F)cccc32)cc1[N+](=O)[O-])c1ccccn1 531126672 KNIJMTIMRPGVKD-HNNXBMFYSA-N 420.444 4.895 5 20 HJBD COc1ccc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1-c1ccccn1 534382839 KGYHFDUIBCODPU-UHFFFAOYSA-N 415.352 4.519 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)cc1Cl 537003497 VBZGBSXSRSYDFY-UHFFFAOYSA-N 424.240 4.660 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(Cl)cc(Cl)c1O 537728910 AAJPUIHSHMFWNS-UHFFFAOYSA-N 413.260 4.806 5 20 HJBD CCOc1ccc([C@H](C)NCCCc2nc(-c3ccncc3)cs2)cc1[N+](=O)[O-] 539085106 SWXBYMMHAVRTQA-HNNXBMFYSA-N 412.515 4.795 5 20 HJBD CCOc1ccc([C@H](C)NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCC 540369344 NKVFAAXOLMNEIG-HNNXBMFYSA-N 411.458 4.898 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ncc(-c2ccccc2)o1 542568350 GLBWASCANWNILD-UONOGXRCSA-N 405.414 4.712 5 20 HJBD CC(C)(C(=O)Nc1ccc(Sc2nncs2)cc1)c1ccc([N+](=O)[O-])cc1F 545113892 RZQIBXHGNCNMGT-UHFFFAOYSA-N 418.475 4.653 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2-n2cccn2)no1 545668325 UCYWQQFWVDDPRR-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(-c2cnc(-c3ccccc3)nc2)no1 545673422 QGQATCYFYRAHFZ-CYBMUJFWSA-N 405.439 4.955 5 20 HJBD COc1cc(Br)ccc1-c1noc(/C=C\c2ccccc2[N+](=O)[O-])n1 547170906 CXZVREHVFBBDFN-TWGQIWQCSA-N 402.204 4.586 5 20 HJBD C[C@@H](CCSc1ccccc1)Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C 547232138 GZJPFSOJQLRQFY-ZDUSSCGKSA-N 401.513 4.505 5 20 HJBD Cn1ccnc1[C@H](NCC[C@H](c1ccccc1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 556217606 NZBRTHCSJQAQCM-RTBURBONSA-N 418.419 4.744 5 20 HJBD CC[C@@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1cccc(Cl)c1 556884973 FWUDUNAWAIAMHQ-AZUAARDMSA-N 414.893 4.518 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 559951505 XUEZUCRBCUYEIB-GOSISDBHSA-N 423.391 4.903 5 20 HJBD O=C1[C@@H](c2ccc(Cl)cc2Cl)N(C2CC2)C(=O)N1Cc1cccc([N+](=O)[O-])c1 566597972 FIMNCDUUXVZPPU-QGZVFWFLSA-N 420.252 4.570 5 20 HJBD CCOc1ccc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 604000143 QVUODKFUQUHRDE-UHFFFAOYSA-N 411.380 4.761 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](C)C(C)(C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 609064309 YHPIDZCQSMCHDE-SFHVURJKSA-N 420.513 4.553 5 20 HJBD O=C(Nc1ccc(Cl)cc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609762300 AJCFFOOVRIECIG-UHFFFAOYSA-N 411.801 4.618 5 20 HJBD C[C@@H]1SCCN(C(=O)c2ccc(N3CCCC3)c([N+](=O)[O-])c2)[C@H]1c1ccccc1 609819242 CVIOTGBRWWCEAP-HRAATJIYSA-N 411.527 4.514 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cc(C3CCCC3)[nH]n2)cc1[N+](=O)[O-])c1ccccn1 609837324 NHDIVEINAWNOOJ-CQSZACIVSA-N 420.473 4.796 5 20 HJBD CCOc1cc(NC(=O)Cc2c[nH]c3ccc(Cl)cc23)c([N+](=O)[O-])cc1OCC 610038655 NQGYJTJAFWPNCL-UHFFFAOYSA-N 417.849 4.708 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@@H](CC)c2ccncc2)c([N+](=O)[O-])cc1OCC 610092391 LULGQBVFBNWTBT-KRWDZBQOSA-N 416.478 4.792 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 610222266 OJQAYXGTWFMIBS-KBPBESRZSA-N 423.252 4.805 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Oc2ccc(C)nn2)cc1 611206280 DDPVWVZICLMSCX-UHFFFAOYSA-N 410.455 4.850 5 20 HJBD COCCC1(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCCC1 611207122 DTOZFFWWGVEETM-UHFFFAOYSA-N 406.548 4.956 5 20 HJBD CCC(=O)N1CCC[C@H](C(=O)Nc2c3ccccc3n3c(C)nc4ccccc4c23)C1 614516925 JTCYTPPXLWJVBT-KRWDZBQOSA-N 414.509 4.536 5 20 HJBD CCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725825917 KOQHTNRBYRBTRW-LBPRGKRZSA-N 408.863 4.766 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@](=O)C2CCCCC2)c1 735023039 YCVCIOQMMJFWNV-BBTLOVRBSA-N 412.511 4.828 5 20 HJBD O=C(Cc1ccc(Cl)cc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443793 UMBFOCSNJXSHIU-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD O=C(NCc1ccc(F)cc1)c1ccc(NCc2cc([N+](=O)[O-])ccc2Cl)cc1 748819235 BLIWJFNRMXBOCE-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1)C1CC(F)(F)C1 754129412 PNFVVDAWFNVXPQ-MRXNPFEDSA-N 424.787 4.517 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1ccc(Cl)cc1Cl 756766120 IUYQSOXXOZIVCC-VIFPVBQESA-N 422.224 4.567 5 20 HJBD COc1ccc(CC(=O)OCc2nc(C)c(-c3ccc(Cl)cc3)o2)cc1[N+](=O)[O-] 757068040 YSJSEBRFZMRQKE-UHFFFAOYSA-N 416.817 4.506 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 762963410 SJHFDFGFKMEGHJ-GFCCVEGCSA-N 405.497 4.791 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)o2)cc1 762999461 OJXDDHDCJGBHEP-ZDUSSCGKSA-N 413.405 4.674 5 20 HJBD COc1ccc([C@H](C)COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 768827442 QDMVXAPPAHTBDV-QGZVFWFLSA-N 401.462 4.510 5 20 HJBD CC(C)Oc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c1 774989015 OTDQFBNXUWOAGS-KBPBESRZSA-N 405.882 4.713 5 20 HJBD O=C(NCc1nc(-c2cc3ccccc3o2)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 776397293 VFUGGNYBQPDBBF-UHFFFAOYSA-N 415.377 4.673 5 20 HJBD C[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)Nc1cccc(Cl)c1 781261540 WTASGUMRCPPYLF-CYBMUJFWSA-N 420.852 4.519 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccccc1OCC(F)(F)F 790702800 RRDNBSOSYXEUSQ-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD COc1ccc(OC(=O)c2csc(-c3ccc(OC(C)C)cc3)n2)cc1[N+](=O)[O-] 792119339 MTPPTYJABGXVEH-UHFFFAOYSA-N 414.439 4.733 5 20 HJBD Cc1nn(CN2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)c2ncc([N+](=O)[O-])cc12 795650425 BYXATYSZKLZGPD-OAHLLOKOSA-N 419.407 4.504 5 20 HJBD O=C(OCCCCC1CCOCC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 798654646 RLBNNWZQXLZKMK-UHFFFAOYSA-N 415.436 4.713 5 20 HJBD CC(C)NC(=O)Nc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813398604 ZAWKMWAVSDXPFP-UHFFFAOYSA-N 411.245 4.684 5 20 HJBD CCc1ccc([C@@H](NCc2cc(OCC(F)F)ccn2)c2ccc([N+](=O)[O-])cc2)o1 1320091066 GLRFNIISBCYQGI-NRFANRHFSA-N 417.412 4.668 5 20 HJBD CCOc1ccc(-c2nnc(-c3cc([N+](=O)[O-])ccc3SCC)o2)cc1OC 1326497214 FMSOODJKTGDEKK-UHFFFAOYSA-N 401.444 4.831 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1F 1328626925 PWPLYGUREFGBFL-UHFFFAOYSA-N 423.828 4.916 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3sc4ccccc4c3Cl)o2)ccc1-n1cncn1 1345602143 PARDRJJKXIPOJP-UHFFFAOYSA-N 424.829 4.761 5 20 HJBD Cc1ccc(SCc2nnc(CCOc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 1349934753 LRVIGLACSLNRNV-UHFFFAOYSA-N 405.863 4.853 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)cc2)c1 8210704 FIMJUFDDUQZFOR-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD C[C@@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 9160364 RYUPXESNTCCGJX-SSDOTTSWSA-N 423.775 4.920 5 20 HJBD CC(C)Cc1ccc(CC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 19419575 POANXEDPLLPFEY-HNNXBMFYSA-N 409.442 4.690 5 20 HJBD CN(CC(=O)N[C@@H](c1ccccc1)c1ccc(Cl)cc1)Cc1ccccc1[N+](=O)[O-] 26347658 FOIGPUVLKCRQMK-QHCPKHFHSA-N 423.900 4.586 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)c2cccs2)c1 26744646 IYOJNLKRKCLXBE-UHFFFAOYSA-N 424.482 4.739 5 20 HJBD CC(=O)c1ccccc1NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 28885302 RRIUNJCLYKWGMC-UHFFFAOYSA-N 413.480 4.966 5 20 HJBD O=C(Nc1ccc(Oc2c(F)c(F)nc(F)c2F)cc1)c1cccc([N+](=O)[O-])c1 301605120 MFFMQOAUZLOOLO-UHFFFAOYSA-N 407.279 4.591 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc([N+](=O)[O-])c(-c4ccccc4)n3)CC2)oc1C 301855242 HULKJKZGVMREPZ-UHFFFAOYSA-N 421.501 4.586 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCC[C@H]2c2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 303440141 YNMKGAJDLGUUCL-QFIPXVFZSA-N 415.449 4.824 5 20 HJBD CC(C)CN(C(=O)[C@@H]1O[C@H](C)C[C@H]1C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 410003289 YDKQPJUUNRBVPB-HBUWYVDXSA-N 403.504 4.521 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC2(CCC2(F)F)C1 427736746 YQPCXXPFIKDAHI-UHFFFAOYSA-N 408.788 4.912 5 20 HJBD Cc1cc(C)c(C(=O)O)cc1NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 431995214 YMOCALACZGPXQI-UHFFFAOYSA-N 422.387 4.527 5 20 HJBD COc1cccc(C(=O)N[C@H](C)c2ccc(OCc3ccc(F)cc3)cc2)c1[N+](=O)[O-] 435857967 ZQCDPAOPFKPENH-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD O=C(NC[C@H]1CCCc2ccccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435876306 IDVIPNPGMPTOEL-MRXNPFEDSA-N 400.453 4.670 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)nc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436340344 ISHRQAYGYUMXBG-UHFFFAOYSA-N 417.440 4.789 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H](CSc2ccccc2)C1 437045994 LXKJUOWHAFPUMU-ZDUSSCGKSA-N 410.417 4.868 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccc(F)cc2O1 444250505 OXJLVKDXRNBQMK-NRFANRHFSA-N 416.452 4.853 5 20 HJBD CCCc1c(NC(=O)[C@H](CC)Cc2ccc([N+](=O)[O-])cc2)cnn1-c1cc(C)ccn1 462745135 NUVWDMFBIOFRBZ-GOSISDBHSA-N 421.501 4.644 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2c(F)cccc2[N+](=O)[O-])cs1 463561747 HHOHEGHDILLHDE-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2C[C@@H](C)[C@@H]2c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 464520748 NZDCGRSYIBLBSD-SAHWJRBASA-N 416.481 4.996 5 20 HJBD CC[C@@H](Cc1ccccc1Br)C(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 466652252 UBEBZOUIEMKVPG-AWEZNQCLSA-N 417.303 4.511 5 20 HJBD CCC[C@@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 467834130 PCZBHQQSZKAABB-HTAPYJJXSA-N 410.518 4.653 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@H](c1cccc(Cl)c1)c1ccccn1 474505238 GCVFAXWHUJVWAN-HXUWFJFHSA-N 410.861 4.574 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1ccc(Cl)cc1OCC(F)(F)F 477234346 QDAODAZUWYAFSK-UHFFFAOYSA-N 406.719 4.510 5 20 HJBD C[C@H](C1CC1)N(Cc1ccccc1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 477389159 DTKPMNZGXVYUIQ-CQSZACIVSA-N 422.403 4.977 5 20 HJBD Cc1c(CN[C@H](C)c2ccc(OC[C@@H]3CCCCO3)c(F)c2)cccc1[N+](=O)[O-] 478494195 UBQQDYJCWJQPRV-APWZRJJASA-N 402.466 4.841 5 20 HJBD C[C@@H](NCC1(N2CCCC2)CCOCC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 482563734 UKDYXNQWMJPXIR-QGZVFWFLSA-N 415.559 4.619 5 20 HJBD Cc1ccc([C@@H]2CCCN2C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 484257063 QZJDOKRJENVQEJ-QFIPXVFZSA-N 418.497 4.742 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c12 486116732 FWNYEBXFMXGUED-UHFFFAOYSA-N 420.469 4.937 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(C(=O)C2CCC2)cc1 486261445 PUMCHWAOGVQHKI-UHFFFAOYSA-N 402.834 4.639 5 20 HJBD COCC1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 488998274 ISGOGJUFYMMZNP-UHFFFAOYSA-N 404.850 4.539 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccn(-c2ccccc2Cl)n1 489193498 FHTVJWSAPQYJTC-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD COc1ccccc1C[C@@H](C)N(Cc1ccccc1)C(=O)Cc1ccccc1[N+](=O)[O-] 491505484 DPVKSDSJAUGJTF-LJQANCHMSA-N 418.493 4.806 5 20 HJBD COc1cc(NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)ccc1Br 494192371 QLUHBFCYMSLRQO-UHFFFAOYSA-N 420.263 4.562 5 20 HJBD CCOc1cccc2cc([C@@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)oc12 503180364 BQAUYLMOOAAPAX-CYBMUJFWSA-N 400.456 4.709 5 20 HJBD CCOc1ccc(C(=O)Nc2c(-c3cccs3)nc3ccccn23)cc1[N+](=O)[O-] 509826467 QWSIONAYIPOPQQ-UHFFFAOYSA-N 408.439 4.622 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc2c(c1)nc(C1CC1)n2C 510649808 OMFASFUPRXROOY-UHFFFAOYSA-N 424.526 4.789 5 20 HJBD CC(C)CN(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(C)C 514539005 CRKMEESJDMDPKF-UHFFFAOYSA-N 415.456 4.723 5 20 HJBD CNc1ccc(C(=O)N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)cc1[N+](=O)[O-] 520773117 VASUSCXEROKLFS-ZDUSSCGKSA-N 410.499 4.868 5 20 HJBD CCc1ccc([C@H]2CCCCCN2C(=O)c2ccn(-c3cccc([N+](=O)[O-])c3)n2)o1 523493903 CSPXHXQUDZETCQ-HXUWFJFHSA-N 408.458 4.693 5 20 HJBD CCC[C@@H](CCc1ccccc1)NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 525042705 KWQFWVHYZHYTKW-INIZCTEOSA-N 402.516 4.857 5 20 HJBD C[C@@H](C(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 534874009 VCUQIBVPYUXVIL-QGZVFWFLSA-N 402.450 4.588 5 20 HJBD Cc1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)nc2ccccc12 536536854 OPBUZBFWPIHDMY-UHFFFAOYSA-N 410.499 4.525 5 20 HJBD CC[C@@H](NCC(=O)Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccccc1OC(F)F 537050827 AHQSBZMLZMZRSE-CYBMUJFWSA-N 413.808 4.529 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538050498 XKGCGXXLGPTEJJ-VQIMIIECSA-N 406.841 4.573 5 20 HJBD COc1ccc([C@H]2CCN(C(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)C2)cc1 538194599 KUCZCXRUSXOMDT-INIZCTEOSA-N 400.500 4.734 5 20 HJBD O=C(Nc1ncc(Cc2ccccc2)s1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540514435 ZJRURRLWVWKMPH-UHFFFAOYSA-N 416.462 4.956 5 20 HJBD CCOCc1ccccc1-c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 547046397 FWKICVITVHBINR-GFCCVEGCSA-N 403.822 4.975 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)c2C)c1 547233895 OLZWFWQDKKMHON-UHFFFAOYSA-N 410.449 4.761 5 20 HJBD COc1ccc(-c2nc([C@H](C)c3ccc(Br)cc3)no2)cc1[N+](=O)[O-] 547249540 GLXSILFDMBYADO-SNVBAGLBSA-N 404.220 4.568 5 20 HJBD C[C@@H]1CC[C@H](c2ccccc2)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 553506429 IRFRXSUUCNBYCF-WIYYLYMNSA-N 407.514 4.811 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCc2c(Br)cccc2C1 574946298 AOOFGHTUHYYVCC-UHFFFAOYSA-N 404.264 4.510 5 20 HJBD C[C@H](C(=O)NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1 575855655 OGUDXIQQBCFDAB-XOBRGWDASA-N 402.878 4.636 5 20 HJBD CN1CCC(N(Cc2ccccc2)Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 576314062 CGJHSHOKSRHEEV-UHFFFAOYSA-N 422.554 4.815 5 20 HJBD COc1cc(Cl)c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cc1C 578098274 NMAXYQIRPNFXBQ-UHFFFAOYSA-N 405.657 4.642 5 20 HJBD C[S@](=O)Cc1cc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Cl 600847639 KMONFAGNLRAWQM-SANMLTNESA-N 406.813 4.758 5 20 HJBD FC(F)(F)Cc1noc(CSc2nc3ccccc3c3nc4ccccc4n23)n1 607756368 FEEXDPOSUAIKEK-UHFFFAOYSA-N 415.400 4.816 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)C2CCC2)cc1OC 609218347 HNAMWTAFOLQEHJ-FQEVSTJZSA-N 418.877 4.927 5 20 HJBD Cc1ccc(C(=O)N[C@@H](CC(=O)Nc2ccc([N+](=O)[O-])cc2F)c2ccccc2)cc1 609228497 WJIYDCZHGUBRFV-NRFANRHFSA-N 421.428 4.542 5 20 HJBD C[C@H](Cc1ccc(O)cc1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609773336 XGGYFTQAMKCTEM-OAHLLOKOSA-N 407.426 4.845 5 20 HJBD O=C(Nc1cc(F)ccc1F)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852484 BGWIZDQFENVTOJ-UHFFFAOYSA-N 413.167 4.618 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610045984 LMFPIRJVMWCOAL-UONOGXRCSA-N 403.276 4.684 5 20 HJBD C[C@@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1c(Cl)cccc1Cl 726030122 OFLNBVLKVYRBSC-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@H]1Cc2ccccc2O1 727961717 GUTMBCQNVYCGTP-OAQYLSRUSA-N 424.840 4.769 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(C[S@](=O)c3ccccc3)c2)cc1 734388600 XEHCKYGADMCNDM-NDEPHWFRSA-N 411.508 4.712 5 20 HJBD O=C(C[C@@H]1OC(=O)c2ccccc21)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735248589 BZCSQUPRWHONCV-FQEVSTJZSA-N 404.378 4.627 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1nc(C(C)C)n(-c2cccc(F)c2)n1 735643506 CLYIYVIMOYETGI-UHFFFAOYSA-N 418.812 4.619 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)Cc1csc(Cc2ccccc2)n1 735802051 SRDQEKQQKUPKEJ-UHFFFAOYSA-N 401.875 4.785 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1ncc(-c2ccccc2F)o1 744869019 DLPKFKDXQIUOGE-LLVKDONJSA-N 420.780 4.553 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])n1[C@H]1CCC[C@@H](C)C1 758169389 OJYXELOMGMVFIA-RISCZKNCSA-N 423.926 4.630 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2cc(Br)cc([N+](=O)[O-])c2)cc1 759913589 HCWSPCROJRHNHQ-UHFFFAOYSA-N 417.215 4.848 5 20 HJBD COc1ccc(-c2ccc(CNC(=O)c3cc(F)c([N+](=O)[O-])cc3F)s2)cc1 763498204 CYJOBOANWZGVKV-UHFFFAOYSA-N 404.394 4.540 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(N3CCSCC3)c(Cl)c2)c1F 764475453 MXYPNMBJRUGBHS-UHFFFAOYSA-N 409.870 4.501 5 20 HJBD COc1cc(CN2CCCCC[C@H]2C[C@@H](O)c2cccs2)c([N+](=O)[O-])cc1F 766798089 XUXPECPWBZSZIY-MAUKXSAKSA-N 408.495 4.672 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)o2)c(C)c1 768352420 PGXUWANGNAHAJK-LBPRGKRZSA-N 416.434 4.520 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc2c1N(C(=O)c1cc3cccc([N+](=O)[O-])c3[nH]1)CC2 772784160 PYVAQOIRLOJIKX-UHFFFAOYSA-N 422.441 4.626 5 20 HJBD CSc1ccc(O[C@@H](C)C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133114 GGKIHYTZDJRHDG-YCRPNKLZSA-N 424.478 4.812 5 20 HJBD COc1ccc(NC(=S)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Cl 782386165 AINCUYXBKUBEEK-UHFFFAOYSA-N 419.812 4.762 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)OCc1ccc(OCc2ccccn2)cc1 785937884 VZFABKWEVVTJCW-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD CC(=O)c1ccc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)sc2c1 788497249 AJLXRQBZJBCGEG-UHFFFAOYSA-N 424.482 4.650 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@H](C)c2ccc(C(F)(F)F)cc2)cccc1[N+](=O)[O-] 798783672 JUESORVDPWRORF-YPMHNXCESA-N 424.375 4.596 5 20 HJBD CC(C)(C(=O)OCc1cc(F)ccc1[N+](=O)[O-])c1csc(-c2cccs2)n1 799919071 KDKDCDBCLSUCOH-UHFFFAOYSA-N 406.460 4.940 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCC(F)(F)F)c(Cl)c1)c1cccc([N+](=O)[O-])c1 800130373 JKQKXFCABUCCMM-SNVBAGLBSA-N 402.756 4.932 5 20 HJBD Cc1ccc(-c2cncc(F)c2)cc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 801093683 UEXCJBLMINUBGS-UHFFFAOYSA-N 401.344 4.835 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1ccc(Cl)c([N+](=O)[O-])c1 803226434 VUXHOUFUDLILAC-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CN(Cc1ccccc1OC(F)(F)F)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809919908 JJAQEQUQCZIMAN-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD COc1ccccc1C1(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CC1 811218537 FOMULYZIWRDPQL-UHFFFAOYSA-N 410.451 4.502 5 20 HJBD O=C(OCc1ccc(Oc2ccccc2)nn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811373570 XUSZFLCLSPHHIP-UHFFFAOYSA-N 420.208 4.841 5 20 HJBD CC(C)(CCc1noc(COc2ccc(-c3ccccc3)cc2Cl)n1)[N+](=O)[O-] 812814009 SSFVCDMKCJKDLV-UHFFFAOYSA-N 401.850 4.957 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])O[C@@H](C)C2 812843461 WTCKQHHHMVTDHC-VIFPVBQESA-N 411.241 4.876 5 20 HJBD COC(=O)C(C)(C)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 813025096 ZNUCGPDASVSNKZ-UHFFFAOYSA-N 411.241 4.605 5 20 HJBD O=C(Cc1csc(-c2ccoc2)n1)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815211796 GCOUOBSTMVMCRA-UHFFFAOYSA-N 412.345 4.616 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 815353812 QYJCORIDUZMEMB-GFCCVEGCSA-N 419.865 4.513 5 20 HJBD COc1ccc(-c2nnc(S/C=C/c3ccc([N+](=O)[O-])o3)n2Cc2ccco2)cc1 825256187 ZLEQGQPJXXVNAI-ZRDIBKRKSA-N 424.438 4.859 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@H](C)Sc2ccc(Cl)cc2)cccc1[N+](=O)[O-] 855691939 ZPHHNVVPUDIGQK-STQMWFEESA-N 422.890 4.608 5 20 HJBD CC1CCN(c2ccc(-c3nc(COc4ccccc4F)no3)cc2[N+](=O)[O-])CC1 904729161 AHODHMOAIUJKFA-UHFFFAOYSA-N 412.421 4.599 5 20 HJBD CCOc1ccc2c(c1)[C@H](C)CC(C)(C)N2C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 913132941 ADSWDXCCUNGKRP-CYBMUJFWSA-N 413.430 4.834 5 20 HJBD Cc1ccc(-c2nc(COC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cs2)o1 915232634 QWNOWHXSFVXKMF-GFCCVEGCSA-N 404.469 4.844 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(-c2ccc(F)cc2)n(C)c1=S 919950408 KFPCVTWGAMPGPD-UHFFFAOYSA-N 415.494 4.533 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@@H](c1ccccc1)c1cccc(Cl)c1 921266513 LGKRABSOLYLKDV-NRFANRHFSA-N 414.820 4.672 5 20 HJBD C[C@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 1116468083 AXHAFCUOJAEDAG-QWRGUYRKSA-N 422.363 4.797 5 20 HJBD CC(C)c1oc(-c2ccccc2)nc1C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 1318341587 VUIGJLDXJUIQEI-UHFFFAOYSA-N 417.425 4.811 5 20 HJBD CO[C@@H](C)c1nc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cs1 1319462542 GSLBHYVUBOILGS-NSHDSACASA-N 407.879 4.962 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)Nc2c(Cl)cc(C)c3ncccc23)c1[N+](=O)[O-] 1321023558 JVSBWLVJBQHKRU-UHFFFAOYSA-N 405.863 4.522 5 20 HJBD Cc1nc2ccccc2c(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1C 1321044227 WYSFSGBESDIZFL-UHFFFAOYSA-N 403.394 4.772 5 20 HJBD CC(C)(C)OC(=O)N1CCC(c2nnc(-c3cc4cc([N+](=O)[O-])ccc4o3)o2)CC1 1333076566 GDROYRDEGAYJFZ-UHFFFAOYSA-N 414.418 4.506 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC(c2nc3ccccc3[nH]2)CC1 29268299 JVLMJWFEDMLOGC-UHFFFAOYSA-N 406.467 4.706 5 20 HJBD CCOc1cc(C(=O)NCc2cccc(Oc3ccccc3)c2)c([N+](=O)[O-])cc1OC 32362433 UECCGNLHSGZTIG-UHFFFAOYSA-N 422.437 4.724 5 20 HJBD C[C@@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(OCc2cccnc2)cc1 48322723 CLHRBCOREVSYFZ-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD CN(C(=O)c1csc(-c2cc(Br)cs2)n1)c1ccc([N+](=O)[O-])cc1 58070644 SGLKSTIIYMBSDP-UHFFFAOYSA-N 424.301 4.819 5 20 HJBD O=C(NCc1cccc(CN2CCCCCC2)c1)c1ccc([N+](=O)[O-])cc1Cl 61503757 ADRPOTZYOOSHEI-UHFFFAOYSA-N 401.894 4.554 5 20 HJBD O=C(Nc1ccccc1)c1ccc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cc1 63950445 ITJYPNJHOSNQMU-UHFFFAOYSA-N 416.437 4.674 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(OCCC(C)C)c2)c([N+](=O)[O-])cc1OC 64424690 NQBNNCJMZNJFGY-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD C[C@H](SCC(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(F)cc1 109369689 PVHOYSPLCHOXDZ-JTQLQIEISA-N 413.268 4.929 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(CN3CCCCCC3)cc2)c1 109407559 HETADCPPBCEMTF-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc(OC)ccc2[N+](=O)[O-])c2ccccc2)cc1 147019250 CDDYWYCPDVZQBM-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(N4CCCC4=O)cc3)o2)c([N+](=O)[O-])c1 237428005 BDOZEMHACMEDFV-UHFFFAOYSA-N 407.426 4.602 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)c1ccc(C)c([N+](=O)[O-])c1 303150417 SLWKATOHLMVSDC-INIZCTEOSA-N 419.275 4.652 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1c(Cl)cccc1[N+](=O)[O-] 303529799 WJJZFUXDNDLPCW-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD CC(C)NC(=O)Nc1ccc(-c2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426455581 CIQVLQLDCRVAOF-UHFFFAOYSA-N 409.446 4.501 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccco1 426968407 LFXCQUKMEZCGLR-GOSISDBHSA-N 420.343 4.735 5 20 HJBD O=C(Nc1ccccc1OC1CCCC1)c1ccc(Br)cc1[N+](=O)[O-] 429829264 NLZVGCWEHFZBBJ-UHFFFAOYSA-N 405.248 4.931 5 20 HJBD O=C(NCc1ccc(Cl)s1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436102880 NUDVPRUUDRWHOF-UHFFFAOYSA-N 404.825 4.604 5 20 HJBD CC(C)CC(=O)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c1 437156150 RFWCJSCBIZCCPM-UHFFFAOYSA-N 423.391 4.528 5 20 HJBD CCc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)c2c(CC(C)(C)C)noc2n1 443457952 UYZOZRSBCUEWBJ-UHFFFAOYSA-N 412.446 4.543 5 20 HJBD Cc1cc(Br)c2c(c1)CN(C(=O)Nc1ccc([N+](=O)[O-])cc1C)CC2 446487111 ZHGBCHIZHDHAKS-UHFFFAOYSA-N 404.264 4.564 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NC1CCN(C2CCCCC2)CC1 447692234 ZQWZRYXMDBWBQA-UHFFFAOYSA-N 422.398 4.853 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccco1)c1ccccc1OC 462616721 MYHCGNQSBNUIQU-VGSWGCGISA-N 408.454 4.671 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CCC(C(F)(F)F)CC1 462922781 DSEPXYVMUIXHTR-UHFFFAOYSA-N 404.186 4.684 5 20 HJBD O=C(Nc1cc(Br)cc(C(F)(F)F)c1)c1cc(F)cc([N+](=O)[O-])c1 464147618 VEFXWYVFWXPRIT-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD COc1ccc(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3F)nc2)cc1Cl 469902631 ZIOKFSCIYBZXTE-UHFFFAOYSA-N 415.808 4.639 5 20 HJBD CC[C@@H](NCc1cccc(-c2nc(C(=O)OC)cs2)c1)c1cccc([N+](=O)[O-])c1 477848265 NNNMNIVJDUWEMC-GOSISDBHSA-N 411.483 4.746 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 479481455 HJIAOOFJXOHYAN-HSZRJFAPSA-N 419.481 4.690 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2cc(Cl)ccc2C1 480835950 DPACJJOQFKUIIM-UHFFFAOYSA-N 424.834 4.811 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC=C(c3c[nH]c4ccccc34)CC2)cc1SC 484894599 PLZDCQBMGSTAOB-UHFFFAOYSA-N 423.494 4.736 5 20 HJBD O=C(N[C@H]1CCOC2(CCCCC2)C1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486097885 SLMFIHJSFISKPU-IBGZPJMESA-N 424.497 4.786 5 20 HJBD CC(=O)c1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1C 486431727 YBZJVTHXYCOEMR-UHFFFAOYSA-N 404.422 4.937 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C1 487732640 CKVTVZNIDWHYHI-INIZCTEOSA-N 400.500 4.635 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)c(Br)cc1F 489106397 VMCCCHAUGKXJNI-UHFFFAOYSA-N 417.231 5.000 5 20 HJBD COc1cc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)ccc1[N+](=O)[O-] 498241615 GELVHDPRUFMBHA-LJQANCHMSA-N 424.432 4.500 5 20 HJBD O=C(NCCc1cccc(OCc2ccccc2)c1)c1c(Cl)cccc1[N+](=O)[O-] 500112792 MFDIAOKAZKVORA-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD Cc1ncc(CNC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)s1 502729539 YJGKGLVZKGXSNT-UHFFFAOYSA-N 416.890 4.555 5 20 HJBD COc1cc(CSc2ncc(C(C)(C)C)o2)c([N+](=O)[O-])cc1OCC(F)F 502845584 CBYABVVGRQIAKU-UHFFFAOYSA-N 402.419 4.825 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N[C@@H]2CCCc3occc32)cc1[N+](=O)[O-])c1ccccn1 506164172 OXEJBYILBKCXGP-RDTXWAMCSA-N 406.442 4.563 5 20 HJBD COc1ccc(C2(CNC(=O)c3cccc(C)c3[N+](=O)[O-])CCCCC2)cc1OC 510622159 JIEIIFCEBXKEGC-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD C[C@@H](N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 511588459 OYTLSWVWVHXREU-KZULUSFZSA-N 423.391 4.561 5 20 HJBD Cc1c(C(=O)N2CCCc3cc(Br)cc(Cl)c32)cccc1[N+](=O)[O-] 521526289 HGSNDAYWXGHQGI-UHFFFAOYSA-N 409.667 4.912 5 20 HJBD CCCN(C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1)[C@H]1CCCN(Cc2ccccc2)C1 522326521 MNMBHTWQEUHDOA-XXBNENTESA-N 424.545 4.742 5 20 HJBD CCOc1ccc(NC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 522692676 SVBCSFCNOGRKRY-UHFFFAOYSA-N 407.426 4.836 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c1 523239807 CZMYTGYKHGGPJT-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1nn(CCC(=O)N(c2cccc(C(F)(F)F)c2)[C@H](C)C2CC2)c(C)c1[N+](=O)[O-] 523272230 LFKJETAMAIBZEY-CYBMUJFWSA-N 424.423 4.649 5 20 HJBD Cn1nc(C(F)(F)F)c(-c2ccc(Cl)cc2)c1NC(=O)c1cccc([N+](=O)[O-])c1 524346557 YHQYOVBKPLVMHG-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1ccc(F)cc1F)C1CCCC1 533349259 HYABUWDIYITQSI-UHFFFAOYSA-N 403.429 4.565 5 20 HJBD Cc1cc(N2CCO[C@H](c3ccc(OC(F)F)cc3)C2)c2cc([N+](=O)[O-])ccc2n1 543976527 VCNLLCRXGVAAGP-FQEVSTJZSA-N 415.396 4.631 5 20 HJBD CCSc1cc(-c2nc(Cc3ccc([N+](=O)[O-])cc3Br)no2)ccn1 545003916 KBYAQQLJMDXZQW-UHFFFAOYSA-N 421.276 4.505 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545828343 USELENMVIIHJPF-KZULUSFZSA-N 408.857 4.557 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3ccccc3CN3CCCC3=O)no2)cc1[N+](=O)[O-] 546983843 ZDTWMWONQLSZEI-UHFFFAOYSA-N 420.469 4.732 5 20 HJBD Cc1c(Cc2noc([C@H](C)Oc3ccc(Br)cc3)n2)cccc1[N+](=O)[O-] 547198331 LMNGSUXFOFHTAZ-LBPRGKRZSA-N 418.247 4.780 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 547242488 MHDQJHZEQYOWSS-CQSZACIVSA-N 420.506 4.565 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)ccc1-c1ccncc1 552879371 MZYILZYNHGDGQH-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCn1nc(C)c(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1C 559728239 GNKQHOBJTVJBEG-UHFFFAOYSA-N 410.499 4.509 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 567175242 LHXUBPKQQHLCAS-DOTOQJQBSA-N 409.486 4.518 5 20 HJBD O=C(NCc1noc2c1CCCC2)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 577533682 IDDBGGXBKPTSPM-UHFFFAOYSA-N 409.467 4.543 5 20 HJBD CC(=O)N[C@@H](c1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1)C1CCCC1 579038503 MHQBZOXHPVZVQS-HXUWFJFHSA-N 422.441 4.805 5 20 HJBD CCCCN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CC1 603518524 WBNHSVNLYNDLBE-UHFFFAOYSA-N 418.497 4.781 5 20 HJBD CCCN(Cc1nnc(-c2ccccc2Cl)o1)C(=O)c1cc([N+](=O)[O-])ccc1C 604469727 HGSAPRWCANXEBA-UHFFFAOYSA-N 414.849 4.659 5 20 HJBD O=C(Nc1ccc2nc(-c3ccc(F)cc3)oc2c1)c1cc2c(cc1[N+](=O)[O-])OCO2 609507465 LFNGVARCHKWBCX-UHFFFAOYSA-N 421.340 4.523 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1Cc1ccccc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609975050 DOBSPXPDBAWXCK-QAPCUYQASA-N 422.403 4.677 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C1 609976227 BKERZPAHRCQVOT-UYAOXDASSA-N 407.514 4.524 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1Cl 610041457 RLQAHLWZIMSRBD-UHFFFAOYSA-N 417.893 4.550 5 20 HJBD CCC1(O)CCC(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CC1 612560255 WZYPCKOMOPLVFM-UHFFFAOYSA-N 406.548 4.691 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(CNc3ccccc3Cl)n2)c(Br)c1 612955961 YPNGJKYGUVBWLW-UHFFFAOYSA-N 423.654 4.597 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1F)N[C@@H](c1ccc(F)cc1)c1cccs1 613525979 KGGICSPFOIUJBX-IBGZPJMESA-N 420.462 4.932 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1N1CCCCC1)N1CCC[C@H](Cc2ccccc2)C1 729973367 HNMIVJXPBYIPDU-HXUWFJFHSA-N 407.514 4.680 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)ccc1OCC(F)(F)F 737875158 JMUJSAMDUKKQMG-UHFFFAOYSA-N 423.391 4.697 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)s1 741577238 JTXLPDZYBCHMBQ-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 742570506 RPVLSOWAUXJFMU-UHFFFAOYSA-N 400.382 4.765 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1ccc([N+](=O)[O-])cc1F 744833370 RQEFTQAMNQDCJC-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD C[C@H](OC(=O)c1cc2ccc(F)cc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746244748 CUUSSZITJZWHHB-JTQLQIEISA-N 413.386 4.917 5 20 HJBD CCc1ccc(C(=O)Nc2cc(OC)ccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 746514936 AFNGEIYYLHHATG-UHFFFAOYSA-N 415.446 4.765 5 20 HJBD Cc1cccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)c1 747125735 PPHSVHFWQRLARH-LLVKDONJSA-N 421.331 4.890 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(-c3cscn3)cc2)cc1SC 750753159 RWILKHRJZJBBPG-UHFFFAOYSA-N 402.453 4.668 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)OC(C)(C)C)CN1C(=O)c1cc2ccccc2c2cccnc12 752325884 RDUQFBSUUVOKQE-SJORKVTESA-N 419.525 4.763 5 20 HJBD O=C(OCc1coc(-c2ccc(F)c(F)c2)n1)c1cc([N+](=O)[O-])cc(Cl)c1F 754982280 HTPWAJSDRBBLSS-UHFFFAOYSA-N 412.707 4.678 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)C(=O)Nc1cc(Cl)ccc1Cl 756764612 ASGKPVNSOYQJCU-VIFPVBQESA-N 422.224 4.567 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cccc([N+](=O)[O-])c3Br)cc2)oc1C 758114751 QGYLDGPNZPJAGR-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD O=C(Nc1ccc2nc(C(F)F)[nH]c2c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 759745857 HCBOFCIDMKPEIR-UHFFFAOYSA-N 415.400 4.651 5 20 HJBD Cc1noc(C)c1CN(Cc1ccc([N+](=O)[O-])c(Cl)c1)Cc1c(C)noc1C 761510805 GVVOJRXXARRCSK-UHFFFAOYSA-N 404.854 4.660 5 20 HJBD CC(C)c1n[nH]c(C(=O)Nc2ccc3cc(Br)ccc3c2)c1[N+](=O)[O-] 771651264 VSXGVOUHUJPUIQ-UHFFFAOYSA-N 403.236 4.609 5 20 HJBD CSc1cccc(C(=O)O[C@H](C)C(=O)Nc2ccc3ccccc3c2)c1[N+](=O)[O-] 777075729 ZAKUMFLQBZPNPO-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1cccc(Cl)c1[N+](=O)[O-] 778140108 YGOCFYLEXYJDJP-LLVKDONJSA-N 411.241 4.569 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](S[C@@H]1CCCOC1)c1ccccc1 779830515 WSKLXSJGOPMMDO-CRAIPNDOSA-N 406.891 4.840 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 785600121 BUPRCSYCWWVINN-ZETCQYMHSA-N 410.215 4.683 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc3occc3[nH]2)c2ccccc2)c([N+](=O)[O-])c1 786033076 HXPNRRRTBPFRIH-FQEVSTJZSA-N 419.393 4.514 5 20 HJBD C[C@@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 788568615 HQHITFWGGSBUDZ-LBPRGKRZSA-N 409.364 4.768 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])NCCCCOc1ccc(Cl)cc1 790486244 SPSWKXBXVQWKNP-UHFFFAOYSA-N 415.248 4.559 5 20 HJBD CCOc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c(C(F)(F)F)c1 790873981 QCXIGDJLTHWXDZ-UHFFFAOYSA-N 420.746 4.986 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)ccc1NCc1ccccc1 801921118 YEABPUKZILSRNX-UHFFFAOYSA-N 414.824 4.834 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 803796446 ROGBAJKVIJULKS-LSDHHAIUSA-N 420.425 4.540 5 20 HJBD Cc1cc(C(=O)COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(F)cc1F 811373495 GKOVFIIGZPZOOL-UHFFFAOYSA-N 404.152 4.528 5 20 HJBD Cn1ccnc1COc1ccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 811469068 BVPAGYRZVSYQQX-UHFFFAOYSA-N 407.257 4.826 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@@H]1SCCc2sccc21 815213018 BPWMFIXSWUVNGS-CQSZACIVSA-N 403.403 4.749 5 20 HJBD CN(C)CC1CCN(c2ccc(NCc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 864012570 VTJRWYMZTLPMRI-UHFFFAOYSA-N 402.926 4.638 5 20 HJBD C[C@H](OC(=O)c1[nH]c2ccccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 915430631 BTLFCVLZMBSVRN-VIFPVBQESA-N 422.224 4.567 5 20 HJBD O=C(Nc1cccc(COc2ccccc2)c1)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 917856481 PMYTXIUIGIABEJ-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD COc1ccc([C@H](Cc2ccc(F)cc2)NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1 921010912 LMKGAPVUVTWBEL-NRFANRHFSA-N 412.392 4.595 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)ccc1F 1329073867 QMWKFXQYULGDLV-UHFFFAOYSA-N 417.437 4.997 5 20 HJBD CC1(C(=O)Nc2ccc(C3(NC(=O)/C=C/c4ccccc4[N+](=O)[O-])CCC3)cc2)CC1 1787603406 KPBBNRPPMHBXIK-KPKJPENVSA-N 419.481 4.542 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3ccc(SC)c([N+](=O)[O-])c3)n2)cc1F 9802434 WWGUFLLDMWXFBQ-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(NC(C)=O)cc2C(F)(F)F)cc1[N+](=O)[O-] 10641350 YBBACUIRRJNXJY-UHFFFAOYSA-N 413.377 4.546 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC1CCC(c2ccccc2)CC1 25099256 QEQONGKUDWCQKV-UHFFFAOYSA-N 410.517 4.997 5 20 HJBD CCCOc1cccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 30495012 VMFSLDOIQKSJLE-GFCCVEGCSA-N 411.380 4.842 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCCCC[C@H]1c1ccncc1 50315126 UOMJUYRFALIRDG-IBGZPJMESA-N 408.483 4.871 5 20 HJBD CC(C)c1ccc(C(=O)Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)c(C(C)C)c1 60826707 AHZQCNTYYALWHT-UHFFFAOYSA-N 419.481 4.948 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(F)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64919166 UNLSKWXXGLXXDP-UHFFFAOYSA-N 409.345 4.711 5 20 HJBD C[C@H](SCc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867219 PWHUPPHGJZWMQH-AWEZNQCLSA-N 412.433 4.808 5 20 HJBD C[C@H](O)CC(C)(C)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237545449 MWAONDORGDVTPZ-LBPRGKRZSA-N 411.296 4.504 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@@H]2CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 301468185 YXGAWPCEBNDZLN-XXBNENTESA-N 423.513 4.719 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1NCc1ccnc(Oc2ccc(F)cc2)c1 301715188 ZUJRAAKJSOHCQM-UHFFFAOYSA-N 419.210 4.691 5 20 HJBD Cc1c(NC(=O)N[C@@H](COc2ccccc2F)c2ccccc2)cccc1[N+](=O)[O-] 409752371 SNMIHAVHAAPKPU-IBGZPJMESA-N 409.417 4.984 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC[C@@H]1c1cccc(Br)c1 410087179 MCVZFLWKGVITBW-MRXNPFEDSA-N 414.259 4.816 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2ncccc2Cl)CC1 429275242 BORBBHKHMAKVID-UHFFFAOYSA-N 405.907 4.775 5 20 HJBD CCC(=O)c1cccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 433399266 IKODDRWXRVICAH-NSHDSACASA-N 409.364 4.645 5 20 HJBD CS[C@@H]1CCCCN(C(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 435296098 DGTWNMXUSLFODC-DOTOQJQBSA-N 414.531 4.521 5 20 HJBD O=C(Nc1cc(F)c(F)cc1F)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436320045 GOXCPJRHDAFVAH-UHFFFAOYSA-N 404.294 4.629 5 20 HJBD CN(C(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1)c1nc2cccc(Cl)c2s1 436403033 FBYSWYCKHMQYQH-UHFFFAOYSA-N 416.890 4.735 5 20 HJBD CN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1ccc(C(F)(F)F)cc1Cl 439122392 XOONXUBLPJUBMT-UHFFFAOYSA-N 424.766 4.729 5 20 HJBD CC(C)n1ncc2cc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)c3ccccc3)cnc21 440608244 IDJPPAFELAKIPQ-UHFFFAOYSA-N 415.453 4.767 5 20 HJBD Cc1ccc(CC[C@@H](C)NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)o1 444084524 SAJKVLUUVLUIQB-GFCCVEGCSA-N 410.420 4.612 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CCO[C@@H](c2cccc(Cl)c2)C1 444321922 ICAJZKSUXVDJCL-JOCHJYFZSA-N 418.880 4.548 5 20 HJBD CCCOc1cc(NC(=O)c2c(F)ccc(F)c2OC)c([N+](=O)[O-])cc1OCCC 445750027 QSYXJWYISFONMT-UHFFFAOYSA-N 424.400 4.712 5 20 HJBD Cc1ccc(CCN(Cc2ccco2)C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 461912579 CLTKMWPDRSWBPO-UHFFFAOYSA-N 412.873 4.964 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccccc1CN1C[C@H](C)O[C@@H](C)C1 462218373 NEJAYLYFNWCZGL-ROUUACIJSA-N 413.518 4.603 5 20 HJBD CC(C)N(Cc1cccc(F)c1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462550240 LFFBRHBNUJBATE-UHFFFAOYSA-N 421.277 4.640 5 20 HJBD Cc1cc(=NC(=O)c2ccc(-c3ccsc3)nc2C)c2cc([N+](=O)[O-])ccc2[nH]1 462569627 VPQWJRDFXSDGAD-UHFFFAOYSA-N 404.451 4.558 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(Cc1c(F)cccc1F)C1CCCC1 465757208 PMLJSXVAMKZWTG-UHFFFAOYSA-N 403.429 4.570 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCC[C@H]1c1cc(F)cc(Br)c1 466301324 YGAHHSVFISKXKL-INIZCTEOSA-N 411.202 4.613 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)CCNc2ccccc2[N+](=O)[O-])c1 466608592 PHJUDFYYRNKLJQ-UHFFFAOYSA-N 424.888 4.987 5 20 HJBD C[C@H](CCc1ccccc1[N+](=O)[O-])Nc1ccc(Cc2nnc3n2CCCCC3)cc1 467646744 MQZJHJUNMUGEKV-GOSISDBHSA-N 419.529 4.937 5 20 HJBD C[C@@H](C(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1)c1ccc([N+](=O)[O-])cc1F 468904846 IHYMZWSCDUMRPG-CYBMUJFWSA-N 404.388 4.822 5 20 HJBD C[C@@H](NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(C(F)(F)F)cs1 470582228 IRBXCWPRKNAYNM-SECBINFHSA-N 401.410 4.859 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCC[C@H](OCc2ccccc2F)C1 470693605 MGIILFLOGHJCEE-KRWDZBQOSA-N 414.458 4.770 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(Cn2ccnc2C(C)C)c1 470876998 CPGJTMUTZNTKLC-UHFFFAOYSA-N 408.458 4.614 5 20 HJBD COc1cc(C(=O)N2CC[C@@H](C)[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 480156899 CPBHRZOWUJJKNA-OLZOCXBDSA-N 404.850 4.920 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1c(F)cccc1F)C1CC1 481100377 CJRMPFZAYWBIHL-UHFFFAOYSA-N 415.421 4.801 5 20 HJBD C[C@@H](NC(=O)Nc1nnc(-c2cccc(Cl)c2)s1)c1cccc([N+](=O)[O-])c1 481559136 FOTGWADNPGFTOO-SNVBAGLBSA-N 403.851 4.649 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](c1ccccc1)N1CCC(C(F)F)CC1 483537201 MOECJPCFPOJNIR-GOSISDBHSA-N 423.847 4.905 5 20 HJBD O=C(N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 485691434 XGXCBPDXTBAJTC-CHWSQXEVSA-N 409.305 4.560 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C)c(OCC3CCOCC3)c2)c1[N+](=O)[O-] 486235657 WHEVNQBTYVIPBD-UHFFFAOYSA-N 416.499 4.683 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Br)s1 490163624 JQYKXKRWAKUGDR-SNVBAGLBSA-N 412.309 4.714 5 20 HJBD O=C(Nc1cccc(-c2nnc3n2CCCCC3)c1)c1cc2cc([N+](=O)[O-])ccc2o1 491445698 RQPZLFPFUGCHEQ-UHFFFAOYSA-N 417.425 4.578 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](c1ccc(Cl)cc1)c1ccccn1 497888546 FCBREBIDVFZABG-SFHVURJKSA-N 401.875 4.956 5 20 HJBD O=C(Cc1csc(-c2ccccc2)n1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498131323 GQPFDQMYKUJCLQ-HXUWFJFHSA-N 411.508 4.535 5 20 HJBD CCN(Cc1ccc(Cl)cc1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 498977461 IDVVWNNZIUZEQR-UHFFFAOYSA-N 404.897 4.857 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCC2CCN(Cc3cccs3)CC2)cc1[N+](=O)[O-] 499499128 OQTPXXWCCODUBO-UHFFFAOYSA-N 415.559 4.596 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)[C@@H](C)CO1 501596647 LJOCRHZUOICZAA-STQMWFEESA-N 406.891 4.649 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(OCC(F)(F)F)c1 504545216 DVCCKPWYXPMFKM-UHFFFAOYSA-N 415.393 4.685 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](c2ccc3c(c2)OCCO3)C(C)C)cc1[N+](=O)[O-] 504895450 UMOYFYKFGFTYNE-NYHFZMIOSA-N 400.475 4.813 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCCSc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 506056838 YQSFJWBYCWOSCQ-MRXNPFEDSA-N 422.510 4.685 5 20 HJBD C[C@@H](Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1ccccc1Oc1ccccc1 506765784 FZYHZJXMCFQDHT-OAHLLOKOSA-N 412.467 4.964 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1cc(Br)ccc1OC(F)F 509051036 GIVKIDBLCXLPSF-UHFFFAOYSA-N 404.163 4.546 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)C1 509949880 TYAGKUJXHJCRIA-SFHVURJKSA-N 422.510 4.719 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](C)[C@@H]3CCCN(C4CCC4)C3)o2)c([N+](=O)[O-])c1 512392095 RWBCXWCJEAVQAZ-IAGOWNOFSA-N 413.518 4.606 5 20 HJBD O=C(CSCc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccc(F)cc1)c1cccs1 513813400 CXJQNLXUODYJOR-FQEVSTJZSA-N 416.499 4.934 5 20 HJBD COc1ccccc1Oc1ccc(NS(=O)(=O)c2c(C)cccc2[N+](=O)[O-])cc1 515693150 WLHZUNSFRBIZHA-UHFFFAOYSA-N 414.439 4.505 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)sc1Cc1ccccc1Cl 515969071 HAQJPZNDJYGDDM-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD CN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 517348546 JCWLEELVRHBJKJ-HNNXBMFYSA-N 412.755 4.500 5 20 HJBD Cc1c([C@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 518857096 IQRCDVSXWQZLFL-QWHCGFSZSA-N 402.834 4.948 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 519113125 BWGWXLLXVBHUFR-LJQANCHMSA-N 414.527 4.981 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC(c3c[nH]c4cc(F)ccc34)CC2)c([N+](=O)[O-])c1 521370822 NJYMTTXMFLSLLB-UHFFFAOYSA-N 424.476 4.737 5 20 HJBD CCc1ccc(OCc2nc(-c3cccc(Br)c3)no2)c([N+](=O)[O-])c1 522328943 INOUTZBEYXBLNP-UHFFFAOYSA-N 404.220 4.549 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(CCO)cc3)o2)c(Br)c1 531256525 JZIVFFCSFNXVAR-UHFFFAOYSA-N 417.259 4.764 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3nc(-c4ccc(F)cc4)no3)co2)cc1 535508863 UXSWURKDBHCCHE-UHFFFAOYSA-N 412.402 4.872 5 20 HJBD Cc1ccc([C@H](NC(=O)CN[C@@H](C)c2cccc([N+](=O)[O-])c2)c2cccs2)cc1 537076568 JDPYXYIGMAOWBY-AOMKIAJQSA-N 409.511 4.521 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](c2ccccn2)c2ccccc2OC)cc1[N+](=O)[O-] 539052701 OYEAVMOHSKDRMP-WAIKUNEKSA-N 407.470 4.837 5 20 HJBD Cc1ccc2nc([C@H]3CCCN(Cc4coc(-c5ccc([N+](=O)[O-])cc5)n4)C3)[nH]c2c1 539220536 BEKDRCXACRCRKW-KRWDZBQOSA-N 417.469 4.814 5 20 HJBD C[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1C(F)(F)F 539360805 SXSUSMNRYBNJSN-SNVBAGLBSA-N 401.772 4.556 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 540330462 IVWCBXQSYAJIMX-INIZCTEOSA-N 405.454 4.707 5 20 HJBD C[C@@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)c1ccccc1[N+](=O)[O-] 540389962 AGKZJEMHQMEUHH-KZULUSFZSA-N 413.865 4.732 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CC[C@@H](n3cnc4ccccc43)C2)c([N+](=O)[O-])c1 544353989 QSMMQIGFCZNDIJ-LJQANCHMSA-N 412.449 4.627 5 20 HJBD O=c1c2ccccc2n(Cc2nc([C@@H]3CCOc4ccccc43)no2)c2ccccc12 544744786 LEBLVQKSQJNPAU-QGZVFWFLSA-N 409.445 4.500 5 20 HJBD Nc1ccc(-c2noc(CCOc3ccccc3-c3ccccc3)n2)cc1[N+](=O)[O-] 545551734 WVAPOECHENIRST-UHFFFAOYSA-N 402.410 4.516 5 20 HJBD Cc1c(Cc2noc(-c3cccc(C(=O)N(C)CC(C)(C)C)c3)n2)cccc1[N+](=O)[O-] 547199448 DBBXIKITDIXKRZ-UHFFFAOYSA-N 422.485 4.662 5 20 HJBD O=C(Nc1nccs1)c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 564123978 BMASJLRPASZFDL-UHFFFAOYSA-N 420.397 4.517 5 20 HJBD COc1cc(Cl)c(Br)cc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 564205712 DAOAXYDXJHOSFA-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD COc1ccc(C[C@@H]2CCCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)C2)cc1 564738754 OBJHAAIHFJINSB-AEFFLSMTSA-N 414.527 4.565 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cccc([N+](=O)[O-])c1 574971372 HCRIMTFAPWWMCR-SUMWQHHRSA-N 404.850 4.548 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 578077060 RJDVXCHZUAFJBT-HZPDHXFCSA-N 400.475 4.763 5 20 HJBD O=C(Nc1cncc(Cl)c1Br)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 583895937 GCWNIDRBBHIZEN-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3[nH]c4c(Br)cccc4c3C2)c2ncccc12 604379500 PZWCLFNSTNFOPS-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1c[nH]c2cc(Cl)ccc12 604487542 PIGDRLYNGBUKTB-GFCCVEGCSA-N 403.891 4.569 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3ccccc3)c(C)s2)c([N+](=O)[O-])cc1OC 604514880 QOBDNKAKCDXXEN-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD O=[N+]([O-])c1ncccc1N1CCN([C@H](c2ccccc2)c2ccccc2Cl)CC1 608856115 NFXBDWPGZATNFQ-OAQYLSRUSA-N 408.889 4.555 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N(Cc1cccnc1)C1CC1 609781602 RPXKZPPYXZOHPN-UHFFFAOYSA-N 404.426 4.979 5 20 HJBD COc1ccc(OC)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611203345 GGUGQNZJFDLMLG-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD CC(C)(C)OC(=O)c1ccccc1C1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 615209793 GVLCDRGYBZCRJY-UHFFFAOYSA-N 410.470 4.570 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3cn(Cc4ccccc4)c4ccccc34)CC2)n1 618821871 WASJFGITVZGQHE-UHFFFAOYSA-N 415.497 4.631 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(C)(C)C)nn2Cc2ccccc2)c1 619791095 MYTPNBDNFOQMND-UHFFFAOYSA-N 422.485 4.788 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc2ccccc2s1 734110411 DCOIVMHTDHIECV-NSHDSACASA-N 410.480 4.819 5 20 HJBD Cc1cc(OCCOC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)ccc1C(C)C 735353777 CROUNVVZBFYYSU-UHFFFAOYSA-N 410.426 4.516 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cccc(Oc2nccs2)c1 736462876 ZGAMHBMPLMKQRX-UHFFFAOYSA-N 403.847 4.769 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1F 736876134 NYKFIKVQQLBAFC-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD O=C(C1CCN(c2ccc([N+](=O)[O-])cc2Cl)CC1)N(Cc1ccccc1)C1CC1 741244878 ZAOWPETVLOBSEJ-UHFFFAOYSA-N 413.905 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(NC(=O)OC(C)(C)C)cc2)c1 742097795 HYLGELKFVIOSKS-UHFFFAOYSA-N 404.444 4.883 5 20 HJBD Cc1ccc([C@H](C)C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)o1 744030737 FJZQJSAPBRAOOW-AWEZNQCLSA-N 419.389 4.979 5 20 HJBD C[C@H](C(=O)Nc1ccccc1NC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1F 754132202 BEUOLAXARNKZGN-LBPRGKRZSA-N 403.410 4.823 5 20 HJBD Cc1cc(C(=O)CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(C)n1CC(F)(F)F 754642395 JMGMDYYVSAYHRO-UHFFFAOYSA-N 417.815 4.544 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC(c2ccccc2F)CC1 758398822 QPPXMHQKPAPQHR-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1sc(NC(=O)/C=C/c2ccc(Cl)nc2)nc1-c1cccc([N+](=O)[O-])c1 759845975 RLAIESGPZPLERH-SOFGYWHQSA-N 400.847 4.727 5 20 HJBD C[C@H](C(=O)NC1CCN(c2ccc(C(C)(C)C)cc2)CC1)c1cccc([N+](=O)[O-])c1 761600098 QMAZITIJCPPXLX-KRWDZBQOSA-N 409.530 4.781 5 20 HJBD CC(C)(C)OC(=O)N1CCC(c2noc(-c3cc4cc([N+](=O)[O-])ccc4o3)n2)CC1 761758086 PNAKGHQTUBAWRD-UHFFFAOYSA-N 414.418 4.506 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N2CCSCC2)c(C)c1 764216377 FNCIQSNWUJKCSB-UHFFFAOYSA-N 417.556 4.821 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccc(F)cc2)ns1 764238785 ZVOFMMQESUTYQP-UHFFFAOYSA-N 404.448 4.617 5 20 HJBD Cc1cc(OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)ccc1Br 766562337 KZEBHBZOOXECSH-UHFFFAOYSA-N 422.278 4.831 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)OC(C)(C)C)c(C)c2)c([N+](=O)[O-])c1 772026014 AJQIKNHTMPEUIZ-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 772950625 OBMOBWOUQRLSTN-KRWDZBQOSA-N 415.559 4.590 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCC[C@@H]1/C=C/c1ccccc1 780293894 SHLZBFHIXDNQHC-XVJNWHFHSA-N 401.260 4.675 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3ccc(N4CCCCC4)cc3)no2)C1 781613243 XDMGQTYNNCLDOL-HXUWFJFHSA-N 419.441 4.501 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2cccc([N+](=O)[O-])c2Br)s1 782172453 MDWPOICOAGGHCR-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD COc1ccc(NCC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1Cc1ccccc1 782981141 ZYBSSRQFPWETDE-UHFFFAOYSA-N 405.454 4.553 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)c1cccc(Cl)c1 783315714 JYZHFWRAPZRMDI-NSHDSACASA-N 416.842 4.800 5 20 HJBD Cc1cc(F)cc(C(=O)NCC2(c3cc(F)cc(C(F)(F)F)c3)CC2)c1[N+](=O)[O-] 785712175 CCSMPXYHMRCCFC-UHFFFAOYSA-N 414.330 4.662 5 20 HJBD COc1cc(C(=O)Nc2ccccc2SC(F)F)c([N+](=O)[O-])cc1OC(F)F 786651418 UFGJWISHUPPVCJ-UHFFFAOYSA-N 420.340 4.772 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](OC(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 797083752 ILLAQHHSBDKWRS-OAQYLSRUSA-N 420.421 4.530 5 20 HJBD COc1ccc(CN(C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])C(C)(C)C)cc1 809384802 DSIURVYHFVSEPT-UHFFFAOYSA-N 408.857 4.766 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)c1ccc(N2CCCOC2=O)cc1 815621067 KJPLUAXJAIZBRK-ZDUSSCGKSA-N 423.391 4.811 5 20 HJBD O=C(CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1)Nc1cc(Cl)ccc1[N+](=O)[O-] 917134455 UEPVNGKLHXDQAX-OAHLLOKOSA-N 412.877 4.548 5 20 HJBD COc1ccccc1-c1nnc(COc2ccc([N+](=O)[O-])cc2-c2cccs2)o1 1116132094 RSOSNEXWLPFZSA-UHFFFAOYSA-N 409.423 4.961 5 20 HJBD CCOc1ccc(O[C@H](C)c2nnc(C(F)(F)c3ccc([N+](=O)[O-])cc3)o2)cc1 1118416701 URWNCKQSQUZJHB-GFCCVEGCSA-N 405.357 4.657 5 20 HJBD C[C@H](NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(F)cc1OC(F)F 1319923395 ICLUURHEFDOJQS-NSHDSACASA-N 411.380 4.631 5 20 HJBD COc1ccccc1-c1nnc(-c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)o1 1322242818 JKAPWDBGHSDVNL-AWEZNQCLSA-N 417.425 4.889 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 7606557 GECONWVYANLIAE-OAQYLSRUSA-N 404.422 4.748 5 20 HJBD CC[C@@H](C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 11414581 HDGYXDVVXNADTM-SWLSCSKDSA-N 424.375 4.678 5 20 HJBD O=C(NCCCc1nc2ccccc2[nH]1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 11758954 XKCVWKGFHVGVRB-UHFFFAOYSA-N 415.453 4.577 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(F)c(F)c1F 28604577 IPHOGIJRDXXOBF-UHFFFAOYSA-N 410.295 4.762 5 20 HJBD O=[N+]([O-])c1ccc(CCSc2nnc(-c3ccncc3)n2-c2ccccc2)cc1 42129524 HMTIMVVRUMLNPO-UHFFFAOYSA-N 403.467 4.572 5 20 HJBD COc1cc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1OC1CCCC1 44588010 LDCIBEMIPIDJGI-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD CCNc1ccc(C(=O)NCc2ccccc2COCc2ccccc2)cc1[N+](=O)[O-] 117088071 GGQGKRKCCYGUSA-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD C/C=C/C=C\C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 215086752 LOVMULLNMVBORX-LBBHUEHVSA-N 400.818 4.604 5 20 HJBD COc1ccc(C(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)cc1[N+](=O)[O-] 303262359 DNYBFLWWWNZIPD-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)c1 303537050 ITAKJFSWCJOMIV-MRXNPFEDSA-N 422.485 4.554 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 303573455 LMJLSMFRXLNDGB-LJQANCHMSA-N 407.495 4.874 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])cc21 429832409 REJWRXNOQAOZNN-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD CCc1cc(N2CCC(c3ncc(C)s3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 432881060 ZYLDCUAMIPDIRL-UHFFFAOYSA-N 409.515 4.763 5 20 HJBD Cc1cc(OCC(=O)Nc2c(O)c(Cl)cc(Cl)c2Cl)ccc1[N+](=O)[O-] 435803704 ITJUMRJXLAPAKF-UHFFFAOYSA-N 405.621 4.587 5 20 HJBD CCN(C[C@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 437594354 WCQBKEWDBBPZHX-KRWDZBQOSA-N 416.909 4.624 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 439111136 WXEVIASNMWYDAP-HXUWFJFHSA-N 423.856 4.666 5 20 HJBD CCOc1cc(NC(=O)C[C@H](C)c2cccc(OC)c2)c([N+](=O)[O-])cc1OCC 439794568 RNJYPNKFCSSXIJ-AWEZNQCLSA-N 402.447 4.533 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(n2c(C)nc3ccccc32)CC1 439875074 YBYRSBUWDFCLQU-UHFFFAOYSA-N 423.473 4.521 5 20 HJBD O=C(CN(Cc1ccccc1[N+](=O)[O-])C1CC1)Nc1ccccc1Cc1ccccc1 441257312 RMMJOTPIUCVBSW-UHFFFAOYSA-N 415.493 4.789 5 20 HJBD CN(Cc1nccn1C(F)F)C(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442271619 MOCHAKNINTVBIC-UHFFFAOYSA-N 418.425 4.610 5 20 HJBD O=[N+]([O-])c1ccc(Sc2ccc(-c3nc(-c4cnc5cnccn45)no3)cc2)cc1 445513110 DUYUWFMGXNZLFH-UHFFFAOYSA-N 416.422 4.506 5 20 HJBD COc1cc(Cl)ccc1-c1noc(CCOc2ccc(Cl)cc2[N+](=O)[O-])n1 445681476 KENNRWZQSHMCHC-UHFFFAOYSA-N 410.213 4.582 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445739435 FSBGCHUDRHJVBJ-LLVKDONJSA-N 411.683 4.773 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)Nc1ccc([N+](=O)[O-])cc1C 446481230 GAQDZPMTVQBEKO-UHFFFAOYSA-N 410.243 4.859 5 20 HJBD Cc1ccc(N[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cc1OCC1CCOCC1 447580954 RIMFEKNTMLYFGI-PMACEKPBSA-N 414.506 4.501 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 461394302 IOURDILOQQOHDO-KRWDZBQOSA-N 407.470 4.640 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N(C)C)cc1C(F)(F)F 462424529 BLZVQKWDRXXKEX-CQSZACIVSA-N 409.408 4.887 5 20 HJBD CCc1ccc([C@@H](COC)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)o1 462729763 SEZMTYZHWCVIMX-HXUWFJFHSA-N 409.486 4.995 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1nc(C(C)(C)C)no1 462756225 MYRHJBIBRBPDIJ-HNNXBMFYSA-N 409.490 4.894 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@](CO)(Cc4ccccc4)C3)cs2)c1 463008498 IWBSLLDKWQMKCG-HSZRJFAPSA-N 423.538 4.536 5 20 HJBD C[C@@H](C(=O)Nc1n[nH]c(-c2cc(Cl)ccc2Cl)n1)c1ccc([N+](=O)[O-])cc1F 463164024 NDQSFEIBEXJMEU-MRVPVSSYSA-N 424.219 4.568 5 20 HJBD Cc1cccc(NC2CCN(C(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 464066263 BUXZYJNNXUIBIM-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1ccc([N+](=O)[O-])c(OC)c1 464714959 VXWQKKNVOXYHJT-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD Cc1ccc(-c2nc(CNC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])co2)cc1 465185207 MPDYWQJQXJHHED-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD COCCC1(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 466416566 KNRNFXZBDPLFEL-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD COCCN(C(=O)c1csc(Cl)c1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 467869940 XBTLZCFGLBZRKQ-UHFFFAOYSA-N 423.903 4.726 5 20 HJBD Cc1ccc([C@@H](NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 472010344 DLQPQZRGQRSPNT-HTAPYJJXSA-N 404.470 4.756 5 20 HJBD CCS[C@H]1CCCCN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 480437253 XGTLVFFSUXBLQT-IBGZPJMESA-N 413.543 4.955 5 20 HJBD COc1ccc(NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1NC(=O)c1cccc(F)c1 482463011 GRTYSBCNQJTOKT-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2ccc(N3CCCC3)cc2)no1 482549618 BCQGFQOVZCITHH-UHFFFAOYSA-N 414.849 4.520 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 483412730 VYTXOYMTLRBROS-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD CCNc1ccc(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2CC)cc1[N+](=O)[O-] 486410801 ZNIWGSZVHLJUKP-UHFFFAOYSA-N 413.865 4.680 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCc2cc(Oc3ccc(F)cc3)ccn2)cc1[N+](=O)[O-] 489254663 NASJCBJCQVRUQD-HNNXBMFYSA-N 424.432 4.790 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OCC 489265218 NWDRGUBYEWSKIV-HZPDHXFCSA-N 415.490 4.822 5 20 HJBD CCOc1ccc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])cc1Br 490551723 RRYUAIGIQDOCQI-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 490736473 XGMOYXOOMWCLSU-INIZCTEOSA-N 416.783 4.727 5 20 HJBD Cc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC[C@H]2CCCO2)c1 493235644 IEHNZXHELHODIK-FUHWJXTLSA-N 413.474 4.652 5 20 HJBD Cn1ncc([N+](=O)[O-])c1C(=O)Nc1c(Oc2ccc(Cl)cc2)ccc2ncccc12 501739973 QERQKCZLTCPHGI-UHFFFAOYSA-N 423.816 4.575 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1)[C@H]1CCSc2ccc(Br)cc21 504601879 QMXAOYXNEJMSLF-HNNXBMFYSA-N 407.289 4.666 5 20 HJBD CCn1cnnc1CNCc1ccc(Oc2cc(C)ccc2C(C)C)c([N+](=O)[O-])c1 509591013 HPEKOFJOLGQCSB-UHFFFAOYSA-N 409.490 4.720 5 20 HJBD COCCN(C(=O)[C@H](C)CC1CCCC1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 510172273 IYFOSWXFTKSVNU-OAHLLOKOSA-N 417.531 4.914 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 517579259 DJNZWMADAFAHMN-IRXDYDNUSA-N 416.359 4.542 5 20 HJBD CCCCNC(=O)c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 518762401 XQHKHYHNRWJMPF-UHFFFAOYSA-N 407.470 4.675 5 20 HJBD COC(=O)c1cc2cc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)ccc2o1 522654526 QPRLVFNLQMNVHM-UHFFFAOYSA-N 423.406 4.503 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522761618 DYFIEYGUMAVBHB-UHFFFAOYSA-N 409.873 4.723 5 20 HJBD CCCCOc1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1OC 524654066 RDYMCTJDTCHWGJ-OAQYLSRUSA-N 416.499 4.670 5 20 HJBD CCC[C@@H](CCc1ccccc1)NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 525550796 KXKOPYHGJNRFNM-NRFANRHFSA-N 409.530 4.729 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)CCc1cccc([N+](=O)[O-])c1 531505784 XVTFHCDHJIGLFR-UHFFFAOYSA-N 409.486 4.537 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)CSc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 533606954 RGZYEOMZCQIPSL-NSHDSACASA-N 424.425 4.593 5 20 HJBD O=C(CCCc1nc2ccccc2s1)NCCc1ccc(Cl)cc1[N+](=O)[O-] 537590830 ZOVMTRWQWNPSSH-UHFFFAOYSA-N 403.891 4.540 5 20 HJBD Cc1ccccc1[C@H](OC[C@@H](O)CN[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 538174489 BNEHYSSIJYBOCS-HFRGRHLUSA-N 420.509 4.721 5 20 HJBD COc1ccc(Cl)cc1-c1cc(NC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)n[nH]1 543618596 HVOZFTWZKFMRPL-SNVBAGLBSA-N 418.812 4.528 5 20 HJBD COc1ccc(-c2nc(NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)sc2C)cc1 544401265 IPIAHAJTXZVEPL-LLVKDONJSA-N 415.446 4.917 5 20 HJBD CSc1ccc(-c2nc(-c3cccc(NC(=O)c4ccco4)c3)no2)cc1[N+](=O)[O-] 545033745 NYOSLNXTOHWTHQ-UHFFFAOYSA-N 422.422 4.879 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 549663513 OGVNXKLPXNQXJB-GOSISDBHSA-N 407.392 4.906 5 20 HJBD Cc1c(NC(=O)c2sc(Br)cc2[N+](=O)[O-])cccc1-c1ncco1 553071790 WVNVWTIXYDALQK-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD O=[N+]([O-])c1cc2c(Sc3nnc(-c4ccc5ccccc5c4)o3)ncnc2s1 557510688 JCZCOBQSGPCCLE-UHFFFAOYSA-N 407.436 4.954 5 20 HJBD CN(CC(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1)Cc1ccccc1[N+](=O)[O-] 559157020 XURXAQCFFRPRHD-GOSISDBHSA-N 422.312 4.697 5 20 HJBD Cc1nn(C)c(C(=O)NCc2cccc(Oc3cccc4ccccc34)c2)c1[N+](=O)[O-] 560819631 HILSKESDDJNWMX-UHFFFAOYSA-N 416.437 4.512 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccnc(OCC(F)(F)F)c3)cs2)c1 564583362 NIYWXBAEZGLJCD-UHFFFAOYSA-N 410.377 4.667 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 571963707 QVQGRIFADIOSGI-LLVKDONJSA-N 415.837 4.743 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1C[C@H]2CCCCN2c2ccccc21 573279874 ODTCTPKTNVGQBQ-GOSISDBHSA-N 409.489 4.701 5 20 HJBD O=C(CN1CCCC[C@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])cc1Br 580089786 PCMKPQBTGWSIHM-SFHVURJKSA-N 418.291 4.523 5 20 HJBD COCc1nc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c(-c2ccccc2)s1 580497868 RANWKDNUXKDKAU-UHFFFAOYSA-N 400.441 4.643 5 20 HJBD O=C1COc2c(NCc3ccc(-c4ccccc4[N+](=O)[O-])s3)cc(Cl)cc2N1 583715636 QXURRSPENZGJOY-UHFFFAOYSA-N 415.858 4.920 5 20 HJBD COc1cc(C(=O)NCc2ccoc2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 604311166 WHQBAEBKLIXUHN-UHFFFAOYSA-N 416.817 4.881 5 20 HJBD Cc1cc(C(=O)Nc2ccc(NC(=O)C3CC3)cc2C(F)(F)F)cc([N+](=O)[O-])c1 604448986 PUPRBCSJTQNFNT-UHFFFAOYSA-N 407.348 4.523 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCOc1ccc(Cl)cc1Br 604469325 BJKPDMHCINGYAL-UHFFFAOYSA-N 413.655 4.727 5 20 HJBD CC(C)CCn1nccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 609128910 QGVRJKXGOGRJJI-INIZCTEOSA-N 422.489 4.658 5 20 HJBD COc1ccc(C2(CNC(=O)c3cc(C)ccc3[N+](=O)[O-])CCCCC2)cc1OC 610228849 XHPZSFULLYWFGL-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N(C)C[C@@H]2CCCO[C@H]2c2ccccc2)c1Br 612442207 UMWMZAIVGQYQLX-YJBOKZPZSA-N 420.307 4.665 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1cnn(-c2ccccc2)c1C(F)(F)F 727711468 ZKKMXBJQWRGQQN-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COC(=O)c1sc2ccccc2c1COC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730642625 BRYAZRTVYXGBAE-UHFFFAOYSA-N 405.815 4.607 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)c2cc([N+](=O)[O-])ccc2C)c2ccccc2)cc1 742998348 RVRNUZRAFNRYIX-NRFANRHFSA-N 404.422 4.748 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)c(Br)c1 744156777 KXMREQZCMPPZNQ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1 745388848 JBLIDBJDZBDBDC-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@H](c1ccccc1)c1cccc(Cl)c1Cl 745963901 FDEBXWLCNWJKAL-LJQANCHMSA-N 420.252 4.821 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749990531 OYBLEYKEQJKCNZ-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)O[C@@H](c1cccc(F)c1)c1ccccn1 750631478 SRQIFGSOKNITSK-QFIPXVFZSA-N 409.417 4.654 5 20 HJBD CCO[C@H](C)c1nc(COC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cs1 751643465 KAPFTAKBCJPMQI-SNVBAGLBSA-N 416.908 4.638 5 20 HJBD CN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)Cc1cc([N+](=O)[O-])ccc1Cl 768215759 YCXSCLZKQRXBPZ-UHFFFAOYSA-N 418.862 4.912 5 20 HJBD CCOC(=O)/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 775479430 YEBDCHRHGCKBBP-KPKJPENVSA-N 414.483 4.924 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C1(c2ccc(F)cc2F)CCC1 777209973 ATEUSWUUSUUCBC-UHFFFAOYSA-N 414.364 4.693 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782479698 GIYKWIQYIRTJSE-LBPRGKRZSA-N 413.371 4.774 5 20 HJBD Cc1coc(-c2cc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])ccc2C)n1 790557712 GPOPHNRGXZZMPA-UHFFFAOYSA-N 403.797 4.840 5 20 HJBD CN(C)c1cc(F)c(C(=O)Nc2nc(-c3ccccc3Cl)cs2)cc1[N+](=O)[O-] 791361451 SXWGBVSPAOPGQR-UHFFFAOYSA-N 420.853 4.829 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc(-c2ccc(Cl)cc2)no1 796440417 ZEIMGLRKFUORNK-LBPRGKRZSA-N 415.833 4.880 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)ccc1[N+](=O)[O-] 797736403 RGBHRHVTOBKSND-QHCPKHFHSA-N 420.465 4.587 5 20 HJBD C[C@@H](OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(C(F)(F)F)nc1 803064726 RUWUMLRVDGVUCR-SNVBAGLBSA-N 400.328 4.730 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@H](C)c2nc(-c3ccc(Cl)cc3)no2)c1F 803460812 HPBIPKYRLBZKGL-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD CCOc1cc(NC(=O)c2cc(Cl)c(Cl)nc2C)c([N+](=O)[O-])cc1OCC 808054494 QFGJGILBMUDTDJ-UHFFFAOYSA-N 414.245 4.655 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N(Cc1ccc(F)cc1)C1CCOCC1 809915254 NCKBVJOCWLXQIW-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD O=[N+]([O-])c1c(Cl)cccc1OCc1noc(Cc2ccc(Br)cc2)n1 814775527 YCPKJGVSLQFLMX-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@@H]1OCCc2sccc21 815249873 KHUXKIMNBKFLHO-HWPZZCPQSA-N 415.389 4.668 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 816197333 OZHHLXIYHXBQHS-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc(-c2ccccc2Cl)on1 914849783 YDYYQAVKAMQEPI-UHFFFAOYSA-N 406.225 4.829 5 20 HJBD COc1ccccc1OCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 917817128 SWJKUYUJDFZCAI-UHFFFAOYSA-N 422.437 4.547 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H](C)c2ccc(C)s2)c([N+](=O)[O-])c1Br 919722469 AEXCPCLAAFRGGD-QMMMGPOBSA-N 416.253 4.817 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OCc1nnc(-c2ccc(Br)s2)o1 920992999 BQCDECJCQLVKJP-UHFFFAOYSA-N 416.640 4.701 5 20 HJBD CCOC(=O)N(C)CCCC(=O)Nc1c2ccccc2n2c(C)nc3ccccc3c12 1124380129 WHGDPPUWYOXKHE-UHFFFAOYSA-N 418.497 4.756 5 20 HJBD Cn1ccnc1C[C@@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 1320306904 KSNHREPBCFCNLC-HNNXBMFYSA-N 414.893 4.696 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nnc(Cc2ccc3ccccc3c2)o1 1326972796 MEOFMBCYZSFEJG-UHFFFAOYSA-N 409.829 4.997 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)/C=C/c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 6012973 TUIGUYQLMXOSJD-SERSOLAASA-N 416.433 4.840 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 10593049 XJBHQMWQMQIRLW-UHFFFAOYSA-N 423.903 4.906 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)COC(=O)c1sc2cc(F)ccc2c1Cl 11443783 XZZJOOSDWBUCJU-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD COc1ccc([N+](=O)[O-])cc1COC(=O)CCc1ncc(-c2ccccc2Cl)o1 21787201 MOABSHNHTYVNPU-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD C[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1Oc1ccccc1 22444401 JLNFWBLEVUQFEX-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD O=C(Nc1ccc(-c2cnc(C3CC3)o2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 24623387 JYKQQYXTFNOBQF-UHFFFAOYSA-N 415.409 4.565 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CC2)c(C)c1 43341583 OYXWALVDXJMWJU-UHFFFAOYSA-N 415.877 4.992 5 20 HJBD COc1ccc(CN(C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)cc1 50293717 YCZSXBCJKVHQDU-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)c1csc([N+](=O)[O-])c1 55319268 KNKSJLMXFNFVNE-UHFFFAOYSA-N 416.478 4.671 5 20 HJBD O=C(COc1cccc(C(F)(F)F)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 72104922 PXLHPMGSGVVOLQ-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD CCOC(=O)c1cc(C(=O)N2CCC[C@@H]2[C@H](CC)c2ccccc2)cc([N+](=O)[O-])c1 116159943 YVCSZXZRSFTAJQ-NHCUHLMSSA-N 410.470 4.570 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNCc1cn(-c2ccccc2)nc1-c1cccs1 237202663 QTWWRDUJFYQQTF-UHFFFAOYSA-N 420.494 4.807 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](C)c3ccc(-n4cccn4)cc3)o2)c([N+](=O)[O-])c1 237423461 PACYXETYESQWKV-INIZCTEOSA-N 418.453 4.900 5 20 HJBD O=C(O)[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccc(F)cc1 426813769 ZLXKZMCVNUSNJO-FQEVSTJZSA-N 414.364 4.581 5 20 HJBD CCOc1cc(NC(=O)c2ccc(F)cc2C(F)(F)F)c([N+](=O)[O-])cc1OCC 428501752 XNZOANAGUOEPOP-UHFFFAOYSA-N 416.327 4.802 5 20 HJBD Cc1sc(NC(=O)c2ccccc2Cn2cccn2)nc1-c1cccc([N+](=O)[O-])c1 429017962 HAOWUBQZDDWDDR-UHFFFAOYSA-N 419.466 4.524 5 20 HJBD CCN(C(=O)c1ccc(O)c([N+](=O)[O-])c1)[C@H](c1ccc(Cl)cc1)c1cccnc1 436963442 NWYRNUGMBZJWCD-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(Cl)c(C(F)(F)F)c1 437366544 SWOUUWBRIHNIFJ-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 441391815 HVUJGJIFPKLZHQ-INIZCTEOSA-N 402.519 4.754 5 20 HJBD CO[C@H]1CCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)[C@H](C)C1 441464600 NCCVRKZUGHGSKS-WBVHZDCISA-N 400.500 4.694 5 20 HJBD C[C@@H](NC(=O)Nc1cc(F)cc(F)c1Br)c1cccc([N+](=O)[O-])c1 443516047 BACRDUPPYDWCSX-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD CN(CC(=O)N(Cc1cccs1)c1ccc(F)cc1F)c1ccccc1[N+](=O)[O-] 443884433 VZXFIBURGKROQY-UHFFFAOYSA-N 417.437 4.604 5 20 HJBD CCc1[nH]nc(C(=O)Nc2ccc(Sc3nc(C)cs3)c(Cl)c2)c1[N+](=O)[O-] 444134611 GMAJYPPJNOBQQX-UHFFFAOYSA-N 423.907 4.702 5 20 HJBD COc1cc(Br)cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444292369 VBPYUTJDCBVQCE-UHFFFAOYSA-N 423.266 4.705 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncnc2c1c(C)c(C)n2Cc1ccccc1 445941144 HUIQSSOINLMSCP-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD CC(C)N(Cc1ccccc1F)C(=O)c1sc(Br)cc1[N+](=O)[O-] 446025779 JIHYPRPRLVFBTK-UHFFFAOYSA-N 401.257 4.609 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3ccc(Cl)s3)cn2)cc([N+](=O)[O-])c1OC 448508774 WZJOILJKBXGAEC-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])NCc1cc(Cl)cc(Cl)c1OC(F)F 464804416 FIRFYEYEYZJNLV-UHFFFAOYSA-N 420.199 4.502 5 20 HJBD Cc1sc(S(=O)(=O)Nc2ccc(OC(C)C)cc2C(F)(F)F)cc1[N+](=O)[O-] 466955748 QJPCAKSSXKPCME-UHFFFAOYSA-N 424.422 4.572 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1cc(COc2c(Cl)cccc2Cl)on1 467747683 UMXBZRYCJWHBAJ-UHFFFAOYSA-N 408.197 4.721 5 20 HJBD CC[C@@H](Cc1ccccc1Br)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 470175530 ZMZOIGSJLJGTJB-INIZCTEOSA-N 420.307 4.520 5 20 HJBD CCO[C@@H](CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)C(C)C 470186042 ODOKTDODJYAYAL-IBGZPJMESA-N 402.516 4.927 5 20 HJBD O=C(N[C@@H](c1cccc(Cl)c1)c1ccccn1)c1ccc([N+](=O)[O-])cc1Cl 472272921 FJZDMPDQMDRKAI-SFHVURJKSA-N 402.237 4.816 5 20 HJBD COCc1cc([N+](=O)[O-])ccc1NCc1cccc(C(=O)NCc2ccc(C)cc2)c1 474146110 KPDXVACOJDOASU-UHFFFAOYSA-N 419.481 4.592 5 20 HJBD CCOc1cc2c(cc1OCC)CN(C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)CC2 475560221 SNYNZVIEAYMDAT-UHFFFAOYSA-N 413.474 4.599 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ncsc2c1 479272721 BVLSQSYHDFBQMR-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD COc1ccccc1-c1noc([C@H](C)N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])n1 483639296 UGOBLVJCULUBTQ-FCEWJHQRSA-N 422.485 4.715 5 20 HJBD CCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@@H](C)Cc1ccccc1C(F)(F)F 484699160 IPNLHHUHXJJKIV-ZDUSSCGKSA-N 410.392 4.716 5 20 HJBD O=C(CCN(Cc1ccc([N+](=O)[O-])cc1)C1CC1)Nc1c(Cl)cccc1Cl 490816976 FYRXYCCZCGFETR-UHFFFAOYSA-N 408.285 4.895 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(CCc2ccccc2)Cc2cccnc2)cc1[N+](=O)[O-] 493254520 KLCXCXXQAQPUGI-IBGZPJMESA-N 418.497 4.814 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1Cl 494493202 IEFZMIWJDITCRH-LLVKDONJSA-N 411.241 4.569 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccc(CNC(N)=O)cc3)cc2[N+](=O)[O-])cc1 499245615 RKICFJSKDGJJJQ-UHFFFAOYSA-N 422.510 4.835 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(-c3ncco3)cc2)cc1[N+](=O)[O-] 500413997 ZMJHJEBNKQZNRO-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(=O)C[C@H](C)NC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 501455893 SPJHEMYRIHMOEM-IQGJIOQQSA-N 423.494 4.619 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1nccn1Cc1ccccc1 503420152 RKHISQPSCIDNQD-UHFFFAOYSA-N 424.526 4.614 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 506378421 DLBPDFVYKSAIAC-UHFFFAOYSA-N 404.392 4.646 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2cnn(CC(F)(F)F)c2)cc1[N+](=O)[O-] 507833692 NZMOHSIYIMSENM-UHFFFAOYSA-N 405.380 4.734 5 20 HJBD COc1cc(CN(Cc2c(F)cc([N+](=O)[O-])cc2F)C2CC2)ccc1OC(F)F 509260236 MNBQOYGKZBVUNF-UHFFFAOYSA-N 414.355 4.648 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1cccnc1)c1ccc(F)cc1 511083232 QXBOGGGCVMYVEC-HNNXBMFYSA-N 411.458 4.843 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@@H]1c1cccc2ccccc12 512476435 BPQQOPIQUJWRLS-OAQYLSRUSA-N 405.454 4.532 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 515779272 FSHWBXUDXACCGQ-UHFFFAOYSA-N 412.273 4.642 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 522959110 PVEWKPDQIUWUDM-ZFWWWQNUSA-N 406.841 4.561 5 20 HJBD Cn1c([C@@H]2CCCCN2C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)nc2ccccc21 523390903 IQULAXCAGLANQZ-SFHVURJKSA-N 413.865 4.894 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1ccc([N+](=O)[O-])cc1Br 523496202 IVNLAUKYQQSFFO-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=C(CN1CCCCC[C@@H]1c1ccco1)Nc1ccc([N+](=O)[O-])cc1Br 532978923 NXOOGIBPTHRTDX-MRXNPFEDSA-N 422.279 4.506 5 20 HJBD Cc1nnc(SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)n1[C@H]1CCC[C@@H](C)C1 534607486 CXLCKQQWEMIOFF-DOMZBBRYSA-N 414.491 4.588 5 20 HJBD C[C@@H](NCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(NC(=O)c2ccccc2)c1 537027916 VMSZIFLOIFNPBZ-OAHLLOKOSA-N 416.437 4.839 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@H](C)c3nccs3)cc2[N+](=O)[O-])n1 542580023 BUDULGTYMRDCFJ-JTQLQIEISA-N 420.541 4.501 5 20 HJBD C[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1nc(-c2ccccc2)cs1 543519931 WVXGJSJVINSTGU-ZDUSSCGKSA-N 407.455 4.619 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CCN(C)c3ccccc32)no1 544283780 IIXUQWBSUDBZGB-WFASDCNBSA-N 414.849 4.743 5 20 HJBD COc1cc(Cc2noc(/C=C(\C)c3ccccc3OC(F)F)n2)ccc1[N+](=O)[O-] 545762471 HYSYKIJEBFXXFU-FMIVXFBMSA-N 417.368 4.739 5 20 HJBD CCCOc1c(Cl)cc(-c2noc(Cc3cccc([N+](=O)[O-])c3)n2)cc1OC 546248680 MNFXCAAUMGOFIC-UHFFFAOYSA-N 403.822 4.686 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(CN(C(=O)c2ccccc2)C2CC2)no1 546437384 FHPHEVYATVFZQU-CQSZACIVSA-N 424.482 4.636 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cnc(-c3ccccc3)nc2)no1 547084012 YRGARWADZYTCIX-GFCCVEGCSA-N 423.816 4.895 5 20 HJBD C[C@@H]1CCCN1C(=O)c1ccc(-c2noc(-c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1 547199529 DWIZOBODEJTNAX-GFCCVEGCSA-N 412.833 4.590 5 20 HJBD Cn1ccc([C@@H](NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccc(Cl)cc2)n1 547270283 RQQYATWSQSKTQQ-KRWDZBQOSA-N 420.256 4.546 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1OC(C)C 551650748 OTVKFPIEAUOOHP-UHFFFAOYSA-N 423.263 4.714 5 20 HJBD O=[N+]([O-])c1cc(CN2CCCC3(CCOCC3)C2)ccc1Oc1ccc(F)cc1 552064521 WSIHORVWZMVPDB-UHFFFAOYSA-N 400.450 4.919 5 20 HJBD COC(=O)c1cc(NC(=O)c2sc3cc(F)ccc3c2C)c(C)c([N+](=O)[O-])c1 553641214 YWVDVICGXXDALD-UHFFFAOYSA-N 402.403 4.604 5 20 HJBD C[C@H](CNCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)Cn1cccn1 554686734 GGUDEQIWNNUTPQ-OAHLLOKOSA-N 400.866 4.663 5 20 HJBD CN(CC(=O)N1CCC[C@H]1c1ccc(Cl)c(Cl)c1)Cc1ccccc1[N+](=O)[O-] 559157021 XURXAQCFFRPRHD-SFHVURJKSA-N 422.312 4.697 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNc2c([N+](=O)[O-])nc(C)n2CC)cc1 565134347 LPSLPNTWKCZXEW-UHFFFAOYSA-N 411.462 4.708 5 20 HJBD C[C@@H](c1ccco1)N(C)c1nnc(-c2cccc([N+](=O)[O-])c2)n1Cc1ccccc1 568459044 ZUCVMTAMTBSWSI-INIZCTEOSA-N 403.442 4.692 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC4(CCC4)[C@@H]3[C@@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581044345 CWJSNZFUVPJXAB-VXKWHMMOSA-N 421.497 4.693 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCO[C@H](c4cccc(OC(F)(F)F)c4)C3)ccc2c1 588857249 QLVWVADZYQZIOR-SFHVURJKSA-N 419.359 4.620 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccccc2)C1 603438406 HFWKQMLGKAEFRO-JOCHJYFZSA-N 403.438 4.552 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 609009042 GEGZBUSOYDHYDR-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3ccc(SC)cc3)cs2)cc1[N+](=O)[O-] 609009623 HOPBXXHVOXOLKS-UHFFFAOYSA-N 415.496 4.630 5 20 HJBD COc1cc(/C=C(/CC(=O)O)c2nc3cc(Cl)ccc3s2)cc([N+](=O)[O-])c1O 609322765 KIFQTACGEQYJFC-WMZJFQQLSA-N 420.830 4.587 5 20 HJBD O=C(Nc1ccnn1Cc1cccc(Cl)c1Cl)c1cc(F)cc([N+](=O)[O-])c1 609443664 WAJLIVYFNUGCKI-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD CCOC(=O)c1ccnc(Oc2cccc(C(=O)Nc3ccc(C)cc3)c2)c1[N+](=O)[O-] 609963373 FLRRYRLLIABKSZ-UHFFFAOYSA-N 421.409 4.520 5 20 HJBD Cc1ccccc1[C@@H]1C[C@H](C)N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C1 609976247 BXNZWYKNNADDIH-ARFHVFGLSA-N 402.878 4.729 5 20 HJBD CC1CCN(c2cccc(NC(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)c2)CC1 610049249 POWKKAKGUMTARF-UHFFFAOYSA-N 422.529 4.686 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(CC(F)(F)F)cc1 610179570 RJWJCNYHKSFRMN-UHFFFAOYSA-N 402.756 4.761 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610388227 TUSGAERJWBNSAY-MRXNPFEDSA-N 408.376 4.990 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)OCc1nnc(-c2c(Cl)cccc2Cl)o1 726632628 HSLOKIQODCTUOT-TWGQIWQCSA-N 420.208 4.708 5 20 HJBD O=[N+]([O-])c1ccc(N2CCN(Cc3nc4ccccc4s3)CC2)c(C(F)(F)F)c1 727437494 KTXXIEHAAWMFPX-UHFFFAOYSA-N 422.432 4.546 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1NC(c1ccccc1)c1ccccc1 731905562 QBSVXTVSYPNDGL-UHFFFAOYSA-N 418.421 4.793 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3cnn(-c4ccc([N+](=O)[O-])cc4)c3)cc2)nc1 733234742 OUCXXAFCVRNHFW-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Br)c1ccc(Cl)s1 733936330 HOCGEYMRLSRIOU-NSHDSACASA-N 403.685 4.953 5 20 HJBD CCn1c(SCc2cc([N+](=O)[O-])ccc2Cl)nnc1-c1ccccc1OC 735415826 RIHCGQWSCGJLAF-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD O=[N+]([O-])c1ccc(Cl)c(COc2ccc(-c3noc([C@@H]4CCCO4)n3)cc2)c1 735416301 XYLMSXNKPXOUKR-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD Cc1ccc(-c2csc(NC(=O)Cc3cc(-c4ccccc4)on3)n2)cc1[N+](=O)[O-] 735804507 IFVJCMQZSYQTAW-UHFFFAOYSA-N 420.450 4.863 5 20 HJBD CC(C)(C)c1ccc(C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)cc1[N+](=O)[O-] 741956868 JZBSGXQOXUKFQC-IBGZPJMESA-N 415.471 4.688 5 20 HJBD CCc1cc(N2CCC[C@H]2C[C@@H](O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 751119562 VGWIZPPGXONQLP-RBUKOAKNSA-N 424.526 4.768 5 20 HJBD CCc1ccc([C@H](NC[C@H](O)c2ccc(Cl)cc2)c2ccc([N+](=O)[O-])cc2)o1 753614719 WFCZWXHQRZSFQS-PZJWPPBQSA-N 400.862 4.816 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)[C@H](C)CCC(C)(C)C 754026929 YKQWWBGELFFPIE-OAHLLOKOSA-N 402.495 4.844 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)cc1)c1cc(F)cc([N+](=O)[O-])c1Br 754629206 IIOXNDFQAFXQAB-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD C[C@@](O)(Cc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1)c1ccccc1 761860494 ZGAQPAAIFXMCGI-HSZRJFAPSA-N 417.421 4.887 5 20 HJBD Cn1ccc([C@@H](NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)n1 762819190 YQRBFSHTJVKXIN-KRWDZBQOSA-N 420.256 4.546 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2cccc(C(F)(F)F)c2)no1)c1cccc([N+](=O)[O-])c1 763400705 FWBZKKDMJMDBFI-NSHDSACASA-N 421.331 4.511 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NC(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)n2)ccc1F 770011230 NZIGKPRRAWLTBF-SNVBAGLBSA-N 419.800 4.628 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H]1C[C@H](O)c1ccc(F)cc1 773254196 ALTZNUIONGPLHC-YJBOKZPZSA-N 407.829 4.507 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cccc(CN(C)Cc3ccccc3)c1)CC2 773989959 ARDNAYWCMWIRIG-UHFFFAOYSA-N 415.493 4.738 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc(Cl)c(Cl)c1 776136470 VOVIOPMRFRIYBT-INIZCTEOSA-N 404.209 4.638 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2c(C(=O)c3ccco3)oc3ccccc23)c1F 790126966 NSRJUMMOEMMVHN-UHFFFAOYSA-N 408.341 4.865 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1c(F)cc(Br)cc1F 790930793 DHGZQIOFZMRHLF-UHFFFAOYSA-N 423.572 4.609 5 20 HJBD Cc1cc(C2=N/C(=C/c3csc(-c4ccc(F)cc4)n3)C(=O)O2)ccc1[N+](=O)[O-] 796744403 NABGSXDBSGQUPO-CXUHLZMHSA-N 409.398 4.510 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1c(F)ccc([N+](=O)[O-])c1C 800144511 UYGGXAFYHGMANU-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CCC[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccn1 810532435 DZBMJEOJZKBZTE-HXUWFJFHSA-N 419.437 4.731 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1cccc(Cl)c1[N+](=O)[O-] 812588477 LBFNNCZOHWYPQO-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1cc(-c3ccccc3C(F)(F)F)on1)CC2 813139386 BJPWQQUNLZTHHT-UHFFFAOYSA-N 417.343 4.780 5 20 HJBD CC(=O)OCCCc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 813518560 YPFODYYFNYZEDE-UHFFFAOYSA-N 411.241 4.650 5 20 HJBD O=C1CSc2ccc(C(=O)Oc3ccc(-c4ccccc4)cc3[N+](=O)[O-])cc2N1 920330294 XEMKQAXUYNHKDS-UHFFFAOYSA-N 406.419 4.525 5 20 HJBD CCN1CCC[C@@H](CNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 1116017444 OVHNHWZOMVIZRU-KRWDZBQOSA-N 403.910 4.862 5 20 HJBD CCN(CC)C1CCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 1256580961 JICOITGRMBINSV-UHFFFAOYSA-N 413.543 4.692 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@@H]2CCCC[C@@]21c1ccccc1 1319839979 MJCIRPVRXVUPPP-SQJMNOBHSA-N 419.525 4.739 5 20 HJBD CCc1ccc(-c2nc(C(=O)OCc3nc4cc([N+](=O)[O-])ccc4o3)cs2)cc1 1331365630 YSCRGINCCJYUGE-UHFFFAOYSA-N 409.423 4.779 5 20 HJBD Cc1ccc(C(=O)O[C@H](C(=O)Nc2ccc(F)cc2)c2ccccc2)cc1[N+](=O)[O-] 3531499 PAABZQQPMKNCEB-FQEVSTJZSA-N 408.385 4.579 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CSc1nc(C)c(C)n1C1CCCCC1 6973291 COCKESYYWVUCMA-UHFFFAOYSA-N 418.519 4.653 5 20 HJBD CCn1c(S[C@@H](C)C(=O)Nc2ccccc2)nc2cc3ccccc3cc2c1=O 7577896 NPDIPLXQMYMISL-HNNXBMFYSA-N 403.507 4.689 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)COc3ccccc3[N+](=O)[O-])n2)cc1 7799824 BWBAZJYFCVJOKU-UHFFFAOYSA-N 413.455 4.525 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(Cl)ccc2OCC(F)(F)F)cc1[N+](=O)[O-] 26495470 OZDNEVMBJFRPSL-UHFFFAOYSA-N 417.771 4.873 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl 32800428 SJQDUCJLWNNRRG-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD CCOc1cc(CN(C)C(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OC(F)F 43149350 NZIWFJNJXWQNNC-UHFFFAOYSA-N 409.389 4.567 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccccc1SCC(F)(F)F 46540009 UJMZAWHEXAKFQC-UHFFFAOYSA-N 402.419 4.980 5 20 HJBD Cc1nc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)sc1Cc1ccc(F)cc1 58548998 ZKKWEMDXZXULDK-UHFFFAOYSA-N 410.430 4.823 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCCOc3ccccc3[N+](=O)[O-])o2)cc1 71961003 NZQTVQXPWUTNQS-UHFFFAOYSA-N 414.487 4.662 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3ccccc3Cl)s2)cc1[N+](=O)[O-] 195630010 HQLJCLTWPAUEAN-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD C[C@@H](Cc1cccc(Br)c1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 195678227 KZCZBVGTXUACSG-QWHCGFSZSA-N 423.332 4.585 5 20 HJBD CC(=O)c1ccc(Sc2nnc(-c3cccc(Br)c3)o2)c([N+](=O)[O-])c1 200911889 YILFGOAXPSPEOL-UHFFFAOYSA-N 420.244 4.761 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C/c1ccc([N+](=O)[O-])cc1 211098720 QLNAPJCQUMEXCY-VBKFSLOCSA-N 401.447 4.978 5 20 HJBD COC(=O)c1cc([N+](=O)[O-])c(N[C@@H](c2cccc(C)c2)c2ccccn2)cc1Cl 301901587 VQPSDHYBHNZKMF-FQEVSTJZSA-N 411.845 4.940 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)c1 304099125 XZUXFDSCJWMHJZ-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1ccc(Br)cc1[N+](=O)[O-] 430945363 QUHVUEJTRFCQLU-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CCS[C@H]1CC[C@@H](N(C)C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)C1 437115721 MAUSAMDTWSWRDN-MOPGFXCFSA-N 413.543 4.953 5 20 HJBD O=C(Nc1nc2cc(F)c(F)cc2s1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437244841 HJLGYZFDUWZEEY-UHFFFAOYSA-N 403.288 4.754 5 20 HJBD Cc1sc(Br)c(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C 440749807 HVZFAOVKUAJLMA-UHFFFAOYSA-N 421.219 4.889 5 20 HJBD CC[C@@H](c1ccc(F)cc1F)S(=O)(=O)c1ccc(Br)cc1[N+](=O)[O-] 443205781 UTUZKROLVRFFAZ-AWEZNQCLSA-N 420.231 4.561 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1OC 443948257 QMCXBJIEQNMVTP-OAHLLOKOSA-N 401.463 4.892 5 20 HJBD COc1cc(Cc2noc(-c3cccc(Cl)c3Cl)n2)c([N+](=O)[O-])c(OC)c1 445818018 ITHAUEFRVAPOKJ-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD O=C(c1csc(-c2ccccc2F)n1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460360668 RILZEZMCAJVNRW-IBGZPJMESA-N 415.471 4.745 5 20 HJBD COC(C)(C)C[C@@H](C)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 462754264 LOBVQJDJQDMHSO-GFCCVEGCSA-N 411.296 4.911 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(Oc2ccc(Cl)cn2)cc1 464179700 ZRBVFZXZMXAWTL-UHFFFAOYSA-N 413.817 4.843 5 20 HJBD C[C@H](Nc1nc2ccccc2cc1[N+](=O)[O-])[C@H](OC1CCOCC1)c1ccccc1 473511055 SYSIXBLJHKLUJZ-AOMKIAJQSA-N 407.470 4.880 5 20 HJBD CCN(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc2[nH]ccc2c1 475856270 ZAQRQXDRUWTUHJ-UHFFFAOYSA-N 407.348 4.684 5 20 HJBD O=C(Nc1ncn(Cc2ccc(Cl)cc2)n1)c1cc2ccccc2c2cccnc12 482171680 QTKUNBOHMMNEPH-UHFFFAOYSA-N 413.868 4.934 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485686009 IECGMWOBSLACTL-KRWDZBQOSA-N 410.392 4.881 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)COc2ccccc2C(F)(F)F)c1[N+](=O)[O-] 486244612 UBOXSCFLRNUAPJ-LLVKDONJSA-N 414.405 4.533 5 20 HJBD O=C(c1ccc(-c2ccc(Cl)cc2)s1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487019693 ZJUGFRLQJIXBBU-UHFFFAOYSA-N 416.890 4.651 5 20 HJBD CC(C)(NCc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1ccccc1[N+](=O)[O-] 492309308 DJRGZLDGNDFVGG-UHFFFAOYSA-N 404.392 4.824 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 498939223 FQYUBAVMTYYDHH-GFCCVEGCSA-N 406.385 4.571 5 20 HJBD CCOc1ccc([C@H](C)NCc2cc(OC)ccc2Br)cc1[N+](=O)[O-] 504911226 CGXDIYSUKPZQML-LBPRGKRZSA-N 409.280 4.615 5 20 HJBD O=C(NCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3ccccc3o2)cc1 505091549 YTCIDVHNVUKTQS-UHFFFAOYSA-N 417.425 4.637 5 20 HJBD C[S@](=O)C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccccc1 508658373 VAQCTPDJFLNNTO-PPHZAIPVSA-N 400.525 4.533 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H](CCO)c3ccc(Cl)c(Cl)c3)co2)cc1 512216989 DFGKGMJVQAYBJD-GOSISDBHSA-N 422.268 4.770 5 20 HJBD O=[N+]([O-])c1ccc(CS(=O)(=O)N[C@H](c2ccc(Cl)cc2)C2CCCC2)cc1 519150907 SSQGMVNMIGIZJF-IBGZPJMESA-N 408.907 4.599 5 20 HJBD CSc1ccc(C(=O)Nc2ccnn2[C@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 522247965 JOPLZHAIQRENEA-KRWDZBQOSA-N 408.483 4.691 5 20 HJBD COc1ccc(CN(C)C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1O 522709684 UGWPODOSEVNIHD-UHFFFAOYSA-N 423.425 4.765 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 522938514 FLLVEFLWELPYAJ-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD COc1cc(CNCc2ccccc2N(C)C)c([N+](=O)[O-])cc1OCc1ccccc1 525483641 BUUSYIGBJUOVGG-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD COc1cc(C(=O)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)cc([N+](=O)[O-])c1OC 525555536 WPSRCJYKZYBTSH-INIZCTEOSA-N 404.438 4.658 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1c1cccc(Br)c1 530776767 PBCBZLYTEZYGCD-LSDHHAIUSA-N 404.264 4.652 5 20 HJBD Cc1ccc2nc([C@H]3CCCN3C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)[nH]c2c1 530890198 CIEHUUWWVKXTFK-OAQYLSRUSA-N 421.501 4.649 5 20 HJBD CC[C@@H](NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CCOCC1 531283025 ZIQJORLSOVWBID-HSZRJFAPSA-N 420.513 4.742 5 20 HJBD CCOc1cc(NC(=O)c2cccc(C)c2Br)c([N+](=O)[O-])cc1OCC 535326882 UAAIAJYVJHOWAY-UHFFFAOYSA-N 423.263 4.715 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1ccco1 535697555 BAERKGKLJKEJMW-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD C[C@@H](NCc1cccc(Br)c1OC(F)F)c1cccc([N+](=O)[O-])c1 537078239 XOERJZDUORAYBS-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@H](C)c1ccc(-c2ccncc2)cc1 538236332 KVTUUHXCWNHMBS-QGZVFWFLSA-N 404.470 4.645 5 20 HJBD O=C(CCC(=O)c1csc2ccccc12)NCCc1ccc(Cl)cc1[N+](=O)[O-] 538700923 NEVRRXGFOOZFQG-UHFFFAOYSA-N 416.886 4.785 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)c2ccccc2I)cc1[N+](=O)[O-] 539121511 VCUNBFWCWALCQV-STQMWFEESA-N 410.255 4.920 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCc2cc([N+](=O)[O-])ccc21 539775250 KSTZUYGWLZPHIG-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cnccn1 540169208 AHYQGCBKSCUSRO-GFCCVEGCSA-N 402.813 4.820 5 20 HJBD O=C(N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 540446712 XIXNKMVAFGGOCN-CVEARBPZSA-N 414.767 4.527 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4ccc(F)cc4Br)n3)c2c1 545078101 BQLZTXSCQPMWIC-UHFFFAOYSA-N 417.194 4.619 5 20 HJBD Cc1ccc(-c2nc(-c3ccc(C)c([N+](=O)[O-])c3)no2)cc1NC(=O)NC(C)(C)C 545565643 NKVLMLSEHXGWJC-UHFFFAOYSA-N 409.446 4.849 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3cc(Cl)ccc3O[C@@H]3CCOC3)no2)c1 545853753 KSOLMFVAZGJZAI-HQQUCIOCSA-N 413.817 4.636 5 20 HJBD Cn1cccc1[C@@H]1CCCCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 559269749 PDZXVIRWDZHISF-FQEVSTJZSA-N 404.470 4.753 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(C(F)(F)F)nc3OC(C)C)n2)ccc1[N+](=O)[O-] 570434196 HIKALDRKXJXUDQ-UHFFFAOYSA-N 422.363 4.745 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1cccc(OC2CCCCC2)c1 571006124 KDNQXOVALMLTPC-UHFFFAOYSA-N 412.442 4.720 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2c2ncon2)ccc1Oc1ccccc1Cl 584795941 WEHQVXFKUXTFTC-OAHLLOKOSA-N 400.822 4.761 5 20 HJBD Cc1nc(-c2ccc(CNc3ccc(C(=O)NC4CC4)cc3[N+](=O)[O-])s2)cs1 589803190 IFLJPBAZDPAHSR-UHFFFAOYSA-N 414.512 4.593 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1Cc2ccccc2[C@H](c2ccccc2)C1 603449323 DQQHCEYVTUXJBS-QFIPXVFZSA-N 424.460 4.568 5 20 HJBD O=C(CCc1nc2ccccc2s1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603742414 UTCGJKKTZRQOLY-UHFFFAOYSA-N 423.416 4.728 5 20 HJBD CN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1ccc(Oc2ccccc2)cc1 604500898 KWALUNBAFHTTMD-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD COc1ccc(CC(=O)N2CCC(c3cccc4ccccc34)CC2)cc1[N+](=O)[O-] 609012355 WJSYOZHYOMQBSE-UHFFFAOYSA-N 404.466 4.705 5 20 HJBD O=C(NCCCc1nc(-c2ccncc2)cs1)Nc1ccc([N+](=O)[O-])cc1Cl 609200243 ZWTJRYMCACGQBI-UHFFFAOYSA-N 417.878 4.521 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(NCc3ccc(-c4nc5ccccc5[nH]4)cc3)cc2N1 609416956 PBMDCMDYDHXJOQ-UHFFFAOYSA-N 413.437 4.635 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc(NC(N)=O)cc1 609599094 MELLTZSCULLVKI-INIZCTEOSA-N 419.485 4.704 5 20 HJBD Cc1ccccc1C[C@@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609636015 FVNVGZYJSZKNKM-HNNXBMFYSA-N 405.401 4.744 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1C(F)(F)F 609724080 GHWSCMWQGVOZHS-UHFFFAOYSA-N 406.719 4.814 5 20 HJBD COc1ccc(NC(=O)[C@H](C)Sc2ncc(C(C)C)n2C(C)C)c([N+](=O)[O-])c1 610034192 YXBPNBOYSQNCRR-ZDUSSCGKSA-N 406.508 4.624 5 20 HJBD CCOc1cc(NC(=O)[C@H](OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])cc1OCC 611088617 WJYFVQRDSZHOTI-HXUWFJFHSA-N 416.474 4.887 5 20 HJBD CN(C(=O)c1ccc(-c2noc(-c3ccc(F)cc3)n2)cc1)c1cccc([N+](=O)[O-])c1 611092303 ZBGPIKOLXFABPL-UHFFFAOYSA-N 418.384 4.728 5 20 HJBD O=C(O)C[C@H]1CCCCN1C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 611526643 YSNKDWZRIAPVSE-OAHLLOKOSA-N 418.833 4.510 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cc1OCC 612645638 BFHKMNBDRGIIER-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(N[C@@H](C)c2ccsc2)c([N+](=O)[O-])c1 619745834 DCJBBVNLCLUVTE-ZDUSSCGKSA-N 410.451 4.847 5 20 HJBD Cc1cccc(C2(C(=O)OCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)CCCC2)c1 726829826 YZYVOOPDDDPQEF-UHFFFAOYSA-N 416.861 4.550 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 727341410 JTWNCCQDKFDUCU-UHFFFAOYSA-N 411.683 4.762 5 20 HJBD COc1ccc(CNC(=O)N2CCC[C@@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 728066367 KAPFLORAXVXVFX-MRXNPFEDSA-N 423.391 4.669 5 20 HJBD CC(=O)Nc1c(C)cccc1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735272818 IHCPJXUMCFLCHS-UHFFFAOYSA-N 405.410 4.906 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)c3cccc(Cl)c3[N+](=O)[O-])n2)cc1F 750535140 FHYDRHHXAALFOE-SNVBAGLBSA-N 405.769 4.664 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(Oc2nccs2)cc1 750765335 YXGIUEWPKWETFJ-GFCCVEGCSA-N 402.453 4.930 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3Br)CC2)cc1 754977334 NBWSBMAQKUUYCT-UHFFFAOYSA-N 419.250 4.734 5 20 HJBD CN(Cc1cccc(Cl)c1Cl)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 756963463 AYAWKGZNOBLBRE-UHFFFAOYSA-N 402.665 4.897 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)o2)cc1C 758933453 RTFBGMSKJLMFST-ZDUSSCGKSA-N 407.382 4.926 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1cc(Cl)ccc1Cl 762904414 KPKIUOAEIHTEGT-VIFPVBQESA-N 422.224 4.567 5 20 HJBD CN(Cc1cccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1)Cc1cscn1 764234710 HTRYSYXQWJQWTA-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(F)c1)c1ccc([N+](=O)[O-])c(Cl)c1 764280832 UPBHPALDETWMNJ-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(COC2CCCC2)cc1 781648237 HAQAKZIQYRZEGG-UHFFFAOYSA-N 413.430 4.534 5 20 HJBD O=C(N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 783851926 SGBYBLLJPZSJIS-ZFWWWQNUSA-N 421.266 4.638 5 20 HJBD Cc1c([C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cnn1-c1ccccc1 786925401 HFKGLXGJEKZFOM-CYBMUJFWSA-N 404.392 4.959 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CCCSc2ccc(F)cc21 787991409 YJCHFBAZKFLGCI-UHFFFAOYSA-N 411.252 4.639 5 20 HJBD CCN(C(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc2[nH]ccc2c1 788552397 WKXMLLIVWBUMEO-UHFFFAOYSA-N 406.211 4.644 5 20 HJBD Cc1cnc(COC(=O)CCc2nc3cc(Cl)ccc3s2)c(C)c1[N+](=O)[O-] 789442693 PERJQMDCVOAMIC-UHFFFAOYSA-N 405.863 4.546 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)O[C@H](c1ncccn1)C1CCCC1 793172001 HZDWRLJRSHWXAR-INIZCTEOSA-N 420.263 4.544 5 20 HJBD C[C@@H](C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-])[C@@H]1OCCc2sccc21 796493597 LIBXONIULDRDKE-ABKXIKBNSA-N 416.282 4.956 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)CCCCC(C)(C)C 807991912 WDEMDRUVQTXXAM-UHFFFAOYSA-N 402.495 4.988 5 20 HJBD O=C(NCc1cccc(COCc2ccco2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809915536 QDJKRJBLYMGQIG-UHFFFAOYSA-N 415.833 4.880 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1nc(Cc2ccccc2)no1 811373100 PTFDPCLAPIYMDM-SNVBAGLBSA-N 422.224 4.793 5 20 HJBD Cc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1S(=O)(=O)C1CCCC1 864029296 ITGPHGKZUWQDMP-UHFFFAOYSA-N 408.907 4.885 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccccc2)Cc2ccccc2)cc1[N+](=O)[O-] 867571714 LFISOHRCWNKZAI-UHFFFAOYSA-N 405.410 4.654 5 20 HJBD CC(C)c1ccc(-c2nc([C@@H]3CCCN3C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 904704001 PSCBEVSRUQZASJ-HNNXBMFYSA-N 402.451 4.840 5 20 HJBD CCc1nc(COC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cs1 920545752 IYDSOXVJBUQPCD-ZDUSSCGKSA-N 412.471 4.539 5 20 HJBD Cc1c(C(=O)N(Cc2cccs2)Cc2cccs2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 921197522 GISCZLBBOYUONZ-UHFFFAOYSA-N 417.468 4.777 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnc(-c2ccncc2)s1 1320683152 DBTOCMYHONFDKB-UHFFFAOYSA-N 415.500 4.653 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2ccc(F)cc2)C2CCOCC2)c(Br)c1 1323372719 AWFFBEZZBHTIPD-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(Cc2c(C)nn(-c3ccccc3)c2C)n1 1323915404 UQRHREVKPRAEHL-UHFFFAOYSA-N 403.442 4.655 5 20 HJBD CC[C@H](C)c1nnc(Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)o1 1331854373 VOGBXMRYMDLCFE-HNNXBMFYSA-N 403.442 4.935 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1cc(-n2cccc2)ccc1F 1338183026 YZHQVOIAJAQEEP-UHFFFAOYSA-N 411.436 4.723 5 20 HJBD CN(C(=O)c1cnn(C2CCCCC2)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 1341746467 VNRSHGXEKXMYTJ-UHFFFAOYSA-N 411.487 4.697 5 20 HJBD Cn1c(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)nc2cc(F)ccc21 1341800968 CQPJUIXKUNFXST-UHFFFAOYSA-N 414.824 4.824 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nnc(COc3ccc4ccccc4c3)o2)c1 1345841596 HBHTXHWKSAXTHV-UHFFFAOYSA-N 400.394 4.713 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@](CO)(C(C)C)C3)cc2[N+](=O)[O-])cc1 1347384771 VBSBSENFBBGKHV-QFIPXVFZSA-N 414.527 4.535 5 20 HJBD CC(C)CC(=O)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)cc2)CCC1 1790624046 WYZRZEBWGCMJDK-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cn1c(SCc2cccc([N+](=O)[O-])c2)nc2scc(-c3cccs3)c2c1=O 10866608 RIRXUCVKHUZQCS-UHFFFAOYSA-N 415.521 4.924 5 20 HJBD Cc1cccc(C)c1NC(=O)CN(C)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 15170284 GVKLYMVROPOFLK-UHFFFAOYSA-N 415.799 4.959 5 20 HJBD C[C@@H](c1nc(-c2cccc(C(F)(F)F)c2)no1)N(C)Cc1ccccc1[N+](=O)[O-] 46599343 RHODSKGODXDBDH-LBPRGKRZSA-N 406.364 4.857 5 20 HJBD CC(C)c1ccc([C@H](NCc2ccc(C(N)=O)cc2[N+](=O)[O-])c2cccs2)cc1 53782557 WYBKDPKXUNGEDN-NRFANRHFSA-N 409.511 4.758 5 20 HJBD O=c1c2ccc(Cl)cc2nc2n1CC/C2=C/c1cc([N+](=O)[O-])ccc1OC(F)F 64925656 YKQPJWIGXWIQQI-YFHOEESVSA-N 419.771 4.504 5 20 HJBD Cc1ccc(C(=O)Nc2nc3ccc(OCC(F)(F)F)cc3s2)cc1[N+](=O)[O-] 106153990 ZRMDWMAKAZPEMT-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccccc2COCc2ccccc2)c1 106317932 PFDQAGUNEHXUKL-UHFFFAOYSA-N 422.506 4.964 5 20 HJBD C[C@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc2c(c1)CCC(=O)N2 301098913 NMQBRSRYRWERLR-HNNXBMFYSA-N 415.449 4.884 5 20 HJBD CNC(=O)c1ccc(N[C@H](c2ccc(Cl)cc2)c2cccs2)c([N+](=O)[O-])c1 301304268 ZFDDAQQLDWMWPF-GOSISDBHSA-N 401.875 4.871 5 20 HJBD Cc1nc(Sc2nnc(-c3ccncc3)n2-c2ccc(Cl)cc2)ccc1[N+](=O)[O-] 301669523 YHTICJRMUGQFHX-UHFFFAOYSA-N 424.873 4.746 5 20 HJBD C[C@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1ccccc1[N+](=O)[O-] 426090270 RKARYDWKPOFXMG-LBPRGKRZSA-N 401.875 4.593 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)c3c(C)cc(Cl)cc3[N+](=O)[O-])n2)cc1F 438944262 QLVZFDUQNDTWSP-NSHDSACASA-N 418.812 4.545 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N3c4ccccc4OC[C@H]3C)cc2[N+](=O)[O-])C1 443956731 DHLVPFYYKGSAKT-BRWVUGGUSA-N 409.486 4.505 5 20 HJBD CCO[C@@H]1C[C@@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1(CC)CC 444177830 UCKJFQIRHCSUDA-RTBURBONSA-N 410.489 4.534 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CCc2c(Cl)cccc21 444227034 NVEQWQYWUKMIBR-UHFFFAOYSA-N 410.807 4.816 5 20 HJBD O=C(C[C@@H]1CCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1 444229026 SWKSJLANUJINPD-IBGZPJMESA-N 410.473 4.559 5 20 HJBD O=C(N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292193 RMDWLOJONZEFAA-GMAHTHKFSA-N 418.880 4.595 5 20 HJBD CC(=O)c1ccc(NC(=O)c2cc(F)cc3c(=O)c4cccc(F)c4[nH]c23)cc1C 444741719 YMEVBCYKTLODIO-UHFFFAOYSA-N 406.388 4.723 5 20 HJBD Cc1cccc([C@H]2CCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1 445992740 QJXQOPGCCDCLGV-GOSISDBHSA-N 403.276 4.572 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1 446834170 IZONPVJTJUVVJG-KSSFIOAISA-N 409.408 4.904 5 20 HJBD CC(C)[C@@H](c1ccc(F)cc1)N(C)C(=O)COc1ccc([N+](=O)[O-])c2cccnc12 447860112 CSNODLOTGYGSLA-QFIPXVFZSA-N 411.433 4.517 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1CC(F)(F)F 460469506 HUXJETZTVBFSKO-UHFFFAOYSA-N 402.756 4.761 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC(C)(C)[C@@H]2C2CC2)cc1[N+](=O)[O-] 460980088 MEDRGHMUZURZPL-NRFANRHFSA-N 424.522 4.808 5 20 HJBD Cc1cc(NC2CCN([C@H](C)c3ccncc3)CC2)c(C(F)(F)F)cc1[N+](=O)[O-] 461948912 OSTCHJGVIVZLRN-CQSZACIVSA-N 408.424 4.955 5 20 HJBD COC[C@@H](C)[C@H](C)C(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464283276 DKMNCDVGBLZUSK-CABCVRRESA-N 405.520 4.626 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2c(c1)ncn2-c1ccccc1 471056359 KAHLQHVLDBYBOS-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NCc1nc(C)c(-c2ccc(Cl)cc2)o1 473673659 GFYIQJLQCMGYPV-UHFFFAOYSA-N 400.822 4.842 5 20 HJBD CCOc1ccc(NC(=O)CNc2cccc(COC(C)(C)C)c2C)c([N+](=O)[O-])c1 475160725 BTNXPHFXQSNIOS-UHFFFAOYSA-N 415.490 4.668 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)N3CC[C@H](c4ccccc4)C3)cc2[N+](=O)[O-])C1 476157239 OBVMTMKSMFCBHW-UEXGIBASSA-N 407.514 4.707 5 20 HJBD COc1cccc(C2=CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 479893715 RHZSGWGNKPWPMJ-OAHLLOKOSA-N 406.442 4.504 5 20 HJBD COc1ccc(NC(=O)CCSc2ccnc3cc(Cl)ccc23)c([N+](=O)[O-])c1 480332111 UQWSZDBTOXVVCX-UHFFFAOYSA-N 417.874 4.926 5 20 HJBD CCc1onc(-c2ccc(Br)cc2)c1C(=O)Nc1ccc([N+](=O)[O-])cc1 483112982 ADAJABDHUUTQQN-UHFFFAOYSA-N 416.231 4.827 5 20 HJBD CNC(=O)c1cccc(CCN[C@@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)c1 484159858 MCLBLYGDBRABGQ-HNNXBMFYSA-N 409.511 4.576 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2ccc(F)cc2O1 484858306 DLYAPVQEOWXPDQ-AWEZNQCLSA-N 412.339 4.785 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc2c(c1)CCC(=O)N2 485390915 OSDBWGOGIQNXJO-ZDUSSCGKSA-N 406.417 4.854 5 20 HJBD CSc1cccc(C(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)c1[N+](=O)[O-] 485509410 YJCBCXOBQIVXHR-ZDUSSCGKSA-N 417.556 4.672 5 20 HJBD O=c1c([N+](=O)[O-])cn(Cc2cc(Cl)ccc2OCc2cccnc2)c2ccccc12 487864874 XYOJDKKMHZGABC-UHFFFAOYSA-N 421.840 4.585 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 489635165 PBJHXBOYUWAXRK-GOSISDBHSA-N 407.392 4.963 5 20 HJBD O=C(NCc1ccnc(Oc2ccccc2F)c1)c1c(Cl)cccc1[N+](=O)[O-] 489660331 NZPIELXEWFEGPD-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD C[C@H](C1CC1)n1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cn1 490990873 SDHIECURVCVNCU-OAHLLOKOSA-N 405.458 4.627 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc([N+](=O)[O-])c1 498246716 XXNPKSFZDLDHOE-HXUWFJFHSA-N 408.433 4.800 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)O[C@H](C)C2 499629083 ZFYOBCVUVYKNRO-UWWQBHOKSA-N 410.470 4.676 5 20 HJBD CCN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)[C@@H](C)c1cc2ccccc2o1 507939356 VECMVVOFIHTDBM-HNNXBMFYSA-N 404.426 4.750 5 20 HJBD CCOc1ccc(C2=CCN(c3ccc([N+](=O)[O-])c(C(=O)N4CCCC4)c3)CC2)cc1 508368520 FTTIMKIONBVROI-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD C[S@](=O)C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccccc1 508672759 WGJBHMGOQQRMSC-MYUZEXMDSA-N 400.525 4.533 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C1CCC(NC(=O)CC2CCCCC2)CC1 508771854 ZLOLINRHDJZSHK-UHFFFAOYSA-N 415.534 4.796 5 20 HJBD CCCCCOc1ccc(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)cc1 514864532 RKZUJAFACBINSD-UHFFFAOYSA-N 400.431 4.577 5 20 HJBD Cn1nccc1NC(=O)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 522213842 ZPPIUJOAONVVCD-UHFFFAOYSA-N 422.388 4.751 5 20 HJBD CCOC(=O)c1ccnc(N[C@H](c2ccccc2)c2ccc(OCC)cc2)c1[N+](=O)[O-] 523112483 HUYGKMDHACSHAG-HXUWFJFHSA-N 421.453 4.767 5 20 HJBD COc1cccc(C[C@H]2CCCN2C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 523304551 SFUTUFFOXSERKM-GOSISDBHSA-N 423.494 4.574 5 20 HJBD CC(C)(C)[C@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(F)(F)F 525822171 VSTIYTQMPSIGOR-LBPRGKRZSA-N 418.359 4.799 5 20 HJBD Cc1ccc(C(=O)N2CCCC[C@@H]2c2ncc(-c3cccc(F)c3)[nH]2)cc1[N+](=O)[O-] 530405751 SECYLNXQRPDYMA-LJQANCHMSA-N 408.433 4.800 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3ccc(Cl)cc3[N+](=O)[O-])ccc21 532059348 CTQVQFUMAQUOAG-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD CCC(CC)(NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 532713711 DSLYRPKHRFFWEN-UHFFFAOYSA-N 410.301 4.806 5 20 HJBD CCOC(=O)c1cc2cc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])ccc2s1 533604407 LNAIJWAJIMGAIM-UHFFFAOYSA-N 404.831 4.892 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1cccc(COCc2ccco2)c1 537657914 XPDHPICZDNATLD-UHFFFAOYSA-N 408.454 4.760 5 20 HJBD Cc1cc(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)cc([N+](=O)[O-])c1 537688534 SKWPYBASDHYPDP-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD CC[C@H](N[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539253892 IKDYLXYJEOUETG-ZFWWWQNUSA-N 407.417 4.573 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](C[C@H]1CCOC1)c1ccccc1 543153785 NQQYIWYHLCGJJM-RHSMWYFYSA-N 420.918 4.624 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(OCc2cccnc2)cc1 543465121 XGNUSABQZKEWHO-CVEARBPZSA-N 423.444 4.689 5 20 HJBD C[S@@](=O)Cc1ccccc1NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 545165595 OLPSIHUMVKBSPK-HHHXNRCGSA-N 404.875 4.999 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2ccc(CCC(F)(F)F)cc2)n1 547087210 LXTBEYZOZDYDAB-UHFFFAOYSA-N 406.364 4.794 5 20 HJBD CN(Cc1ccccc1Br)C(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549032987 RICWUJQFHWZOIP-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1cccc(Br)c1 551114499 BPSUHSVDKGLJRU-KRWDZBQOSA-N 421.316 4.813 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1cnc(-c2ccc(Cl)cc2)s1 551681184 GQXOHFNRBCHJDX-UHFFFAOYSA-N 401.875 4.621 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(Br)cc1Cl 554726612 VUCDLGDPTVMDDB-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 555312051 CCKCQBJBILDNHO-ZOKDDAQRSA-N 422.506 4.786 5 20 HJBD C[C@H](C(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1cccc([N+](=O)[O-])c1 559445006 ZDKYTWAEUZBZLP-LBPRGKRZSA-N 404.348 4.542 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2cccnc2)cc1 567196681 RRCHUDXWPDFSOX-ZDUSSCGKSA-N 413.817 4.842 5 20 HJBD Cc1noc(-c2ccc(C(F)(F)F)cc2NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)n1 567489248 NKYROTIECMLVPX-SNVBAGLBSA-N 420.347 4.714 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Nc3cccc(C[S@@](C)=O)c3)c([N+](=O)[O-])c2)cc1 580564149 XOTNOHITIFSYBC-SSEXGKCCSA-N 423.494 4.778 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccccc2)[C@@H](C)C2CC2)cc1[N+](=O)[O-] 603567774 NVKBRGJHIMPLMG-INIZCTEOSA-N 414.527 4.664 5 20 HJBD CCOc1cc(C(=O)NCC2(c3ccc(Cl)cc3)CCC2)c([N+](=O)[O-])cc1OC 608881820 HCUVVOSVMIYFEX-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)[C@H](C)c1cccc(C(F)(F)F)c1 608936105 QHYRTWOWSWHQAM-GFCCVEGCSA-N 424.375 4.608 5 20 HJBD O=C(c1ccc(OCc2c(F)cccc2[N+](=O)[O-])cc1)N1CCc2sccc2C1 609431715 GCNJREYPQGQZIT-UHFFFAOYSA-N 412.442 4.573 5 20 HJBD COc1cc([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)ccc1OCC(C)C 609783609 BCUKWKQGHXZITL-AWEZNQCLSA-N 420.893 4.712 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cc12 610387700 PLEHAJDBHGIVRY-UHFFFAOYSA-N 410.402 4.520 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1ccccc1Br 610673457 QRZADZCIRPGPKI-INIZCTEOSA-N 420.263 4.735 5 20 HJBD Cc1sc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)nc1-c1ccccc1 611510980 BXLAEGKVGNQHMT-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=C1[C@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)CCN1c1ccccc1Cl 731938749 HEDSKKNMRAUBTD-CQSZACIVSA-N 405.888 4.756 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 732178701 SFMOAQPWRABQGH-AWEZNQCLSA-N 421.419 4.575 5 20 HJBD COCCCOc1cccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 741911265 DXAGLEBFJOGZGX-UHFFFAOYSA-N 418.877 4.720 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 745071619 LWLHBDQQENLHIK-MRXNPFEDSA-N 412.486 4.875 5 20 HJBD C[C@H](OC(=O)[C@H](C)CC(=O)c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 745225848 KALAUGVDVRKWDP-OLZOCXBDSA-N 420.259 4.871 5 20 HJBD C[C@H](OC(=O)CC1C[C@@H]2CC[C@H](C1)N2C(=O)OC(C)(C)C)c1ccccc1[N+](=O)[O-] 749027710 BHLROTVXZRCYGU-XYTVBORESA-N 418.490 4.767 5 20 HJBD C[C@@H](C(=O)OCc1ccc(OCC(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 754289262 ADSZGGACCXTFBX-LLVKDONJSA-N 401.312 4.522 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755035190 GCBFWHGVAOMNDR-HNNXBMFYSA-N 407.268 4.757 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)CCC(=O)c1cc(Cl)sc1Cl 756225147 OJWUKJDSRWADQX-UHFFFAOYSA-N 404.227 4.540 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(Cc3ccccc3)C[C@@H](O)c3ccco3)co2)cc1 760843794 MQJDDTOVXJKKMF-OAQYLSRUSA-N 419.437 4.579 5 20 HJBD CCC(CC)[C@@H](C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761499714 XWPWZXWLZJDZMR-GHMZBOCLSA-N 404.385 4.556 5 20 HJBD C[C@@H](C(=O)N(Cc1ccccc1)C[C@@H](O)c1ccsc1)c1cccc([N+](=O)[O-])c1 762023672 KXXNQXYEEPODES-IIBYNOLFSA-N 410.495 4.522 5 20 HJBD Cc1ccc([C@H](O)CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 762338825 QMWUNMGIAJWQDN-HXUWFJFHSA-N 408.479 4.518 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Oc1ccc(N(C)C(=O)C(C)(C)C)cc1 764954622 RQAKCGJDAJWJGN-KRWDZBQOSA-N 412.486 4.778 5 20 HJBD O=C(OCc1csc(-c2ccc(F)cc2)n1)c1ccc([N+](=O)[O-])c2cccnc12 766232738 XYOPYNTVJCIZPQ-UHFFFAOYSA-N 409.398 4.763 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3cnoc3C3CC3)cc2[N+](=O)[O-])n1 773451318 KOUPVXKSXXSPGW-UHFFFAOYSA-N 417.468 4.733 5 20 HJBD O=C(Oc1ccc(Oc2ccccn2)cc1)c1sc(Br)cc1[N+](=O)[O-] 774056443 SJIXIHJOORWVGP-UHFFFAOYSA-N 421.228 4.825 5 20 HJBD CCOC(=O)/C=C/c1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780290648 XAPZYUMJYFLWHK-NTEUORMPSA-N 412.445 4.510 5 20 HJBD CC(C)Oc1cccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 780389194 KUNCNAQZOHJTQV-UHFFFAOYSA-N 422.431 4.677 5 20 HJBD C[C@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(-c2cccs2)no1 781261774 XQKGTSQHKYGBPF-LBPRGKRZSA-N 417.446 4.711 5 20 HJBD CC(C)(C(=O)OCc1ncc(-c2cccc(Cl)c2)o1)c1ccccc1[N+](=O)[O-] 796445074 IPXYWGYLJUWTSZ-UHFFFAOYSA-N 400.818 4.924 5 20 HJBD C[C@H](OC(=O)c1nc2ccccc2cc1Br)c1ccccc1[N+](=O)[O-] 808704272 OTOAMGGIKGHJRG-NSHDSACASA-N 401.216 4.824 5 20 HJBD Cc1cc(COC(=O)c2nc3ccccc3cc2Br)ccc1[N+](=O)[O-] 808714650 OAWBVQACKVNNTD-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCCC1CCN(CC(F)(F)F)CC1 811483475 JYECBPSPEBTBOU-UHFFFAOYSA-N 414.255 4.656 5 20 HJBD Cc1cc([N+](=O)[O-])c(F)c(C(=O)NCc2ccc(OCc3ccccc3)cc2)c1F 821033198 LRGHBFYZCYETIK-UHFFFAOYSA-N 412.392 4.690 5 20 HJBD CCC[C@H](C(=O)OCC(=O)Nc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccc1 821452335 WSDFVYRLFWQDFV-HNNXBMFYSA-N 424.375 4.679 5 20 HJBD CNc1ccc(C(=O)O[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc1[N+](=O)[O-] 918825360 UVCUQWGEIKBZIN-HNNXBMFYSA-N 419.437 4.807 5 20 HJBD COc1ccc(COC(=O)c2csc(Cc3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 1317970007 ZLZUIIHHBYMTRL-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 1319249971 LXUUVBDOJHTWGE-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(CCc2cccc([N+](=O)[O-])c2)o1 1328174478 KTSCJBGXPNHETQ-UHFFFAOYSA-N 401.850 4.736 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1ccc(Br)s1 15521721 JQLSWYKNWXJYMH-SNVBAGLBSA-N 423.292 4.662 5 20 HJBD C[C@H](OC(=O)c1csc(-c2ccccc2)n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17985036 UUPSBOVPULBVFY-LBPRGKRZSA-N 422.422 4.686 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccccc1SCc1cn2ccccc2n1 28266536 LUMFLRFEGFTMBP-UHFFFAOYSA-N 404.451 4.787 5 20 HJBD CCN(CC)CCOc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 31941181 MEYSKELVQGZVMA-UHFFFAOYSA-N 413.499 4.782 5 20 HJBD CCN(CCc1ccccc1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53460113 GWODUBVHOVXCNI-GOSISDBHSA-N 418.497 4.868 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(OC[C@H]2CCCO2)c1 56303186 AALUXCZAPWXVQF-NVXWUHKLSA-N 416.499 4.580 5 20 HJBD COc1cc(CNCCc2ccc(F)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 61003470 SZPFVTRURAOTRY-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD CCc1cc(NCc2ccc(NC(=O)C(C)C)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 64905574 IXUNMFZXBNIAMN-UHFFFAOYSA-N 419.485 4.821 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)s2)cc1 71881090 RYQMDLZZYUMISQ-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C(=O)c2ccccc2)c1 110114720 XIXFMOMANRDUNY-OAHLLOKOSA-N 406.463 4.945 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1cc([N+](=O)[O-])ccc1Br 135399544 SJKYYDCXAGXBOK-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CC[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1ccc(F)cc1F 301352655 JPIQGEZQLVDVMA-MRXNPFEDSA-N 420.803 4.636 5 20 HJBD Cc1cc(NCc2cccc(Cn3ccnc3)c2)c(Br)cc1[N+](=O)[O-] 302654853 HHOFDCKLRQBXNI-UHFFFAOYSA-N 401.264 4.523 5 20 HJBD O=C(N[C@H]1CCCC12CCOCC2)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 409814043 SALORPYCPYOKTD-FQEVSTJZSA-N 412.511 4.825 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F 430202136 FLGLKKMBMQPCPC-OAHLLOKOSA-N 424.297 4.895 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3c4ccccc4SC[C@H]3C)cc2)c(C)c1[N+](=O)[O-] 430658197 XRISTLVRUPCZDW-CQSZACIVSA-N 422.510 4.597 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 435665751 RHRLWIKDYRGLLR-LLVKDONJSA-N 414.409 4.790 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435832139 ZEFNNVNRXCKUIG-UHFFFAOYSA-N 411.230 4.835 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1O)[C@H](c1ccc(Cl)cc1)c1cccnc1 436976789 CZGKQRGFKWYTHA-HXUWFJFHSA-N 411.845 4.601 5 20 HJBD Cc1c(CC(=O)Nc2ccc(Cl)c(C(=O)Nc3ccccc3)c2)cccc1[N+](=O)[O-] 438837093 BTBDOVCSOMSETH-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CC[C@H](C)n1nc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1C 441299012 BOGCXNOEEAMSOD-AWEZNQCLSA-N 410.499 5.474 5 20 HJBD O=C(N[C@@H]1CCc2c(O)cccc21)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442711832 IGDOTBZBDBUDNH-QGZVFWFLSA-N 406.463 4.869 5 20 HJBD Cc1cc(Br)ccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444015600 VDOIBIXSHQOWSR-UHFFFAOYSA-N 421.294 4.682 5 20 HJBD CSc1ccc(F)cc1CNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444129183 IBZGYOFWPBUTAF-UHFFFAOYSA-N 410.445 4.611 5 20 HJBD O=C1CCCC[C@@H]1[C@@H]1CCCCCN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444230972 AQXQJHKIAZIFPT-XXBNENTESA-N 416.521 4.825 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1C(F)(F)F 444416145 WZADIQVJGOKDJG-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2nccc3ccccc23)no1 445505911 UTADMUMALAQPKZ-GFCCVEGCSA-N 410.817 4.910 5 20 HJBD Cc1ccc(NC(=O)N(C)[C@H]2CCOc3ccc(Br)cc32)cc1[N+](=O)[O-] 446018681 FHHBHFMRCNTPSD-HNNXBMFYSA-N 420.263 4.653 5 20 HJBD C[C@@H]1CN(c2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])C[C@H](c2ccsc2)O1 447674613 FIJQORKMZMARSI-NOZJJQNGSA-N 423.363 4.672 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cn1)C(=O)Nc1ccc(Cl)cc1C(F)(F)F 448039609 SCOOQFNXOQYAQQ-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](CC)c1ncc(-c2ccccc2)[nH]1 462923273 ADTJCPYDVZMFRH-PXNSSMCTSA-N 406.486 4.821 5 20 HJBD CC(C)(CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(Cl)cc1 463194076 UKMXUMLYLUSOGV-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD COc1cc(C(=O)N[C@@H]2C[C@H](C(C)C)c3ccccc32)c([N+](=O)[O-])cc1OC(F)F 469093875 UWSDRADKFNKPRI-GDBMZVCRSA-N 420.412 4.819 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@H]1c1ccccc1C(F)(F)F 470954024 VMTIDJRDKTWMLP-DIFFPNOSSA-N 400.784 4.575 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)C1CCOCC1 475408048 HCVCTWIGMJTCHB-CYBMUJFWSA-N 420.918 4.944 5 20 HJBD CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 479789493 RBLMHRSCMHPTRM-QFYYESIMSA-N 416.783 4.773 5 20 HJBD CSc1cccc(C(=O)N[C@@H](C)CCc2ccc(OC(C)C)cc2)c1[N+](=O)[O-] 485744234 OMYQKEAOVCHIDQ-HNNXBMFYSA-N 402.516 4.855 5 20 HJBD COc1cc(C(=O)N2CCSc3ccc(C(F)(F)F)cc32)cc([N+](=O)[O-])c1C 485759303 YIOHDAMDFQCWCZ-UHFFFAOYSA-N 412.389 4.683 5 20 HJBD C[C@H](NC(=O)c1sc(Br)cc1[N+](=O)[O-])c1c(F)cccc1Cl 486202653 IXQRBMMTCAVCKS-LURJTMIESA-N 407.648 4.702 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1C(=O)N(C)C(C)C 489097259 GAAAFQYFYASUEQ-UHFFFAOYSA-N 424.501 4.580 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@@H]1c1cccc(C(F)(F)F)c1 491998245 JNILMSGWQGTANO-CXAGYDPISA-N 400.784 4.575 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494134374 FKJWUCHBZQJIOS-UHFFFAOYSA-N 423.498 4.699 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@H]2CCOC2)c1 494495953 TVHABLPIIAACHH-LBPRGKRZSA-N 422.890 4.638 5 20 HJBD CN(C)Cc1nc(NCc2ccccc2[N+](=O)[O-])c2c(-c3ccccc3)csc2n1 498662704 LUVXBQHASVGAIS-UHFFFAOYSA-N 419.510 4.940 5 20 HJBD O=C(CCCn1c(=O)oc2cc([N+](=O)[O-])ccc21)Nc1cccc(-c2cccs2)c1 505859932 DIVUJAQCZXJKSF-UHFFFAOYSA-N 423.450 4.650 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](C)C2)ccc1NCc1c(F)cc([N+](=O)[O-])cc1F 506299792 XJEOKLMODIZLQW-ZDUSSCGKSA-N 403.429 4.666 5 20 HJBD CC(C)c1ccc(CN(C)CC(=O)Nc2ccccc2Br)cc1[N+](=O)[O-] 506766533 KKKKWAYPNZIBSI-UHFFFAOYSA-N 420.307 4.551 5 20 HJBD Cc1ccc(C)n1C1CCN(C(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)CC1 512598526 VGWDVHZXVREQJC-UHFFFAOYSA-N 424.545 4.727 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccccc2O[C@H](C)C(F)(F)F)c1 516681192 GTEJOOSDGLUWRA-SNVBAGLBSA-N 400.378 4.899 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)cc1NC(=O)C(C)(C)C 518401049 YFVLPLNOMDDUQD-UHFFFAOYSA-N 401.488 4.619 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(Cc1cc(F)ccc1F)c1ccccc1 523936279 ZIIDQRPQANSVAW-UHFFFAOYSA-N 418.421 4.577 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1NC(=O)c1ccccc1 524554379 WFADSPLFRVWMKL-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2cccc(C)c2)cc([N+](=O)[O-])c1OC 525006622 YNTIZLAXDHMWAA-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN(Cc3cccs3)C[C@H]3CCCO3)o2)c1 532911947 JLHVQWFVDOYPFO-GOSISDBHSA-N 413.499 4.535 5 20 HJBD CC[C@H](c1ccc(F)cc1F)[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534795800 OYHOQCWKERELKS-WRHNGFHOSA-N 406.410 4.928 5 20 HJBD CC(C)c1c(C(=O)NCCc2cccc([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 536243265 IGSNSQBWXDRPRV-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD NC(=O)CCC1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 536659914 MRNQTFWRYVJMHC-UHFFFAOYSA-N 417.893 4.518 5 20 HJBD COc1ccc(CN[C@@H]2CCc3c(Br)cc(Cl)cc32)cc1[N+](=O)[O-] 537972842 FCOWFMMZRGWGJY-OAHLLOKOSA-N 411.683 4.796 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H]2[C@H](CCCN2C2CC2)C1 538588382 GQCBPBYGCMMFQZ-UZLBHIALSA-N 417.575 4.576 5 20 HJBD CN(CCC1CCN(c2ccncc2)CC1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 538890740 RVKXSUXTOMMBEH-UHFFFAOYSA-N 424.526 4.583 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCCc3c([nH]c4c(F)cccc34)C2)cc1[N+](=O)[O-] 541390561 HLNJIPVQHRRUAP-CQSZACIVSA-N 410.449 4.743 5 20 HJBD Cc1sc(CCNC(=O)Nc2ccc([N+](=O)[O-])cc2F)nc1-c1ccccc1 541787048 GLOYYEJSQRDTDT-UHFFFAOYSA-N 400.435 4.530 5 20 HJBD COc1cccc([C@@H]2[C@H](c3ccccc3)CCN2C(=O)Cc2ccc([N+](=O)[O-])cc2)c1 543305590 RAPINCMEUDNEFO-UKILVPOCSA-N 416.477 4.903 5 20 HJBD COc1cccc2c(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c(C)cnc12 551312138 RIGJBFBIMSHHSS-LBPRGKRZSA-N 415.833 4.519 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncc(-c2ccccn2)s1 552761866 KBTNQIZKZTWPGO-UHFFFAOYSA-N 400.485 4.868 5 20 HJBD CN(CCc1nc(-c2ccccc2)no1)C(=O)c1cc2ccccc2c2cccnc12 561221142 VTYZUKHCSXKUTO-UHFFFAOYSA-N 408.461 4.753 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1)[C@@H]1C[C@@H]1C 573716203 RSIHATFELMJGOG-KPZWWZAWSA-N 409.467 4.823 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1cccc(OCc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 574350757 UWPIGQPIBNPHRN-DLBZAZTESA-N 405.454 4.550 5 20 HJBD Cc1ccccc1-c1noc(C)c1-c1nc(CCNc2ccccc2[N+](=O)[O-])no1 575784404 NVJAPAXMCBPQBY-UHFFFAOYSA-N 405.414 4.571 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN(CCc3ccccn3)Cc3ccncc3)o2)c1 582753077 OVFZROMQINSHJN-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD Cc1cc(Br)ccc1NC(=O)CNc1ccc(C(F)F)cc1[N+](=O)[O-] 589102845 CFYOIRVETYPANS-UHFFFAOYSA-N 414.206 4.654 5 20 HJBD COc1ccc(CSCC(=O)N2CCC[C@H]2c2ccc(C)cc2)cc1[N+](=O)[O-] 603567879 YWHUICSDSVDXMM-SFHVURJKSA-N 400.500 4.509 5 20 HJBD Cc1ccc(N(Cc2cccs2)S(=O)(=O)c2ccc([N+](=O)[O-])cc2C)cc1 604119028 MOMDDCBIYODZRZ-UHFFFAOYSA-N 402.497 4.669 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@H]3c4ccccc4C[C@H]3O)cc2[N+](=O)[O-])cc1 608863976 FFCGKLCFGRKAKV-GGAORHGYSA-N 406.507 4.802 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 609046991 OCSKDRKBPMCETI-OAHLLOKOSA-N 407.373 4.574 5 20 HJBD COc1cccc(C2(CNC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CCCC2)c1 609376231 BZYBFHRICVNTHY-INIZCTEOSA-N 414.527 4.712 5 20 HJBD Cc1c(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 609726862 CSLXRSSNOKMFLR-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(=O)N3C[C@H](C)C[C@@H](C)C3)ccc2C)c1 610224724 JFWOYKYILPGWLH-HZPDHXFCSA-N 409.486 4.582 5 20 HJBD O=C1CCCCN1Cc1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 610910573 ZGRMHRPEGQXXHL-UHFFFAOYSA-N 422.510 4.843 5 20 HJBD O=C(O)[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1cc(F)ccc1F 617345406 BAGUDPVJALMNNE-SFHVURJKSA-N 404.394 4.517 5 20 HJBD C[C@H](OC(=O)c1c(Cl)cccc1[N+](=O)[O-])C(=O)Nc1ccc(C(C)(C)C)cc1 730644610 PAFVEMKOUSEHOS-LBPRGKRZSA-N 404.850 4.730 5 20 HJBD CN(C)C(=O)Sc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 731417408 YUIAZLWQFBXJRL-UHFFFAOYSA-N 401.469 4.836 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)OCc3ccc([N+](=O)[O-])cc3)ccc21 734389100 NLVYFIFFUVKKNE-UHFFFAOYSA-N 407.348 4.901 5 20 HJBD Nc1c(Cl)c(Cl)nc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1Cl 734880979 IYTVLCCTGGPPBH-UHFFFAOYSA-N 411.028 4.543 5 20 HJBD CCc1cnc(COC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 744501531 FBISCYKRQSALSO-UHFFFAOYSA-N 402.790 4.948 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1cccc(F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745587451 ZDICCOUYVFDWAA-OLZOCXBDSA-N 422.840 4.526 5 20 HJBD COc1c(Br)cc(Cl)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 760322489 NKBZVOHTYLSFOK-UHFFFAOYSA-N 417.618 4.719 5 20 HJBD CC[C@H](OC(=O)c1c(F)ccc([N+](=O)[O-])c1F)c1ccc(Br)cc1 761190195 CACTYMHZTTZHKO-ZDUSSCGKSA-N 400.175 4.944 5 20 HJBD C[C@@H](C[C@@H](O)c1ccco1)NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 761862703 FSLVQLHTTDCKNY-IFXJQAMLSA-N 412.467 4.581 5 20 HJBD CC(C)(C)OC(=O)NCc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1 777838554 MENHFNFJRRNZTL-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)C1 779161576 ISZXGTOUOSGYII-WBVHZDCISA-N 415.490 4.590 5 20 HJBD CSC1(C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)CCC1 781867347 RFTLZFBLVDAAKH-UHFFFAOYSA-N 411.435 4.559 5 20 HJBD C[C@H](c1cccc(N2CCOC2=O)c1)N(C)c1ccc([N+](=O)[O-])c(-c2ccccc2)n1 788568057 DPCYDBDJFINXGV-MRXNPFEDSA-N 418.453 4.811 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)C(=O)c1ccccc1C(F)(F)F 789078997 OHIGCNHOMPBBCH-LLVKDONJSA-N 418.327 4.590 5 20 HJBD C[C@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 790556033 APSWQJRCIAZIAT-ZDUSSCGKSA-N 413.836 4.869 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC3CCN(c4ccc([N+](=O)[O-])cc4)CC3)n2)cc1 792181154 PDVPJHPFEMLFCB-MRXNPFEDSA-N 421.501 4.527 5 20 HJBD CC(C)c1ccc(-c2nc(-c3ccccc3N3CCCOC3=O)no2)cc1[N+](=O)[O-] 809492018 UCTMCUZLILLMEA-UHFFFAOYSA-N 408.414 4.782 5 20 HJBD O=C(NCc1cccc(OCc2ccccn2)c1)Nc1cccc([N+](=O)[O-])c1Cl 809918392 UFIYNHSGJHDMJE-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813242820 WHISKOBSJGQLQE-UHFFFAOYSA-N 405.241 4.707 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@@H](C)c1cccc(C(F)(F)F)c1 914512143 JQZXMGJZUUOUJI-NSHDSACASA-N 411.336 4.745 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)ccc1F 1116596034 KDBPZNJFJBJMLN-UHFFFAOYSA-N 411.336 4.611 5 20 HJBD CCS(=O)(=O)c1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1116837835 NCNIVWLYIAHVNF-UHFFFAOYSA-N 420.874 4.914 5 20 HJBD O=C(c1ccc(CSc2ccc(Br)cc2[N+](=O)[O-])cc1)N1CCCC1 1319059165 ZDJHCYBQQNZDSZ-UHFFFAOYSA-N 421.316 4.886 5 20 HJBD CCN(Cc1ccccc1F)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 1325944353 VTDFHZNZWVAPAC-UHFFFAOYSA-N 410.395 4.761 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)[nH]1)Nc1ccc(Cl)c([N+](=O)[O-])c1 17749276 JSSIRLMATYNROU-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD C[C@@H](Sc1nc2cc3ccccc3cc2c(=O)n1C)C(=O)Nc1ccc(Cl)cc1 22253807 FPBDUYFVQSRSCS-CYBMUJFWSA-N 423.925 4.859 5 20 HJBD CCn1c(SCc2noc(-c3cccs3)n2)nc2cc3ccccc3cc2c1=O 30510134 UXACTRBGJQLSEH-UHFFFAOYSA-N 420.519 4.973 5 20 HJBD O=C(Nc1cccc(CN2CCCCC2=O)c1)c1cc2cc([N+](=O)[O-])ccc2s1 50474626 WNGYNJQKBTYEPM-UHFFFAOYSA-N 409.467 4.574 5 20 HJBD COc1cccc([C@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50556337 BOIVKAHEUWBINM-LJQANCHMSA-N 409.467 4.704 5 20 HJBD C[C@@H]1CCC[C@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 71861590 GKLBBGUYBYYKMG-CJNGLKHVSA-N 413.440 4.525 5 20 HJBD O=C(c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1)N(CC(F)F)C1CC1 303350183 YCOOZAKAWPHAOB-UHFFFAOYSA-N 409.820 4.730 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 303558956 XDFBNHWOICPOCK-QWHCGFSZSA-N 412.433 4.842 5 20 HJBD C[C@H](NC(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 429267103 ZOGSAVZGALYPMJ-LBPRGKRZSA-N 410.301 4.604 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1ccc(Br)cc1[N+](=O)[O-] 430621467 JXRGBBFXRUPQOJ-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD COc1cc(C2(C(=O)Nc3cc(Br)cc([N+](=O)[O-])c3C)CC2)ccc1C 431223493 JPPHWPXDMUFCMJ-UHFFFAOYSA-N 419.275 4.653 5 20 HJBD Cn1c(SCc2nc(-c3ccccc3F)no2)nc2cc3ccccc3cc2c1=O 436055725 RTVMQLLSVAMACX-UHFFFAOYSA-N 418.453 4.568 5 20 HJBD COc1cc(Cl)c(C)cc1NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437593019 SVXSROBTPCOSTK-UHFFFAOYSA-N 419.865 4.641 5 20 HJBD CC(C)Cc1noc(-c2cc(Cl)ccc2NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 439657007 XTXAIJBJOBWYIC-UHFFFAOYSA-N 414.849 4.678 5 20 HJBD C[C@H](Sc1ccc(Br)cc1[N+](=O)[O-])c1ccc(S(C)(=O)=O)cc1 442910658 XAMFEOPINBJZBB-JTQLQIEISA-N 416.318 4.614 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 443867206 IMCHGZMYIYVSKZ-KYJSFNMBSA-N 416.440 4.860 5 20 HJBD CCO[C@@H]1C[C@@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C12CCCCC2 444086554 XNHBBKHKORNZGY-FGZHOGPDSA-N 404.510 4.539 5 20 HJBD CCC(CC)[C@H]1C[C@H](NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CCO1 444293236 UILRNJOMIWXAQZ-FOIQADDNSA-N 410.489 4.534 5 20 HJBD O=C(N[C@H]1CCCc2sccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444324107 LVQYCFNFHDTNHN-KRWDZBQOSA-N 410.445 4.828 5 20 HJBD CCOc1cc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)cc(OCC)c1OCC 445482121 RBPUBIXDGQPCLR-UHFFFAOYSA-N 405.432 4.569 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N(CCN(C)C)Cc1cccc(C(F)(F)F)c1 446480449 AJBRKXOXPSAYNR-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD CCC[C@H](C)N(C(=O)c1cc(C)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 460124255 JHZWCHASAZKSII-ZDUSSCGKSA-N 419.503 4.680 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](c2ccccc2)c2ccccn2)nc1OCc1ccccc1 462435821 JLZBNAFRLUQAHW-QHCPKHFHSA-N 412.449 5.165 5 20 HJBD CC[C@H](C)C[C@H](C)NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 463903404 FSYXAMDZLFTHGP-KBPBESRZSA-N 415.456 4.771 5 20 HJBD Cc1c(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 464165311 CVVPWDIIMQBVSL-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2cc(Cl)ccc2O1 464648992 HWUZQDQAZZJIJH-HNNXBMFYSA-N 418.880 4.622 5 20 HJBD Cc1cc(C(F)(F)F)ncc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 464655627 IPJISWOUUMQUSG-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)NCCc1ccccc1[N+](=O)[O-] 466137541 HVKYBQHAVXVQIV-UHFFFAOYSA-N 418.453 4.520 5 20 HJBD Cc1nc(N2CCc3c(cccc3NC(=O)Nc3ccc(F)cc3)C2)ccc1[N+](=O)[O-] 471059250 LGACZAWKQJPIQS-UHFFFAOYSA-N 421.432 4.644 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN(CCC(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 471155822 FFNAOTGYEYJMGZ-UHFFFAOYSA-N 418.497 4.811 5 20 HJBD CCCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@@H](c1ccc(F)cc1)c1cccnc1 475763844 ZKKKUPAALLKSSY-NRFANRHFSA-N 423.444 4.779 5 20 HJBD Cc1cc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3OC(F)F)n2)ccc1F 483659433 KXCWBBVIPAOBON-LLVKDONJSA-N 422.363 4.545 5 20 HJBD O=C(CC1(CCc2ccccc2)CCCC1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 487037164 KRLHRJNDDACPSP-UHFFFAOYSA-N 410.518 4.538 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C)CSc1ccccc1OC 487508646 LJGDHGOANXDIPE-CQSZACIVSA-N 420.556 4.874 5 20 HJBD O=C(N[C@@H](c1ccccc1OC(F)F)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 493325342 XTPUBHSCFJEQSN-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN[C@H]3CCO[C@H]3c3ccc(Cl)cc3)o2)c1 494493120 HUKLWHDBYGSBGI-RXVVDRJESA-N 413.861 4.566 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CC=C(c2cccc3ccccc23)C1 501602067 XKZWZPDRLHXOHY-UHFFFAOYSA-N 411.417 4.541 5 20 HJBD O=C(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)Nc1ccc(Cl)c(C(F)(F)F)c1 505590151 KEUHHBOHRHRNSN-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnc(Sc2ccccn2)c1 506513858 BALVHVWAYZURKU-UHFFFAOYSA-N 423.498 4.979 5 20 HJBD CN(C)C(=O)CCCNCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1 507956615 RIIZDNHURROHRX-UHFFFAOYSA-N 413.518 4.643 5 20 HJBD O=C(CSc1cccc([N+](=O)[O-])c1)N1CCc2sccc2[C@@H]1c1ccccc1 509650863 RNUIPLPECRIKIB-NRFANRHFSA-N 410.520 4.923 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cc(C)no2)ccc1OCc1ccc([N+](=O)[O-])cc1 509829132 WLKKJJFNSVZTPC-HXUWFJFHSA-N 423.469 4.816 5 20 HJBD CC(C)CN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CC1 514900091 BVQZCZUEFKVCES-UHFFFAOYSA-N 418.497 4.637 5 20 HJBD C[C@H](NC(=O)NCc1ccccc1CN1CCCC[C@@H]1C)c1cccc([N+](=O)[O-])c1 515510797 SDGABMOFXDDJNC-ROUUACIJSA-N 410.518 4.530 5 20 HJBD C[C@H](NC1(CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CCCC1)c1ccccc1 520987896 PJEJJZYMFWGEGT-INIZCTEOSA-N 408.502 4.555 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 521122538 SXXJSLFLBAUZFW-OAHLLOKOSA-N 414.531 4.904 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccccc3)Cc3ccccc3F)o2)cc1 521193797 SNJRGGNZZIGUSI-UHFFFAOYSA-N 418.428 4.986 5 20 HJBD Cc1cccc2c1CC[C@H]2NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 521480475 GORCZIUPGWRPPZ-HRAATJIYSA-N 416.481 4.889 5 20 HJBD O=C(NC[C@@H]1CCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 521701969 NUIGIASNVCOMGE-MBSDFSHPSA-N 418.449 4.895 5 20 HJBD CC(C)(C)OC(=O)Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 524746917 RVGKVOLLGUBMSA-UHFFFAOYSA-N 412.467 4.946 5 20 HJBD CC1(C)[C@H]2OCC[C@H]2[C@H]1NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 525466787 LAGVVSDOGREYID-IPELMVKDSA-N 416.861 4.584 5 20 HJBD Cc1ccccc1[C@H]1CN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])CCO1 525705731 PEYAMDXDVBBBCW-HSZRJFAPSA-N 402.450 4.712 5 20 HJBD O=C(Nc1nccn1Cc1ccccc1Cl)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 533471934 SOYQGLJVZANMEK-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 537464539 TXVVYRSBOBLQAO-LZQZEXGQSA-N 404.532 4.565 5 20 HJBD Cc1nc2ccc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)cc2nc1C 539356476 GMCYTMXAKBGUAJ-UHFFFAOYSA-N 419.466 4.555 5 20 HJBD COc1ccc(C[C@@H](NCc2cccc([N+](=O)[O-])c2C)c2ccc(OC)cc2)cc1 540341853 ZLPKPNIZWRDLLK-HSZRJFAPSA-N 406.482 4.994 5 20 HJBD CN(C(=O)CCc1ccc2[nH]ccc2c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540610477 AMYJVIHAZZWJRQ-UHFFFAOYSA-N 406.467 4.795 5 20 HJBD CC1(C)C[C@@H](NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)c2ccc(F)cc2O1 547257550 MCXSRTVKBITSMY-QGZVFWFLSA-N 404.413 4.569 5 20 HJBD CCc1nc2cc(CNC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)ccc2n1C1CC1 549225795 FGEWQLZXHUFMBE-OAHLLOKOSA-N 421.501 4.711 5 20 HJBD CC(C)n1c([C@@H]2CCCN2c2ncnc3sc([N+](=O)[O-])cc23)nc2ccccc21 553111875 ZTUIHAFFXWAIHL-INIZCTEOSA-N 408.487 4.872 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@@H](C)c3cc([N+](=O)[O-])ccc3C)c2)ccn1 562343051 ZWQMOWGLCWQYHG-HNNXBMFYSA-N 406.442 4.623 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(-c3cc(F)cc(Br)c3)no2)c1 577717678 GMTMHUOMJIZCQT-UHFFFAOYSA-N 417.194 4.549 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(-c3ccccn3)cc2)cc1[N+](=O)[O-] 580015362 DYQULAHHOGKTMB-UHFFFAOYSA-N 409.467 4.537 5 20 HJBD COc1ccc([C@H](Nc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])c2ccccc2)cc1 589797571 PBVWEPHPUVSNNO-HSZRJFAPSA-N 419.481 4.943 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3ccc(OCC(F)(F)F)cc3)c1)OCOC2 604069435 IVEFINDDFRAIHO-UHFFFAOYSA-N 415.389 4.836 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@@H]3c3ccc[nH]3)cc2[N+](=O)[O-])n1 604076757 QZUHNIBEOAMFHH-OAHLLOKOSA-N 414.512 4.816 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604270594 ANBVJJNKKZEBHH-HTQZYQBOSA-N 421.135 4.924 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccc(Br)cc1 609193689 VAMRLXQQKSMYSG-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1occc1C 609583303 VQSLNTRFNPGKOC-UHFFFAOYSA-N 400.412 4.654 5 20 HJBD CCN(C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])[C@H](C)c1cccc(OC)c1 609722892 CXQQKYCPSHSFTH-CQSZACIVSA-N 406.866 4.635 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1C[C@@H](c2ccc(F)cc2)C[C@H]1C 609909451 JPVLQPLOSQDZNG-IPYPFGDCSA-N 406.841 4.559 5 20 HJBD CN1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c2ccccc21 609974247 XPOJLQJANIUVFX-UHFFFAOYSA-N 416.481 4.694 5 20 HJBD Cc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1OC1CCOCC1 609980701 WEILMWCVOQBGDN-UHFFFAOYSA-N 412.467 4.928 5 20 HJBD CC(C)(C(=O)N(Cc1cccc(Br)c1)C1CC1)c1ccc([N+](=O)[O-])cc1 610039256 AFPOEKHTUYCVPC-UHFFFAOYSA-N 417.303 4.826 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NC2(c3ccc(Br)cc3)CCCC2)c1 610224839 XLRQTVOUWYPKNI-UHFFFAOYSA-N 403.276 4.865 5 20 HJBD COc1ccc(CSCC(=O)O[C@@H](C)c2cnc3ccccc3c2)cc1[N+](=O)[O-] 726333580 YXRXUEJJXKTUSL-AWEZNQCLSA-N 412.467 4.689 5 20 HJBD C[C@H](c1ccccc1)c1ccc(OS(=O)(=O)c2cccc(F)c2[N+](=O)[O-])cc1 728938231 DCOHYSSIUIGNOF-CQSZACIVSA-N 401.415 4.653 5 20 HJBD C[C@@H]1SCCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)[C@H]1c1ccccc1 735646632 YSUDDBHMZIIQSB-YVEFUNNKSA-N 421.316 4.676 5 20 HJBD CN1CCC[C@H](COC(=O)c2cccc([N+](=O)[O-])c2)[C@H]1c1ccc(C(F)(F)F)cc1 735909053 TYCJJAFMIDWHHE-VQIMIIECSA-N 422.403 4.854 5 20 HJBD CS[C@@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 739475270 ORSNOLCVYKLHHB-HXUWFJFHSA-N 422.462 4.857 5 20 HJBD Cc1cccc([C@H]2CCCN2c2ccc(S(=O)(=O)C(F)F)cc2[N+](=O)[O-])c1C 742412782 QTUIRVIWXZUODW-MRXNPFEDSA-N 410.442 4.549 5 20 HJBD Cc1cc(C(=O)OCc2ncc(-c3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 744485208 STNBXABNMLUNEQ-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD C[C@@H](C[C@H](O)c1cccs1)Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-] 751943842 YQXBORJDZUZHRF-UFBFGSQYSA-N 405.354 4.507 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c2c1N(C(=O)c1ccc([N+](=O)[O-])cc1)CCC2 753719565 JUJHJTCDFDTOBB-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)c1nnc(-c2ccc(Cl)cc2Cl)o1 757981011 IABKXBHDRDMQBV-VIFPVBQESA-N 408.197 4.870 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1ccc(Cl)c([N+](=O)[O-])c1 760835746 IVFJHPQODBEOPG-GOSISDBHSA-N 402.237 4.816 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)c2cccc([N+](=O)[O-])c2Br)c1 760869571 ZDRGLSKWZNEEAB-PXAZEXFGSA-N 419.275 4.589 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(Cc2nc(Cc3ccccc3[N+](=O)[O-])no2)cc1 761344830 PGMINOLLKFZCOX-UHFFFAOYSA-N 410.430 4.506 5 20 HJBD CC(C)(C)OC(=O)N1CCC(N(Cc2ccc(Cl)c([N+](=O)[O-])c2)C2CC2)CC1 768541635 JNUHPDIKCJVVSB-UHFFFAOYSA-N 409.914 4.612 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)OCC(=O)Nc1c(Cl)cccc1Cl 769887847 MNYAAQTVUYBGLR-UHFFFAOYSA-N 411.241 4.622 5 20 HJBD C[C@@H](OC(=O)Cc1cccc(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 772112121 DGARKJNDYMALPI-CQSZACIVSA-N 400.431 4.789 5 20 HJBD CN(C(=O)c1csc(-c2ccccn2)n1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496077 QSULKQZBUXFAKH-UHFFFAOYSA-N 423.479 4.513 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 786345579 QRTMJYDBWNZAFY-KYNGSXCRSA-N 402.447 4.667 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC[C@H](O)c2ccc([N+](=O)[O-])cc2)c(Cl)c1 790140716 VLOQFXIYETWMGI-INIZCTEOSA-N 408.838 4.708 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CSCc3c(F)cc([N+](=O)[O-])cc3F)co2)cc1 795375136 GGULPAPOJKOTAI-UHFFFAOYSA-N 407.354 4.870 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)COc1ccccc1OCc1ccccc1 800152212 WUAGLTKHVBFSGV-UHFFFAOYSA-N 410.401 4.639 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)OCc2cccnc2C)cc1[N+](=O)[O-] 810887884 JNZQIXUIXISXMA-UHFFFAOYSA-N 422.462 4.608 5 20 HJBD CC(C)c1ncc(Cl)c(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 811215409 AOAZBVHFNYDPHQ-UHFFFAOYSA-N 418.862 4.642 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1nn(-c2ccccc2)c2c1CCC2 811474976 GJTCOJOVMQIROV-UHFFFAOYSA-N 417.296 4.866 5 20 HJBD Cc1ccc([C@@H](O)c2ccccn2)cc1NCc1cc([N+](=O)[O-])ccc1OC(F)F 812847198 YOTTZYHAJMIMJA-HXUWFJFHSA-N 415.396 4.593 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(Br)cc2cccnc12 813243752 WRWYZRRDKXGKRM-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD COc1cc(COC(=O)c2cccc(C)c2[N+](=O)[O-])cc(Cl)c1OC(F)F 892103767 LZXQVJGQNKTJPP-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD O=[N+]([O-])c1cc2c(c(CSCc3c(F)c(F)c(F)c(F)c3F)c1)OCOC2 916086831 BJVIAYKEVIOFID-UHFFFAOYSA-N 407.316 4.590 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)CC2)cc1 920212967 JJHWIARXTZGXDB-UHFFFAOYSA-N 415.921 4.945 5 20 HJBD COc1ccccc1SCc1nnc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)o1 1117239465 HJQXNMJPQBSCFT-UHFFFAOYSA-N 412.471 4.546 5 20 HJBD CCCc1c(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cnn1-c1ccccc1C 1320761280 SAFNIEJPYKJJTC-UHFFFAOYSA-N 405.458 4.589 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(-c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2)o1 1345507499 ADUIZRUVEHKWRB-UHFFFAOYSA-N 418.793 4.526 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(C2(NC(=O)c3cccc(F)c3[N+](=O)[O-])CCC2)cc1 1787703928 GWJNPWBXWIQKJC-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5852993 BGIMVJNKBSOTJW-ZDUSSCGKSA-N 404.850 4.864 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC(F)F)c(Cl)c1 7399373 TXUFNKWABXFINW-VIFPVBQESA-N 402.806 4.969 5 20 HJBD CCCCNc1ccccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 8487749 PYECNBCAOUUHMV-CQSZACIVSA-N 410.430 4.775 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1ccc(Br)c([N+](=O)[O-])c1 16722620 MRBZXJMWSGKAEN-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD Cc1ccc(-c2cc(C(=O)OCc3ccccc3[N+](=O)[O-])c3c(C)noc3n2)cc1 22286697 UJRLRPSZVKKUQG-UHFFFAOYSA-N 403.394 4.772 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(Cc1ccco1)Cc1ccco1 50560865 QUOWTGYUKISQKL-UHFFFAOYSA-N 409.423 4.747 5 20 HJBD Cc1n[nH]c(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2)n1 65186873 UAFKTAWDMMADSB-UHFFFAOYSA-N 415.409 4.733 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSc1nnc(-c2ccco2)n1Cc1ccccc1 68680507 KCVLRJZAGKGKCC-UHFFFAOYSA-N 422.466 4.796 5 20 HJBD Cc1cc(NC(=O)c2cc(F)c(Cl)cc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 105530758 GFPGXVIMDDQOEE-UHFFFAOYSA-N 409.204 4.787 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\Sc2nnc(-c3ccncc3)n2Cc2ccccc2)o1 209876572 CCFVDFOKDVKDKY-RAXLEYEMSA-N 405.439 4.653 5 20 HJBD C[C@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1c(F)cccc1Cl 301488256 JFCLYDOTUXPESB-JTQLQIEISA-N 423.231 4.760 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436316240 RJNBJWNQMLEJOX-UHFFFAOYSA-N 421.456 4.799 5 20 HJBD C[C@H](CN(C)C(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 436370080 XBDOTYCIMWSXEN-CQSZACIVSA-N 406.432 4.835 5 20 HJBD O=C(CCc1cc(Br)cs1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437112152 DGOKRSTYIYBUGV-UHFFFAOYSA-N 409.305 4.543 5 20 HJBD CCCCOC1CCN(c2c([N+](=O)[O-])cnc3ccc(Br)cc23)CC1 439715116 CYMXIBGMCBPBAV-UHFFFAOYSA-N 408.296 4.691 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H]1c1nc2ccccc2s1 439936995 XXWAWISHUFAKHL-MRXNPFEDSA-N 412.471 4.972 5 20 HJBD O=C(N[C@H]1CSc2ccccc21)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444037368 MXTMGJHVLNJPQQ-KRWDZBQOSA-N 408.429 4.536 5 20 HJBD COc1cc(Cc2noc(CSc3ccc(Cl)cc3)n2)c([N+](=O)[O-])c(OC)c1 445815798 OVTBOVIFEAZVGQ-UHFFFAOYSA-N 421.862 4.532 5 20 HJBD CCCN(C(=O)NCc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)c1ccccc1OC 467216607 IRJMAGVFQDHJRH-CQSZACIVSA-N 405.882 4.940 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(-n3cccn3)cc2C(F)(F)F)cc1[N+](=O)[O-] 471297373 WRGFLZLEAULXPG-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD COc1c(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)cccc1[N+](=O)[O-] 475118892 MNQILLLQQZEQQD-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)C2CCN(C(C)C)CC2)cc1[N+](=O)[O-] 476181326 ZWYXTHGQIWILHH-UHFFFAOYSA-N 407.580 4.678 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 479615255 DCLRBPPQURMWMC-HNNXBMFYSA-N 412.273 4.764 5 20 HJBD O=C(CSc1ccc(Br)cc1[N+](=O)[O-])Nc1ccc(F)cc1Cl 485299903 RXVFMAKZUSYXEB-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(Sc2ccccc2)ns1 487192186 BUKQAMQWJPOXSB-UHFFFAOYSA-N 418.525 4.962 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCN(c3ccc(Br)cc3)C2)c2ccncc12 488052514 RYVINQYLDAUADS-CQSZACIVSA-N 413.275 4.596 5 20 HJBD COc1ccc2cc(CN(C)C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)ccc2c1 493154298 MQSIMAUBKCXBGF-MRXNPFEDSA-N 407.470 4.968 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N(C)[C@H]2CCN(Cc3ccccc3)[C@@H](C)C2)cc1[N+](=O)[O-] 493312447 YKKDGKHBDCGFEX-IPJJNNNSSA-N 424.545 4.659 5 20 HJBD CCc1noc(C)c1CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 501067134 GAWMBLSSDIUXOU-UHFFFAOYSA-N 403.504 4.666 5 20 HJBD O=C(Nc1cccc2ccsc12)c1ccc([N+](=O)[O-])cc1I 502921451 ZCGYLRJNZNFSTH-UHFFFAOYSA-N 424.219 4.666 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(=O)N[C@@H](C)CC)cc1 504077059 QOEOLRDLDHKAED-AWEZNQCLSA-N 415.515 4.878 5 20 HJBD Cc1c(CC(=O)N[C@H](c2ccccc2C(F)(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 505359372 JJRIZLJVEIVZEV-MRXNPFEDSA-N 420.309 4.884 5 20 HJBD O=C(NC[C@@H]1CCCC(F)(F)C1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 505714749 SKWOSEUXNDGNDN-LLVKDONJSA-N 406.379 4.515 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CSc1nc2ccccc2c(=O)n1C1CC1 511111403 YKPQHRFLNYYFFV-UHFFFAOYSA-N 411.483 4.719 5 20 HJBD C[C@H](N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1)C(=O)Nc1ccccc1[N+](=O)[O-] 511588458 OYTLSWVWVHXREU-KPZWWZAWSA-N 423.391 4.561 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCO[C@@H](c2ccccc2)C1 514074836 VPRAKMGSZWCWFI-JOCHJYFZSA-N 404.422 4.601 5 20 HJBD COc1ccc(Cc2nnc(Sc3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cc1 514186065 HNVFCCCVLYYIHL-UHFFFAOYSA-N 411.361 4.747 5 20 HJBD O=C(N[C@@H](Cc1ccccc1Cl)c1ccccc1)c1cc2c(cc1[N+](=O)[O-])OCO2 520141587 DNRQSUWGCGEKQO-SFHVURJKSA-N 424.840 4.691 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](c3ccccc3)C3CCC3)cc2)c(C)c1[N+](=O)[O-] 521497631 NAQNMRBQJVSQQL-JOCHJYFZSA-N 418.497 4.728 5 20 HJBD CN(Cc1cc(Br)ccc1F)C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 523338000 LRTFDZCDBRKOJN-UHFFFAOYSA-N 407.195 4.515 5 20 HJBD C[C@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ncc(-c2ccccc2)o1 525355310 ZAGVICVPOGUVKX-INIZCTEOSA-N 408.458 4.633 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c2C2CC2)cc1Cl 533606022 FOUIAKKGYHIZFA-UHFFFAOYSA-N 410.861 4.896 5 20 HJBD C[C@H]1CCCC[C@H]1N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534794466 DFZKTXPDVONKFQ-KBXCAEBGSA-N 403.504 4.520 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)c1cccc(OCc2ccccn2)c1 534963811 MTVFYDLHRVFJII-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cccc4c3CN(c3nccs3)C4)co2)cc1 536302807 SFVQBQNJGUNMSF-UHFFFAOYSA-N 419.466 4.839 5 20 HJBD C[C@@H](C(=O)N1CCc2cc([N+](=O)[O-])ccc21)c1cccc(C(=O)c2ccccc2)c1 536381341 GCTNAORMYWTRRJ-MRXNPFEDSA-N 400.434 4.519 5 20 HJBD COC(=O)[C@@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 542261273 HLYCKXUIFFOGSA-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD C[C@@H](O)CN(C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C1CCCCC1 542678380 SJXWEYGCIXQQPC-MRXNPFEDSA-N 413.474 4.935 5 20 HJBD CN(C(=O)c1cccc([N+](=O)[O-])c1)[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 543107892 MZAQGJMBLHVDMM-CQSZACIVSA-N 406.282 4.989 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCSc2ccc(F)cc21 543122713 SDODNOOBFFKTOR-ZDUSSCGKSA-N 412.895 4.833 5 20 HJBD Cc1cc(C)c(C[C@H](c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)C(F)(F)F)c(C)c1 545071197 FXWHGQKGRGDZQO-QGZVFWFLSA-N 420.391 4.777 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3ncc(C(F)(F)F)cc3Cl)no2)c1 547014161 XKVKCENCHLWJOE-PLNGDYQASA-N 410.739 4.806 5 20 HJBD COc1ccccc1[C@H]1C[C@@H](C)CCN1C(=O)CSc1ccc([N+](=O)[O-])cc1 553487724 FNFJJOZJVFTUFP-HNAYVOBHSA-N 400.500 4.695 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cccc(Br)c1 556212295 AHQFLYBJZURIQQ-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD Cc1cccc(C(=O)NCC2(c3ccc(Br)s3)CCC2)c1[N+](=O)[O-] 557073526 YLVVBAWWHASGEH-UHFFFAOYSA-N 409.305 4.579 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H](c3ccccc3)C(C)(C)CO)o2)c([N+](=O)[O-])c1 558408924 KORPBLZRSKOMLS-QFIPXVFZSA-N 410.470 4.713 5 20 HJBD C[C@H](C(=O)N1CCC(c2nc(C(C)(C)C)cs2)CC1)c1cccc([N+](=O)[O-])c1 562399739 WVXLDISZRBSFCX-AWEZNQCLSA-N 401.532 4.859 5 20 HJBD COCCn1ccc2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc21 569150481 VYFUMSXOCVBODD-UHFFFAOYSA-N 419.890 4.580 5 20 HJBD Nc1c([N+](=O)[O-])ccc(N2CCc3nc(-c4ccccc4Cl)sc3C2)c1F 571436605 KUWJLPGWMWFQOQ-UHFFFAOYSA-N 404.854 4.656 5 20 HJBD COc1ccc(COCCCNC(=O)c2cc3ccccc3c3cccnc23)cc1 589367022 LYTDINBFEUDWPU-UHFFFAOYSA-N 400.478 4.733 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](C)c1ccccc1C(F)(F)F 604443654 HKCBLTWBFBNXHJ-QWRGUYRKSA-N 416.783 4.912 5 20 HJBD Cc1cc(C(=O)N2c3ccccc3CC[C@H]2C(=O)Nc2ccccc2)cc([N+](=O)[O-])c1 608872016 JVMWKRNHWGQMTK-QFIPXVFZSA-N 415.449 4.504 5 20 HJBD COc1ccc(C[C@H](Nc2nc3sccn3c2[N+](=O)[O-])c2ccccc2)cc1OC 608974147 UAUFZSJDANGSBU-INIZCTEOSA-N 424.482 4.717 5 20 HJBD Cc1csc(-c2cccc(NC(=O)c3cc(O)nc4ccc([N+](=O)[O-])cc34)c2)n1 609550939 MOYWYQHGHVWODK-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD C[C@@H](c1ccccc1)N1CC[C@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 609599670 NJIGKENWJFLKPV-LPHOPBHVSA-N 408.527 4.643 5 20 HJBD Cc1ccncc1[C@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 611939519 QEIPBZGLTVJVFZ-YCRPNKLZSA-N 424.888 4.982 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)c(F)c1 726594937 PIMZWOHUEMJKQO-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2ccc(CN3CCc4ccccc43)cc2)cc1 726909174 YHIWCLQBDMGGED-UHFFFAOYSA-N 404.495 4.966 5 20 HJBD O=[N+]([O-])c1cc(/C=C(/c2nc3ccccc3[nH]2)S(=O)(=O)c2ccccc2)cs1 728158357 YGKLXMRYKZDDQP-YVLHZVERSA-N 411.464 4.505 5 20 HJBD O=C(Nc1ccccc1)c1cccc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 730643897 VPKXHIDPWQKSJM-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1 735317440 SZLVUYFOWDYICB-MRXNPFEDSA-N 412.870 4.693 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735444233 SZSJXCSTCORGDW-FMIVXFBMSA-N 401.378 4.555 5 20 HJBD C[C@H](OC(=O)/C=C\C1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 735561279 GQERCHDKOVLULC-UMAGTOLTSA-N 414.380 4.620 5 20 HJBD CC(C)CO[C@H]1C[C@@H](OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1(C)C 739297622 QQVUCEKPXHMVDP-FCHUYYIVSA-N 407.510 4.538 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)NCc1cccc(C(F)(F)F)c1)C1CC1 746531969 FXZJVAMDBPRZKC-UHFFFAOYSA-N 409.433 4.653 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750536673 UARMPFKXBXTKOB-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD CC[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)c1ccc(F)cc1F 754978795 VPEJPMFINGAWGW-ZDUSSCGKSA-N 417.181 4.656 5 20 HJBD Cc1cc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)ccc1OC(C)C 755016047 VUDDGTROFFNORA-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD CCN(CCc1ccccn1)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 755130369 KNWLGSHOAPZLQQ-UHFFFAOYSA-N 413.543 4.977 5 20 HJBD Cc1cc(CNC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)ncn1 757231712 SQMFLJDFTYBZHP-UHFFFAOYSA-N 407.473 4.962 5 20 HJBD O=C(Nc1scnc1-c1ccccc1)c1cccc([N+](=O)[O-])c1Br 758183217 VLGOMXNCEHQKSV-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD C[C@@H](C[C@H](O)c1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 762693779 WSFUYWSXPSRZKP-KKSFZXQISA-N 422.506 4.988 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12 762899410 SREGJVKWVUDXNN-AWEZNQCLSA-N 409.442 4.692 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1CNc1ccc(Cc2nnc3n2CCCCC3)cc1 766460354 XHGJLOSXQXNFSK-UHFFFAOYSA-N 411.893 4.769 5 20 HJBD CCn1cc(CC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc21 776136135 VRGBCVUUSVHTBR-DEOSSOPVSA-N 415.449 4.840 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@@H]1SCCc2ccccc21 776220273 KAMFKFKAHBMPED-NHCUHLMSSA-N 406.463 4.653 5 20 HJBD Cc1ccc(C[C@@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2Br)cc1 782122257 FXBLPVAXQIBFBE-HNNXBMFYSA-N 403.276 4.513 5 20 HJBD COc1ccc(OC(=O)[C@H]2CC=CC[C@@H]2c2nc3ccccc3s2)cc1[N+](=O)[O-] 792124095 RGZLGYLVGUAKCV-GJZGRUSLSA-N 410.451 4.869 5 20 HJBD CC(C)Cc1cc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])n(C)n1 799323233 PTNDRJFZKMIBDM-UHFFFAOYSA-N 410.499 4.930 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccc(C(F)(F)F)nc2)cc1SC 803065770 DTYNDPACPZFLDY-SECBINFHSA-N 416.377 4.657 5 20 HJBD O=C(Nc1cccc2c1COC2=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 809382867 NSRMNAOEMPOCQW-UHFFFAOYSA-N 406.419 4.669 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1cc(Cl)ccc1F 856696055 WJFIQQZPOSAJMC-VIFPVBQESA-N 422.821 4.786 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC2)c(-c2nc(-c3ccccc3Br)no2)c1 904962369 APPMICLMKYSBCU-UHFFFAOYSA-N 415.247 4.675 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCC1CCN(Cc2ccccc2)CC1 920134606 DFFBIOOUAZFQAR-QGZVFWFLSA-N 414.527 4.531 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N(C)C3CCCCC3)c(F)c2)c1 920281681 CNVNNPUZLGDSTF-UHFFFAOYSA-N 401.438 4.764 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H]2CCCc3c2cnn3Cc2ccccc2)cc1OC(F)F 1116138287 BZMLQABUBTTZEW-QGZVFWFLSA-N 414.412 4.931 5 20 HJBD Cc1cc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccc(F)cc2n1 1116399612 YLHKVAATALDGGL-UHFFFAOYSA-N 407.357 4.603 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1cccc(C(F)F)c1 1116718425 PUMQVJCNLVICPK-UHFFFAOYSA-N 420.359 4.960 5 20 HJBD C/C=C(/C)C(=O)NC1(c2ccc(NC(=O)Cc3ccc(C)c([N+](=O)[O-])c3)cc2)CCC1 1790525454 XOLFPAIBCSRWQD-XRVIQIRUSA-N 421.497 4.546 5 20 HJBD CC(C)CCC[C@@H](C)NC(=O)CSc1nc2cc3ccccc3cc2c(=O)n1C 7443775 RYGVTJVOPKSCIJ-MRXNPFEDSA-N 411.571 4.510 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H](C)c1nc2ccccc2s1 8347394 JYTLPFGARFQAAQ-OLZOCXBDSA-N 401.513 4.905 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nc3ccccc3n2C(F)F)cccc1[N+](=O)[O-] 28281258 PWVOGPAWTDOYFO-NSHDSACASA-N 406.414 4.767 5 20 HJBD COc1cc([C@@H](C)NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1OC(C)C 31514693 CECXBGJVPXBBOD-HUUCEWRRSA-N 418.515 4.749 5 20 HJBD O=C(Cn1c(=O)oc2ccc([N+](=O)[O-])cc21)c1c(-c2ccccc2)[nH]c2ccccc12 32331993 HPHSLFUMBOBNAE-UHFFFAOYSA-N 413.389 4.534 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cn(-c2ccccc2)nc1-c1cccs1 43770162 HKHZPEKOUCBHMA-UHFFFAOYSA-N 418.478 4.781 5 20 HJBD COCc1ccccc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 55995680 FNNQYPOOWJJYBP-HNNXBMFYSA-N 406.442 4.562 5 20 HJBD O=C(N[C@H](COc1ccccc1F)c1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58384450 LURCIUYMEYSWGR-OAQYLSRUSA-N 419.412 4.765 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](C)c1ccccc1C(F)(F)F 64421421 HKCBLTWBFBNXHJ-GHMZBOCLSA-N 416.783 4.912 5 20 HJBD COc1ccccc1-c1csc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 71892261 DDRGRANPWBOKKT-LBPRGKRZSA-N 415.496 4.846 5 20 HJBD CC(C)c1ccc(-c2nc(Cn3cc(Br)c([N+](=O)[O-])n3)cs2)cc1 116797059 OQBHBZKYPGCNJL-UHFFFAOYSA-N 407.293 4.849 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(Br)c(F)c3)cs2)c1 237859984 BTADENCCUKXUSU-UHFFFAOYSA-N 422.279 4.910 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNc2ccc(C(=O)NC(C)C)cc2[N+](=O)[O-])cc1 301416549 CEYVQRSDGCPZDC-SIKLNZKXSA-N 411.502 4.621 5 20 HJBD Cc1cc(N2CCC[C@@H]2C[C@H](O)c2ccc(F)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301764943 GZBZTBVOCBMMNP-RTWAWAEBSA-N 422.460 4.592 5 20 HJBD Cc1nc(NCc2ccc([C@@H](C)Nc3ccc([N+](=O)[O-])c(C)n3)cc2)ccc1[N+](=O)[O-] 302150625 LUDZXMFGUVESTF-CYBMUJFWSA-N 422.445 4.695 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(Cc2cccs2)n1CCc1ccccc1 302912743 FREBMSFGJSQKLG-UHFFFAOYSA-N 423.523 4.628 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N(CCc1ccc(Cl)cc1)CC1CC1 303685967 TYVBGUGDPRDIQF-UHFFFAOYSA-N 424.888 4.529 5 20 HJBD COc1ccc([C@H](NC(=O)c2csc([N+](=O)[O-])c2)c2ccccc2Cl)cc1 409656346 MKVXVBRUWZGNFF-SFHVURJKSA-N 402.859 4.838 5 20 HJBD C[C@@H](NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1)c1cccc(OC(F)F)c1 426043684 IQYZQTIKMNNTQW-CYBMUJFWSA-N 405.401 4.631 5 20 HJBD O=C(O)[C@@H]1[C@H]2CC[C@@H](C2)[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 426836681 UYZIHHVQQAVNFS-AFHBHXEDSA-N 416.861 4.629 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2c3ccccc3S[C@H](C)[C@H]2C)cc1OC 430660130 MTXCHZGKNLXFJN-CHWSQXEVSA-N 402.472 4.532 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCc2ccccc2[C@@H]1CO 434207979 QAWXNIVIHDMASE-QFIPXVFZSA-N 419.437 4.511 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437122407 GGWQTCNMDIVFNG-ROUUACIJSA-N 404.388 4.908 5 20 HJBD O=C(Nc1ccccc1C(F)(F)F)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 437711075 RNMNRGKRBDLAAS-UHFFFAOYSA-N 409.364 4.689 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Nc1ccc(C(F)(F)F)cc1 438785614 LTSMLIMTOCTFCX-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@H]([C@H]4CCOC4)C3)cc2[N+](=O)[O-])cc1 441539198 ALIYHYLYTORJCS-ROUUACIJSA-N 412.511 4.553 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cc1OC 460553103 PJZIVGVNQYEIFE-UFAGZECESA-N 418.877 4.783 5 20 HJBD O=C(CCc1cccc(Br)c1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 461702409 OHCZRLHPZFPLRZ-GOSISDBHSA-N 421.316 4.564 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1cccc(CSC(C)C)c1C 462169243 LCNWWLDSKVFWMO-UHFFFAOYSA-N 403.504 4.604 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2ccccn2)c1 462442431 UUAASSQZGURVTJ-GOSISDBHSA-N 405.454 4.776 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 463968786 IKQIZFQIWCGAIK-XLIONFOSSA-N 401.438 4.735 5 20 HJBD O=C(N[C@@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1ccc(F)cc1[N+](=O)[O-] 464237450 UNWFNMSBNRXLIC-OAHLLOKOSA-N 415.421 4.804 5 20 HJBD C[C@H](CC(F)(F)F)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 464280246 XAERPQATWYXJQM-LLVKDONJSA-N 415.799 4.931 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1C[C@H]1c1ccccc1OC(F)(F)F 466296321 GIVGBFPQPDFMGK-KBPBESRZSA-N 414.767 4.567 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)c1sc(Br)cc1[N+](=O)[O-] 468691157 VSFSDEVHSRABNP-QWRGUYRKSA-N 422.304 4.660 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2cccc(Cl)c2)C1 469141112 WMSIXUHHUVXIJC-SFHVURJKSA-N 420.918 4.962 5 20 HJBD CC(C)c1c(C(=O)NCCc2ccccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 470193181 DPENXIMAKHLYHD-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD Cc1cccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1Br 470315614 BXIKBBFGMAGGMT-UHFFFAOYSA-N 421.294 4.682 5 20 HJBD Cc1[nH]c2ccccc2c1C1=CCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 471157134 PIYVRZBGVAOQOJ-INIZCTEOSA-N 404.470 4.501 5 20 HJBD C[C@@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 471447614 VCALRUMFQHZVIY-QAPCUYQASA-N 407.495 4.812 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)n2)ccc1F 471581061 MGOIDYOAHCCNHI-JTQLQIEISA-N 419.800 4.628 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccc(Br)o3)no2)c2ccccc12 477172932 WLHNAUHZAIYPKX-UHFFFAOYSA-N 422.238 4.609 5 20 HJBD Cc1c(CNC(=O)N2CCC(c3nc4ccccc4s3)CC2)cccc1[N+](=O)[O-] 480063458 TTWPABZEHWPFFO-UHFFFAOYSA-N 410.499 4.602 5 20 HJBD Cc1ccc([C@@H]2COCCN2C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)o1 480753232 HVHIFNSRNQSBAF-FQEVSTJZSA-N 423.425 4.894 5 20 HJBD Cn1cc(C(=O)Nc2nc([C@H]3C[C@H]4CC[C@H]3C4)cs2)c(-c2ccc([N+](=O)[O-])cc2)n1 482362107 HGSSXWQUVPPDLI-NOLJZWGESA-N 423.498 4.608 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1C 482800141 UBIQJCUCMVFQCN-OAHLLOKOSA-N 407.474 4.935 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 484318590 XYPMDGDMJZNWGS-INIZCTEOSA-N 407.470 4.777 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2ccc(OCc3c(C)noc3C)cc2)cc1[N+](=O)[O-] 488001561 VCICJADYFGDEDY-HNNXBMFYSA-N 423.469 4.507 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(N3CCCCCC3)cc2C)cc1[N+](=O)[O-] 489344354 IPFBDXKGHYDWMK-UHFFFAOYSA-N 412.490 4.614 5 20 HJBD CC[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 500632268 LLNJZPRUCDOFJA-WBMJQRKESA-N 410.442 4.944 5 20 HJBD CN(C(=O)c1ccccc1[N+](=O)[O-])[C@@H]1CCSc2ccc(Br)cc21 504552322 JPMSKHBEOOPBJB-CQSZACIVSA-N 407.289 4.666 5 20 HJBD O=C(Cc1ccc(NCc2c(F)cc([N+](=O)[O-])cc2F)cc1)N1CCc2ccccc21 506283136 YNLIYCHSHYGLQS-UHFFFAOYSA-N 423.419 4.617 5 20 HJBD O=C(NCC1CCC(F)(F)CC1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 506337036 DNNXUDBHZQDAAL-UHFFFAOYSA-N 406.379 4.515 5 20 HJBD Cc1cc(Cl)c(C(=O)N[C@@H](CC(=O)OC(C)C)c2ccccc2[N+](=O)[O-])cc1C 510349216 UPLZYSWQYASHOR-SFHVURJKSA-N 418.877 4.678 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 515511519 JXOYZJDIGGUBGP-UHFFFAOYSA-N 407.257 4.974 5 20 HJBD CS(=O)(=O)c1ccc(NCc2ccccc2Oc2cccc(F)c2)c([N+](=O)[O-])c1 517459667 YMUBJCSYPDGUCT-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD COc1ccc(NC(=O)CCCCOc2ccc(Br)cc2)c([N+](=O)[O-])c1 517475757 DBQWJRIKVKBAKF-UHFFFAOYSA-N 423.263 4.554 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CC[C@@H](C)Sc2ccccc21 518877358 QTRGQUKTQTVJJR-OLZOCXBDSA-N 406.891 4.933 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)o2)c([N+](=O)[O-])c1 520216998 BDRRVZNQOMJUBJ-XZOQPEGZSA-N 408.454 4.873 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCC2 520338193 XVHCAHVOZYQOOT-INIZCTEOSA-N 414.512 4.633 5 20 HJBD O=C(Cc1cccc(OC(F)F)c1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 521677232 NHYUQRDQFLOFBW-UHFFFAOYSA-N 405.382 4.501 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2(c3cccc(F)c3)CC2)cc1[N+](=O)[O-])c1ccccn1 523513306 XFCLGCWHKUDOIM-OAHLLOKOSA-N 420.444 4.721 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)c1ccc(Cl)cc1 525074169 XYKQMSXSDPDKOX-KPZWWZAWSA-N 406.866 4.783 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@@H](Cc1ccccn1)c1ccc(Br)cc1 530394390 FWTDEQQLUPGLLG-IBGZPJMESA-N 412.287 4.826 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC1(c2ccc(F)cc2)CC1 531447800 CDOJLAMMKMIOTD-UHFFFAOYSA-N 414.380 4.650 5 20 HJBD CCN(CC)C(=O)c1cccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 535834127 FBVBWCMMZBWWHO-UHFFFAOYSA-N 410.499 4.812 5 20 HJBD Cc1noc(-c2ccc(C)c(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 537731185 SCTPYSPFGXWDKX-UHFFFAOYSA-N 407.455 4.997 5 20 HJBD Cc1cc(Br)oc1C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538254903 WNFIBGNTLUKVKK-UHFFFAOYSA-N 422.260 4.659 5 20 HJBD CCn1c(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])nc2ccc(Br)cc21 540007736 PRMRIJZDONTKTO-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CN(C(=O)c1ccccc1[N+](=O)[O-])[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 541250853 CMBPIOOLRARRPA-CQSZACIVSA-N 406.282 4.989 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)NCCOc1ccc([N+](=O)[O-])cc1 542717111 WEMLLXZRJBNQBC-UHFFFAOYSA-N 401.463 4.501 5 20 HJBD Cc1cc(Sc2ncccn2)ccc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 543461963 AAPVWQMVQUBLHK-ZDUSSCGKSA-N 412.446 4.726 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(=O)OCC)cnc1C 543714127 FYUHRLNJSUSCKL-UHFFFAOYSA-N 417.487 4.619 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)c(Cl)c1 545780433 VNJROVJUHYGERG-LBPRGKRZSA-N 422.224 4.786 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(C(F)(F)c3ccc(F)cc3)no2)cc(C(F)(F)F)c1 546368597 OFWYQVJXWVEMEF-UHFFFAOYSA-N 403.238 4.943 5 20 HJBD Cc1cc(Cc2noc(COc3ccc(Oc4ccccn4)cc3)n2)ccc1[N+](=O)[O-] 546493092 FOSFVJQNFYPXPJ-UHFFFAOYSA-N 418.409 4.643 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)NCc3ccc([N+](=O)[O-])cc3Cl)s2)cs1 546641125 HSERTNMQWLGXOH-UHFFFAOYSA-N 422.919 4.741 5 20 HJBD CN(C(=O)c1cccc(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1)c1ccccc1 546966416 JOMXDORPLBFYNE-UHFFFAOYSA-N 400.394 4.588 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Cl)cc1 549537124 MBDBQHUHPZAVJB-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD C[C@H](Cc1cncc2ccccc12)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 553981665 ZBIHKPPHZLFJNC-CYBMUJFWSA-N 417.387 4.657 5 20 HJBD O=C(Nc1cc(Cl)ccc1C(F)(F)F)N1CCOc2ccc([N+](=O)[O-])cc2C1 555000731 WNBGPJQJZACKDO-UHFFFAOYSA-N 415.755 4.694 5 20 HJBD Cc1cc(NC[C@H]2CC[C@@H](c3nc(C4CC4)no3)O2)c([N+](=O)[O-])cc1C(F)(F)F 555193717 NCWQGQUJOWNHHG-ABAIWWIYSA-N 412.368 4.515 5 20 HJBD CCc1ccc(-c2nc(CC(=O)N3CCCc4ccc([N+](=O)[O-])cc43)cs2)cc1 579760454 GMSHPGABTYBLHA-UHFFFAOYSA-N 407.495 4.803 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC[C@@H](c2nc(C(F)(F)F)cn2C)C1 584139905 JACJAPGWRUHKCJ-IHWMJMDHSA-N 408.424 4.630 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(O)c3c4c(sc3n2)CCCC4)ccc1N1CCCCC1 603824665 DKLXHQJCJHXXNP-UHFFFAOYSA-N 410.499 4.841 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)[C@@H]1CCCN(c2nc3ccccc3s2)C1 604035649 HPPJPONMHCKFJS-OAHLLOKOSA-N 410.499 4.676 5 20 HJBD O=C(Nc1cc(Cl)ccc1OCC(F)(F)F)c1cc2cccc([N+](=O)[O-])c2[nH]1 604077728 XUYWYEBBJYGDDA-UHFFFAOYSA-N 413.739 4.923 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)Nc1ccc(Br)cc1C(F)(F)F 604475296 BTCOMXPICGTFHQ-UHFFFAOYSA-N 418.169 4.698 5 20 HJBD C[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])C(C)(C)c1ccccc1 609063523 NAHRIGBJHJBKEW-ZDUSSCGKSA-N 410.392 4.632 5 20 HJBD CC(C)CCn1nccc1NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 609128915 QGVRJKXGOGRJJI-MRXNPFEDSA-N 422.489 4.658 5 20 HJBD O=C(Nc1ccccc1)N1CCCCC[C@@H]1C1CCN(c2cccnc2[N+](=O)[O-])CC1 609511932 YSXUPALFPCXZGK-HXUWFJFHSA-N 423.517 4.683 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NCc1ccc(-c2ccccc2)o1 609730229 VRMNYYDAARMVGU-UHFFFAOYSA-N 400.818 4.594 5 20 HJBD CCCC(=O)N1CCCC[C@@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755483 NECIQECKKDRMGR-HXUWFJFHSA-N 411.458 4.507 5 20 HJBD CCNc1ccc(C(=O)N[C@@H](C)c2ccc(-c3csc(C)n3)cc2)cc1[N+](=O)[O-] 611996656 UHOSVFMSLLRQJR-ZDUSSCGKSA-N 410.499 4.950 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC([C@@H]2CCOC2)CC1 612566576 FKCUTROXJBKUKJ-GOSISDBHSA-N 418.559 4.766 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](Cn2cccn2)c2ccccc2)nc1OCc1ccccc1 613181596 KVLHCZBTJGZZBP-FQEVSTJZSA-N 415.453 4.619 5 20 HJBD O=C(Nc1cccnc1Oc1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 618399500 WXVGRVNJHPTCRT-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD C[C@@H](c1cccc(C(F)(F)F)c1)N(C)S(=O)(=O)c1cc(Cl)ccc1[N+](=O)[O-] 725828977 WWMCZDGZZCKASC-JTQLQIEISA-N 422.812 4.649 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1cc([N+](=O)[O-])ccc1N1CCCC1 728554522 VRPKMTYVIWUTRZ-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)CC1 730185069 JWXUNQPDBCRBAV-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)c1cc2ccccc2c2cccnc12 730801257 SORQMEUXULWSLF-QGZVFWFLSA-N 405.498 4.517 5 20 HJBD COCCCOC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 733422224 PMJKIISRDCPQSF-DTQAZKPQSA-N 412.467 4.715 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735368378 OTTQPPHUWSWBFT-UHFFFAOYSA-N 408.370 4.617 5 20 HJBD C[C@H](OC(=O)/C=C/C1CCCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 735561278 GQERCHDKOVLULC-PMDBQALLSA-N 414.380 4.620 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H](O)c2cc(Cl)cc(Cl)c2)o1 735676991 MQFYDCWRTFMUQN-GOSISDBHSA-N 407.253 4.985 5 20 HJBD O=[N+]([O-])c1ccc2[nH]c(/C(Cl)=C\c3cnc4ccc(Br)cn34)nc2c1 740765339 XGMBWHJNXZTEQD-LFYBBSHMSA-N 418.638 4.618 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1N[C@H](CO)Cc1c[nH]c2ccccc12 741750229 FPEUCFZATZLQQY-NSHDSACASA-N 413.783 4.764 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)OCc2nnc(-c3ccc(Cl)cc3Cl)o2)c1 745386802 ZGHJERCNYRPNSY-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1nnc(-c2cccs2)o1 745564405 BLNROAFVNPSDTE-MRVPVSSYSA-N 413.333 4.643 5 20 HJBD CCCc1nc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])nn1-c1ccccc1Cl 749057583 UDWOIIDWONLKRY-CYBMUJFWSA-N 414.849 4.699 5 20 HJBD CN(CCCc1ccccc1)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 750845270 IYLIPQCFLKFSDM-UHFFFAOYSA-N 411.683 4.716 5 20 HJBD Cc1nnc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)n1[C@@H]1CCC[C@@H](C)C1 758170690 ZJXKCDYITVEZGL-DGCLKSJQSA-N 423.926 4.630 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 762047907 NDQPUABOJYHTHR-KDOFPFPSSA-N 418.925 4.876 5 20 HJBD C[C@@H](OC(=O)c1c[nH]c2cccc([N+](=O)[O-])c12)C(=O)Nc1cc(Cl)ccc1Cl 762904413 KPKIUOAEIHTEGT-SECBINFHSA-N 422.224 4.567 5 20 HJBD CC(C)(C)c1ccc(N2CCC(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)CC2)cc1 763464652 QDRGRMOVRWGSGM-UHFFFAOYSA-N 417.456 4.569 5 20 HJBD C[C@H](Oc1cccc2ccccc12)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 766709711 FSTSFENOLSFLLF-HNNXBMFYSA-N 418.405 4.914 5 20 HJBD C[C@@H](C(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 767139439 UJKJOQMLCQPHCR-TZMCWYRMSA-N 410.367 4.872 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H]1c1cc(F)cc(Br)c1 767153714 OKUGBYYOIAQEPG-HNNXBMFYSA-N 411.202 4.613 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1c(-c3c(F)cccc3Cl)noc1C)CC2 774219595 JSHICMXIOIYWBA-UHFFFAOYSA-N 415.808 4.862 5 20 HJBD COc1cc([C@H](C)NCc2cc(F)ccc2[N+](=O)[O-])ccc1OCc1ccncc1 775018898 XMFYLFVMZOZEHK-HNNXBMFYSA-N 411.433 4.567 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(N(C)Cc2ccccn2)c(F)c1 777327153 QNXCPRYSZFMXBT-INIZCTEOSA-N 423.444 4.612 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 777943834 VPFRKBCKPSHUJG-UHFFFAOYSA-N 407.373 4.672 5 20 HJBD O=C(CN[C@@H](Cc1ccccc1)c1ccccc1)Nc1cc(Cl)ccc1[N+](=O)[O-] 780063672 AWFWCMKOYNBCTM-IBGZPJMESA-N 409.873 4.760 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1cccc([N+](=O)[O-])c1Br 782170826 ZIEZTGQBQPDVJA-UHFFFAOYSA-N 409.255 4.591 5 20 HJBD COc1cc([C@@H](C)NCc2ccc([N+](=O)[O-])c(F)c2)ccc1OCc1ccncc1 801526550 BMYIJGKUOWQPFI-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD O=C(OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccccc1C(=O)c1cccs1 803239049 RIZHTORAEJYEMU-UHFFFAOYSA-N 403.362 4.523 5 20 HJBD COCCC[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Cl)cc1 803366429 RURZBSJEDPCXKA-AWEZNQCLSA-N 411.285 4.842 5 20 HJBD CC[C@H](C)[C@H](NC(C)=O)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809363114 KSWJXWUXKSFTGE-DJJJIMSYSA-N 410.430 4.660 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1cccc([N+](=O)[O-])c1Cl 816836590 TWOQBRIUBHJFSY-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD Cc1nc2cc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)ccc2n1-c1ccccc1 897472597 JZPHDYIQTKXBKF-UHFFFAOYSA-N 408.364 4.773 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2F)cc1F 920016462 ABTVDYVDULDHQL-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD Cc1ncncc1C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 1115851232 CCDITBHAHMWTEA-UHFFFAOYSA-N 417.347 4.708 5 20 HJBD CC(C)CC(=O)N1CCC(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)CC1 1319943888 GEXMPJKBMSCVHI-UHFFFAOYSA-N 419.909 4.635 5 20 HJBD CCCOc1ccc(-c2nnc(-c3ccc([N+](=O)[O-])c4cccnc34)o2)cc1OC 1322281964 USAURIYHLAIYRK-UHFFFAOYSA-N 406.398 4.657 5 20 HJBD CCOc1cc(-c2nnc(CCSc3ccc(F)cc3)o2)c([N+](=O)[O-])cc1OC 1322533647 NWOXNAOYIUUIIF-UHFFFAOYSA-N 419.434 4.526 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](c2ccccc2)C2CCOCC2)c(Br)c1 1325792059 YOXDVRQCIOWQRJ-IBGZPJMESA-N 405.292 4.615 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCCOc2ccc(Cl)cc2Cl)o1 1346612483 BSEXGJFKSILGKC-UHFFFAOYSA-N 424.240 4.875 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)cc1[N+](=O)[O-] 5425247 NKLKHPWVZDIVFN-AWEZNQCLSA-N 416.499 4.933 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1occc1COc1ccccc1 10904676 PTKLNGMJTPNNHO-UHFFFAOYSA-N 400.818 4.692 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2cccnc2Oc2cccc(F)c2)c1 43050234 UMYXHJHDPUPPJA-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD C[C@H](Nc1ccc(C(=O)N(Cc2ccccc2)C2CC2)cc1[N+](=O)[O-])c1ccccn1 53298759 NMLRVEPUHLKVFA-KRWDZBQOSA-N 416.481 4.968 5 20 HJBD CCCCN(Cc1ccccc1F)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 63576585 RXEJBXDQJAWSLU-UHFFFAOYSA-N 410.449 4.577 5 20 HJBD Cc1c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cccc1[N+](=O)[O-] 72016727 YBYUGHRUQNOHFN-CQSZACIVSA-N 421.413 4.632 5 20 HJBD COc1ccc(N(Cc2ccc(C(C)C)cc2)C(=O)c2c([N+](=O)[O-])c(C)nn2C)cc1 195642031 ZLUIUBNXKUGVLF-UHFFFAOYSA-N 422.485 4.616 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](c3ccc4c(c3)OCCO4)C3CC3)cs2)c1 238012871 FKFJIRIHWTWJJW-NRFANRHFSA-N 423.494 4.730 5 20 HJBD O=C1CCCN1Cc1ccccc1CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1 238056918 OFSLQHMLSFIBTE-UHFFFAOYSA-N 421.522 4.736 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNc2ccc([N+](=O)[O-])c(C(=O)N3CCCC3)c2)cc1 301468182 YXGAWPCEBNDZLN-AUSIDOKSSA-N 423.513 4.719 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1OC(F)F 302683277 CSNNJWYXBSVSMW-UHFFFAOYSA-N 419.306 4.656 5 20 HJBD COc1cc(CNc2ccc(Cl)cc2[N+](=O)[O-])cc(Br)c1OC 319523509 GBXPPCZWCUJYCP-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD CC(C)Oc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 409838333 IQLLHWWVRMTNAR-OAHLLOKOSA-N 421.457 4.597 5 20 HJBD O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426084331 HITALYAOHJSRBP-UHFFFAOYSA-N 420.347 4.951 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436374515 USXPJFRWKWOFHL-IIBYNOLFSA-N 422.406 4.986 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 437390444 FADWJFMNNYNPNH-CTYIDZIISA-N 412.795 4.872 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 439642557 FGJYWPVQQAHFAJ-CQSZACIVSA-N 411.487 4.554 5 20 HJBD Cc1ccc(Oc2ccccc2)c(NC(=O)C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c1 442431191 ODLZUZLSLIELDC-MRXNPFEDSA-N 419.437 4.511 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N(Cc1ccccn1)c1ccc(F)cc1 443874045 GLTSCRNFNBPZIG-UHFFFAOYSA-N 419.334 4.995 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(Br)s1 444785628 RCAFWROZKWLDAR-NSHDSACASA-N 422.304 4.967 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cnn(-c4ccccc4)c3)no2)cc(C(F)(F)F)c1 445435114 FMFQRBAJEOQMDZ-UHFFFAOYSA-N 401.304 4.516 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H]3CCO[C@H](C4CC4)C3)cc2[N+](=O)[O-])cc1 462191961 ABDITUMAFHEIGF-PXNSSMCTSA-N 412.511 4.742 5 20 HJBD COc1cc(C(=O)N[C@@H]2c3ccccc3CCC[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 462582309 XESKJWNIXJZJQS-BLVKFPJESA-N 420.412 4.648 5 20 HJBD CSCCCN(C)C(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 466752188 HNBDSGDZKSTTFR-UHFFFAOYSA-N 407.923 4.685 5 20 HJBD Cc1c([C@@H](C)Nc2ccc(Cc3nnc4n3CCCCC4)cc2)cccc1[N+](=O)[O-] 467646426 LBIDOWFOLPKXAO-QGZVFWFLSA-N 405.502 4.985 5 20 HJBD COC[C@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 467721970 HXEDJQANCLMTGH-AWEZNQCLSA-N 420.918 4.898 5 20 HJBD COC(=O)[C@H](C)Oc1ccc(/C=C(\c2ccncc2)c2ccc([N+](=O)[O-])cc2)cc1 467929290 ZEYUOPBBBVRYGU-AABCQZNVSA-N 404.422 4.519 5 20 HJBD Cc1ccc(N[C@H]2CCC[C@H]2Cc2ccccc2[N+](=O)[O-])cc1NC(=O)CCN(C)C 468036654 FCQLMLVSBDCFHL-RXVVDRJESA-N 424.545 4.617 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc2c(ccn2CCN(CC)CC)c1 470903639 KSHQUTMDMWWZHK-UHFFFAOYSA-N 424.501 4.542 5 20 HJBD COc1ccc([C@H]2CCN(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)C2)cc1 475209443 DDTJSSUVBASUFM-ZDUSSCGKSA-N 409.364 4.644 5 20 HJBD COc1cc(CN[C@H](C)c2cc3cccc(F)c3o2)c([N+](=O)[O-])cc1OCC(F)F 475700263 QVRKAIMHWLOEQT-LLVKDONJSA-N 424.375 4.983 5 20 HJBD Cc1c(C(=O)Nc2cc(Br)ccc2N2CCCC2)cccc1[N+](=O)[O-] 478251195 MQJWDUMSMDVEBR-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD C[C@@H](N[C@@H](c1ccccc1)c1nccs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 479922503 WMVWOFXHXIIOCD-DYVFJYSZSA-N 407.455 4.542 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(COc2ncc(C)cc2[N+](=O)[O-])cs1 481756668 NPIZZDGLZVQQJH-UHFFFAOYSA-N 412.471 4.581 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c1 482508009 RXYZQQXGVFFTLN-UHFFFAOYSA-N 404.488 4.637 5 20 HJBD C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1[N+](=O)[O-])c1nc(-c2cccc(F)c2)no1 483339371 ITUULTACFGNWKV-DOXZYTNZSA-N 410.449 4.846 5 20 HJBD C[C@H](NCCCOCC1CCOCC1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 484144928 LFSIGHCJYYKMDB-INIZCTEOSA-N 404.532 4.807 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485677152 CVIAOJANLDXNEU-UHFFFAOYSA-N 419.481 4.530 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])ccc1O)c1csc(-c2cc(F)ccc2F)n1 486792734 WANNFSXLRZLDRK-UHFFFAOYSA-N 419.409 4.618 5 20 HJBD CCSc1ccc(Cl)cc1C(=O)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C 489748616 URYCTLSJWSWDMK-UHFFFAOYSA-N 408.863 4.708 5 20 HJBD Cc1cccc(CC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1Cl 489760884 DGVLDQLJYKRTBF-UHFFFAOYSA-N 401.875 4.886 5 20 HJBD O=C(NCc1ccc(-c2ccc3c(c2)CCO3)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 490083699 BUHAQNIHBGPVEP-UHFFFAOYSA-N 408.841 4.780 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496500187 WTSCVWBVCKCINO-HXUWFJFHSA-N 415.371 4.659 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 500323552 LSTAJHHHLJBHQG-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD CSc1ccc(C(=O)N2CCCC[C@H]2c2nc(-c3ccccc3)no2)cc1[N+](=O)[O-] 505346825 YLQUWUKBXITUAC-INIZCTEOSA-N 424.482 4.734 5 20 HJBD Cn1cc(C(=O)Nc2ccc(OC(F)F)c(Cl)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 506115622 YWCIGEYFDUCJKA-UHFFFAOYSA-N 422.775 4.502 5 20 HJBD Cc1cc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c2ncccc2c1 509136698 KDQXPAVJKDGRIK-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD CSc1ccc(C(=O)N[C@@H](CC(F)(F)F)c2ccc(F)cc2)cc1[N+](=O)[O-] 509259232 DUJPFPJHHOLCRU-ZDUSSCGKSA-N 402.369 4.879 5 20 HJBD CC(C)(C)OC(=O)CCc1cccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 509917339 ZGMHKEIUYIDARS-UHFFFAOYSA-N 412.486 4.785 5 20 HJBD O=C(N[C@H](C[C@H]1CCOC1)c1ccccc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 510054936 KIFIURIDSQGMNE-FZKQIMNGSA-N 408.376 4.511 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)N[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F 512315204 QNUMZZBQTBXDFW-YJBOKZPZSA-N 410.367 4.748 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cc(F)c(N4CCOCC4)cc3F)o2)cc1 513048269 YWZHEGBNEOGBAN-UHFFFAOYSA-N 415.396 4.582 5 20 HJBD C[C@H](NC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1)c1ccc(Oc2cccnc2)cc1 514165483 SUUGGSNRCQJJOC-INIZCTEOSA-N 418.453 4.611 5 20 HJBD C[C@@H](N[C@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 515361867 GZCLSFMZSCMOLP-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD Cc1nn(CCC(=O)N[C@@H](CCCc2ccccc2)c2ccccc2)c(C)c1[N+](=O)[O-] 523226863 JIFSVDKNMXMDCQ-QFIPXVFZSA-N 420.513 4.679 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1ncc(-c2ccccc2Br)o1 525116875 TTYVCFIYFAGKQK-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1OCc1nnc(-c2cccc(Cl)c2)o1 525626543 LHJFUHWMNVUKAA-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC(C)(NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1cn(-c2ccccc2)nn1 531530166 PLRLMRLOHPEMJH-UHFFFAOYSA-N 419.510 4.929 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)cc2)cs1 532551328 UWCOJQCHAXAGAE-AWEZNQCLSA-N 410.499 4.630 5 20 HJBD C[C@H]1C[C@@H](CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CCO1 538863911 VZHVTKPHMRZODO-KBPBESRZSA-N 420.918 4.944 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@H](Cc2ccccc2)c2cccs2)cccc1[N+](=O)[O-] 542524833 BTCMHWAYBYPGAG-QFBILLFUSA-N 409.511 4.865 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(F)ccc2n1CC 544092066 BEFOXBNRKKBAKE-UHFFFAOYSA-N 402.451 4.858 5 20 HJBD C[C@@H](CN(C)c1c([N+](=O)[O-])cnc2ccc(Br)cc12)c1nccs1 544121454 HNXQUBZIBRRQRE-JTQLQIEISA-N 407.293 4.602 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)c1 545853756 KSOLMFVAZGJZAI-RRGWEWPQSA-N 413.817 4.636 5 20 HJBD CC(C)c1noc(Cc2ccc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])cc2)n1 554946921 YHIUFSKLUOOAGO-UHFFFAOYSA-N 400.822 4.598 5 20 HJBD CCS[C@@H](C)c1noc(CN(C)Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)n1 557012972 AWWRIFCRLGGMQU-ZDUSSCGKSA-N 402.476 4.684 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(OCC(C)C)c(OC)c2)c1 558755570 PDNZGNORKLZBGM-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD Cc1cc(F)ccc1-c1nc(C(C)(C)C(=O)NCc2ccc([N+](=O)[O-])cc2)cs1 562076930 SMFQUEKWNJFFBV-UHFFFAOYSA-N 413.474 4.760 5 20 HJBD Cc1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cccc1-c1ncco1 562866146 QBNOPSRXBVXDAK-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(-c2ccc(F)cc2F)nn1C 567837128 SQIJYXIHHRXPAI-UHFFFAOYSA-N 418.425 4.638 5 20 HJBD Cc1c(CC(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)cccc1[N+](=O)[O-] 582502109 YALITNPIQAQSLV-UHFFFAOYSA-N 401.875 4.539 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1OCC 603569766 PENJCFZLHSFNHO-UHFFFAOYSA-N 406.866 4.708 5 20 HJBD COc1ccc(CN(CC(F)(F)F)C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 603733157 AWBXLNBIVOVUKX-UHFFFAOYSA-N 408.332 4.554 5 20 HJBD Cc1sc(NC(=O)c2ccc(-n3nccc3C)cc2)nc1-c1cccc([N+](=O)[O-])c1 603997522 MFRYNQFJEUNVJF-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccccc2F)C1 608963582 UAONUAGNDVTATD-JOCHJYFZSA-N 421.428 4.691 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC[C@@H](c2ccccc2)CC1 608968502 RTEGOEHUXNUBHG-MRXNPFEDSA-N 422.403 4.946 5 20 HJBD Cc1cc(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)cc([N+](=O)[O-])c1 609309831 MUOGDMJDNADKLB-KRWDZBQOSA-N 413.232 4.563 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2c(c1)OC1(CCCC1)O2 609723431 SQHRSQFTUVMUKE-UHFFFAOYSA-N 418.833 4.697 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@@H](C)c2ccccc2Cl)cc([N+](=O)[O-])c1OC 610169946 HXYPAFLQTKJRJO-LBPRGKRZSA-N 404.850 4.631 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1OC 610224271 STABKOBTKDEKJF-MRXNPFEDSA-N 400.475 4.972 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 610476281 MKXVUJDGJKOZQV-VXGBXAGGSA-N 400.319 4.556 5 20 HJBD Cc1cc(C)c(C(=O)[C@@H](C)OC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1C 725986437 XRYCWIIEMANSDS-CYBMUJFWSA-N 420.259 4.711 5 20 HJBD O=Cc1ccc(OCC(=O)N[C@H](CC2CCCCC2)c2ccccc2)c([N+](=O)[O-])c1 731626100 GIAYGRIPYOTXPX-HXUWFJFHSA-N 410.470 4.614 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)c1 732122373 PZBKEZRGWRROSI-UHFFFAOYSA-N 407.382 4.739 5 20 HJBD C[C@@H](OC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccnc1 733388347 TXLDEFOJYVTZDJ-CYBMUJFWSA-N 410.813 4.792 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)c1ccccc1 734399523 UDQXIEBTXDJLKC-AWEZNQCLSA-N 407.382 4.895 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 742338115 OOTLIHMYJNFBSY-HNNXBMFYSA-N 414.458 4.783 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])ccc1OCC(C)C 749905686 IGNSQZQSLHFFBK-CYBMUJFWSA-N 406.866 4.783 5 20 HJBD CCc1ccc(C(C)(C)C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 750573749 BJSMGQYPGMLNPT-CQSZACIVSA-N 409.442 4.789 5 20 HJBD O=C(N[C@H]1CSc2ccccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 750880812 XPJPSKDZESGBEX-NSHDSACASA-N 413.680 4.588 5 20 HJBD CC(C)(C)OC(=O)N1CCC(CNC(=O)c2cc3ccccc3c3cccnc23)CC1 751152368 JMMHVIYKSKNGSZ-UHFFFAOYSA-N 419.525 4.765 5 20 HJBD Cn1ccnc1[C@H](CCc1ccccc1)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 754735912 QAKHHBJBJGOTAZ-IBGZPJMESA-N 405.410 4.605 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755015288 ZHXOBVPNQSIJQD-YPMHNXCESA-N 407.239 4.515 5 20 HJBD Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)c(Cl)c1 755064960 NWCXPCHSQNBUQT-CHWSQXEVSA-N 422.840 4.678 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 759099670 RMGJYIIWHBYSCJ-AWEZNQCLSA-N 417.727 4.532 5 20 HJBD COc1ccc(C(=O)CCCCC(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc1 759411743 GLAOZHWKYTXUKI-UHFFFAOYSA-N 418.877 4.659 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4c(Cl)nc5sccn45)no3)cc2c1 771304622 USTROEYAQGUFGQ-UHFFFAOYSA-N 403.832 4.889 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)c1[N+](=O)[O-] 772238467 VAHFPNDKDCZDHL-SNVBAGLBSA-N 417.384 4.537 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)cc2)n1 780308093 KIPKAFHUPBGGDE-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 781204887 YUBWWJDSVNMBCO-ZDUSSCGKSA-N 424.709 4.883 5 20 HJBD CCOC(=O)Nc1ccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])cc1 783907130 NCNYAKSDEQLLAJ-UHFFFAOYSA-N 409.851 4.547 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)cc1 798393526 CDILBYIKNOAAKC-VIFPVBQESA-N 404.291 4.957 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OCC 809915021 QSAMYEPQOMJAEE-LBPRGKRZSA-N 407.854 4.928 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916780 SPKKPTOBZJUETN-BEFAXECRSA-N 403.866 4.880 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1Cl)Nc1cc([N+](=O)[O-])c(F)cc1F 825482773 LANYNXHCLPGXFG-UHFFFAOYSA-N 411.575 4.851 5 20 HJBD Cc1cc(N2CCCC2)ccc1N[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 913112143 OFUDNKJYJAFXQC-AWEZNQCLSA-N 402.882 4.596 5 20 HJBD CN(Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 915307550 IYRQLBIVLWQIJL-UHFFFAOYSA-N 403.744 4.811 5 20 HJBD CC(C)[C@@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1cc(Cl)ccc1Cl 915368113 IBCPNLOQQZGUTO-CQSZACIVSA-N 417.270 4.783 5 20 HJBD Cc1nn(C)c(C(=O)Nc2cccc(-c3ccc(Cl)c(Cl)c3)c2)c1[N+](=O)[O-] 919987245 ZPUJIDWNASLJDQ-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3F)c2)cc1 921155326 KBUPIJZBAVQNCB-UHFFFAOYSA-N 410.401 4.712 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)N[C@H](CCc1ccccc1)c1ccccc1 921267040 UATJPWPDWSWJOW-OAQYLSRUSA-N 408.429 4.603 5 20 HJBD Cc1cccc(-n2ncc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2C(F)(F)F)c1 1118148212 RWCIQOYIAVKBEC-UHFFFAOYSA-N 415.331 4.825 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(Cl)c(F)c1 1253911314 FQJCKRGDTBJTBI-LLVKDONJSA-N 412.870 4.617 5 20 HJBD Cn1cc2c(n1)CCC[C@H]2c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 1343107208 XNNWUGJJKWLQTR-GOSISDBHSA-N 417.425 4.639 5 20 HJBD CCN(C(=O)COC(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc2ccccc12 3505085 UWMPHHQIMCCJOL-UHFFFAOYSA-N 412.829 4.611 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c(Cl)c1 20006143 OTNPHKBRYYNDOA-UHFFFAOYSA-N 412.877 4.979 5 20 HJBD Cc1nn(C)c2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc12 24032779 TTWHCEMLTNVCPS-UHFFFAOYSA-N 419.466 4.588 5 20 HJBD Cc1ccc(-n2ncc(C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)c2C(F)(F)F)cc1 29508574 CJKWGZFZCVBSQM-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(NC(=O)c2cccs2)c1 43979749 PVGUNQNCNWEGGC-UHFFFAOYSA-N 410.455 4.573 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1cc([N+](=O)[O-])ccc1Br 45625383 AGINXTMWUQPOBL-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccc(SCc2cccnc2)cc1 55096588 WFUSWSSUIVMIOX-UHFFFAOYSA-N 409.467 4.690 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCc1ccsc1)c1ccccc1 56153681 XRDZXNXGRLBWKQ-GOSISDBHSA-N 401.875 4.779 5 20 HJBD COc1cccc(NC(=O)[C@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 56197503 HPBPRLYSGCYZPH-OPAMFIHVSA-N 405.454 4.634 5 20 HJBD COc1cc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)ccc1OC(F)F 60858461 KGMJWBZIBGHXQR-UHFFFAOYSA-N 416.384 4.582 5 20 HJBD COc1cc(Br)c(CNCc2ccc(C(C)C)c([N+](=O)[O-])c2)cc1OC 237189222 YSPZKCJLPDNVLK-UHFFFAOYSA-N 423.307 4.788 5 20 HJBD COc1cc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc(OC)c1OC 237262562 PHVCMGJLPWJGLG-UHFFFAOYSA-N 414.483 4.639 5 20 HJBD O=C(CCCSc1ccc(Br)cc1)NCc1ccc([N+](=O)[O-])cc1 409454564 SEUSKKNMNOICMN-UHFFFAOYSA-N 409.305 4.546 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@]2(c3ccc(Cl)cc3)C[C@H]2C)cc1SC 410361687 VSTMQBLZFLIJMJ-NSPYISDASA-N 406.891 4.644 5 20 HJBD C[C@H]1C[C@@]1(NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 410383851 SMHYXEPXTFEEAB-LMKMVOKYSA-N 416.908 4.860 5 20 HJBD COc1ccccc1[C@@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C)c1ccco1 426783167 IYYBQVHYGRLURQ-JOCHJYFZSA-N 423.469 4.906 5 20 HJBD CCCc1c(NC(=O)c2ccc(NCC)c([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429346491 QGQNFIGOMXZHMF-UHFFFAOYSA-N 411.437 4.556 5 20 HJBD O=C(Nc1ccc2oc(C3CC3)nc2c1)c1cc(Br)cc([N+](=O)[O-])c1 431654610 JDTUNEPCBYFYFO-UHFFFAOYSA-N 402.204 4.628 5 20 HJBD O=c1[nH]c(/C=C\c2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)nc2cnccc12 434927198 RBHALFYAPVFPBI-VURMDHGXSA-N 420.812 4.842 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cccc(OC)c2[N+](=O)[O-])C(C)C)cc1OCC 435664241 LUGBBOMEBAGHPA-FQEVSTJZSA-N 416.474 4.528 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(NC(=O)N(C)C)ccc3C)o2)c([N+](=O)[O-])c1 435733873 XHFJLFDOGKBGJK-UHFFFAOYSA-N 424.457 4.877 5 20 HJBD O=C(Nc1ccccc1NCC(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436237110 GHNLFIFEDNOJMV-UHFFFAOYSA-N 411.383 4.908 5 20 HJBD Cc1ccccc1[C@H]1CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 436353255 RJHSIWPAIOKBDK-INIZCTEOSA-N 400.453 4.759 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(C(F)(F)F)c1 437390033 KDBMCBQNKQMFKW-UHFFFAOYSA-N 409.364 4.819 5 20 HJBD C[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(OC(F)F)cc1 437928196 GTZHUKKPBZISME-ZDUSSCGKSA-N 405.401 4.631 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437959258 DSBKNJUMFIEZQH-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD CC(C)[C@H](CC(F)(F)F)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 438591793 MKTOGSXVKDNEDI-GUYCJALGSA-N 424.423 4.870 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2nc3ccccc3n2C(C)C)cccc1[N+](=O)[O-] 438924116 CIPPPRCYGSIGCH-NRFANRHFSA-N 406.486 4.740 5 20 HJBD COC(=O)c1c(C2CC2)csc1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 439662732 KFGCGWGEECZLPD-UHFFFAOYSA-N 414.361 4.591 5 20 HJBD COc1ccc2nc(NC(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)sc2c1 444598874 QBLRLPFQJNPOSX-UHFFFAOYSA-N 419.437 4.691 5 20 HJBD Cc1sc2ncnc(SCCc3nc(-c4ccc([N+](=O)[O-])s4)no3)c2c1C 445481935 MZKFZWXEGRSEKR-UHFFFAOYSA-N 419.513 4.663 5 20 HJBD CC(=O)Nc1ccc(F)c(C(=O)Nc2ccc(OCc3ccccc3)cc2[N+](=O)[O-])c1 446428379 SRQSNPIVLWZEBX-UHFFFAOYSA-N 423.400 4.524 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 446481534 ZOYQKCRDEJAVAY-UHFFFAOYSA-N 401.850 4.683 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2c1CN(c1nccs1)C2 462630586 ZNVBCFBTOOVKMH-INIZCTEOSA-N 422.510 4.779 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1noc(-c2ccc(Cl)cc2)n1 463953651 HUOSFPGGPDTECF-GFCCVEGCSA-N 415.837 4.798 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1cc([N+](=O)[O-])c(C)cc1C)c1ccccn1 463973107 LXKVGWSCPNPNPN-OAQYLSRUSA-N 406.442 4.526 5 20 HJBD Cn1cc(C(=O)Nc2cc(Cl)cc(C(F)(F)F)c2)c(-c2ccc([N+](=O)[O-])cc2)n1 478695996 UVOLWJMXQXSIEP-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCC1(c2ccc(Cl)cc2Cl)CCOCC1 479373293 UIFREYPINPFWTA-UHFFFAOYSA-N 413.305 4.587 5 20 HJBD O=C(c1cc(NCCc2csc(-c3ccccc3)n2)ccc1[N+](=O)[O-])N1CCCC1 481825254 PQPXLVXYGRVPKG-UHFFFAOYSA-N 422.510 4.609 5 20 HJBD Cc1c(Br)ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c1F 483774485 OWWAJYSOJQUADW-UHFFFAOYSA-N 410.243 4.511 5 20 HJBD Cc1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)c1Br 484701263 SZFDHVJILNKLJU-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD COC(=O)CCCOc1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 486489292 NXMUHGHEBITWIV-UHFFFAOYSA-N 414.461 4.972 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccccc1OC(F)F)C(F)(F)F 486821275 JPWRVPCNIMYTPT-CYBMUJFWSA-N 405.279 4.621 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)NC(C)(C)C 488032548 OPDHTJFEWSRUKA-OAHLLOKOSA-N 412.490 4.623 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)Cc1cccs1 488071365 GHPJKGRNWGUWFZ-UHFFFAOYSA-N 419.890 4.857 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])s1 488382332 HRZLDGRSERODPW-GFCCVEGCSA-N 410.927 4.521 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1cc(Cl)cc([N+](=O)[O-])c1 494511892 QTXDQNTZUQEMGQ-NSHDSACASA-N 411.241 4.569 5 20 HJBD O=C(Nc1ccc2c(c1)OC1(CCCCC1)O2)c1ccc([N+](=O)[O-])c2cccnc12 497898594 IKNXIWYBKDXYGX-UHFFFAOYSA-N 405.410 4.827 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)[nH]1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498133371 AZGDVTQANIWHJY-JOCHJYFZSA-N 407.495 4.797 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3ccc(-c4ncc[nH]4)cc3)cc2[N+](=O)[O-])C1 502569811 NEPBLQLAAVGXHI-HZPDHXFCSA-N 419.485 4.720 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2s1)N1CCO[C@@H](c2ccccc2Cl)C1 505125581 OQURYXHZQCTDEJ-MRXNPFEDSA-N 402.859 4.677 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1CN1CCCC1 505753634 WKFVVPGACHZAAZ-UHFFFAOYSA-N 413.543 4.623 5 20 HJBD Cc1nc2ccc(NC(=O)c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)cc2o1 506162679 CZIMOPVEAZZLRQ-ZDUSSCGKSA-N 417.425 4.865 5 20 HJBD COc1ccc(OCc2ccccn2)c(CN(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 507172062 ZJFTYOFQUDQTKP-UHFFFAOYSA-N 419.481 4.742 5 20 HJBD COc1cc(C(=O)N2CCCc3cc(Cl)cc(Cl)c32)c([N+](=O)[O-])cc1OC 508191131 MGVFSZASARDKNO-UHFFFAOYSA-N 411.241 4.512 5 20 HJBD COc1ccc(CN[C@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cc1[N+](=O)[O-] 510457389 YRLQEPVDSUSLIN-OAHLLOKOSA-N 406.307 4.598 5 20 HJBD COc1ccc([C@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccc(F)cc2)cc1 511715874 PFZNTHCLAYQHAJ-HSZRJFAPSA-N 421.428 4.829 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)cn2)cc1C 511981715 FAHIJFKEHQONPA-UHFFFAOYSA-N 403.398 4.528 5 20 HJBD C[C@@H](NC(=O)COc1ccccc1[N+](=O)[O-])c1ccc(Oc2ccccc2)c(F)c1 515994513 HRQCZYGVRJVQTK-OAHLLOKOSA-N 410.401 4.782 5 20 HJBD C[C@H](Cc1ccc(Cl)cc1Cl)NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 517718224 YLDCXXCRYZQTLN-SNVBAGLBSA-N 420.252 4.516 5 20 HJBD CCOC(=O)c1ccnc(S[C@H](C)c2ccc(OC(F)(F)F)cc2)c1[N+](=O)[O-] 523114600 WURKTZGFUYSITA-SNVBAGLBSA-N 416.377 4.918 5 20 HJBD COc1cc(C(=O)N[C@H](CC(C)C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 525027405 FUCLYIPUGJVFBO-MRXNPFEDSA-N 406.866 4.783 5 20 HJBD Cc1ccccc1-n1c(C)nnc1SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534063969 XZJKFNRGSBDMFX-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD O=C(CCCC(=O)c1ccc(Cl)c(Cl)c1)NCCc1cccc([N+](=O)[O-])c1 534732826 ZSBVIHBEOAPDIN-UHFFFAOYSA-N 409.269 4.614 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cc1)c1cccs1 536481525 MKTHLAAHMXCLRT-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD C[C@@H](NCc1cc(Br)ccc1OC(F)F)c1ccc([N+](=O)[O-])cc1 539080041 QYWYATLUHYSCGD-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD CC[C@H](C(=O)N1CCC(NCc2ccc([N+](=O)[O-])cc2Cl)CC1)c1ccccc1 541539588 BKUDDDBNWBESGH-FQEVSTJZSA-N 415.921 4.523 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)Nc3ccc(OC)c([N+](=O)[O-])c3)s2)cc1 542933670 SYKMCSOHKBWDAL-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CN(Cc1cccc(OC(F)(F)F)c1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 545121858 UBJVGJTXHQYBKA-UHFFFAOYSA-N 414.355 4.569 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1c(-c2ccccc2)nc2ccccn12 545288996 GZZCUFPOFMGJDU-UHFFFAOYSA-N 406.829 4.744 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 545805983 ORMOTJGXFIOTAQ-INIZCTEOSA-N 412.402 4.643 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)cc2)c2ccc(OC)cc2)c1 549200370 SOAUBACNPDHYFG-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNCc3ccc(OC(F)F)c(F)c3)cs2)c1 549888134 YCYFUTSHGQKFLG-UHFFFAOYSA-N 409.389 4.749 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ncc2n1CCCC2 552536196 TXJLGRXTNQBJLZ-HNNXBMFYSA-N 422.510 4.914 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2ccccc2OC)c1 554971536 UKILNESSYBBNKJ-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3cccc(OC(F)(F)F)c3)C2)c2ccncc12 558047476 MLWKEORNHCYNIG-IBGZPJMESA-N 419.359 4.620 5 20 HJBD CCN(C(=O)c1cc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1Cl)C(C)C 565296811 DKJJWABVOACKRB-CQSZACIVSA-N 417.893 4.861 5 20 HJBD COc1ccc(CN[C@H]2[C@@H]3c4ccccc4C[C@H]32)cc1OCc1ccc([N+](=O)[O-])cc1 566036473 KDOQNAOYXCQARC-NQHRYMMQSA-N 416.477 4.610 5 20 HJBD COCC[C@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 577049395 VLFXZNNCSVJFQH-SFHVURJKSA-N 411.285 4.728 5 20 HJBD CC(C)c1cc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)n(-c2ccccc2)n1 584321315 OUYKYFVPXBZGEA-UHFFFAOYSA-N 407.474 4.610 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 603519524 ZPULRNSTECJNIA-LLVKDONJSA-N 422.359 4.708 5 20 HJBD COc1ccc(CSCC(=O)NC(c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 603564161 MCKRRCHUVCXCOC-UHFFFAOYSA-N 422.506 4.742 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 609090968 LQAZAXDSDKVKRI-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609722224 KLNPXPMEDWOQFI-UHFFFAOYSA-N 403.287 4.781 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2sccc2C(=O)C(C)(C)C)cc1SC 609832258 NWDIQMSIIQBMPS-UHFFFAOYSA-N 408.501 4.868 5 20 HJBD CN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)[C@@H]1CCCc2c1cnn2C 611276169 ZBWIWXWKOXKGPH-LJQANCHMSA-N 410.449 4.769 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(C4CC(OCc5ccccc5)C4)n3)c2c1 612962093 JJVUGNISBZYUKO-UHFFFAOYSA-N 404.426 4.513 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 726602909 VFJWZYMCGJVFLN-CQSZACIVSA-N 416.840 4.817 5 20 HJBD Cc1cccc(C(=O)Nc2cc(-c3ccccc3Br)no2)c1[N+](=O)[O-] 734358051 ACGAHXDCTZFDJU-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD O=C(OCc1ccccc1[N+](=O)[O-])c1cc(Br)sc1Br 735158783 SADQTQQZMUWALU-UHFFFAOYSA-N 421.066 4.538 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1F 739631202 OXGATMUTQPLMIF-UHFFFAOYSA-N 404.781 4.836 5 20 HJBD COc1cccc([C@@H](NCc2cc(F)ccc2[N+](=O)[O-])c2cc(F)ccc2OC)c1 747476591 ZXBGGQSKOSFNKU-JOCHJYFZSA-N 414.408 4.769 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cccc(Cl)c3[N+](=O)[O-])c2)cc1 750081893 XAZWDUYPTJILKZ-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD C[C@H](C[C@@H](O)c1cccs1)Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-] 751943840 YQXBORJDZUZHRF-PRHODGIISA-N 405.354 4.507 5 20 HJBD C[C@H](C(=O)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 755066399 PCYXTKMUERQYFC-YCRPNKLZSA-N 422.412 4.761 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(O)c(-c2nc3ccccc3s2)c1 756881803 BEIUEVGERJSMKW-UHFFFAOYSA-N 421.434 4.838 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)o2)cc1C 762903882 HIKAAQSMYLTXHA-ZDUSSCGKSA-N 406.398 4.661 5 20 HJBD O=C(Nc1ccn(Cc2ccccn2)n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764068502 JTORIDYJSAECSP-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD C[C@H](C(=O)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 767139432 UJKJOQMLCQPHCR-GXTWGEPZSA-N 410.367 4.872 5 20 HJBD CCc1cccc(CC)c1NC(=O)[C@@H](C)OC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 769887863 LRSKIMVGJAPJQP-MRXNPFEDSA-N 412.486 4.829 5 20 HJBD Cc1ccc(C)c(OCCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c1 776137051 MQTFLTUIDGGTBG-HSZRJFAPSA-N 406.438 4.708 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 781260711 UFROMUVPQARNNL-CQSZACIVSA-N 402.406 4.816 5 20 HJBD O=C(OCc1cnc(-c2ccccc2)s1)c1cccc([N+](=O)[O-])c1Br 781677080 CTRQLNWOOIPBRL-UHFFFAOYSA-N 419.256 4.838 5 20 HJBD CCc1ccc(C(=O)O[C@H](C)c2nnc(-c3cccc(Cl)c3)o2)cc1[N+](=O)[O-] 783960555 WMERNHKYPZJZRR-LLVKDONJSA-N 401.806 4.779 5 20 HJBD O=C(Nc1nc(Cc2ccccc2)cs1)c1cc([N+](=O)[O-])ccc1Br 784165731 UTHIZXVJFFXEIJ-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@@H]2CCc3cccnc32)cc1 789673725 FJYNNAIFATYDIV-HXUWFJFHSA-N 406.394 4.635 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)Nc3cccc([N+](=O)[O-])c3Cl)n2)cc1 809922394 OTHQRUYKNVEZCT-LLVKDONJSA-N 415.837 4.743 5 20 HJBD COc1ccc2c(c1)C(=O)N(C1CC1)[C@@H](c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N2 811640126 MXCVLOKYEULBHK-KRWDZBQOSA-N 408.241 4.639 5 20 HJBD CC(=O)c1ccc(N2C[C@@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)c([N+](=O)[O-])c1 813613737 QNDNCNWUTNHBLV-BLVKFPJESA-N 408.376 4.783 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1ccc(C(F)(F)F)nc1Cl 817861599 FIGWRUTVEDLJEE-VIFPVBQESA-N 417.771 4.552 5 20 HJBD CCOc1cc(CN(C)C(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1OC(F)F 914737482 KBWCBOPRKBHWSQ-UHFFFAOYSA-N 414.792 4.521 5 20 HJBD Cc1cc(-c2cc(C(=O)Oc3cc([N+](=O)[O-])ccc3C)c3c(C)noc3n2)c(C)o1 916403096 RSSUUKHFUALFDP-UHFFFAOYSA-N 407.382 4.844 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])cs2)cc1 920268709 PMDDHUKEECGTND-HNNXBMFYSA-N 412.467 4.786 5 20 HJBD O=C(Nc1cccc(COc2cccc(F)c2)c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116148757 PWPFWVTVLPLNRS-UHFFFAOYSA-N 407.401 4.861 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 1118416040 PTOCYXWZTCACNG-UHFFFAOYSA-N 409.320 4.641 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(-c3nnc(-c4ccc(Cl)nc4)o3)cc2[N+](=O)[O-])C1 1322557382 OFZHBOPSQFGODN-BETUJISGSA-N 413.865 4.843 5 20 HJBD COCc1nc(N2Cc3ccc([N+](=O)[O-])cc3C2)c2c(-c3ccccc3)csc2n1 1323204260 CRCSEKWQMVIOKO-UHFFFAOYSA-N 418.478 4.933 5 20 HJBD Cc1c(-c2nnc(-c3cc4c(s3)CCCCC4)o2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 1325829930 KMBNBTRTGOBRLB-UHFFFAOYSA-N 400.416 4.859 5 20 HJBD C[S@](=O)Cc1cccc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1340715719 FJJYMGHTSDHVFB-MHZLTWQESA-N 404.875 4.999 5 20 HJBD C[C@@H]1CCc2sc(-c3nnc([C@@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)o3)cc2C1 1341556798 LVSNENVUKYLEKS-BZNIZROVSA-N 410.455 4.697 5 20 HJBD COc1ccc(Br)c(-c2nnc(-c3ccc([N+](=O)[O-])c(Cl)c3)o2)c1 1345530288 YMIPKYXMZYDINB-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2ccc([N+](=O)[O-])cc2Cl)cs1 6061998 BFYKANWGOOIHRI-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC(c1ccccc1)c1ccccc1 6535319 HMDZEQTXHBALHQ-HNNXBMFYSA-N 410.857 4.921 5 20 HJBD CCn1c(SCC(=O)NCCC2=CCCCC2)nc2cc3ccccc3cc2c1=O 7577832 XCVXKOBVHKXXOA-UHFFFAOYSA-N 421.566 4.668 5 20 HJBD Cc1ccc(Oc2ccccc2NC(=O)COC(=O)c2c(C)cccc2[N+](=O)[O-])cc1 11659081 IGJOHTALMFUJDR-UHFFFAOYSA-N 420.421 4.799 5 20 HJBD Cc1ccc(/C=C/c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[nH]2)o1 12842899 QOWOJOKJCMRMGK-BZYZDCJZSA-N 424.442 4.688 5 20 HJBD CCCCc1nnc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 23359024 GEUNFTBZYSONKY-UHFFFAOYSA-N 411.487 4.653 5 20 HJBD O=[N+]([O-])c1cc2c(c(COc3ccc([N+](=O)[O-])cc3-c3cccs3)c1)OCOC2 24440594 WRFZKJCGVRTNEY-UHFFFAOYSA-N 414.395 4.677 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(F)cc2)C1 29117134 SVYAQRLLTXCFCW-JOCHJYFZSA-N 421.428 4.691 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)c1 50866637 WIEXJHYRKOEPMY-OXJNMPFZSA-N 400.500 4.557 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)c1cccc(C)c1[N+](=O)[O-] 55593186 OXOVRGZDYDMPEY-GJZGRUSLSA-N 409.486 4.582 5 20 HJBD C[C@H](NC(=O)c1ccc(NC(=O)Nc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 57282241 AOROWFRXMVNQDY-HNNXBMFYSA-N 404.426 4.730 5 20 HJBD COc1ccc(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(Br)c1OC 64350585 GJBICKSLDBUFHO-UHFFFAOYSA-N 423.307 4.785 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 116113311 RSVCPOCJPSIRAR-UHFFFAOYSA-N 405.454 4.639 5 20 HJBD O=C(Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Br)cc1Br 231121278 PKYMJFBYKBDUHU-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1cc(N2CCC(n3ccc(C(F)(F)F)n3)CC2)c2cc([N+](=O)[O-])ccc2n1 302083729 MRBLNYQVTHGEQL-UHFFFAOYSA-N 405.380 4.508 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc(COCCOC(C)C)c2)cc1[N+](=O)[O-] 429074141 HUNUIAPFEFBGHN-QGZVFWFLSA-N 415.490 4.728 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(CC(F)F)c1cccc(Cl)c1 432760345 UAFXNOKBNOSCKM-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD O=C(Nc1nc2ccccc2s1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 435941629 UQUXTVLMSAVPBT-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD COc1ccc(-c2ccn(-c3ccc(SCc4ccccc4[N+](=O)[O-])nn3)n2)cc1 436254871 WNEIRMGWWVIGLV-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CC(C)CCO[C@@H]1CCCC[C@H]1NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 436275703 PVASKJNUNVVXPY-WOJBJXKFSA-N 401.507 4.756 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 437358343 KKBVHKPQDKLPOE-BLLLJJGKSA-N 410.417 4.799 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)c3ccc(Cl)cc3F)cc2)c(C)c1[N+](=O)[O-] 439183436 PNLFXYWCGCCIGI-UHFFFAOYSA-N 416.840 4.526 5 20 HJBD CC(C)CN(C(=O)c1cc(C2CC2)no1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 441291220 YXGSRPPLULECKF-UHFFFAOYSA-N 412.471 4.887 5 20 HJBD CO[C@H]1CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)[C@H](C)C1 441441932 CHQNRRHKDXFHSE-DYVFJYSZSA-N 404.850 4.680 5 20 HJBD COc1cc(Br)c(CN[C@H]2CCCc3ccc([N+](=O)[O-])cc32)cc1OC 447578417 FKCXLMWHPKMOAX-KRWDZBQOSA-N 421.291 4.542 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1nc2ccccc2n1CC 462508428 WGAOYCQEHADASM-PGRDOPGGSA-N 420.513 4.897 5 20 HJBD C[C@@H](Sc1nnc(-c2ccncc2)n1CC(F)(F)F)c1ccccc1[N+](=O)[O-] 463250740 OLXCBHIDFZBQQM-LLVKDONJSA-N 409.393 4.664 5 20 HJBD C[C@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1cc2ccccc2o1 465641343 UPJBBNJMWSOBLV-HNNXBMFYSA-N 409.442 4.655 5 20 HJBD O=C(Nc1cncnc1)c1ccccc1Sc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 466286176 IYHKBHWZQCALJW-UHFFFAOYSA-N 420.372 4.807 5 20 HJBD CCCN(CCCN(C)C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 470352508 CRFHVXSDMNLAGX-UHFFFAOYSA-N 400.479 4.583 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](OC(C)C)c1ccccc1OC 471567849 SSAHEEHFVGDGPE-LJQANCHMSA-N 418.515 4.612 5 20 HJBD C[C@H](NC(=O)C(=O)Nc1cc(-c2ccccc2)ccc1Cl)c1cccc([N+](=O)[O-])c1 472552347 AQPFVQZVBNFHKL-AWEZNQCLSA-N 423.856 4.731 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 472946743 CRPNDYJEYGKROC-KRWDZBQOSA-N 407.474 4.540 5 20 HJBD CCc1cc(Br)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 478031765 YNWQAVTXWTXCKV-UHFFFAOYSA-N 406.280 4.626 5 20 HJBD COCC1=CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)CC1 479795856 KZACABNTDMGNHS-UHFFFAOYSA-N 418.902 4.818 5 20 HJBD CCCN(C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])[C@H]1CCc2ccccc21 482380566 LDWJNQYATUHOQL-KRWDZBQOSA-N 400.500 4.865 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)c1C 482803102 XSGFNQQRKZWIMT-JYJNAYRXSA-N 413.522 4.805 5 20 HJBD CC(C)[C@@H](CNC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1cccnc1 486173559 IUFYGAODTVVOFV-JOCHJYFZSA-N 419.481 4.738 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2OC[C@H]2CCCCO2)c1[N+](=O)[O-] 486249622 CZXMIHOTUYJMIW-CQSZACIVSA-N 402.472 4.517 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC(F)F)c2ncccc12 490014313 XWSGLKYYTKNIKQ-NSHDSACASA-N 419.409 4.864 5 20 HJBD CCOc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])ccc1OCC(F)(F)F 492228497 WKRYKGIAXGRCIW-UHFFFAOYSA-N 412.408 4.960 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NC(C)(C)c2nc(C(F)(F)F)cs2)cc1[N+](=O)[O-] 493178375 DZEOZEZXEYBOKV-JTQLQIEISA-N 416.425 4.674 5 20 HJBD Cn1nc(NCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)cc1OC(F)F 494444273 DJSHFFZJDRAPTG-UHFFFAOYSA-N 424.791 4.988 5 20 HJBD O=C(NCc1ncc(-c2ccccc2)[nH]1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 497525576 OTJRBKGPZXVUMU-UHFFFAOYSA-N 414.421 4.707 5 20 HJBD O=C(Nc1ccc(Br)c(Cl)c1)c1ccc([N+](=O)[O-])c2cccnc12 498386861 VCXVLSHXXKMBDK-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD CC(C)(C(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1)c1ccc([N+](=O)[O-])cc1 502131420 UHCUWWSRNRFYPE-CQSZACIVSA-N 411.433 4.559 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 507428457 YJMJCPGSJYHDTO-ROUUACIJSA-N 418.497 4.832 5 20 HJBD Cc1nnc([C@H]2Cc3ccccc3CN2Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)o1 511155157 SJUJMQXEYZUKQW-OAQYLSRUSA-N 416.437 4.846 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1ccccc1 512513900 KWXWETQOMXTONL-HSZRJFAPSA-N 419.481 4.506 5 20 HJBD O=C(Nc1cccc(COCCOc2ccccc2)c1)Nc1cccc([N+](=O)[O-])c1 515783419 FSAWUEUZTAMOBH-UHFFFAOYSA-N 407.426 4.834 5 20 HJBD COc1ccc(CSCc2cccc(C(=O)NCc3ccco3)c2)cc1[N+](=O)[O-] 517295366 OEZXIKUPHVEWSC-UHFFFAOYSA-N 412.467 4.560 5 20 HJBD CC(C)C[C@H](C)Oc1cc(CNC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccn1 520375895 MHLITAOIBQABCP-JKSUJKDBSA-N 417.531 4.600 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 520396325 JWQQMUWDLQGFRS-UHFFFAOYSA-N 413.433 4.788 5 20 HJBD O=C(NCc1cccc2cccnc12)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 521110173 LPUMEMOABYSNIM-UHFFFAOYSA-N 412.449 4.685 5 20 HJBD O=C(c1ccc(-c2nc3ccccc3s2)o1)N1CCc2ccc([N+](=O)[O-])cc2C1 532030641 HOZXLYBNCFJZPF-UHFFFAOYSA-N 405.435 4.663 5 20 HJBD CC(C)(C(=O)Nc1cc(Br)cc(Cl)c1O)c1ccc([N+](=O)[O-])cc1 534208042 ZNQZSHZWDPGLKZ-UHFFFAOYSA-N 413.655 4.633 5 20 HJBD C[C@@H]1CC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C[C@@H](C)O1 534316572 MBMQSDNHCZFZJC-VXGBXAGGSA-N 409.280 4.663 5 20 HJBD COc1cccc(COc2cccc(NC(=O)COc3ccccc3[N+](=O)[O-])c2C)c1 535214230 FQGQKAVPZOXINX-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD CCSc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC(=O)OC)c1 535700709 PZACEQRTPNZRTO-UHFFFAOYSA-N 420.512 4.614 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Br)cc1O 538238217 GYQIPCJFHKQRLN-UHFFFAOYSA-N 411.277 4.816 5 20 HJBD CN(C(=O)c1ccccc1OCC1CC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540451210 JUZAFBJRUILSJO-UHFFFAOYSA-N 409.467 4.784 5 20 HJBD O=C(NCc1ccc2c(c1)COC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541598994 KGJQORFJJHTHIH-UHFFFAOYSA-N 412.511 4.837 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1F 543541637 FTQWEJVTASLPJI-CYBMUJFWSA-N 423.404 4.598 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CN2CCC[C@H]2c2cc(C)no2)cc1OC 543842211 BREPGGJADBGGSD-YOEHRIQHSA-N 403.479 4.662 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NCCCc1c[nH]c2ccccc12 544220791 GXIHAQBVMLDBEB-UHFFFAOYSA-N 403.891 4.571 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(N3CCCC3)nc2)c2ccc([N+](=O)[O-])cc2)o1 544359123 CEOHXOJWIVPGDU-QHCPKHFHSA-N 406.486 4.625 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H]2C[C@@H]2c2ccc(C(F)(F)F)cc2)n1 544541664 XRFDFTGDRZFYMO-HUUCEWRRSA-N 418.375 4.922 5 20 HJBD Cc1cccc2c(Cc3noc(Cn4c5ccccc5c(=O)c5ccccc54)n3)c[nH]c12 544949825 KLOQYXQHIQJGCA-UHFFFAOYSA-N 420.472 4.967 5 20 HJBD CCOc1cc(Cc2noc(-c3cccc([N+](=O)[O-])c3)n2)ccc1OCC(F)(F)F 545670012 XRQABUGOPNRZCF-UHFFFAOYSA-N 423.347 4.575 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3ccccc3CN3CCCCC3)no2)cc1 546409205 VEPLDEPBRFFZHS-UHFFFAOYSA-N 421.501 4.675 5 20 HJBD C[C@@H](C(=O)Nc1cccc(C[S@@](=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 555312053 CCKCQBJBILDNHO-ZQHDKMAOSA-N 422.506 4.786 5 20 HJBD COCCC[C@H](CN[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1)c1ccc(Cl)cc1 560574343 PJQZVUWEJWZVRX-YZGWKJHDSA-N 420.941 4.728 5 20 HJBD Cc1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 567489970 YLVDKPIIARXSGZ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cn1ccnc1[C@H]1CCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 580087607 QMVNBUYVOCRPRH-INIZCTEOSA-N 412.877 4.764 5 20 HJBD CC(C)Oc1ccc(Br)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 580389820 SVBMJSJZDVSXSP-GFCCVEGCSA-N 407.264 4.887 5 20 HJBD CCN(CC)CCCNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 598840559 GEXNMELEGHVPBP-UHFFFAOYSA-N 405.882 4.502 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2)C1=O)c1cc2ccccc2c2cccnc12 606795768 BCZLDYJJIXTZCR-OAQYLSRUSA-N 415.880 4.577 5 20 HJBD CC[C@@H](Cc1ccccc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 609033255 OJNVRMJIVQSUMZ-XLIONFOSSA-N 418.497 4.914 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])cc1 609722199 JUESUUZAIBSMCV-SFHVURJKSA-N 418.877 4.780 5 20 HJBD COc1cc(C(=O)N2C[C@H](C)C[C@@H]2C)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 610126603 VHSZMLQNVVIYSG-OLZOCXBDSA-N 404.850 4.920 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1ccc(-c2noc(CC(C)(C)C)n2)cc1 610170690 ROVYZTITAHXDEJ-UHFFFAOYSA-N 408.458 4.506 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 612502484 YOVSVZNAUIMYBC-CQSZACIVSA-N 417.181 4.781 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)Cc2ccccc2)cc1OC 616887499 NMSSUILQJXWBKA-UHFFFAOYSA-N 420.465 4.845 5 20 HJBD O=C(CCN1CCC[C@@H]1c1ccccc1Br)Nc1ccccc1[N+](=O)[O-] 619718114 AVJNMRPTTFQXGD-QGZVFWFLSA-N 418.291 4.523 5 20 HJBD O=[N+]([O-])c1c(NCc2ccccc2-c2ccc(Cn3ccnc3)cc2)nc2ccccn12 728908726 BEPDZKHUCXCKSG-UHFFFAOYSA-N 424.464 4.766 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1)c1cccc([N+](=O)[O-])c1 729573431 RVZNRYVUAMHEPT-CYBMUJFWSA-N 400.435 4.825 5 20 HJBD O=C(Nc1ccccc1)c1ccc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 730644104 XKBGRGNYYDDNCA-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD CC[C@H](C(=O)N1CCC(Nc2nc3ccc([N+](=O)[O-])cc3s2)CC1)c1ccccc1 731209945 FWRHVGGGJRKGHX-SFHVURJKSA-N 424.526 4.801 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccc(F)nc1 733912119 OCNWBGANWOPPCG-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](Cc2ccc(Cl)cc2)C(F)(F)F)c1 733947844 ZSEFHVHAQCTVQH-OAHLLOKOSA-N 403.740 4.587 5 20 HJBD COc1cc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1C 741127465 GGLRIZAIUBOJDJ-MRXNPFEDSA-N 421.453 4.837 5 20 HJBD O=C(c1csc(-c2ccccn2)n1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743789529 MYINVTJQSFVXIB-UHFFFAOYSA-N 416.462 4.960 5 20 HJBD CC/C(=C/C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(C)cc1 745126667 NWQYAOPNMWJYNR-UVTDQMKNSA-N 402.834 4.532 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)c4cccc(Cl)c4[N+](=O)[O-])CC3)c2c1 749908374 GGNPTIDAFCAMCH-UHFFFAOYSA-N 411.845 4.668 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cccc(Cl)c1[N+](=O)[O-] 749925163 FBBSSASXWUUOQE-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD O=C(CCc1ccccc1Cl)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 750601677 PQWPTSDNBZAXNU-UHFFFAOYSA-N 423.694 4.528 5 20 HJBD CCc1ccc([C@H](Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)c2ccc([N+](=O)[O-])cc2)o1 753700613 RKQUQBFIGVIAIO-LRTDBIEQSA-N 407.426 4.671 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)c(C)c1 754989523 RYODTWSDGMRSJG-UHFFFAOYSA-N 422.840 4.888 5 20 HJBD C[C@@H](CCC(C)(C)C)C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755138917 NKLWHXCTQZPELO-NSHDSACASA-N 404.385 4.558 5 20 HJBD O=C(c1csc([C@H]2CCCO2)n1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 756617044 KCLAKJLQHZSOPN-MRXNPFEDSA-N 407.879 4.613 5 20 HJBD O=C(O[C@H](c1ncccn1)C1CCCC1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 761398158 IRMSGAZMLBOVNW-KRWDZBQOSA-N 410.455 4.597 5 20 HJBD CN(Cc1ccc(Cl)c(F)c1)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 763221771 LQMISIIGCJUWQX-UHFFFAOYSA-N 419.609 4.561 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3ccc(Oc4cccc(F)c4)nc3)CC2)cc1 765524799 IFDFQGBTCDIJCU-UHFFFAOYSA-N 422.460 4.680 5 20 HJBD CC(=O)[C@H](Cc1ccc(F)c(F)c1F)Sc1nc2ccc([N+](=O)[O-])cc2s1 767034944 XYFVORJQVZPJFK-ZDUSSCGKSA-N 412.414 4.914 5 20 HJBD Cc1cccc(NC2CCN(Cc3ccc(Br)c([N+](=O)[O-])c3)CC2)c1 769471362 TVVXQBPDUGPOPW-UHFFFAOYSA-N 404.308 4.742 5 20 HJBD O=C(NC1CCCCC1)C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 772010685 UNCCJEWFLUGRCI-UHFFFAOYSA-N 400.306 4.567 5 20 HJBD Nc1c(Cl)c(Cl)nc(C(=O)OCc2ccc([N+](=O)[O-])cc2Cl)c1Cl 782278098 PTKACUSWJWZPHP-UHFFFAOYSA-N 411.028 4.543 5 20 HJBD CCn1c(SCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nnc1-c1cccc(Cl)c1 789491978 SDIWVYKVIQZIAU-UHFFFAOYSA-N 419.850 4.727 5 20 HJBD CCOc1ccc([C@@H]2CCCN2C(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1 790499691 YNLRYVXVFLKLGZ-SFHVURJKSA-N 406.841 4.692 5 20 HJBD O=C(Nc1ccc(-c2ccc(Cl)s2)cn1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 791042746 VMJVWVHSUSTTQB-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 795125599 LEHJYQOWOIKEOS-LJQANCHMSA-N 408.376 4.784 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3ccc(Cl)c([N+](=O)[O-])c3)CC2)cc1 812776903 RSOYXPARXYLKMF-NRFANRHFSA-N 404.894 4.593 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CC[C@]2(CCc3ccccc3O2)C1 813277248 SKSSEUHNBLHFSK-LJQANCHMSA-N 407.253 4.512 5 20 HJBD Cc1cc(Cl)c(C(=O)OCc2nnc(-c3ccccc3Cl)o2)cc1[N+](=O)[O-] 815406862 YIDFFRHSRJJQHZ-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc2oc(CN3CCCC3)nc2c1 820498353 XXCHJARAOVLIKM-UHFFFAOYSA-N 408.458 4.509 5 20 HJBD CN(C)C1CCN(c2ccc(NCc3ccc(Cl)cc3[N+](=O)[O-])cc2F)CC1 864010460 AVNFGFRPCGIWSF-UHFFFAOYSA-N 406.889 4.530 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)c1c(F)cccc1F 890318185 WDLWEYNXUBKENV-UHFFFAOYSA-N 423.327 4.866 5 20 HJBD CCOc1cc(-c2nc(-c3ccc(N4CCCCC4)cc3)no2)c([N+](=O)[O-])cc1OC 904612589 RCHRUOPQCJPZLT-UHFFFAOYSA-N 424.457 4.709 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc(-c2ccn(-c3ccccc3[N+](=O)[O-])n2)o1 1117285503 ZBIPEMNUEWWFEV-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 1254366381 DGKFDMDGTIYFKK-HNNXBMFYSA-N 424.526 4.993 5 20 HJBD Cc1nc([C@H](C)NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)no1 1254991013 NENWMONRXGIVMJ-JTQLQIEISA-N 418.862 4.582 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccccc2OCc2ccc(F)cc2)o1 1341365823 JZVJHOZTJWUJIY-UHFFFAOYSA-N 421.384 4.942 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2cc(SC)ccc2[N+](=O)[O-])cs1 11649431 RHFWTEXZYPAOMS-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD Cn1c(=O)c2ccccc2n2c(SCc3nc4ccccc4c4ccccc34)nnc12 16323050 KAVZRUPXIYJEHK-UHFFFAOYSA-N 423.501 4.575 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 21120981 TUQDTOPXNQNCPJ-STQMWFEESA-N 404.850 4.946 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nnc(-c4cccc(Cl)c4)o3)o2)cc1 21547957 COADBWIPJKPECG-UHFFFAOYSA-N 415.818 4.641 5 20 HJBD COc1cc(CN(Cc2ccco2)Cc2ccco2)c([N+](=O)[O-])cc1OC(F)F 27369017 ZQMSIVRZOZYKDJ-UHFFFAOYSA-N 408.357 4.593 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Oc1ccc(NC(=O)c2ccccc2)cc1 29472415 NEGKJKHRXHHRAG-UHFFFAOYSA-N 419.437 4.645 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N(C)Cc3ccccc3F)cc2[N+](=O)[O-])n1 68976554 QOZAVQMWBKHNSE-UHFFFAOYSA-N 417.487 4.922 5 20 HJBD CCN(CC)c1ccc(-c2nnc(SCCOc3cccc([N+](=O)[O-])c3)o2)cc1 107757216 VQNIDXALFMIBCG-UHFFFAOYSA-N 414.487 4.662 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)c2)n[nH]1 108071044 WOULFWKUXKXJDR-UHFFFAOYSA-N 414.425 4.684 5 20 HJBD COc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1OC 237247278 PVWWWVWWEYOXAH-UHFFFAOYSA-N 402.834 4.815 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 303760387 OGFJMLBFVNXGRU-UXHICEINSA-N 410.517 4.868 5 20 HJBD O=C(NCc1coc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 303970422 ZVBLHWKYXINULZ-UHFFFAOYSA-N 415.405 4.972 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2C)C(C)(C)C)c([N+](=O)[O-])cc1OC 430570436 JXWOBIXCFZDPEQ-HXUWFJFHSA-N 400.475 4.828 5 20 HJBD Cc1sc(NC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])nc1-c1ccc2c(c1)CCC2 434247614 XWHJSTSJRYZGIM-UHFFFAOYSA-N 411.487 4.614 5 20 HJBD O=C(Nc1ccc(-c2cnco2)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436057778 HAKOPPQLHWRLGQ-UHFFFAOYSA-N 417.371 4.867 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccc(Cl)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 437360707 WLMNAZFEPSFKMP-MGPUTAFESA-N 424.834 4.899 5 20 HJBD Cc1ccnc(Oc2ccc(NC(=O)N(Cc3ccccc3[N+](=O)[O-])C3CC3)cc2)n1 437800707 OAKXLQXYAPSIQH-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD COc1ccc(Oc2ccc(NC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)cn2)cc1 438413134 DEFJXHAFCIMTSC-CQSZACIVSA-N 408.414 4.673 5 20 HJBD CC(=O)c1ccc(OCC(=O)Nc2ccccc2Oc2ccccc2)c([N+](=O)[O-])c1 440820983 HGXCICADOXAONF-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD O=C(Nc1cccc(N2CCC2=O)c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442799092 JTZNXVAEGUCMJR-UHFFFAOYSA-N 419.462 4.735 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)N1CCc2ccc([N+](=O)[O-])cc2C1 447411460 CNPSIPKEVKSNRE-FQEVSTJZSA-N 407.495 4.708 5 20 HJBD COc1ccc(OCCSc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447692785 INPSXFQRWANSQU-UHFFFAOYSA-N 424.347 4.824 5 20 HJBD CC(C)(O)COc1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 447693301 LLUWBCNFBKUMCF-UHFFFAOYSA-N 421.322 4.537 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 462627685 ZFCRXJCIKAILBS-YHYVQYDKSA-N 414.477 4.681 5 20 HJBD Cc1nc(-c2ccncc2)nc(Oc2ccc(Br)cc2[N+](=O)[O-])c1C 462894734 JDKJBLWKHDZSLB-UHFFFAOYSA-N 401.220 4.618 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 464051819 HZRBEMQLHGBBNI-LAUBAEHRSA-N 405.454 4.565 5 20 HJBD Cc1ccc([C@H]2CCC[C@@H]2N(C)C(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 464051820 HZRBEMQLHGBBNI-UTKZUKDTSA-N 405.454 4.565 5 20 HJBD O=C(c1cc2c(ccc3ccccc32)[nH]1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464628710 JFVBBGPWXXRJJJ-UHFFFAOYSA-N 415.449 4.913 5 20 HJBD CCCn1ccc2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc21 465157736 LKWDGUFPJNIIIW-UHFFFAOYSA-N 403.442 4.612 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccccc2CCC(F)(F)F)cc1OC 465485273 HJUIRRPSBJSMDF-UHFFFAOYSA-N 412.364 4.749 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)CCCc1ccc(Br)s1 466511069 YLHGINJUAKFZIS-UHFFFAOYSA-N 413.293 4.779 5 20 HJBD Cc1c(NC(=O)CCN[C@H](c2cccc(Cl)c2)c2ccccn2)cccc1[N+](=O)[O-] 475324861 INYIIENFUHQFGN-JOCHJYFZSA-N 424.888 4.659 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cn(C)nc2-c2ccc(Cl)s2)cc1[N+](=O)[O-] 475919933 MUCSAODYNKSVTA-NSHDSACASA-N 404.879 4.510 5 20 HJBD CCS[C@H]1CCCCN(C(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 480418209 KGJSUQJVFCDSNG-ZDUSSCGKSA-N 422.494 4.840 5 20 HJBD CCS[C@@H]1CCC[C@@H]1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486179921 QNJLMJFFGOHLSO-AZUAARDMSA-N 400.500 4.578 5 20 HJBD CC(C)NC(=O)Nc1ccc([C@@H](C)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 494447885 CPMXWRBUOQSYSN-CYBMUJFWSA-N 424.423 4.994 5 20 HJBD COc1ccccc1[C@H](NC(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1)c1ccco1 494448491 WMBRMAUCLODINS-VFNWGFHPSA-N 409.442 4.655 5 20 HJBD O=C(NCc1ccc(-c2ccncc2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494563772 JVFVGGWJPXQBBB-UHFFFAOYSA-N 402.454 4.531 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)COc2ccc([N+](=O)[O-])cc2)cc1 496593547 PXQNLGJLFIVZTJ-DEOSSOPVSA-N 419.481 4.569 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1ccc(Oc2ccccc2)nn1 498024080 CWWQLYMHGFAOEC-UHFFFAOYSA-N 402.204 4.519 5 20 HJBD CCOc1cc(C(=O)N(Cc2cccc(C)c2)Cc2ccco2)c([N+](=O)[O-])cc1OC 499574350 SOIMLRZSIWMCMZ-UHFFFAOYSA-N 424.453 4.746 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2cc(Cl)ccc21 500225535 KGJLXGUZFRKEJF-UHFFFAOYSA-N 406.891 4.580 5 20 HJBD C[C@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccccc1[N+](=O)[O-] 508289933 QJCZLNIFURBWQG-OKILXGFUSA-N 415.877 4.904 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCCc1c[nH]c2ccc(F)cc12 508619813 IYHZUVRBVHRANP-UHFFFAOYSA-N 412.465 4.768 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 511690846 JISOKOANBIIHBQ-MRXNPFEDSA-N 418.318 4.549 5 20 HJBD CCCOc1cc(NC(=O)N(C)Cc2ccccc2)c([N+](=O)[O-])cc1OCCC 515104020 RIRAUYWEXRAEMX-UHFFFAOYSA-N 401.463 4.836 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])s1 520478165 LFHDIZJGCZPUQY-KRWDZBQOSA-N 417.284 4.938 5 20 HJBD O=C(Nc1ccccc1COc1ccccc1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 520685249 BBRVUAAVELIFGC-UHFFFAOYSA-N 414.421 4.612 5 20 HJBD O=C(COc1cc(Cl)ccc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 525618479 SIVLANQJFAHAAU-KRWDZBQOSA-N 413.282 4.555 5 20 HJBD O=C(NCc1ccc(OC2CCCCC2)nc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 533181978 REAXDRPLFFZADO-UHFFFAOYSA-N 424.501 4.576 5 20 HJBD COC(=O)c1ccc(OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)c([N+](=O)[O-])c1 534427622 CIOMKEGYVZTKOP-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD C[C@@H](NCCOc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 538130506 ZXMGBWGVFJXBDZ-QGZVFWFLSA-N 405.454 4.577 5 20 HJBD CN(C(=O)[C@]1(C)CCc2ccccc2C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251237 HCGCRUAIRXFHRW-JOCHJYFZSA-N 407.495 4.876 5 20 HJBD CCOc1cc(NC2CCN(C(=O)[C@H](CC)c3ccccc3)CC2)ccc1[N+](=O)[O-] 538870225 NZUNKBDYKZBSIL-HXUWFJFHSA-N 411.502 4.590 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 540183311 RAUBRCFFCIOHNX-CYBMUJFWSA-N 404.417 4.632 5 20 HJBD C[C@@H](C(=O)N[C@](C)(c1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 541599899 PQVASSINSDQVSC-BMLIUANNSA-N 402.319 4.570 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2nc(C3CC3)oc2c1 544097372 VFYAFVSBQKTHFC-UHFFFAOYSA-N 403.847 4.998 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(C)(C)C)cs1 544487554 WBZFLDARMISFEG-MNOVXSKESA-N 410.927 4.680 5 20 HJBD CC[C@@H](Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1)c1ccc(OC)cc1 545146462 GTVVPRMUMZRKCG-AWEZNQCLSA-N 406.442 4.795 5 20 HJBD CC(C)(C)c1nc(Cc2noc(-c3ccc(N4CCCC4)c([N+](=O)[O-])c3)n2)cs1 547217646 QPHXBOKXNPXXDV-UHFFFAOYSA-N 413.503 4.590 5 20 HJBD Cc1cccc(-n2ncc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c2C)c1 547266713 DOBJFDHJJHVCGI-UHFFFAOYSA-N 414.425 4.519 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)NCc1ccc([N+](=O)[O-])cc1Cl 547339216 CYUYHXLFGJZLTD-UHFFFAOYSA-N 410.282 4.760 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccc(OC)nc3)ccc2C)c1 548995352 XOFIJBGKRCVIRT-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 556885395 XRAPYUNHRSOKIO-STZQEDGTSA-N 407.470 4.691 5 20 HJBD C[C@@H]1CO[C@@H](c2cccc(C(F)(F)F)c2)CN1C(=O)CCc1cccc([N+](=O)[O-])c1 557439827 ZESRISLVYKFQMH-AUUYWEPGSA-N 422.403 4.535 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 570845200 HLKXJMPAKVEOSZ-SFHVURJKSA-N 410.474 4.533 5 20 HJBD COCc1nc(Cc2noc(-c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)n2)cs1 570950295 YBXPNIRBDMXQGY-UHFFFAOYSA-N 423.454 4.582 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cc(F)c(F)cc1N1CCCCC1 579291802 HLCAJNQFCXIKKK-UHFFFAOYSA-N 418.444 4.570 5 20 HJBD CSc1ccc(C(=O)Nc2ccccc2-c2ncc3n2CCCC3)cc1[N+](=O)[O-] 580739720 WFFJXAFCAIYRSV-UHFFFAOYSA-N 408.483 4.769 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 582379863 FXKYPSKDURPDPO-KRWDZBQOSA-N 407.264 4.589 5 20 HJBD O=C(N[C@@H]1CCc2c(Br)cccc21)c1cc2cc([N+](=O)[O-])ccc2o1 609022462 GEVRRWVEWYYYME-OAHLLOKOSA-N 401.216 4.521 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC2(CC1)OCc1ccccc12 611400389 UMSULPGKBICMLQ-UHFFFAOYSA-N 412.511 4.757 5 20 HJBD CCC[C@H](NC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1cccc(C(F)(F)F)c1 726756626 BWWKBEOSOIUFNM-INIZCTEOSA-N 414.355 4.789 5 20 HJBD O=C(CNC(=O)c1c(F)cccc1Cl)OCc1nc2ccccc2c2ccccc12 731079549 KWYJCFBXRCHUDS-UHFFFAOYSA-N 422.843 4.654 5 20 HJBD CC(C)C[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@H](C)c1ccccc1 732577988 DXOHIKKBDMOEDA-NVXWUHKLSA-N 403.910 4.744 5 20 HJBD O=C(OCc1cccc([N+](=O)[O-])c1)c1cc(Br)sc1Br 735004882 KOPZISJGOJZNNO-UHFFFAOYSA-N 421.066 4.538 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3Cl)o2)c1 735412545 CAVCSJJXXBKDRR-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD COc1ccc(CCC(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1Cl 735444017 UIQAAEVGBNORKW-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD C[C@H](OC(=O)c1cccc(Nc2ccccc2)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 735723677 SVAWADPEUDEFEF-HNNXBMFYSA-N 405.410 4.522 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2)cc1 742893258 PYPPIFUJCHVECO-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Nc1ccc(F)c([N+](=O)[O-])c1 743821866 WFOXJJIDAKMOSZ-UHFFFAOYSA-N 424.251 4.677 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccc(Cl)cc2)no1 746067588 BODHRFCVFREJEU-SNVBAGLBSA-N 413.773 4.962 5 20 HJBD O=C(CSc1nc2cc(C(F)(F)F)ccc2s1)Nc1ccccc1[N+](=O)[O-] 753852465 SRCDKTOCKGCNEV-UHFFFAOYSA-N 413.402 4.954 5 20 HJBD O=C(O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC(F)(F)C1 754120030 RLXAKJMIPLKZRS-MRXNPFEDSA-N 424.787 4.517 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)O[C@H]1CCCC[C@H]1n1ccc([N+](=O)[O-])n1 759934039 UPGKIGPZHDYWEH-OLZOCXBDSA-N 418.500 4.625 5 20 HJBD Cc1c(C(=O)Nc2ccc(Cl)cc2OC(F)F)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 762523737 NKKQEGXSHSNIPC-UHFFFAOYSA-N 415.736 4.627 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2ncc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 772043064 QATJMZJJXLNZIN-NSHDSACASA-N 418.862 4.630 5 20 HJBD CN(C(=O)c1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495595 MVUBAKMPIHMPSY-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Cl)c1csc(-c2cccc([N+](=O)[O-])c2)n1 778087290 DYRNFXZCXYQRAP-UHFFFAOYSA-N 419.802 4.637 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccc(OC2CCCC2)c(F)c1 781650638 FTOVTZBRBYHZAC-UHFFFAOYSA-N 417.393 4.535 5 20 HJBD CCCOc1cc(OC)ccc1C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 789663223 HDHSHBWCOWBQGA-QFIPXVFZSA-N 422.437 4.734 5 20 HJBD COc1ccccc1[C@H](C)N(C)C(=O)COc1cc(Cl)c(Cl)cc1[N+](=O)[O-] 794497942 QROHVGPQZPAKCY-NSHDSACASA-N 413.257 4.509 5 20 HJBD CCOC(=O)Nc1ccc(Br)cc1NCc1ccc([N+](=O)[O-])cc1OC 797422954 HIVHVOOMEQWBFD-UHFFFAOYSA-N 424.251 4.546 5 20 HJBD O=[N+]([O-])c1cc(-c2nc([C@@H](F)c3ccc(C(F)(F)F)cc3)no2)cc([N+](=O)[O-])c1 800999676 BFOLRUYUSDSSJT-ZDUSSCGKSA-N 412.255 4.631 5 20 HJBD COCCC[C@H](CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 803366702 XFLUCOTXHZWARI-CQSZACIVSA-N 411.285 4.842 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 807687972 VZTDCMXTHPNBGC-OIISXLGYSA-N 415.287 4.766 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN1CCC[C@@H](c2nnc3n2CCCCC3)C1 809935201 JPAJITFOHCKNON-CYBMUJFWSA-N 424.332 4.599 5 20 HJBD Cc1csc(Sc2ccc([N+](=O)[O-])cc2C(=O)OCc2scnc2C)n1 811035734 OKXQBDHRPRYOHB-UHFFFAOYSA-N 407.498 4.633 5 20 HJBD CCC[C@H](C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 821235128 NJNFHHKBOCRLMT-BBRMVZONSA-N 404.850 4.702 5 20 HJBD O=C1CCCN1c1ccc(Cl)c(NCc2c(Br)cccc2[N+](=O)[O-])c1 863997087 MIVLJXISCHKAJS-UHFFFAOYSA-N 424.682 4.750 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cc(Cl)ccc1[N+](=O)[O-] 916478368 RUUNQLGYTVRZKC-UHFFFAOYSA-N 420.746 4.918 5 20 HJBD Cc1ccc(-n2nc(C)cc2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 1320495180 CMKZJOUPESFDOG-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD C[S@@](=O)Cc1ccccc1NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1321131107 LXMALNKSJIYWAI-HHHXNRCGSA-N 404.875 4.999 5 20 HJBD COc1cc(-c2nnc(COc3ccc(C(C)(C)C)cc3)o2)c([N+](=O)[O-])cc1OC 1323926707 DAVZSKRMPWIXET-UHFFFAOYSA-N 413.430 4.539 5 20 HJBD C[C@@H](OC(=O)c1occc1-c1ccccc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 24509485 BGXKWMPIKHMEQM-CYBMUJFWSA-N 405.366 4.823 5 20 HJBD CCOc1ccccc1Nc1nnc(Sc2ccc(C(C)=O)cc2[N+](=O)[O-])s1 32509807 WKGMNEWSYJMWDQ-UHFFFAOYSA-N 416.484 4.942 5 20 HJBD C[C@@H](OC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(Cl)ccc1Cl 60383316 DZRHLCPUDLUUML-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD Cc1ccc(NC(=O)Nc2ccccc2)cc1NC(=O)CNc1ccccc1[N+](=O)[O-] 65867196 DSEBJICLMIHFNH-UHFFFAOYSA-N 419.441 4.598 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 72081417 QONMOVIULSFKCL-UHFFFAOYSA-N 416.783 4.984 5 20 HJBD O=C(Nc1ccc(N2CCCC2=O)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 107775284 IOUIDMOEHBFRDA-UHFFFAOYSA-N 417.421 4.766 5 20 HJBD Cc1nc(-c2ccc(Br)cc2Cl)nn1Cc1cccc([N+](=O)[O-])c1 303551476 ZYMZTYRBVWCGAC-UHFFFAOYSA-N 407.655 4.626 5 20 HJBD CCn1cc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(-c3ccc(Cl)cc3)s2)n1 427379839 NZLHOSYDVMBCDR-LLVKDONJSA-N 404.879 4.684 5 20 HJBD C[C@@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 433134498 KYJYESAPEDFSSX-LLVKDONJSA-N 416.231 4.503 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H](C)c1nc2ccccc2s1 439904838 UVBSDEGQBBTTGO-GFCCVEGCSA-N 400.460 4.828 5 20 HJBD Cc1ccc(NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OCCC(C)C 441157193 SGMIRGARZBBJFK-UHFFFAOYSA-N 419.865 4.559 5 20 HJBD Cc1csc([C@@H](NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C2CC2)n1 460306719 OITVAXCLJNSIQM-IBGZPJMESA-N 408.483 4.984 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Sc2ccccc2Cl)nn1 462044020 HHCLZEKHIAXADG-UHFFFAOYSA-N 400.847 4.750 5 20 HJBD CC(C)(NC(=O)Nc1cc(Br)c(F)cc1F)c1ccc([N+](=O)[O-])cc1 466699671 KPIOBYJLRRGDIG-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1cc2cccc(F)c2o1 475096239 SYQBBMRAHWMWKT-JTQLQIEISA-N 421.222 4.663 5 20 HJBD CC(C)C(=O)Nc1ccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc1 475201902 HYZZRXMYICBYOM-UHFFFAOYSA-N 424.379 4.530 5 20 HJBD COc1cc([C@@H](C)NC(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)ccc1OC(C)C 475563174 UYKDGRZIEGWWLD-OAHLLOKOSA-N 401.463 4.890 5 20 HJBD C[C@@H]1CN(c2ccccc2NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C[C@@H](C)O1 478191081 RZNBUJKLSUNRHX-HZPDHXFCSA-N 424.526 4.944 5 20 HJBD COc1cc(CN2CCSCC[C@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 483425074 GUIFSSNFNHXACN-MRXNPFEDSA-N 402.516 4.510 5 20 HJBD CCC[C@@H](C(=O)N(CCOC)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1)C(C)C 484649861 FSKKTUSVMDXSDH-QGZVFWFLSA-N 405.520 4.770 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)c2cnc3ccccc3n2)cc1[N+](=O)[O-] 484875045 PHIWCSZUOMGNAS-UHFFFAOYSA-N 410.499 4.953 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NC[C@@H](c1ccccc1)C(F)(F)F 485607376 DUCXGULZUGQXGP-IBGZPJMESA-N 424.422 4.617 5 20 HJBD O=[N+]([O-])c1ccc2nc(NS(=O)(=O)c3ccccc3-c3ccccc3)sc2c1 485821253 YKEXVNNSDQOCGJ-UHFFFAOYSA-N 411.464 4.672 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486070019 DFOFDWCZODMLOA-UHFFFAOYSA-N 406.280 4.545 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])c1nccs1 486122722 COQIBGHLOUWWRB-HNNXBMFYSA-N 411.483 4.506 5 20 HJBD COc1ccc(CNC(=O)c2ccc(Oc3ccc(F)c(F)c3)cc2)cc1[N+](=O)[O-] 486652743 CPPUJYPRWGLXGO-UHFFFAOYSA-N 414.364 4.604 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])s1 488382334 HRZLDGRSERODPW-LBPRGKRZSA-N 410.927 4.521 5 20 HJBD C[C@@H](NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1cccc(OCc2ccccn2)c1 488672235 OAFPPUQRECFYQM-OAHLLOKOSA-N 416.437 4.541 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 493081535 RBZWSTQBNJWQOC-DNVCBOLYSA-N 413.474 4.528 5 20 HJBD COc1cc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C)ccc1OCc1ccccc1 495478285 ZQELOBPCDAAGIA-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD CCCN(Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H](C)CC(=O)Nc1ccccc1 502876215 HRPGLOHARCDNQE-QGZVFWFLSA-N 422.485 4.879 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(OCC(F)(F)F)c(Cl)c1 504953903 PTGNHHUUKUYZSR-UHFFFAOYSA-N 403.744 4.511 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc2c1OCCC2=O 505003323 SGKXMZVYQVPKRB-UHFFFAOYSA-N 400.456 4.705 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2cc(OC)c([N+](=O)[O-])cc2F)cn1 508279862 PTWDJKBOBJRTRG-UHFFFAOYSA-N 413.405 4.941 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512281066 ISFJKHCGBABDJN-LBPRGKRZSA-N 419.268 4.921 5 20 HJBD CCOc1cc(NC(=O)N(CC)Cc2ccccc2C)c([N+](=O)[O-])cc1OCC 515103105 VULQDXJJIPVIAR-UHFFFAOYSA-N 401.463 4.755 5 20 HJBD CCOC(=O)c1sc2nc(C)nc(N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2c1C 515499892 ZFFXAZPUJJYRQB-NSHDSACASA-N 400.460 4.566 5 20 HJBD CC1CCN(Cc2cccc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)c2)CC1 520958318 WMGJBSZVVDTXGN-UHFFFAOYSA-N 419.472 4.718 5 20 HJBD Cc1nc(CN(C(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c2ccc(F)cc2)cs1 520971417 RLNCJPWYOMCKNI-UHFFFAOYSA-N 424.457 4.687 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 521382350 PKUDMAYPEVQDHC-UHFFFAOYSA-N 419.268 4.750 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)NCc3cccc([N+](=O)[O-])c3)c2)cc1 524336664 YFKNIIGUDBBLBS-UHFFFAOYSA-N 421.453 4.642 5 20 HJBD O=C(Nc1ccc(F)c(NC(=O)C2CCCCC2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534984988 SRKCXUHNRABDNR-UHFFFAOYSA-N 424.432 4.986 5 20 HJBD COc1cccc(Cc2nnc(SCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)o2)c1 535507170 KXENAVFEHVJRNL-UHFFFAOYSA-N 424.438 4.525 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)c3cccc4cccnc34)cc2[N+](=O)[O-])C1 545243904 IGTHZHLBAZHMBJ-IAGOWNOFSA-N 418.497 4.902 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(-c3ccccc3OC(F)(F)F)no2)cc1 546323488 WSJWBQCOEQYXBB-UHFFFAOYSA-N 408.336 4.588 5 20 HJBD Cc1c(Cc2noc(CCC(=O)c3ccc4ccccc4c3)n2)cccc1[N+](=O)[O-] 547196188 ZPXRZNKLAGUGCS-UHFFFAOYSA-N 401.422 4.846 5 20 HJBD CO[C@H](c1noc(-c2cc(Br)cc([N+](=O)[O-])c2)n1)c1ccccc1Cl 547227001 XNMQIGHOECYQIY-AWEZNQCLSA-N 424.638 4.797 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CCOc3c(-c4ccccc4)cccc32)c1 549301109 WGHUECNSMXHOGH-NRFANRHFSA-N 418.449 4.914 5 20 HJBD Cn1nc([C@@H]2CCCO2)cc1NC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 556079292 VZXPNVWNNOVIEI-IBGZPJMESA-N 424.482 4.583 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC(F)(c3cccnc3)CC2)c([N+](=O)[O-])c1 557671918 BEEUGSLDXSMMCZ-UHFFFAOYSA-N 405.429 4.686 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](NCCc1cnc(SC2CCCC2)nc1)C1CC1 559912341 WZQBQDASAPBDRF-FQEVSTJZSA-N 412.559 4.573 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 588771441 HNENBARWQGDVCN-GOSISDBHSA-N 411.483 4.950 5 20 HJBD C[C@H]1CO[C@H](c2cccc(C(F)(F)F)c2)CN1c1ncnc2ccc([N+](=O)[O-])cc12 589870426 VOMHQTLKMDKQLJ-SGTLLEGYSA-N 418.375 4.523 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C1 603741151 IAPPABPOJUJYBG-GASCZTMLSA-N 421.453 4.711 5 20 HJBD Cc1c(CNC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccc(F)cc12 604443661 IAQSARXUVFOXNE-NSHDSACASA-N 406.797 4.526 5 20 HJBD COc1ccc(Sc2ccc(NC(=O)c3cc4c(cc3[N+](=O)[O-])OCO4)cc2)cc1 609103435 AAXPVJOHJUNSPI-UHFFFAOYSA-N 424.434 4.736 5 20 HJBD C[C@H](NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1)c1ccc2nc(O)[nH]c2c1 609620527 ROJRMOJTENXBOV-HNNXBMFYSA-N 417.469 4.795 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N2CCc3c2cccc3[N+](=O)[O-])cc1OC 609972825 NNKNDKFSTATJKU-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD COC(=O)c1ccc(F)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611204215 AYERROPTUJNAEU-UHFFFAOYSA-N 418.446 4.808 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc(C(F)(F)F)c1 617126945 AQGMFCUZBBXFAQ-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD O=[N+]([O-])c1ccc2oc(CNC3(c4cccc(C(F)(F)F)c4)CCOCC3)nc2c1 618545417 XIXSDADZPVOZLI-UHFFFAOYSA-N 421.375 4.550 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1ccc([N+](=O)[O-])cc1Cl 728916559 FMUVTXGSULYOCZ-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])c1 745184719 GTRDZVMVWNQIGA-UHFFFAOYSA-N 418.877 4.723 5 20 HJBD C[C@@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccccc1Oc1ccccc1 745334542 UJXZGQGHZAZBRF-MRXNPFEDSA-N 420.421 4.500 5 20 HJBD CC(C)[C@H](C(=O)OCC(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 745551516 LASVQWCPOUXMOC-SFHVURJKSA-N 424.375 4.535 5 20 HJBD O=C(CCC(=O)N1CCc2n[nH]cc2C1)c1ccc2ccc3cccc4ccc1c2c34 746115697 MQLHGDYSWDAFJU-UHFFFAOYSA-N 407.473 4.855 5 20 HJBD CCN(Cc1ccc(C(F)(F)F)cc1)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746659407 OWJIOJJYTVQIHH-UHFFFAOYSA-N 406.364 4.686 5 20 HJBD C[C@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754865454 RFIQRMMNVOGVNQ-QWHCGFSZSA-N 414.849 4.581 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1cc([N+](=O)[O-])cc(Cl)c1F 754990713 SLEOTYODRNKJRT-UHFFFAOYSA-N 418.686 4.904 5 20 HJBD Cc1cc(C(=O)COC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)c(Cl)cc1F 754990725 SRTNMWMCAPGSFV-UHFFFAOYSA-N 404.152 4.528 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Oc2ccc(N(C)C(=O)C(C)(C)C)cc2)c1 755392365 PAGUWFNXYRZJLN-UHFFFAOYSA-N 402.472 4.545 5 20 HJBD COc1cc(NC(=O)c2cc(F)cc(C)c2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 758414921 XVDQGKFIMRNIOY-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD O=C(NCC[C@@H](O)c1ccc(Cl)c(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Cl 758831255 WXSAYPQLIDTJPE-OAHLLOKOSA-N 418.664 4.800 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)c1nnc(-c2cccc(C)c2)o1 765524695 BTMPVFGXSFYMQB-WBVHZDCISA-N 409.442 4.826 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccc(-n2cccn2)nc1 772232450 MHKMBHCVAGCOBI-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD CC(C)(O)c1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 775623645 AICNXXIWBCXNNO-UHFFFAOYSA-N 421.453 4.936 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1F 776131151 COWIVDNHQHSQRQ-GOSISDBHSA-N 404.756 4.868 5 20 HJBD CCC(CC)n1nc(C)cc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776136145 VTIJXOJVGQDBBQ-OAQYLSRUSA-N 408.458 4.802 5 20 HJBD Cc1ccc(C[C@H](C)C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1 779336971 FIVHIDBVLQCYHE-KRWDZBQOSA-N 422.485 4.569 5 20 HJBD Cc1sc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])nc1-c1ccccc1 781560786 BRMGZVUIUSSAEH-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD COc1ccccc1-c1nc(COC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cs1 785951252 MDNCPSMATSBHOG-UHFFFAOYSA-N 418.858 4.666 5 20 HJBD CC(C)c1c(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cnn1-c1ccccc1Cl 791635303 STWGOSRTZGLECF-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H]2C[C@@H](O)c2cccs2)nc1-c1ccc(F)cc1 797436046 VGQVSZFMJWVVLG-SJLPKXTDSA-N 413.474 4.950 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(O)(c3ccc(-c4ccccc4)cc3)CC2)cc1F 801550596 CJMOBPQZBJTKJL-UHFFFAOYSA-N 406.457 4.885 5 20 HJBD O=C(OCc1nc2cc(Br)ccc2o1)c1ccc([N+](=O)[O-])c(Cl)c1 803413293 MKEHKSBLHQYYLY-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1c1ncnc2sc([N+](=O)[O-])cc12 804551908 WQDVENOMKQZDAV-CYBMUJFWSA-N 420.926 4.755 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 806368153 UZJGKKHBRGAAPJ-OAHLLOKOSA-N 422.279 4.981 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812976522 VKJATUCCEQOUNT-AWEZNQCLSA-N 408.266 4.878 5 20 HJBD Cc1c(C(=O)N[C@H](C)C(c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914494299 PHIBHPUEZQUGTM-MRXNPFEDSA-N 419.437 4.762 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCCc2scnc2C)cc1 915129989 VHKIUMQAZQOIFS-UHFFFAOYSA-N 414.439 4.560 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)OCc2cc(F)ccc2[N+](=O)[O-])cc1 918787477 IFXAWWIZMIKWPI-UHFFFAOYSA-N 400.431 4.760 5 20 HJBD CCOc1ccccc1OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 919678334 VQTQKSQAXXQRIA-HNNXBMFYSA-N 407.426 4.781 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3cc(Br)c(C)c([N+](=O)[O-])c3)o2)c1 1349923423 QVZQMJWRDBTYPV-UHFFFAOYSA-N 418.247 4.912 5 20 HJBD C[C@H](c1ccc(F)cc1)N(C)C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 11509418 JPJFXUFBBIOOSC-LLVKDONJSA-N 405.476 4.655 5 20 HJBD COC(=O)c1ccc(OCc2csc(Cc3ccc(Cl)cc3)n2)c([N+](=O)[O-])c1 32328370 JHPDWGCYUAUXHR-UHFFFAOYSA-N 418.858 4.661 5 20 HJBD COc1cc(C(=O)Nc2ccc(-c3nc4ccccc4o3)cc2)c([N+](=O)[O-])cc1OC 54747354 OPOMJMXTYLCWCA-UHFFFAOYSA-N 419.393 4.673 5 20 HJBD O=C(Nc1ccc(Br)cn1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 59250962 ROJOLUSIKYZTHX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD CC(C)c1c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)cnn1-c1cccc(Cl)c1 59741984 KOQLCUIPMBQSLP-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CC[C@@H](C)NC(=O)c1cccc(CNc2cc(C)nc(-c3ccc([N+](=O)[O-])cc3)n2)c1 60859841 KGXWIXATUNBYPN-OAHLLOKOSA-N 419.485 4.501 5 20 HJBD Cc1ccc(C(=O)Nc2cccc(CNC(=O)c3cc([N+](=O)[O-])ccc3Cl)c2)cc1 71981722 UDBAINICPUQYLJ-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCCCCNc2ccccn2)o1 97593095 ODEILVNVRGNALP-UHFFFAOYSA-N 400.866 4.885 5 20 HJBD O=[N+]([O-])c1ccccc1-c1nnc(COc2ccc(Br)cc2Cl)o1 111858823 WGZNQNBTNUHLNV-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1ccc(Nc2ccccc2)nc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 116410981 MOWSQXBOJSFWCV-UHFFFAOYSA-N 403.442 4.586 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(N2CCCC2=O)c1 237511067 ZQFFMUQZLJBEAU-OAHLLOKOSA-N 422.510 4.696 5 20 HJBD COc1cc(Br)c(CNc2ccc([N+](=O)[O-])cc2Cl)cc1OC 390326101 BMUOKVPEOFLPLE-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD Cc1nnc(N(C)C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])s1 427723588 ZCUJXTTXAYVZNL-UHFFFAOYSA-N 420.903 4.836 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 430660843 FTIJVFNJZYOFDU-QAPCUYQASA-N 409.511 4.592 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1 431337944 QMCVPMDEIJHIOP-MRXNPFEDSA-N 409.486 4.526 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 443846633 ZYPFGNKQZNDHGJ-GOSISDBHSA-N 421.478 4.596 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N[C@H]1C[C@H](c2ccc(Br)cc2)C1 462368237 GDTBTZODGWPRAZ-CTYIDZIISA-N 421.316 4.512 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](c2ccccc2)C1 463095133 VICCQSBZUGMTKX-FXAWDEMLSA-N 414.527 4.993 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NC(=O)CN(C)c1ccccc1[N+](=O)[O-] 463728611 MLZJVAAUTTXCSO-UHFFFAOYSA-N 405.454 4.557 5 20 HJBD CC[C@@]1(C)CCCN(C(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)C1 464603526 PGRGPYOIVMNLPX-SFHVURJKSA-N 411.289 4.740 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1 467090205 JOGUHNQPRRPHEL-UHFFFAOYSA-N 404.854 4.555 5 20 HJBD CC(C)(C(=O)N1CCCc2c([nH]c3c(F)cccc23)C1)c1ccc([N+](=O)[O-])cc1F 467791211 XDZYEDAYNINXFA-UHFFFAOYSA-N 413.424 4.607 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2cccc(Cl)c2F)cc1OC 469477597 IBJKLAVMUFMGCG-UHFFFAOYSA-N 424.856 4.502 5 20 HJBD O=C(Nc1ccccc1-c1cc(F)c(F)c(F)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 474850667 HKZBWOUSBNVDPI-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CCC(OC2CCCC2)CC1 475217562 XHKUVGOKUJOSRT-UHFFFAOYSA-N 401.385 4.569 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccc([N+](=O)[O-])cc1Br 478223432 FVEVOYCZODAAMW-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD CCCCn1ncc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c1C(C)C 482710467 UYLYRAOBTQTRCS-UHFFFAOYSA-N 409.284 4.730 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3ccncc3)cc2)cc1SC 483616210 PCMRCDSGNLIWQC-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2nc3ccccc3n2C(F)F)c1[N+](=O)[O-] 485742477 IYRLXJVKGRAQKB-SNVBAGLBSA-N 406.414 4.553 5 20 HJBD Cc1nc(NC(=O)c2c(-c3ccc(C(F)(F)F)cc3)noc2C)ccc1[N+](=O)[O-] 485751066 JVDKBOXVSFBWCC-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD COc1cccc(C2(CNC(=O)c3cccc(SC)c3[N+](=O)[O-])CCCC2)c1 486234784 WGUXDDKCFJJUFM-UHFFFAOYSA-N 400.500 4.567 5 20 HJBD CSc1cccc(C(=O)Nc2ccc3c(c2)ncn3-c2ccccc2)c1[N+](=O)[O-] 486439519 ZGEDVGBYCKRELD-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 488243308 WFYLUSOMGGJAFF-UHFFFAOYSA-N 402.303 4.737 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc2cc(Cl)ccc2s1 488449343 HAAZSMBDSBTVHA-UHFFFAOYSA-N 421.931 4.942 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H](c1ccc(F)cc1)C(F)(F)F 489088018 KZFXCCMYWSEWEJ-VFZGTOFNSA-N 420.746 4.574 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 489605527 PJGLDXHHPUARKN-OAHLLOKOSA-N 416.474 4.581 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@@H]1c1ccc(C)cc1 489935698 UNASZVOWHONEON-DYESRHJHSA-N 414.527 4.913 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc2oc(C(F)(F)F)nc12 494700372 CFAZQQBUVTUEFH-VIFPVBQESA-N 411.361 4.874 5 20 HJBD CCn1nc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cc1C1CC1 495416467 APUKZQGMYBGADE-UHFFFAOYSA-N 405.458 4.553 5 20 HJBD O=C([C@@H]1C[C@@H]1c1c(F)cccc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498133074 VFYOOMRTBDIGIT-KSMMKXTCSA-N 406.866 4.765 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N(CC)C1CCC(C(=O)OCC)CC1 511949070 ZWAWEHMUFBTIIL-UHFFFAOYSA-N 422.547 4.681 5 20 HJBD COc1ccc(CN2CCCC[C@H]2c2ncc[nH]2)cc1OCc1ccc([N+](=O)[O-])cc1 512557341 PLEGWBUXZIETDQ-FQEVSTJZSA-N 422.485 4.633 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCCN(Cc2ccc(C(F)(F)F)cc2)CC1 514810708 QUZMCQIFCKWTAI-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD CCO[C@H](c1noc(-c2ccc([N+](=O)[O-])s2)n1)c1ccc(F)cc1C(F)(F)F 515092320 IYSINLURLCMMSC-ZDUSSCGKSA-N 417.340 4.990 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNCCCOC2CCCC2)cc1 520965655 QZXROXAAVWNAIT-UHFFFAOYSA-N 420.513 4.886 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 521159027 LWNRVYZNPPIXNY-UHFFFAOYSA-N 420.444 4.576 5 20 HJBD CC(C)Oc1cccc([C@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])c1 521499290 JFSXHDAIVYERFI-HNNXBMFYSA-N 408.458 4.520 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)cc(OC)c1OC 534497218 DUAQFNCDTVMMQI-SNVBAGLBSA-N 400.353 4.813 5 20 HJBD C[C@@H](c1ccccc1)N(C)C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 535509316 WQAGFTWZMNQSLA-HNNXBMFYSA-N 411.483 4.703 5 20 HJBD Cc1nc(CC(C)C)c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 538246218 ODSZNBVNJHNZSN-UHFFFAOYSA-N 416.528 4.958 5 20 HJBD C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 538758881 QUERKAIWPYFEIS-POXGOYDTSA-N 415.540 4.649 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)[C@H](C)c4ccc([N+](=O)[O-])cc4F)CC3)c2c1 541682393 ROFRMXYEZGNWKN-CQSZACIVSA-N 423.444 4.643 5 20 HJBD CCCc1noc2nc(C3CC3)cc(-c3nc(Cc4cccc([N+](=O)[O-])c4C)no3)c12 547200517 SDXYTNJTGXESBE-UHFFFAOYSA-N 419.441 4.910 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2ccc(F)cc2F)C1 548314998 LJWYGYMMYFOKTP-GOSISDBHSA-N 422.453 4.589 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOc2cc(O)ccc2C1 554255231 PRDQIPGNSHPDPX-UHFFFAOYSA-N 421.409 4.519 5 20 HJBD CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(Cl)cc1)C(F)(F)F 558426967 ONRAIBAWYHIQSA-KRWDZBQOSA-N 400.784 4.943 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)NCc1cnn(-c2ccc(Br)cc2)c1 570896581 VSRVAWPVGDWCBR-CQSZACIVSA-N 415.291 4.702 5 20 HJBD C[C@H](NC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1)c1cccc(OCc2ccccn2)c1 574350759 UWPIGQPIBNPHRN-IRXDYDNUSA-N 405.454 4.550 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1cccc2c1CN(c1ccccn1)C2 574612260 UQAZPRYHUZXEKO-UHFFFAOYSA-N 403.442 4.716 5 20 HJBD COC(=O)c1scc(-c2ccc(C)cc2)c1NC(=O)c1ccc(C)c([N+](=O)[O-])c1 578397975 SMXNCFHLEXJOLU-UHFFFAOYSA-N 410.451 4.979 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2cccc(CN3CCCC3=O)c2)no1 578697023 NLJRBKMCNPAGMA-SFHVURJKSA-N 420.469 4.504 5 20 HJBD COc1cc(/C=C\c2ccc3c([N+](=O)[O-])cccc3n2)cc(OC)c1OCC(F)F 579642081 PNUMYRIPDUYQPA-SREVYHEPSA-N 416.380 4.975 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cc2)n1 579938184 CKYSAOHNAPZXHT-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC3(c4noc(C(F)F)n4)CCC3)o2)c(Cl)c1 590053213 YVOVLVXLKCLGQT-UHFFFAOYSA-N 424.791 4.998 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)c1ccccc1Cl 604076694 ICTLSYABUDSTFV-FQEVSTJZSA-N 413.836 4.976 5 20 HJBD Cc1nc(-c2cccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])c2)oc1C 604446805 CMSGKYTUPCNBCZ-CYBMUJFWSA-N 415.833 4.926 5 20 HJBD COc1ccc(/C=C\c2ncc(C(=O)O)s2)cc1OCc1ccc([N+](=O)[O-])cc1 608801273 SWSWWXFDCDFINF-UITAMQMPSA-N 412.423 4.508 5 20 HJBD O=[N+]([O-])c1ccc(CCN2CCC[C@@H](c3nc(-c4ccc(Cl)cc4)no3)C2)cc1 608832919 DMZDUKLBRCYRDU-QGZVFWFLSA-N 412.877 4.720 5 20 HJBD Cc1ccccc1NC(=O)[C@@H]1CCc2ccccc2N1C(=O)c1csc([N+](=O)[O-])c1 608872415 OEDUFJXMHCWQHH-IBGZPJMESA-N 421.478 4.565 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCC[C@@H]3c3ccccn3)c([N+](=O)[O-])c2)cc1 608974585 MTBIFSHZDQONGM-HXUWFJFHSA-N 402.454 4.892 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccc(Cl)c(Cl)c2)C(C)C)cc1[N+](=O)[O-] 609010923 LCKSTFJSBYGDBS-UHFFFAOYSA-N 411.285 4.890 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC[C@@H]1CCc2ccccc21 609506534 BQONRNNVTBEKBH-ZDUSSCGKSA-N 410.417 4.552 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN(CCc3ccc(Cl)cc3)CC3CC3)c1)OCOC2 609739621 CWULDQDAAKPRGP-UHFFFAOYSA-N 402.878 4.569 5 20 HJBD Cc1ccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2ccc([N+](=O)[O-])cc2)cc1 609977817 SNZFEJYXBIOUQO-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD CN(C(=O)c1cc(NC(=O)CC(C)(C)C)ccc1Cl)c1ccc([N+](=O)[O-])cc1 610222174 IWOCBZLBXYXQGG-UHFFFAOYSA-N 403.866 4.900 5 20 HJBD CC(C)[C@H](N[C@@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)N1CCCC1 611335778 IOKZCDBOCFKWOO-YWZLYKJASA-N 401.532 4.621 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NCc3nc4c(s3)C[C@H](c3ccccc3)CC4)c2c1 613430322 JEWNLLCFCVOYGX-OAHLLOKOSA-N 417.494 4.879 5 20 HJBD CC(C)c1ccc(C(=O)COC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 725985756 XQEKEGVTMNOIAX-UHFFFAOYSA-N 406.232 4.520 5 20 HJBD O=C(CCc1nnc(-c2cc3ccccc3o2)o1)Oc1cc(Cl)ccc1[N+](=O)[O-] 726330183 ZYYVBOCCGCZFQQ-UHFFFAOYSA-N 413.773 4.583 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CC[C@@H](O)c3ccccc3)c2)cc1[N+](=O)[O-] 727760733 RIJHYFAPZAZSBA-OAQYLSRUSA-N 406.438 4.522 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])O[C@@H](c1ccc(Cl)cc1)c1cccnc1 730427861 FRSKKBVFOOHRLE-NRFANRHFSA-N 411.845 4.778 5 20 HJBD O=C(OCC(=O)N1c2ccccc2Sc2ccccc21)c1cc(F)cc([N+](=O)[O-])c1 731528191 CIQRPNLMJDAVSF-UHFFFAOYSA-N 424.409 4.720 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Oc1ccc(NC(=O)C2CCCCC2)cc1 732001122 OXXPTVMNJFURFP-UHFFFAOYSA-N 414.483 4.811 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])C1(c2cccc(Cl)c2)CCOCC1 734894130 VVZIBYDGMWTOJK-UHFFFAOYSA-N 410.253 4.693 5 20 HJBD C[C@@H](Oc1ccccc1Br)C(=O)OCc1ccc(Cl)cc1[N+](=O)[O-] 734895790 IFBRXVSJBDUHBM-SNVBAGLBSA-N 414.639 4.521 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1 735317439 SZLVUYFOWDYICB-INIZCTEOSA-N 412.870 4.693 5 20 HJBD C[C@@H]1C[C@H]1C(=O)Oc1ccc(Cl)cc1C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 744284042 MYNPABPTWCLYDG-BXKDBHETSA-N 409.225 4.715 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)c2cccc(Cl)c2[N+](=O)[O-])C(C)C)c1C 750534677 BEKBBEUMJKOFLQ-GOSISDBHSA-N 404.850 4.685 5 20 HJBD CCCCOc1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 751088717 CRAQFNWYNBHYGA-UHFFFAOYSA-N 421.291 4.509 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)/C=C/c3ccc([N+](=O)[O-])cc3)c(C)c2)s1 751199668 PNLKBJLZSWIMDK-BJMVGYQFSA-N 412.496 4.866 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC(c2noc3cc(F)ccc23)CC1 753018381 FKJIXDVMXICKTQ-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD C[C@H](Cc1ccccc1Cl)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754990981 XKESOLKPQBYMMM-SECBINFHSA-N 415.646 4.511 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CC[C@@H]1c1ccc(Cl)cc1 755035333 HEHZUYCMIMQSNN-CQSZACIVSA-N 410.655 4.990 5 20 HJBD C[C@@H]1CN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)CC[C@H]1NC(=O)OC(C)(C)C 764148064 AXLJXVZAWKRXND-GDBMZVCRSA-N 407.511 4.512 5 20 HJBD CSc1ccc(Cl)c(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)c1 770331020 QCDPJBLVWIDHPC-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(O[C@@H](c1ccccc1)c1nccs1)c1cc([N+](=O)[O-])ccc1Br 770346780 AHKMAMHZUNYYSQ-HNNXBMFYSA-N 419.256 4.760 5 20 HJBD Cc1csc(Sc2ccc(C(=O)OCc3ncccc3C)cc2[N+](=O)[O-])n1 771872681 QDAFACIRELTTLK-UHFFFAOYSA-N 401.469 4.571 5 20 HJBD CSc1cccc(C(=O)Oc2ccc(NC(=O)c3ccsc3)cc2)c1[N+](=O)[O-] 777244506 BCPOYZFPUQRQOR-UHFFFAOYSA-N 414.464 4.850 5 20 HJBD Cc1ccc(-c2nc(COC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)co2)cc1 778887440 OTLBSPFOPAKVJO-UHFFFAOYSA-N 404.325 4.517 5 20 HJBD O=C(Nc1cccc(-c2cn[nH]c2)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 780976424 QLORBZULKLDXOH-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CNc2ccc3c(c2)C[C@@H](OC)C3)cc1OC 783890843 HZMJYRRPMNWPBU-QRWLVFNGSA-N 414.502 4.754 5 20 HJBD CC(C)Oc1c(F)cc(NCc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1F 784616593 NCRYOLNFNJGCSQ-UHFFFAOYSA-N 402.401 4.673 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccnc(Oc3ccc(F)cc3F)c2)c1F 791045748 XACMOLXZMIMQBC-UHFFFAOYSA-N 403.316 4.760 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN[C@H](c1ccccc1)[C@@H](O)c1ccccc1 794672065 ZTHSSQHCOXVHQJ-RPWUZVMVSA-N 406.482 4.947 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3ccc(OCC(F)(F)F)c(F)c3)CC2)cc1 800497858 ULWRBFFUBWEECJ-UHFFFAOYSA-N 413.371 4.756 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@@H]1C[C@H](c2ccccc2)OC1=O 809683017 MATAQECFPKIIOA-LEWJYISDSA-N 418.405 4.630 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CC[C@@H](OCCCc2ccccc2)C1 809915627 ZSNVDRIPYBLROW-MRXNPFEDSA-N 403.866 4.504 5 20 HJBD O=C(C[C@H](O)c1ccc(Cl)cc1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811141501 MKKVOUBCPMPNIW-AWEZNQCLSA-N 404.633 4.722 5 20 HJBD CC(C)(C)OC(=O)N1CC[C@H](NCc2cc(Cl)ccc2[N+](=O)[O-])CC12CCC2 813785983 IUYFCVUEDPBDKJ-INIZCTEOSA-N 409.914 4.660 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@@H](C)C(=O)N2CCC[C@H]2c2cccs2)cc1[N+](=O)[O-] 815405812 KICUQMRRSZKSRR-WFASDCNBSA-N 422.890 4.527 5 20 HJBD CCN(CC)c1ccc(N(Cc2cccs2)C(=O)c2cc([N+](=O)[O-])cn2C)cc1 914529979 VYUWPKSRAQFTDY-UHFFFAOYSA-N 412.515 4.688 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1C 921281469 SEOONMCAPLDWOI-GJZGRUSLSA-N 409.486 4.582 5 20 HJBD O=C(NCc1cccc(C(F)F)c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 1320524097 QJPKPLZGUCETKW-UHFFFAOYSA-N 419.609 4.878 5 20 HJBD CCOc1cc(NC(=O)C2(Sc3ccccc3)CC2)c([N+](=O)[O-])cc1OCC 1324367506 ANHBVBZNVFNGQX-UHFFFAOYSA-N 402.472 4.656 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n[nH]1 1326296944 XXBUKSDDZCCJOY-NWDGAFQWSA-N 403.870 4.991 5 20 HJBD CN(Cc1ccc([N+](=O)[O-])cc1Br)C[C@H]1CCCO[C@H]1c1ccccc1 1338311640 KODPCIIYPJGNHK-XLIONFOSSA-N 419.319 4.957 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nnc(-c2cccs2)o1 26466886 BTLZWFNJGXXUAH-SECBINFHSA-N 401.425 4.839 5 20 HJBD O=C(Nc1ccc(SC2CCCC2)cc1)c1cc2c(cc1[N+](=O)[O-])OCCO2 30183402 IPFFEDVJMUMOIF-UHFFFAOYSA-N 400.456 4.653 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc3oc(C(C)C)nc3c2)cc1[N+](=O)[O-] 46393715 IYOLYHSIGNEDEZ-UHFFFAOYSA-N 415.471 4.740 5 20 HJBD COc1cc(C(=O)Nc2ccc3oc(C(C)C)nc3c2)c([N+](=O)[O-])cc1OC(F)F 46621896 CHJGVUIDFFXYLE-UHFFFAOYSA-N 421.356 4.722 5 20 HJBD COc1cc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])ccc1OCCC(C)C 60475885 CIIODIRUPGLRFB-UHFFFAOYSA-N 406.866 4.612 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(Br)cc2Cl)c1 109254203 CSKQCUSAVFLZBR-UHFFFAOYSA-N 415.696 4.663 5 20 HJBD CC(=O)c1ccc(Sc2nnc(NCCc3cccs3)s2)c([N+](=O)[O-])c1 139652372 BQRMQWSFIQZMNB-UHFFFAOYSA-N 406.514 4.516 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)o1 237967040 QXLWIRBGMTXWQW-HSZRJFAPSA-N 406.438 4.867 5 20 HJBD C[C@@H](Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-])[C@H](C)c1ccccc1C(F)(F)F 301941367 VUFOFSKMFNLPAW-VHSXEESVSA-N 422.450 4.683 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CC[C@@H](Sc4cccc(Cl)c4)C3)o2)cc1 429129414 PQZVDMBSXGMTGK-QGZVFWFLSA-N 416.890 4.665 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3sc(C)nc3C)cc2[N+](=O)[O-])n1 434037092 PDIFGQQRAIVXLV-UHFFFAOYSA-N 406.514 4.837 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440646548 MYKCLFLEJHLZQJ-CYBMUJFWSA-N 411.483 4.712 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1nc2ccccc2n1C(C)C 462984935 CSNDPJCFTPLPOL-SJLPKXTDSA-N 408.502 4.972 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCN(C(=O)Nc2cccc(C(F)(F)F)c2)CC1 463207799 VCYWFETYBIIGTH-AWEZNQCLSA-N 422.407 4.524 5 20 HJBD CCOc1cc(CNC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)ccc1OC(F)F 463957715 JMMQZSOCSXELFY-UHFFFAOYSA-N 409.389 4.533 5 20 HJBD CCCn1ccc2ccc(NC(=O)CSCc3ccc(OC)c([N+](=O)[O-])c3)cc21 465147354 CNPVSTSYWLADEB-UHFFFAOYSA-N 413.499 4.840 5 20 HJBD COc1cc(CN[C@H]2COc3ccc(F)cc32)ccc1OCc1ccc([N+](=O)[O-])cc1 466460605 NJWZQABRZYIOPQ-FQEVSTJZSA-N 424.428 4.545 5 20 HJBD O=C(Nc1ccc(F)cc1)[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 467006877 PGXYIDGLXCNJBU-FQEVSTJZSA-N 413.836 4.857 5 20 HJBD CN(Cc1nccs1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 470245522 CWVKJJQLGGLAQA-UHFFFAOYSA-N 403.847 4.769 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3ccc(Cl)cc3)CC2)cc1OC 476467605 NKQFVOFISPUETA-UHFFFAOYSA-N 418.877 4.675 5 20 HJBD CCOc1cc(OCC(=O)Nc2ccc(Cl)cc2C(F)(F)F)ccc1[N+](=O)[O-] 478715173 BNRVCCURHMCASE-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD CCc1c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 482745547 NEMCEYMGVLIWBA-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccc2F)nc1 483470705 CRGCTDNCWCEITN-UHFFFAOYSA-N 410.405 4.628 5 20 HJBD Cc1ccc([C@H](N[C@H](C)c2ccc([N+](=O)[O-])cc2)c2cccc(S(C)(=O)=O)c2)cc1 483826607 WAANGTYZPYYLNJ-HXOBKFHXSA-N 424.522 4.747 5 20 HJBD COc1ccc(NC(C)=O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 484675580 ADAJFBRDAUQXDN-UHFFFAOYSA-N 417.487 4.562 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485634191 MOIIBARNVPEAOD-QKNQBKEWSA-N 416.481 4.579 5 20 HJBD CSc1cccc(C(=O)Nc2c(-c3ccccc3)nc3ccccn23)c1[N+](=O)[O-] 485750432 IADWQVBSMMWOEJ-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@H](C)c2ccccc2Cl)c(C)c([N+](=O)[O-])c1 486881120 DHAOAVWYTSJFQL-GFCCVEGCSA-N 405.838 4.568 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(Cl)c1 489122490 NSTBICDGBOKSQI-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD CCn1c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)nc2ccc(F)cc21 490771739 QFJKZMBNZKJQGH-UHFFFAOYSA-N 402.451 4.856 5 20 HJBD O=C(Nc1nc(-c2cc(Br)cs2)cs1)c1csc([N+](=O)[O-])c1 497957701 YXJSBWRQYFLWMV-UHFFFAOYSA-N 416.303 4.856 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OCC(F)(F)F)cc1 498170319 XDLIHXKATGUYRP-LBPRGKRZSA-N 419.359 4.575 5 20 HJBD CC[C@@H](Nc1ccc(C(=O)NC(C)C)cc1[N+](=O)[O-])c1ccccc1OC(F)F 498819737 VVECIACZWBLONS-OAHLLOKOSA-N 407.417 4.898 5 20 HJBD CC1CCN([C@@H](CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C(C)C)CC1 500263753 GUKUJRCAHVWWGV-FQEVSTJZSA-N 402.564 4.564 5 20 HJBD CCc1nc(CSCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])cs1 502655397 ZXOQBSZPCGUCMH-UHFFFAOYSA-N 404.460 4.700 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cc1 504686565 GWQLZIFTILNZGC-CYBMUJFWSA-N 406.529 4.546 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(F)c(Br)c2)c1[N+](=O)[O-] 507660013 MAXFWLCMPFLDRO-SECBINFHSA-N 413.268 4.709 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3cccc(C(F)(F)F)c3)n2)c([N+](=O)[O-])c1 508776927 FXCZWPMAYSAVBN-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1ccc([C@H](CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)N2CCCC2)cc1 509462659 IIDAOXZBGZVLMW-QHCPKHFHSA-N 424.545 4.660 5 20 HJBD COc1cc(CSc2ccc3c(c2)OCCCO3)c([N+](=O)[O-])cc1OC(F)F 514876805 QOCOTQBQOHEUII-UHFFFAOYSA-N 413.398 4.658 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNc2ccc(C(C)=O)cc2[N+](=O)[O-])cn1 515502217 YWNKPZZPBHAENS-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N(Cc1ccco1)c1ccc(Cl)cc1 515846894 LBULCHFUBIFLNF-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c1 518932695 VVYANLCODGDUIB-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N1Cc2ccccc2-c2ccccc2C1 522425250 UGGQSMIIHUULKC-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD C[C@H](NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1Cl 522730958 RBMHFOJDPABMAD-NSHDSACASA-N 405.241 4.578 5 20 HJBD Cc1oc(-c2ccccc2)nc1C[S@@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 536304790 FZJOWCXPOAQFNB-PMERELPUSA-N 423.450 4.662 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)c1noc(-c2ccc(Cl)cc2)n1 537680850 PDGVQCAVAXAVDU-ZDUSSCGKSA-N 414.849 4.714 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N(C)CCCc1cc(-c2ccc(F)cc2)n[nH]1 539842949 GBZBGROLTKCGOT-UHFFFAOYSA-N 424.476 4.754 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 540375325 BBSMEEQHUNMFRX-KRWDZBQOSA-N 413.371 4.654 5 20 HJBD Cc1ccccc1C1CCN(C(=O)c2ccc(Br)cc2[N+](=O)[O-])CC1 544485165 QCUNMBANEBHZIN-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD C/C(=C/c1nc(Cc2nc3ccccc3n2C(F)F)no1)c1ccc([N+](=O)[O-])cc1 545046491 QGNUMKQEZCQYMW-BENRWUELSA-N 411.368 4.874 5 20 HJBD Cc1nnc([C@@H](C)NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 545350439 WBOOJKVOGWJIED-SECBINFHSA-N 423.292 4.628 5 20 HJBD COc1cccc(OC)c1OCc1noc(-c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)n1 545783670 AQVQOQICSQWCDM-UHFFFAOYSA-N 413.430 4.539 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CCOc3ccccc32)no1 546396548 ODDSHYJCCKRPSI-FZMZJTMJSA-N 401.806 4.686 5 20 HJBD COc1ccc(C(=O)Nc2ccc(Oc3nc(C)cs3)c(F)c2)cc1[N+](=O)[O-] 548057972 BGPGOQIJRJCINC-UHFFFAOYSA-N 403.391 4.552 5 20 HJBD C[C@@H](CNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)Cn1cccn1 554790782 RHAVMBQYLMGENB-HNNXBMFYSA-N 400.866 4.663 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1)[C@H]1C[C@@H]1C 557974779 UXZNJYLVBUTGJV-VOJFVSQTSA-N 421.375 4.627 5 20 HJBD O=C(NC1(Cc2ccccc2Br)CC1)c1ccc([N+](=O)[O-])cc1Cl 558023737 BVYSGIBUKJQRPU-UHFFFAOYSA-N 409.667 4.516 5 20 HJBD Cn1ccnc1[C@@H](NCC[C@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 559878995 WXCOEBNRXPISHQ-MOPGFXCFSA-N 418.419 4.744 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1 567283107 YVBZWAPLRTXMMY-UHFFFAOYSA-N 412.421 4.903 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1cccc(COCc2ccco2)c1 575244054 PBMCEFVOVDQAFH-UHFFFAOYSA-N 407.348 4.931 5 20 HJBD COC[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(Cl)c1 577417708 WERJRYGTMDPJJE-SFHVURJKSA-N 403.891 4.849 5 20 HJBD C[C@@H]1CN(C)CC[C@@H]1N(C)Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 584557274 RFLNVFNWNXWYPY-QAPCUYQASA-N 403.910 4.813 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 588337637 BENLKGVEXPFZAB-UHFFFAOYSA-N 424.482 4.794 5 20 HJBD CC(=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1O 590369982 IFYLCPCNHSEWAS-UHFFFAOYSA-N 400.456 4.790 5 20 HJBD C[C@@H](Sc1nnc(Cc2cccs2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608929990 JJQJMBXAPKTOPG-SNVBAGLBSA-N 415.456 4.533 5 20 HJBD O=C(CCc1ccc(OC(F)(F)F)cc1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 608937823 LZADLCRLTGFYGM-UHFFFAOYSA-N 420.290 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 608993044 YACQYOZWHGOMAF-KRWDZBQOSA-N 410.257 4.815 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(C(F)(F)C(F)(F)F)cc1 609254403 QBLDDIWMVOQNEP-UHFFFAOYSA-N 403.307 4.913 5 20 HJBD CCCCN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)C1CC(C)(C)NC(C)(C)C1 609518112 FULDLDIYYYRKCM-UHFFFAOYSA-N 416.566 4.721 5 20 HJBD Cc1cccc(-n2nc(-c3ccco3)cc2NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1 609977802 QYCXDCXDRBWGKJ-UHFFFAOYSA-N 402.410 4.530 5 20 HJBD NC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611204553 SKFLFKJHCXFGHU-UHFFFAOYSA-N 419.890 4.634 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CC(c2nc3ccccc3[nH]2)C1 611212192 UKYKSTJWLXFCCR-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OS(=O)(=O)c1ccccc1OC(F)F 725827858 YPAJYVPTVOIHEQ-UHFFFAOYSA-N 421.377 4.631 5 20 HJBD O=C(Nc1cccc(CC[C@H](O)c2ccccc2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 727763963 RQZUCKXAUGBWEM-QFIPXVFZSA-N 415.449 4.995 5 20 HJBD CCCCOC(=O)c1ccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 728455605 MCYNNYQPAVDLGJ-UHFFFAOYSA-N 418.471 4.535 5 20 HJBD CCN(C(=O)c1cc([N+](=O)[O-])ccc1F)[C@H](c1cccnc1)c1ccc(F)c(C)c1 733110518 SGLYHGDHHXPNAE-NRFANRHFSA-N 411.408 4.828 5 20 HJBD COc1ccc([C@@H](OC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)[C@H](C)n2cccn2)cc1 737329999 JYLUCTZEDJAFOC-DJJJIMSYSA-N 415.833 4.613 5 20 HJBD COc1ccc(OC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c2ccccc12 744318959 FEEZAFGYFDHNBO-UHFFFAOYSA-N 406.438 4.579 5 20 HJBD Cc1c(C(=O)COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])oc2ccccc12 745565557 RTOVUVYRQZEGMC-UHFFFAOYSA-N 407.300 4.708 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1F 751107306 GOFQMSWGQAUHHG-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD O=C(Cc1nc(COc2cc(F)c(Cl)cc2[N+](=O)[O-])cs1)Nc1ccccc1 752901591 GFOTWGVHXCGSAP-UHFFFAOYSA-N 421.837 4.604 5 20 HJBD Cc1cc(Cl)c(S(=O)(=O)N(Cc2ccco2)c2ccccc2)cc1[N+](=O)[O-] 753065658 JKVWUPAQWWHSPJ-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD CC[C@@H](C)Oc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754993228 IRTORTKLPIYTQX-SNVBAGLBSA-N 411.227 4.926 5 20 HJBD Cc1cc(-c2noc([C@H](C)NC(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)n2)ccc1F 761616040 XLLQUUDEJAYKQP-JTQLQIEISA-N 419.800 4.628 5 20 HJBD CCn1c(SCc2nc(Cl)ccc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 762480038 PSUPFPRCLKENJQ-UHFFFAOYSA-N 410.286 4.867 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(OCC(C)(C)O)cc3)o2)c([N+](=O)[O-])c1 770577449 ASWKJKCGPYKSPC-UHFFFAOYSA-N 412.442 4.625 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cccc(OC(F)F)c1 776132001 GQRMCUNCLVCQRL-SFHVURJKSA-N 400.337 4.538 5 20 HJBD C[C@H](CC(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(Cl)cc1 777288837 YKFNKINOVSBLFK-GFCCVEGCSA-N 409.269 4.769 5 20 HJBD CC(C)N(Cc1cccc(Cl)c1)C(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 781604489 OYXCNKCVLYYSRE-UHFFFAOYSA-N 405.838 4.526 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N[C@@H](c1ccc(F)cc1)C1CCCC1 781620000 NJEZJHZYAVCZQK-OAQYLSRUSA-N 415.421 4.622 5 20 HJBD COc1ccc(NC(=O)c2ccccc2NC(=O)OCc2ccccc2)cc1[N+](=O)[O-] 782811128 PXNYDORQAFUGNA-UHFFFAOYSA-N 421.409 4.604 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cc(C(F)(F)F)cnc1Cl 785178042 WBNYNSWIBSIZNZ-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD CCOc1cc(COC(=O)Cc2nc(-c3ccc(F)cc3)cs2)ccc1[N+](=O)[O-] 787003134 DTKVVWBOQDWQCT-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD Cc1cnc(COC(=O)C2(c3cc(F)cc(C(F)(F)F)c3)CC2)c(C)c1[N+](=O)[O-] 789443722 YRHKVYKWSKEKJE-UHFFFAOYSA-N 412.339 4.540 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc2ccc(Br)cc2s1 791452495 LKZCBNDYIBDIDE-UHFFFAOYSA-N 422.260 4.618 5 20 HJBD CCOC(=O)/C(=C\c1cc(Cl)ccc1Br)c1ccc([N+](=O)[O-])cn1 794948422 FTLKFLBFIWSLLW-JYRVWZFOSA-N 411.639 4.509 5 20 HJBD CCOc1ccc(-c2nc(COC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])co2)cc1 796446010 SRTBJZCSQSJTHW-UHFFFAOYSA-N 410.426 4.670 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OCC 798542164 IXZGWCRYRNYWNP-UHFFFAOYSA-N 405.432 4.656 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2c([N+](=O)[O-])cccc2[N+](=O)[O-])cn1 804358114 SKSVRFKSTOBWSC-UHFFFAOYSA-N 410.386 4.701 5 20 HJBD Cc1nc(C(F)(F)F)ccc1C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811214714 FVHCIJSWIUTTBH-UHFFFAOYSA-N 423.372 4.798 5 20 HJBD Cc1ccc(NC(=O)COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(Cl)c1 811373481 FURSSODPYZBRKJ-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD NC(=O)c1ccc(OCC(F)F)c(NC(=O)c2c3ccccc3cc3ccccc23)c1 813307682 ZHGBGXHFHYSHTC-UHFFFAOYSA-N 420.415 4.988 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC(c2c[nH]c3ncccc23)CC1 813421848 MZHMLFDGFXIKDB-UHFFFAOYSA-N 419.268 4.798 5 20 HJBD Cc1ccccc1N1C(=O)/C(=C/c2ccc(-c3ccc([N+](=O)[O-])cc3)s2)NC1=S 817932866 YAMWBEXWPUWRFB-ATVHPVEESA-N 421.503 4.894 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2ccccc2)nn1-c1ccccc1 917102321 TWRJFFMZUDDTRC-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD O=[N+]([O-])c1ccc2cnn(CN3CCC(c4nc5cc(Cl)ccc5o4)CC3)c2c1 921051045 IDPOVXDCXMYSFC-UHFFFAOYSA-N 411.849 4.576 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCc4c(ncn4-c4ccccc4)C3)o2)cc1 929064867 GDQVWPDUNGUXHL-UHFFFAOYSA-N 400.438 4.599 5 20 HJBD COc1cc(-c2nnc(-c3ccc([N+](=O)[O-])c4cccnc34)o2)ccc1OC(C)C 1257847944 SEKCLBUSAUVSLS-UHFFFAOYSA-N 406.398 4.656 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CCO[C@@H](c2ccc(Cl)s2)C1 1323341025 WVZPKHSQNITZPB-GFCCVEGCSA-N 420.796 4.542 5 20 HJBD COc1ccc(-c2nnc(-c3cc(Cl)ccc3[N+](=O)[O-])o2)cc1Br 1324203364 ARGOFHJEKWSDBB-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD COc1cc(-c2nnc(CCCOc3ccc(Cl)cc3Cl)o2)ccc1[N+](=O)[O-] 1328175003 OWVWJZSBKVYJDD-UHFFFAOYSA-N 424.240 4.972 5 20 HJBD CCCOc1ccc(CN(CCC)C(=O)c2ccc([N+](=O)[O-])c(C)c2)cc1OC 16011030 BNJITJKLPNGSPU-UHFFFAOYSA-N 400.475 4.753 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccccc2C(F)(F)F)c([N+](=O)[O-])cc1OC 55671586 XYWXMJFSOQPLET-NSHDSACASA-N 412.364 4.512 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C)cc2OCC(F)(F)F)c1 57367313 BHIGPUFBEQCIIR-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CC(C)N(Cc1cccnc1)C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 58572379 AKGFVBLEVILMTD-HDICACEKSA-N 410.518 4.523 5 20 HJBD O=C(NCCCN1CCCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 61238849 CHVCDAYHHSGFBU-UHFFFAOYSA-N 419.934 4.615 5 20 HJBD Cc1cc(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc([N+](=O)[O-])c1 195692074 DJGIWODRQAJSPY-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD Cc1nc([C@H](NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c2ccccc2F)no1 237686638 PIEDRIUQQMSOJP-HXUWFJFHSA-N 408.389 4.565 5 20 HJBD Cc1cc(N2CCC[C@H](c3nc4ccccc4o3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301096899 IZDUPNXWUOFFNV-KRWDZBQOSA-N 415.453 4.885 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)c2ccncc12 302175150 MTHSOFAAKPFAIG-IBGZPJMESA-N 403.360 4.740 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)cc1SC 410361688 VSTMQBLZFLIJMJ-WLRWDXFRSA-N 406.891 4.644 5 20 HJBD CC(C)(C(=O)Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc([N+](=O)[O-])cc1 426846357 VACARTBBMGJGNU-UHFFFAOYSA-N 417.368 4.768 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2c3cccc(F)c3CC[C@H]2C)cc1SC 430667586 FZLLKQZWPVXCTH-WYRIXSBYSA-N 404.463 4.518 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1OC 431876849 XTYUZWRFALXXTM-HNNXBMFYSA-N 415.490 4.688 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccccc1OC(F)F 436082785 MLUBGJUNBIWFRP-CYBMUJFWSA-N 408.404 4.774 5 20 HJBD Cn1c(Sc2cc(C(F)(F)F)ncc2[N+](=O)[O-])nnc1-c1ccccc1Cl 436269371 YAZPBPFFFYPBMA-UHFFFAOYSA-N 415.784 4.609 5 20 HJBD O=C(Nc1ccc2scnc2c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436374641 WBIGROQKXBPGQK-UHFFFAOYSA-N 407.401 4.822 5 20 HJBD CCN(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)[C@@H](Cc1ccc(F)cc1)C(C)C 437108119 MHHDSYUHMPYHLF-QFIPXVFZSA-N 424.476 4.649 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)c1[N+](=O)[O-] 440317817 YMMZLEYXMMBJAR-UHFFFAOYSA-N 419.363 4.604 5 20 HJBD CC(C)c1ccccc1OCCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444008833 IIHWTZZZCLKUAM-UHFFFAOYSA-N 418.468 4.753 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NC[C@H](C)Sc1ccc(Br)cc1 446039592 OTWRSYOLHPZFSC-LBPRGKRZSA-N 409.305 4.576 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccccc1CC(F)(F)F 446043702 MKJUGEXGFQOCFN-UHFFFAOYSA-N 417.181 4.643 5 20 HJBD O=C(Nc1ccccc1N1C[C@H]2CC[C@H]1C2)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 448060892 PGBVLBPFVNSOPN-HKUYNNGSSA-N 406.486 4.780 5 20 HJBD Cc1nc(CSc2ccc(C(=O)NCCc3ccccc3[N+](=O)[O-])cc2)cs1 460507727 MAROGDQLGJBXLN-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3ncc([N+](=O)[O-])cc3Cl)cc2)n1 462867939 WUSHHPYZUSYTQD-UHFFFAOYSA-N 404.810 4.695 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1c(F)cccc1Cl)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 464074626 VUVZFKHWRJPKRU-GXFFZTMASA-N 402.731 4.692 5 20 HJBD O=C(N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)C1(c2ccc(F)cc2)CCCC1 464633796 GMLVVMPWGQNEOJ-UHFFFAOYSA-N 412.461 4.616 5 20 HJBD CCOC(=O)c1cc(N[C@@H](C)c2nc3ccccc3n2C(F)F)ccc1[N+](=O)[O-] 467750367 YCFVMFUFJHELCB-NSHDSACASA-N 404.373 4.689 5 20 HJBD Cc1ccc2c(c1)nc(CNCc1ccc(-c3ccc([N+](=O)[O-])cc3Cl)o1)n2C 470542390 GUXMANZYKPZTOD-UHFFFAOYSA-N 410.861 4.993 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(CCN2CCC(C)CC2)c1 471086856 VOLHTQKVEIIREP-UHFFFAOYSA-N 411.502 4.520 5 20 HJBD CCc1ccc(C(=O)N[C@@H](C)c2ccc(NC(=O)N(CC)CC)cc2)cc1[N+](=O)[O-] 471342110 OKNHZOLNRZOBCF-HNNXBMFYSA-N 412.490 4.522 5 20 HJBD CCc1ccc(C(=O)N2CCC(c3c[nH]c4ccc(OC)cc34)CC2)cc1[N+](=O)[O-] 471778781 GELYXPBUSHPUTL-UHFFFAOYSA-N 407.470 4.667 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1ccccc1)Cc1cc[nH]n1 475195769 SXCAWDTZYAPXIL-UHFFFAOYSA-N 419.363 4.571 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cccc(CS(=O)(=O)C3CCCCC3)c2)c(F)c1 478354322 FRSCSNRZCOIWCZ-UHFFFAOYSA-N 406.479 4.594 5 20 HJBD COc1cc(C)c(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 485745600 BHBBIGNAXKNTBS-UHFFFAOYSA-N 410.401 4.882 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccn(-c2ncccc2C(F)(F)F)n1 486553186 YNHCTIGPFHHGAL-UHFFFAOYSA-N 421.379 4.594 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 489768463 RBFCVKTXNPIEJO-AWEZNQCLSA-N 423.473 4.503 5 20 HJBD O=C(CCCc1cccs1)Nc1cccc(C(=O)NCc2ccc([N+](=O)[O-])cc2)c1 490082780 BUXRDQORKBHILH-UHFFFAOYSA-N 423.494 4.548 5 20 HJBD O=C(c1csc(-c2ccc([N+](=O)[O-])cc2)n1)N(CC(F)F)c1ccc(F)cc1 490306800 LWDVACDHGGSUSX-UHFFFAOYSA-N 407.373 4.769 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H](Cc1ccccc1)c1cccs1 497575217 QCCUEDSCKFXQBR-LJQANCHMSA-N 409.511 4.824 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(c1)CCCN2C(C)=O 503003990 MVAYOGXOGBPYBE-UHFFFAOYSA-N 413.499 4.648 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC(=O)NC2CC2)cc1 504076091 JWHQVGHJFZKQQP-UHFFFAOYSA-N 414.487 4.633 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 504097814 XSIHINJARJWOIL-FUHWJXTLSA-N 413.543 4.662 5 20 HJBD Cc1sc(NC(=O)Cc2c[nH]c3cccc(C)c23)nc1-c1cccc([N+](=O)[O-])c1 504305468 UUTKURRYFNWFQV-UHFFFAOYSA-N 406.467 4.998 5 20 HJBD COc1ccc(CN(C)Cc2csc(C)n2)cc1OCc1ccc([N+](=O)[O-])cc1 506677601 VOVODQNQISJSNA-UHFFFAOYSA-N 413.499 4.579 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2C2CCCCC2)c([N+](=O)[O-])cc1OCC 509744573 GMYPMFWSXCGHFT-KRWDZBQOSA-N 405.495 4.969 5 20 HJBD CN(CCNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCCC1 518275518 JBESXCSWGXOYOV-UHFFFAOYSA-N 422.323 4.581 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCC[C@@H]1CCc1ccccc1 520389931 YBFMCGCPPUFOSN-MAUKXSAKSA-N 402.878 4.639 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)c1ccc([N+](=O)[O-])cc1Br 521652737 NUAQYONAQZMAAL-UHFFFAOYSA-N 419.275 4.609 5 20 HJBD CCCOc1ccc(-c2csc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)n2)cc1 521755197 XJTGRPYEELCZKY-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD COc1ccc([C@H](NC(=O)c2ccc([N+](=O)[O-])cc2F)c2ccccc2Cl)cc1 523514049 NNIBQYHJVJCSLV-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 523885452 UZDSMWGTGMMZTK-FQEVSTJZSA-N 418.478 4.556 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CC[C@H](Cc4ccccc4)C3)cc2[N+](=O)[O-])CC1 524641405 KGIYJYIVSGPVLW-HXUWFJFHSA-N 407.514 4.536 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 525146683 KXGIABJFOGSZSP-UHFFFAOYSA-N 410.879 4.946 5 20 HJBD COc1cc(C(=O)N(c2ccc(Cl)c(Cl)c2)C(C)C)cc([N+](=O)[O-])c1OC 525540483 XEEMFCBJZFKECX-UHFFFAOYSA-N 413.257 4.974 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N[C@H](C)c1ccc(-c2ccc(Cl)cc2)s1 537763671 SLXMLPHDOYUQKO-GFCCVEGCSA-N 418.906 4.749 5 20 HJBD C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 538758879 QUERKAIWPYFEIS-GMYLUUGSSA-N 415.540 4.649 5 20 HJBD O=C(CCc1ccc(N[C@@H](Cc2ccccc2[N+](=O)[O-])C2CC2)cc1)N1CCCC1 539688732 SWHAEOHPHOOJJM-QFIPXVFZSA-N 407.514 4.583 5 20 HJBD C[C@H](C(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1ccc([N+](=O)[O-])cc1F 542542288 JTFLOYDXHHHSHG-HNNXBMFYSA-N 421.428 4.753 5 20 HJBD COc1ccccc1CN(C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])C1CC1 543255329 HIJPWYKDEIWFTC-UHFFFAOYSA-N 406.891 4.540 5 20 HJBD Cc1cc(Cc2noc(-c3cccc(NC(=O)c4cccs4)c3)n2)ccc1[N+](=O)[O-] 545077310 TWSSQCOHAZEIGB-UHFFFAOYSA-N 420.450 4.858 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cc(OC3CCC3)ccn2)no1 545091063 BMXPDTJGNAQRFF-LLVKDONJSA-N 416.821 4.765 5 20 HJBD COc1ccc(CSCc2nc(Cc3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 546959437 VYHACWAFEFURKV-UHFFFAOYSA-N 405.863 4.664 5 20 HJBD COc1ccccc1C1(c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)CC1 556364703 IVUVYNGPSGOQOH-UHFFFAOYSA-N 423.472 4.675 5 20 HJBD C[C@H](C(=O)Nc1nnc([C@H]2CCCc3ccccc32)s1)c1cccc([N+](=O)[O-])c1 559425399 YIIIUFDDVFFEJK-UGSOOPFHSA-N 408.483 4.657 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc([C@H](NC(=O)c2ccccc2)C(C)C)no1 572443317 CKWBEDPYTJJILP-YLJYHZDGSA-N 422.485 4.841 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(C)c(-c3ccc(Cl)cc3)o2)c1 578145845 RJGZDWHCDXUNML-UHFFFAOYSA-N 415.833 4.540 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc([C@@H](F)c2ccc(C(F)(F)F)cc2)n1 579643271 WZFIYRCWJBFBKZ-INIZCTEOSA-N 410.327 4.710 5 20 HJBD Cc1sc(NS(=O)(=O)Cc2cccc(Cl)c2)nc1-c1cccc([N+](=O)[O-])c1 580886042 TZRPPXPWOOBFIF-UHFFFAOYSA-N 423.903 4.622 5 20 HJBD COc1ccc(Br)cc1SCc1cc([N+](=O)[O-])cc2c1OCOC2 583056077 BCTUMMWBZWVLRV-UHFFFAOYSA-N 412.261 4.525 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)Nc2cccnc2)c(Cl)c1)c1cccc([N+](=O)[O-])c1 583120380 AAHKXSMKJGSZKG-CYBMUJFWSA-N 424.844 4.638 5 20 HJBD CSC1(CN[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)CCN(C)CC1 583816860 MQVRCNVULXAVQE-OAHLLOKOSA-N 405.589 4.801 5 20 HJBD C[C@@H](C(=O)Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1cccc([N+](=O)[O-])c1 584757530 IJQPUDZCAHQQMT-KDOFPFPSSA-N 418.877 4.938 5 20 HJBD CC(C)OC(=O)C[C@@H](NC(=O)Nc1cccc2ccccc12)c1ccccc1[N+](=O)[O-] 603461546 OHJCEJZYUBJKFB-HXUWFJFHSA-N 421.453 4.953 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(OCC(F)(F)F)cc1 609501608 AOJASZUYELOETK-SNVBAGLBSA-N 402.756 4.680 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(-c2nc3ccccc3[nH]2)cc1 609782928 BHIQOXZMBXUYEM-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD O=C(COCc1nc2ccccc2s1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609859136 LDBKYUWDBWQQML-UHFFFAOYSA-N 411.483 4.697 5 20 HJBD COc1ccc(CNCc2ccccc2N(C)C)cc1OCc1ccc([N+](=O)[O-])cc1 610150868 BSJHZEDLGOBZOB-UHFFFAOYSA-N 421.497 4.538 5 20 HJBD CC(=O)c1cccc(O[C@@H](C)C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1 728166269 YZHIITHDTQCHLU-AWEZNQCLSA-N 416.861 4.661 5 20 HJBD C[C@H](OC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 730472983 FCFWITPLZWYBEW-LBPRGKRZSA-N 404.394 4.999 5 20 HJBD O=C(O[C@H](c1ccc(Br)cc1)C(F)(F)F)c1cc(F)cc([N+](=O)[O-])c1 731552036 KNHLDVNLDYGWKV-CYBMUJFWSA-N 422.128 4.957 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)c(F)c1 732963973 QKNWHPWQBZKPGK-JIQDCKGJSA-N 423.419 4.919 5 20 HJBD O=C(CCc1nc(-c2ccc(Cl)cc2)no1)OCc1ccc(Cl)cc1[N+](=O)[O-] 734886649 AUDKJXFLBVQXFB-UHFFFAOYSA-N 422.224 4.628 5 20 HJBD Cc1ccccc1[C@H](C)CC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 734909163 ILKKWEIXVHQEQK-ZIAGYGMSSA-N 404.850 4.621 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cccn1Cc1ccncc1 735272779 HDHHBVHAMMEKSP-UHFFFAOYSA-N 414.421 4.884 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H](C)c2cccs2)c2ccccc2)c([N+](=O)[O-])c1 738791608 OKWBVWJHGWUNDD-QRWLVFNGSA-N 424.478 4.991 5 20 HJBD CCOc1cc(N2CCC(OC(=O)c3cc4ccccc4o3)CC2)ccc1[N+](=O)[O-] 744202784 FICZTMARYUEPLK-UHFFFAOYSA-N 410.426 4.566 5 20 HJBD CCN(CC1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1)C(=O)OC(C)(C)C 745974348 WRJKENZUAWTBIL-UHFFFAOYSA-N 414.506 4.616 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)OCc2cc(Cl)ccc2[N+](=O)[O-])cc1 746056147 AKCKWMRNTBBHNR-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD Cc1c(C(=O)Nc2ccccc2N2CCCCCC2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748624262 IKVYDVRUACHFMA-UHFFFAOYSA-N 412.446 4.753 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1ccc(N2CCSCC2)c(Cl)c1 748778927 ZEOABMJCQKIZPG-UHFFFAOYSA-N 423.947 4.532 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(N2CCc3sccc3C2)cc1 748993949 IAAXKHHVLCAZQA-UHFFFAOYSA-N 422.510 4.883 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1cccc(Cl)c1[N+](=O)[O-] 749925340 ZXKRNPMXXMKVLN-UHFFFAOYSA-N 415.877 4.697 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(CC[C@@H](O)c2ccccc2)cc1 754960876 TZVIHYCCDIMCPV-HSZRJFAPSA-N 419.481 4.579 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc2c(c1)C[C@H](c1ccccc1)OC2=O 755024101 KKPUQGUIGZZSJI-OAQYLSRUSA-N 416.433 4.918 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3ccc(O)cc3OC(C)C)cc2[N+](=O)[O-])CC1 762693360 CNORPSVCDGOZRK-UHFFFAOYSA-N 413.474 4.576 5 20 HJBD O=C(Nc1ccc(OCc2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])c(Cl)c1 764235834 RBMLBHYPNMCRIV-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD COc1ccc2nc(Cl)c(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cc2c1 770074802 JKNPXDPDPUVQDB-UHFFFAOYSA-N 423.759 4.659 5 20 HJBD C[C@@H](C[C@@H](O)c1cccs1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774677144 XNADPSBHRSKUEY-GZMMTYOYSA-N 411.352 4.630 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(N3CCCCCC3)cc2)cc1[N+](=O)[O-] 775550255 XCTAHYVWMWHRGC-GOSISDBHSA-N 410.518 4.573 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@@H]1c1c(F)cccc1F 776133528 MKBKLANQTQEOBI-CKJXQJPGSA-N 410.376 4.704 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1cccc(Oc2cccnc2)c1 777342413 YFKAJYBPQBWIOL-UHFFFAOYSA-N 422.440 4.948 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1 778704664 CGRQCYVZAKYQDP-UHFFFAOYSA-N 423.372 4.796 5 20 HJBD COc1ccccc1[C@H](NC[C@@H](O)c1ccc([N+](=O)[O-])cc1)c1ccccc1Cl 779067512 XBINAPVESSOELA-IFMALSPDSA-N 412.873 4.669 5 20 HJBD CCOC(=O)/C=C\c1ccc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 780290649 XAPZYUMJYFLWHK-ZROIWOOFSA-N 412.445 4.510 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)O[C@@H](C)c3ccccc3[N+](=O)[O-])ccc12 783363020 PPINHOIBGZZUDZ-HNNXBMFYSA-N 402.410 4.555 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc(SC(F)F)cc1 783906460 MIYQIPLSMKMYJR-UHFFFAOYSA-N 422.331 4.946 5 20 HJBD CC[C@@H]1CCCCN1c1ccc2c(c1)CCCN2C(=O)c1cc([N+](=O)[O-])ccc1N 787256820 MDYMRHFYKIHDRI-QGZVFWFLSA-N 408.502 4.539 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccc(N2CCOC2=O)cc1 797434774 YCDSRRJZLYKFKI-AWEZNQCLSA-N 422.416 4.926 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1nc(-c2ccccc2)n(-c2ccccc2)n1 800148783 RZOCMMNNKAPUIC-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD Cc1onc(-c2ccccn2)c1C(=O)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085184 CMAHDPIGWCXGRB-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N(CC1CC1)c1cccc(C(F)(F)F)c1 813283360 PXGCRUKRHCXQFS-UHFFFAOYSA-N 400.303 4.949 5 20 HJBD Cc1ccc(-c2noc(-c3cc([N+](=O)[O-])cc(I)c3C)n2)cc1 904571575 HHZCMHMLKQTRPE-UHFFFAOYSA-N 421.194 4.533 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1cccc(Br)c1 917786039 JGKBFVSDKAYMET-IBWPDUFMSA-N 401.260 4.734 5 20 HJBD CC(C)(C)n1c(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)nc2ccccc21 1115900104 RMOAKLNUIWLSOO-UHFFFAOYSA-N 417.263 4.714 5 20 HJBD CC(=O)N1Cc2ccc(NCc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)cc2C1 1252656129 FIIDQBLKJHZQJE-UHFFFAOYSA-N 411.845 4.982 5 20 HJBD C[C@H](C(=O)Nc1ccccc1Cc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1322787192 WAQRDUOMZSLHSC-QGZVFWFLSA-N 401.466 4.528 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 1323983899 WOIWOGDKOYKWPQ-AWEZNQCLSA-N 407.392 4.675 5 20 HJBD C[C@H](OC(=O)c1c(Cl)ccc(Cl)c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 3458215 WGNUQIGUGCMAPJ-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD O=C(NC1CCCCC1)c1cc(NC(=O)c2ccccc2[N+](=O)[O-])ccc1Cl 9230983 APOWKNBVHAZGRF-UHFFFAOYSA-N 401.850 4.563 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)c1sc2cc(F)ccc2c1Cl 11443778 CPMIHUWTAJCCGS-UHFFFAOYSA-N 422.821 4.706 5 20 HJBD COc1ccc([C@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 24077896 KTCUTVIXMFYVIH-HNNXBMFYSA-N 423.444 4.846 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@H]3CCCc4c3cnn4C)cc2[N+](=O)[O-])cc1 24986871 VZLQRGHURQSZDR-SFHVURJKSA-N 422.510 4.595 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCc2ccccc2Cl)cc1[N+](=O)[O-])c1ccccn1 52932924 DJMVSJBQLZLPLB-CQSZACIVSA-N 410.861 4.746 5 20 HJBD Cc1c([C@H](C)NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)oc2ccccc12 54085835 XBQHBFPHDQJZDB-AWEZNQCLSA-N 404.426 4.541 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@@H]3C3CCCCC3)cc2)c(C)c1[N+](=O)[O-] 55599764 SGHAWJHJLIWJCI-OAQYLSRUSA-N 410.518 4.641 5 20 HJBD C[C@H]1CCC[C@@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 71861593 GKLBBGUYBYYKMG-XJKSGUPXSA-N 413.440 4.525 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(Cc3cccs3)n2C2CC2)c2ccncc12 105324333 CTHMUDYICOJHBX-UHFFFAOYSA-N 409.496 4.873 5 20 HJBD Cc1ccc(OCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c(C)c1 106186857 CPEJGIYLHGVJHU-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD CSc1ccc(NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)cn1 110507392 GFTCQMDJTAMAIT-UHFFFAOYSA-N 418.525 4.880 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2nc3c(s2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 302936132 AETXMOZYJVNHKG-CYBMUJFWSA-N 423.498 4.751 5 20 HJBD Cc1cccc(C(=O)N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)c1[N+](=O)[O-] 303331185 UGNXNAHPUZLCOM-INIZCTEOSA-N 413.232 4.563 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1)[C@H]1CCOC1 410234864 FEQPBZRATWBZIG-STQMWFEESA-N 406.891 4.554 5 20 HJBD COc1ccccc1[C@@H](NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)c1ccco1 426982795 UOVICLQQKRHNOL-VBKZILBWSA-N 412.467 4.583 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 436099877 FFLDXGOCQVMFEJ-UHFFFAOYSA-N 414.358 4.769 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)NC(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 436333907 KLRUSWJVVHMVHM-GOSISDBHSA-N 418.375 4.512 5 20 HJBD O=C(c1cc2ccc(Br)cc2[nH]1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437483616 HHTULRZNIGSJKP-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1OC 437944981 BGBRLYSJKYMMNJ-MRXNPFEDSA-N 424.375 4.608 5 20 HJBD CC(C)c1nnc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 440438330 AIZIFJFBQIVNRR-UHFFFAOYSA-N 400.485 4.973 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1 440476699 HJMHRUOXIUARDI-UHFFFAOYSA-N 412.452 4.834 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1Oc1ccc(-n2cccn2)cc1 447671649 MZUNCFYAXKSTDQ-UHFFFAOYSA-N 400.263 4.622 5 20 HJBD CC[C@@H](C)[C@](C)(O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 461590074 LNYDERMRYRWDKQ-ZUOKHONESA-N 406.866 4.567 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](c2ccccc2)c2ccccn2)nc1OCc1ccccc1 462435820 JLZBNAFRLUQAHW-HSZRJFAPSA-N 412.449 5.165 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Oc2cccnc2)c(F)c1 462491058 QFPLOIMKALUCGZ-GOSISDBHSA-N 423.444 4.806 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(c4noc(C5CC5)n4)CC3)s2)cc1 463056187 WKVLAIIBSZFQRV-UHFFFAOYSA-N 410.499 4.963 5 20 HJBD COc1cc(CNc2cnc3onc(C)c3c2)c([N+](=O)[O-])cc1OCc1ccccc1 463251095 QTEYMGQMLGKVTQ-UHFFFAOYSA-N 420.425 4.639 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccccc1CCC(F)(F)F 464843686 XXYTYVQQBQEMPH-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC(=Cc2ccccc2F)CC1 465093043 KCYPUGCZGOLROT-UHFFFAOYSA-N 409.461 4.654 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)N1CCC[C@@H]1c1cc(F)cc(Br)c1 466302599 IHQWHAIYTRZLAO-GOSISDBHSA-N 421.266 4.793 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)NCCc3ccccc3[N+](=O)[O-])c2C(C)C)cc1 467993322 JUTLIEQEHFULTB-UHFFFAOYSA-N 407.474 4.577 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])c(C2CC2)n1)Nc1ccc(Oc2ccccc2Cl)cc1 468114653 ZJYVLGINLSLVDL-UHFFFAOYSA-N 412.833 4.753 5 20 HJBD Cc1ccc(-n2c(Sc3cc(C)c([N+](=O)[O-])cn3)nnc2-c2ccccn2)c(C)c1 470856114 BYSGJQWTSNYCAA-UHFFFAOYSA-N 418.482 4.709 5 20 HJBD CCc1ccc(C(=O)N(CCc2ccccn2)Cc2ccc(F)cc2)cc1[N+](=O)[O-] 471905295 AYPALHRIPWWFRF-UHFFFAOYSA-N 407.445 4.576 5 20 HJBD Cc1c(CNC(=O)N(Cc2ccc(C(F)(F)F)cc2)C2CC2)cccc1[N+](=O)[O-] 480061118 XDLNVVGMYADUIW-UHFFFAOYSA-N 407.392 4.796 5 20 HJBD C[C@H](NCc1nncn1-c1ccccc1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 480774047 KTWOFULKENUTGK-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 481172610 XZRNBWGOBSHYLM-GJZGRUSLSA-N 402.413 4.871 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1N1CCCCC1 481621319 CYTYKDKCQZJPSE-UHFFFAOYSA-N 402.882 4.603 5 20 HJBD Cc1cc(C)n(-c2ccc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2F)n1 482307703 ZXYUVZIIPYCQEG-UHFFFAOYSA-N 410.449 4.587 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccncc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 486781289 URGFANGPTZIYPJ-FQEVSTJZSA-N 416.462 4.633 5 20 HJBD Cc1nc(COc2cccc(C(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)cs1 488039608 JNIXRSBDWKBNTR-CQSZACIVSA-N 411.483 4.738 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc([N+](=O)[O-])cc3Cl)o2)c(C)c1 488867661 NMMYBLXNSOBSFI-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCCC[C@H]3c3ncon3)cc2[N+](=O)[O-])cc1 490012193 SFBCCRRHPPOOSL-KRWDZBQOSA-N 424.482 4.805 5 20 HJBD C[C@H](C1CC1)n1cc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cn1 491023396 YJBAJUADXWNASN-OAHLLOKOSA-N 405.458 4.627 5 20 HJBD O=[N+]([O-])c1ccccc1CN[C@@H](c1ccc2c(c1)OCCO2)c1ccccc1Cl 493229975 OJHFSVIRGDTLJE-QFIPXVFZSA-N 410.857 4.899 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N(C)[C@H](c3ccccc3)C(C)C)cc2)c(C)c1[N+](=O)[O-] 496245462 MRRSMOJZBBUXOX-QFIPXVFZSA-N 420.513 4.926 5 20 HJBD CCN(C(=O)CCNc1ccccc1[N+](=O)[O-])[C@H](c1ccc(C)cc1)c1cccnc1 496489955 FZHWMXDEDUFVBD-XMMPIXPASA-N 418.497 4.738 5 20 HJBD Cc1cccc(CN(Cc2ccco2)C(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)c1 498899769 VTKWNZUYHWLTHQ-UHFFFAOYSA-N 416.437 4.525 5 20 HJBD COc1ccc([C@@H](Cc2ccc(F)cc2)NC(=O)c2csc([N+](=O)[O-])c2)cc1 502528075 IRECUJZTPNKVPC-GOSISDBHSA-N 400.431 4.518 5 20 HJBD COc1cc(CNCc2ccc(-c3ccc4c(c3)CCO4)cc2)c([N+](=O)[O-])cc1OC 507892391 CKYDSQGENCOPRR-UHFFFAOYSA-N 420.465 4.504 5 20 HJBD CCOc1ccc(CCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 512341234 CTWKVUKNQHKKNC-UHFFFAOYSA-N 410.392 4.517 5 20 HJBD CCOC1CC(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)C1 514753531 GPSWEPUQHVVCEW-UHFFFAOYSA-N 403.504 4.522 5 20 HJBD COc1ccc(C[C@@H]2CCCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 518632845 YDFIMYIENHOGMQ-AEFFLSMTSA-N 422.485 4.669 5 20 HJBD C[C@@H](CNc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-])c1c(Cl)cccc1Cl 523161957 VJSVIVKLUVSWRV-JTQLQIEISA-N 403.287 4.521 5 20 HJBD Cc1ccc(CN(C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)C2CC2)cc1C 524848246 MXNYKAXRKDKGEP-UHFFFAOYSA-N 407.514 4.619 5 20 HJBD C[C@@H](Sc1ccnc2cc(Cl)ccc12)C(=O)NCc1cccc([N+](=O)[O-])c1 530694021 KEWDWHQWARKZER-GFCCVEGCSA-N 401.875 4.593 5 20 HJBD C[C@H](N[C@H](C)c1c(F)cccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 530966435 MIFXUQAZYPEKNW-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD CCNC(=O)c1cccc(NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 532261330 VLQAQXNKKCIHPQ-UHFFFAOYSA-N 421.478 4.748 5 20 HJBD COc1ccc(CNCc2ccc3c(c2)COC3)cc1OCc1ccc([N+](=O)[O-])cc1 533201377 LWPBUJXDSRYNSS-UHFFFAOYSA-N 420.465 4.502 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 534016649 KWXMJJLSLVIAHO-UHFFFAOYSA-N 409.445 4.757 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccccc1CCC(F)(F)F 534762158 UGKXXTSABAXHFM-UHFFFAOYSA-N 404.348 4.732 5 20 HJBD C[C@@H](NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1ccc([S@](C)=O)cc1 535952642 CDUDRNATBRNDPE-FOGNVHKLSA-N 423.494 4.567 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCC(=O)Nc3ccc([N+](=O)[O-])cc3C)cc2)cs1 537050630 SNEFLIIDGWSTHT-AWEZNQCLSA-N 410.499 4.624 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC2(CCN(Cc3ccccc3)C2)CC1 537663374 LFTJKWNJQHHRTO-UHFFFAOYSA-N 421.541 4.648 5 20 HJBD CC[C@@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1OC(F)F 538152487 BNQSMELSTYWHHQ-CQSZACIVSA-N 413.808 4.529 5 20 HJBD Cc1ccc(S(=O)(=O)c2ccc(CN[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)cc1 538276146 HOPYLHCPVCHYQP-GOSISDBHSA-N 424.522 4.895 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(OC(F)F)c1 539219046 ASGLTWXFQXNETK-ZDUSSCGKSA-N 403.385 4.745 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cn(C)nc1-c1ccccc1 542001937 WXPCNZMTNSSNIH-UHFFFAOYSA-N 424.526 4.818 5 20 HJBD Cn1nc(C2CCCC2)cc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 543795181 HZGRYIUDYPELKJ-UHFFFAOYSA-N 419.485 4.850 5 20 HJBD Cc1c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)cccc1OCC(F)(F)F 545819001 NQOBDOFDCHGNQX-UHFFFAOYSA-N 414.355 4.900 5 20 HJBD C[C@@H](Nc1ccc(-c2nc([C@H](C)c3ccncc3)no2)cc1[N+](=O)[O-])c1ccccn1 547211446 QUPHQJONUHDFNX-HUUCEWRRSA-N 416.441 4.760 5 20 HJBD C[C@@H](Oc1ccc(-c2nc(Cc3ccc([N+](=O)[O-])cn3)no2)cc1)c1ccccc1 566362850 XQRVTSPLCCLUCE-OAHLLOKOSA-N 402.410 4.771 5 20 HJBD CCn1c(C)nc([N+](=O)[O-])c1N[C@@H](C)c1sc(-c2ccc(OC)cc2)nc1C 570754615 GXRZMBHXCHDHOU-NSHDSACASA-N 401.492 4.733 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)C(C)C)cc1 603705790 WWOILGFZVVMABV-YCRPNKLZSA-N 411.502 4.869 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cccc3c2ccn3C)cc1[N+](=O)[O-])c1ccccn1 608882485 NTGKXNDJEFHOIW-OAHLLOKOSA-N 415.453 4.907 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 608966451 LJWAZRUGEJVUBY-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD COc1ccc2ccccc2c1CN(C(=O)c1ccc([N+](=O)[O-])n1C)C1CCCC1 609064772 OBYNMJCTZSSYSN-UHFFFAOYSA-N 407.470 4.680 5 20 HJBD CCCN(C(=O)COc1cccc([N+](=O)[O-])c1)[C@@H](c1ccc(F)cc1)c1cccnc1 609490801 JEAQGEYRCGYWSK-QHCPKHFHSA-N 423.444 4.536 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1cncs1 609792093 ZZCOCHXFLVAICV-UHFFFAOYSA-N 407.810 4.566 5 20 HJBD Cc1ccc(C[C@@H](C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609982018 ZKDXJTBLVNHMAX-ZBFHGGJFSA-N 424.526 4.894 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1ccc(SCCC(C)C)c([N+](=O)[O-])c1 609983178 ZETCMBDDVWDGIX-ZDUSSCGKSA-N 405.520 4.699 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Cl)cc([N+](=O)[O-])c1OC 610168149 LTBORUCZIXKNGM-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD COc1cc(C(=O)N2CCC[C@H]2c2ccc(C(C)(C)C)cc2)cc([N+](=O)[O-])c1OC 610196411 ZMKGEJPDESVSEQ-SFHVURJKSA-N 412.486 4.887 5 20 HJBD CC(C)Oc1cccc([C@@H](O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 735087351 ZBIJESKPDMLTQZ-NRFANRHFSA-N 412.511 4.934 5 20 HJBD C[C@H](NC(=O)OC(C)(C)C)c1ccc(NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 742890898 USFMORSEZJXDKO-LBPRGKRZSA-N 403.410 4.572 5 20 HJBD C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1)c1ccc(N(C)Cc2ccccn2)c(F)c1 744202569 HHSCQMRXMSFSJG-MRXNPFEDSA-N 423.444 4.612 5 20 HJBD Cc1ccc(-c2ocnc2C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 745358122 CYEKXHJTFUZZCH-ZDUSSCGKSA-N 420.381 4.526 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1OCc1cscn1 746067275 OSHMRACFNRWSNO-UHFFFAOYSA-N 404.831 4.641 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Br)c2ccccc2S1 751073270 FXJGQURBNMPFPW-LLVKDONJSA-N 407.289 4.888 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CCC(c2cccc(F)c2)CC1 752400856 IDHZVDWMEGMIDS-UHFFFAOYSA-N 414.480 4.700 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N(Cc1csc(Br)c1)C1CC1 752870105 XDUZWOMWONSYCD-UHFFFAOYSA-N 415.696 4.877 5 20 HJBD CC(C)(C)c1ccc(O)c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754630696 KSZZBXXGAUXBKB-UHFFFAOYSA-N 411.227 4.752 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2cc([N+](=O)[O-])cc(Cl)c2F)C(C)C)c1C 754998954 LJPVWHOQWBXGQI-SFHVURJKSA-N 422.840 4.824 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccc(C(=O)Nc2ccccc2)cc1 757207521 IDGPVMJWPLXSFJ-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(Cc3ccc(Cl)c(Cl)c3)no2)C1 761423573 MRVVIOIBKYNXIU-MRXNPFEDSA-N 419.224 4.741 5 20 HJBD CCC[C@@H](C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 763854388 VTHPNTJYRBSBOA-DGCLKSJQSA-N 404.385 4.556 5 20 HJBD CCn1ccnc1[C@H](CCc1ccccc1)OC(=O)[C@@H](C)c1cccc([N+](=O)[O-])c1 764017394 WSHSHVYKSRJBNS-UWJYYQICSA-N 407.470 4.832 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 770007401 GCNIIJASGAOZOL-OCAPTIKFSA-N 419.709 4.843 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 772234525 CPMOFHJGZHTGQN-SECBINFHSA-N 419.812 4.743 5 20 HJBD Cc1nc(-c2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc2)co1 783871755 MXBMNFRSZQNUET-UHFFFAOYSA-N 403.847 4.942 5 20 HJBD Cc1cnc(Cl)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1 787980771 OWXQVEPDCHAFJR-OKILXGFUSA-N 402.882 4.686 5 20 HJBD CO[C@H]1CCC[C@H](OC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 912591613 NUIMVWPHBRFMTB-KBPBESRZSA-N 408.501 4.625 5 20 HJBD COc1ccc(CNc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1[N+](=O)[O-] 917390262 JRKYULLQAWBPAB-UHFFFAOYSA-N 405.716 4.796 5 20 HJBD Cc1cc(C)c(OC(=O)C[C@@H](NC(=O)c2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 918242334 VEDNLWPVKYKIEQ-HXUWFJFHSA-N 418.449 4.678 5 20 HJBD CC(C)(C)OC(=O)Nc1cc(NC(=O)CSc2ccc([N+](=O)[O-])cc2)ccc1F 1318948383 ACGOOUFJYOXKRC-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nnc(-c3cc(F)ccc3Br)o2)c1 1323011347 JISFXDJVIWJZIA-UHFFFAOYSA-N 417.194 4.549 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCc1nnc(-c2ccccc2Cl)o1 1323846287 AJCBAIJZUQQXGX-UHFFFAOYSA-N 412.755 4.972 5 20 HJBD Cc1ccc(OCc2nnc([C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1C 1346602077 YRZPQSXLQUQSIM-ZDUSSCGKSA-N 403.822 4.967 5 20 HJBD CCOc1ccccc1OCc1nnc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])o1 1349921697 GTPCWMNBEGGWGE-GFCCVEGCSA-N 419.821 4.749 5 20 HJBD Cc1cc(C(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c(C)n1C1CC1 7169455 VNKOCWFSPAAGGZ-UHFFFAOYSA-N 417.534 4.815 5 20 HJBD Cc1sc(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)nc1-c1ccc(OC(F)F)cc1 10957469 NPSAURDDNFBXTM-UHFFFAOYSA-N 421.381 4.586 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Oc2ccccc2)nc1 44524213 PISITZZIAFVPRV-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(C(=O)Nc2ccccc2)cc1 58286086 IXTHHHKDJPICSE-UHFFFAOYSA-N 418.453 4.678 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1csc([N+](=O)[O-])c1 97403327 VQOZCXPJLDTBQR-UHFFFAOYSA-N 413.402 4.975 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccc2ccccc2n1 104855248 FEDIIQMMVHCIPJ-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD CC(C)(NCc1nc(-c2cccc(Br)c2)c[nH]1)c1ccc([N+](=O)[O-])cc1 236707004 JTJMXLJSECSUPQ-UHFFFAOYSA-N 415.291 4.772 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C(=O)c1ccc([N+](=O)[O-])s1 248118923 MKMRTJKKGWARGC-UHFFFAOYSA-N 424.507 4.843 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(-c2ccccc2F)n1Cc1ccccc1 301106282 UJFHWZKNDHWBQX-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H]1CCCN1c1ccc([N+](=O)[O-])c(C(F)(F)F)c1 301825583 MIZHUPRSZQURTK-QGZVFWFLSA-N 423.391 4.538 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc2cc(Br)ccc2c1 327137864 MVCKKWSMPYOZRT-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1OC(F)F 430920457 OXUUUARYAUIEKT-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD COc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c(OC)cc1Cl 435837915 VCQRYKMSQPAIJS-UHFFFAOYSA-N 408.841 4.604 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)n1 436298987 DUTNFLJCEVLYCJ-LEUOFYLZSA-N 408.424 4.830 5 20 HJBD Cn1c(C(=O)Nc2ccc(Cl)cc2-c2nc(C3CCCC3)no2)ccc1[N+](=O)[O-] 439679475 HIEMXNWVGJZSEA-UHFFFAOYSA-N 415.837 4.547 5 20 HJBD CC(C)(C)c1ccc(C(C)(C)NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 443961570 QTOYYKJGCHEXEV-UHFFFAOYSA-N 407.470 4.811 5 20 HJBD O=C(NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444106467 GOULKWVJQMMNTC-RHSMWYFYSA-N 402.416 4.780 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN3CCC[C@H]3c3ncc(-c4ccc(Cl)cc4)[nH]3)[nH]c2c1 444666200 QQEWDLNXRXVBBS-IBGZPJMESA-N 422.876 4.852 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 444683928 GUMMHHYRDFOICU-LLVKDONJSA-N 411.462 4.893 5 20 HJBD C[C@H](NC(=O)c1cccc2c(=O)c3ccccc3[nH]c12)c1nc(C(C)(C)C)cs1 445170114 BLDSHYJRGSJWHO-ZDUSSCGKSA-N 405.523 4.926 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C\c3ccc(OCc4ccccn4)cc3)n2)s1 445478686 RVEUOGPLCHVILJ-POHAHGRESA-N 406.423 4.851 5 20 HJBD COC1(c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CCOCC1 445786315 VSVOHFLHHFRIEF-VQHVLOKHSA-N 413.455 4.529 5 20 HJBD Cc1nc(-c2ccc(CCN[C@@H]3CCCC[C@@H]3n3ccc([N+](=O)[O-])n3)s2)cs1 447526463 BRCKLIKNKLKJIL-WBVHZDCISA-N 417.560 4.601 5 20 HJBD O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 462172937 ANGBLLAZBREATN-JXFKEZNVSA-N 416.861 4.728 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 462537410 VYZAUXKRXXRVIQ-NSHDSACASA-N 415.298 4.816 5 20 HJBD COc1ccc(Cl)cc1N1CC[C@H](Nc2ccc([N+](=O)[O-])c(OC(F)F)c2)C1 462795488 TUCRYGPTIUBHON-ZDUSSCGKSA-N 413.808 4.549 5 20 HJBD CNC(=O)c1ccc(N(C)[C@@H](C)c2ccc(-c3ccccc3OC)cc2)c([N+](=O)[O-])c1 462912419 MYJPEKDLDQJDMF-INIZCTEOSA-N 419.481 4.827 5 20 HJBD COc1cc(CSCc2cccc(C(=O)N3CCCCC3)c2)c([N+](=O)[O-])cc1F 464089119 WFXBWHKJLWPVRR-UHFFFAOYSA-N 418.490 4.802 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 466663244 CZTVUZQILQOOGS-UHFFFAOYSA-N 411.458 4.701 5 20 HJBD C[C@@H](OC1CCCCCC1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 471391077 PXSPJDDDODWKKF-CQSZACIVSA-N 402.413 4.614 5 20 HJBD O=C(Nc1cc([C@H]2CCCOC2)[nH]n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 473406456 LOSKVMXPCJYCFB-AWEZNQCLSA-N 424.482 4.615 5 20 HJBD COc1ccc(-c2csc(CNC(=O)CSc3ccc([N+](=O)[O-])cc3)c2)cc1 473461758 KFVHHZHKUKKJJO-UHFFFAOYSA-N 414.508 4.740 5 20 HJBD Cn1ccnc1[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(Cl)cc1 478299103 NWPCBNFDOBKDLX-MRXNPFEDSA-N 421.682 4.946 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1cccc2ccccc12 481477756 HDYYJSGQSXAJOG-UHFFFAOYSA-N 410.495 4.759 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)F)c(Cl)c2)cc1SC 482249124 ZMGNQWKPAZPSBC-UHFFFAOYSA-N 418.805 4.832 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 483304392 DERMCSHEYJYPFM-UHFFFAOYSA-N 403.341 4.720 5 20 HJBD CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1 483412729 VYTXOYMTLRBROS-VBKZILBWSA-N 417.893 4.698 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC(c2c(F)cccc2F)CC1 485596915 GWRWQYVQEZPHDL-CYBMUJFWSA-N 406.454 4.760 5 20 HJBD CC(C)(C(=O)N1CCC[C@H](c2nc3ccc(F)cc3o2)C1)c1ccccc1[N+](=O)[O-] 487384375 HEXYADYISUFFDV-AWEZNQCLSA-N 411.433 4.559 5 20 HJBD Cc1ccc(C(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1 488046412 USEXSVIGXUBYIM-QGZVFWFLSA-N 417.465 4.955 5 20 HJBD CCc1nc2cc(NC(=O)NCc3cc([N+](=O)[O-])cc(C(F)(F)F)c3)ccc2o1 488524844 CJBWBMTZCINPRK-UHFFFAOYSA-N 408.336 4.639 5 20 HJBD CC(C)(NC(=O)NCc1cc(Cl)ccc1OC(F)F)c1ccccc1[N+](=O)[O-] 489281388 AAIUOOJMFTZETG-UHFFFAOYSA-N 413.808 4.584 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(CC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1 492896260 VJZNJLBSNAQPIJ-UHFFFAOYSA-N 423.513 4.703 5 20 HJBD CC[C@H]1CCCN(C(C)(C)CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 500899739 QSXKONUTOUWCQX-INIZCTEOSA-N 402.564 4.709 5 20 HJBD O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 502572049 VXCMMFKDMLHCIX-UHFFFAOYSA-N 413.437 4.849 5 20 HJBD C[C@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1)c1ccc(OCc2ccc(F)cc2)cc1 503425109 XRADPOMFEMCMOE-INIZCTEOSA-N 423.444 4.873 5 20 HJBD O=C(N=c1nc(-c2cccc(Oc3ccccc3)c2)[nH]s1)c1ccc([N+](=O)[O-])s1 505211973 WQNCIEWFRZDZDK-UHFFFAOYSA-N 424.463 4.641 5 20 HJBD CCOC(=O)c1cnc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 506529030 XWHIQYIBTPDVAF-UHFFFAOYSA-N 421.409 4.520 5 20 HJBD O=C(CN[C@@H](c1cccc2ccccc12)C(F)(F)F)Nc1ccccc1[N+](=O)[O-] 510401867 LAGFUZRZVFGZQN-IBGZPJMESA-N 403.360 4.580 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 515862416 RVZOJQYHEVQGLP-GFCCVEGCSA-N 416.528 4.691 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC)c12 517905709 DFLBDTFOGKIBHC-UHFFFAOYSA-N 422.397 4.604 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])C1 518800529 DIGGWAHKOKBMAU-HOTGVXAUSA-N 420.469 4.984 5 20 HJBD COc1ccc(C[C@@H](C)CN(C)[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 534176042 CARFNGUYJFOXSK-CVEARBPZSA-N 410.474 4.525 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1COc1ccccc1 535368452 MAWKEJGMCKROBA-UHFFFAOYSA-N 401.422 4.836 5 20 HJBD CCC1(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCOCC1 535634476 YFOCQMAZJYRYMJ-UHFFFAOYSA-N 404.850 4.730 5 20 HJBD COC(=O)c1ccc(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1F 536301450 BBIKYJPULBJFDT-UHFFFAOYSA-N 402.403 4.609 5 20 HJBD C[C@@H](SCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)C(=O)Nc1ccc(F)cc1F 536304132 PGIJYGMARWVDPB-LLVKDONJSA-N 419.409 4.788 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ccccc1C(F)(F)F 536468591 XOPJVQIWFMMFGQ-UHFFFAOYSA-N 408.254 4.650 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@H]1NCCc1nc(-c2ccccn2)cs1 540171398 VVYMBNZOPPIMKL-FUHWJXTLSA-N 408.527 4.657 5 20 HJBD Cc1ccc([C@H](C)NCc2cc(Cl)ccc2OC[C@@H]2CCCO2)cc1[N+](=O)[O-] 540203590 MSVLRSPJIOSJIL-KXBFYZLASA-N 404.894 4.965 5 20 HJBD COc1cc(-c2nc(Cc3ccc(Cl)c(Cl)c3)no2)cc([N+](=O)[O-])c1OC 545531348 RIFSFJJCMKAQGV-UHFFFAOYSA-N 410.213 4.560 5 20 HJBD Cc1ccc(-c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)cc1NC(=O)NC(C)(C)C 545694755 DTEBTRUIKQTJAJ-UHFFFAOYSA-N 409.446 4.849 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccc(C(F)(F)F)n3)no2)cc(C(F)(F)F)c1 546434741 HAQHBZPSDFHEGD-UHFFFAOYSA-N 404.226 4.744 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2c[nH]c3ccc(F)cc23)no1 546452962 BWTZBNSAYJDNJE-JTQLQIEISA-N 416.796 4.982 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cc(CO)ccc3C)cc2[N+](=O)[O-])n1 551836731 LVMBPVJUASTBQP-UHFFFAOYSA-N 415.496 4.564 5 20 HJBD COc1ccc([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])cc(Br)c2C)cc1 555842555 JDMCXINJEQHFCQ-GFCCVEGCSA-N 407.264 4.508 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(CCc1ccc([N+](=O)[O-])cc1)C2 557858910 GNXKQDWNLROQMY-UHFFFAOYSA-N 416.481 4.840 5 20 HJBD COc1ccc2cc(CNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc2c1 558902009 SJDVVSYROREZOK-UHFFFAOYSA-N 404.344 4.705 5 20 HJBD O=C(NCc1ccc2sccc2c1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 574492930 BRQBJJFBHKDGRZ-UHFFFAOYSA-N 411.483 4.563 5 20 HJBD C[C@H](NC(=O)CSc1ccc([N+](=O)[O-])cc1)c1ccc(Oc2cccnc2)cc1 582566769 FBIHWJVTYQQWHD-HNNXBMFYSA-N 409.467 4.752 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1)[C@@H]1CCCN1C(=O)Nc1ccc([N+](=O)[O-])cc1 603562019 ITSCTMVEEAFHHJ-INIZCTEOSA-N 423.256 4.537 5 20 HJBD COc1ccc(-c2nc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cs2)cc1 603639041 JKDTVMSDQYCLIM-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 603966888 KCXAXVDGWUUOEY-LLVKDONJSA-N 416.275 4.567 5 20 HJBD C[C@@H](N[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(OCc2ccccc2)cc1 604044493 QUOUNKSDJQSVMO-QZTJIDSGSA-N 419.481 4.852 5 20 HJBD O=C(c1cc(-c2ccccc2)n(-c2ccccc2)n1)N1CCc2cc([N+](=O)[O-])ccc21 604232618 CSJQUYJTHNMULL-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD Cc1nc([C@@H](NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccc2F)no1 609637076 PECGURDWTINJLF-FQEVSTJZSA-N 408.389 4.565 5 20 HJBD CCc1ccc(OCc2csc(CC(=O)Nc3ccc(C)cc3)n2)c([N+](=O)[O-])c1 609650829 CQESGRLQNFZXLB-UHFFFAOYSA-N 411.483 4.682 5 20 HJBD COc1ccc(C2(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])CCCC2)cc1 609736373 JLHTVYWDRXNGIJ-UHFFFAOYSA-N 418.877 4.611 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccccc2C(F)(F)F)cs1 609789520 ZCFULXRWAOPUAQ-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD COc1ccc(-c2csc(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)n2)cc1F 609853404 GCUCCZPWXUMHCG-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609981705 VTEMTWQCRSEVRV-AWEZNQCLSA-N 413.421 4.710 5 20 HJBD COc1ccc(C[C@H](NC(=O)c2cc(C)ccc2[N+](=O)[O-])c2ccccc2)cc1OC 610226988 BYALECJZROCBIN-FQEVSTJZSA-N 420.465 4.634 5 20 HJBD Cc1nc(-c2ccc(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2)oc1C 610910441 FQMCTOVIZMZMII-UHFFFAOYSA-N 403.442 4.879 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@@H]2[C@H]1[C@@H]2C(F)(F)F 611211275 HZURIFOZNCWJFD-XKQJLSEDSA-N 408.401 4.769 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N[C@@H](C)c2cnccc2C)cc1 611300462 NBPBXHCGWMMJNY-HNNXBMFYSA-N 407.426 4.590 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc([C@H]3CCCN(c4nc5ccccc5s4)C3)n2)s1 618410943 MDJMYWORRHRKNY-NSHDSACASA-N 413.484 4.700 5 20 HJBD CC(C)(C)OC(=O)N1CCC[C@H](CNCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)C1 726605509 FXYJXINGEKDHOS-MRXNPFEDSA-N 415.490 4.592 5 20 HJBD O=C1O[C@H](c2ccccc2)Cc2cc(-c3nc(-c4ccc([N+](=O)[O-])cc4)no3)ccc21 731792014 FPYFTWYOXVPKIP-FQEVSTJZSA-N 413.389 4.766 5 20 HJBD Cc1nc(C(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])nn1-c1ccccc1C(C)C 734894918 RHXIBZWTCMJKST-UHFFFAOYSA-N 414.849 4.618 5 20 HJBD C[C@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1nc2ccccc2s1 735013957 ZDZDMKMUPDLIEO-NSHDSACASA-N 405.863 4.504 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@H](O)c3cc(Cl)cc(Cl)c3)o2)c1 735677687 SXNXNVMJLYDDBU-SFHVURJKSA-N 407.253 4.985 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CS[C@H](C)c1nc2ccccc2[nH]1 741934385 JWYRTBSEKKQGIA-LLVKDONJSA-N 404.879 4.866 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N(Cc1ccc(F)cc1)CC(F)(F)F 742198801 GGXJEQBWQLYMDZ-UHFFFAOYSA-N 413.371 4.517 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(OC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1 744372117 RTXHXGRIEQEKEX-UHFFFAOYSA-N 406.822 4.839 5 20 HJBD CCN(CC1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1)C(=O)OC(C)(C)C 745974079 PMYUKDWJRBPIIH-UHFFFAOYSA-N 420.535 4.678 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 747806631 QKMKICYZYOGZPB-OXJNMPFZSA-N 413.499 4.929 5 20 HJBD O=C(Nc1nc2cc(Cl)ccc2s1)c1cc([N+](=O)[O-])ccc1Br 751072692 QIUORAIARZEEFR-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD O=C(NCC1(c2cccc(C(F)(F)F)c2)CCCC1)c1cc(F)ccc1[N+](=O)[O-] 751108238 OJAFJOYHLRCZIC-UHFFFAOYSA-N 410.367 4.995 5 20 HJBD CC(C)(C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(Br)cc1 751649417 YJPDCPXWWVVZJU-UHFFFAOYSA-N 419.231 4.520 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 763401128 DIZZVSIUBCLSQI-CHWSQXEVSA-N 415.833 4.630 5 20 HJBD O=C(CN(Cc1cccc([N+](=O)[O-])c1)C1CC1)Nc1ccc(SC(F)F)cc1 768566023 PLSCYCOWZSIRLG-UHFFFAOYSA-N 407.442 4.513 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N(Cc1ccccc1)C[C@H](O)c1ccco1 770016877 RDXFHQCOOUOYFI-SFHVURJKSA-N 415.833 4.609 5 20 HJBD C[C@@H](C[C@H](O)c1ccc(F)cc1)Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 774670917 LCPJUIBHCBXOBG-XPTSAGLGSA-N 423.313 4.707 5 20 HJBD CCOc1ccc(CCC(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776133368 IUNMKXPQHSUSOQ-QHCPKHFHSA-N 406.438 4.654 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2)on1 776139248 OWMDCDAHXPTBAI-NRFANRHFSA-N 401.378 4.591 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1csc(-c2ccoc2)n1 776140062 ZIQAXFJEIDEBFM-GOSISDBHSA-N 407.407 4.653 5 20 HJBD O=C(Nc1ccc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1)c1ccco1 776804641 JTTGOISCFPYJJN-UHFFFAOYSA-N 406.442 4.521 5 20 HJBD C[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc2ccc(Cl)cc2[nH]1 780667339 UDRDUPICBYDAGS-GFCCVEGCSA-N 416.868 4.702 5 20 HJBD C[C@@H]1CC(=O)c2c(OC(=O)c3ccc4[nH]c5ccccc5c(=O)c4c3)ccc(F)c21 781460981 FXDSCKIVTQXOPS-GFCCVEGCSA-N 401.393 4.730 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1cccc([N+](=O)[O-])c1Br 782161572 BTGZEJPFSHAYPY-UHFFFAOYSA-N 415.696 4.533 5 20 HJBD COc1ccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1Cc1ccccc1 782980322 OVADFGCUJUKMGU-UHFFFAOYSA-N 405.454 4.553 5 20 HJBD C[C@H](NC(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 784221280 DLHJQKRYLOBNFS-XJKSGUPXSA-N 407.392 4.874 5 20 HJBD CCO[C@@H](C)c1nc(COc2cc(F)c(Br)cc2[N+](=O)[O-])cs1 790295012 ADWKXAVONNEIFK-QMMMGPOBSA-N 405.245 4.629 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])o2)cc1C 796444531 ILPGBHFHNIFZHR-OAHLLOKOSA-N 409.442 4.844 5 20 HJBD C[C@H](Cn1ccc2ccccc21)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 797225232 DMGLHHRDNRGPPO-UZUQRXQVSA-N 415.449 4.913 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1Cl)c1ccc(Br)cc1F 803644394 VIHKDJSSSWKIRU-QMMMGPOBSA-N 401.619 4.641 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl 805351180 HRHYQNKFSPTRSB-HNNXBMFYSA-N 424.284 4.609 5 20 HJBD CCN(c1ccc2[nH]ccc2c1)S(=O)(=O)c1c(Cl)ccc([N+](=O)[O-])c1Cl 809397971 LMKXEUMRYAPBGZ-UHFFFAOYSA-N 414.270 4.598 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1ccnc(OCC(F)(F)F)c1 811474464 ZMXPKUPLUXKKAF-UHFFFAOYSA-N 410.179 4.528 5 20 HJBD COCCOCCN(C)C(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 811552316 ONFDWDVFIMMVBC-UHFFFAOYSA-N 417.505 4.668 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813137537 NERZNOVFHCPBGE-UHFFFAOYSA-N 409.147 4.937 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl)O[C@H](C)C2 813246352 IZZQSXWYYTXJDM-ZLDLUXBVSA-N 416.861 4.713 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@H](c2ccccc2)[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 813670281 RHYWWHFHGOLGOU-ZQMYSKGWSA-N 419.481 4.738 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2c(F)ccc(F)c2c1 816005285 GXLJVJQRWPJLMM-NRFANRHFSA-N 421.359 4.763 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)NN1CCCCC1 857764353 AISFNMBISJKGMO-SAPNQHFASA-N 422.510 4.652 5 20 HJBD O=C(CN1CCCCCC1)Nc1ccc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1 864004058 FGFLVFWNXCFBAA-UHFFFAOYSA-N 416.909 4.675 5 20 HJBD Cc1ccc(-c2nn(-c3ccccc3)cc2C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)o1 897492941 MDLMYTMTJISRSD-UHFFFAOYSA-N 424.363 4.879 5 20 HJBD CCOC(=O)c1c(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])sc2ccccc12 914844314 ZZTZLUQFRBRUCA-UHFFFAOYSA-N 416.480 4.960 5 20 HJBD O=C1Nc2cc(Cl)c([N+](=O)[O-])cc2/C1=C\c1cnn(Cc2ccccc2Cl)c1 915114721 QAEOXTRTEAUPFJ-LHHJGKSTSA-N 415.236 4.639 5 20 HJBD COC(=O)c1ccc([N+](=O)[O-])c(Oc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])c1 917073724 UHVQKYYTKCBYRQ-UHFFFAOYSA-N 420.683 4.754 5 20 HJBD CCN(C(c1ccccc1)c1ccccc1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 918195380 PYOHUSDLNNVHCK-UHFFFAOYSA-N 414.458 4.534 5 20 HJBD O=C1OC(c2ccc(Cl)c([N+](=O)[O-])c2)=N/C1=C\c1csc(-c2ccsc2)n1 920391864 JUZQASHIIKOHBF-MLPAPPSSSA-N 417.855 4.778 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 921154047 NSUZEPPNBAILLV-CQSZACIVSA-N 415.877 4.824 5 20 HJBD Cn1cc(-c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCCC3)o2)c2ccccc21 1324308540 DRUDTBRYQQNKCT-UHFFFAOYSA-N 403.442 4.794 5 20 HJBD Cc1cc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccc(F)cc2n1 1324929588 XHXRGSSKZKZDIP-JOCHJYFZSA-N 417.396 4.932 5 20 HJBD CCc1nnc(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 15963229 KIEGJXNINAPOCP-UHFFFAOYSA-N 404.835 4.707 5 20 HJBD CC(=O)Nc1ccc(-c2csc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)n2)cc1 15991861 RZNQJFYKDAFOMU-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD C[C@@H](OC(=O)Cc1ccc2ccccc2c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 24895635 NUNIAOZORVRBDY-CYBMUJFWSA-N 412.829 4.514 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2nc3cc(Cl)ccc3s2)cc1OC 26281353 YYTZAEYGLLFMPY-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD CCN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@@H](C)c1cccc(OC)c1 45507475 KJWWWVJDMDIKMT-LBPRGKRZSA-N 407.264 4.589 5 20 HJBD COc1ccc2oc([C@@H](C)NC(=O)c3cc(SC)ccc3[N+](=O)[O-])c(C)c2c1 78607532 GVPPHXPNAGORGW-GFCCVEGCSA-N 400.456 4.871 5 20 HJBD COc1ccc2oc([C@H](C)NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)c(C)c2c1 78607540 RWZLQFSHLWUWAV-HNNXBMFYSA-N 423.469 4.749 5 20 HJBD CC(C)n1c([C@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303653608 BSTMNJFCLUMGBK-INIZCTEOSA-N 409.490 4.595 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2ccc(Br)cc2[N+](=O)[O-])s1 430648037 YRRRLJDGSAJOES-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])cc1Cl 431352121 SNMPYZJFCJYJFQ-UHFFFAOYSA-N 417.893 4.550 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1 431961279 UFLQBIATRPOSBG-HNNXBMFYSA-N 417.531 4.629 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(C(=O)c2cccc(O)c2)cc1 436140759 SPDFBXCGCJSHJE-UHFFFAOYSA-N 418.449 4.582 5 20 HJBD CC(C)CCO[C@@H]1CCCC[C@H]1NC(=O)c1sc(Br)cc1[N+](=O)[O-] 438023150 KXROQUXMHMLXII-DGCLKSJQSA-N 419.341 4.523 5 20 HJBD CCOCc1ccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1 440412393 RWCFWZHZRRNNRW-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(-c3ccc4nc(-c5cscn5)[nH]c4c3)no2)c1 463177258 JYTNMVWWKZSUKX-DAXSKMNVSA-N 416.422 4.815 5 20 HJBD CC(C)[C@@H](CCO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467639489 WIJNXEZVTCJJNR-MRXNPFEDSA-N 408.907 4.536 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 470374341 OMXUAZGQQMSTFA-NEPJUHHUSA-N 410.829 4.543 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nc(-c2ccccc2)c(C(C)=O)s1 470726819 OWENODLQZQTSIG-UHFFFAOYSA-N 411.439 4.572 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1ccc(C)cc1)c1ccccn1 471386288 SDYBLJITXLEUSP-AUSIDOKSSA-N 403.482 4.773 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 475768498 DMMFCUIRXGHVFG-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C1CC1 477317985 DZWDHMXNRPWSDW-NEPJUHHUSA-N 406.870 4.699 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1)c1ccc(OC)cc1 477490518 NQCOGZDOZVEZBF-IRXDYDNUSA-N 411.502 4.752 5 20 HJBD CCOc1cc(C(=O)N(CC)C[C@H](CC)c2ccccc2)c([N+](=O)[O-])cc1OC 482269367 REGPSQZAMUYHDP-INIZCTEOSA-N 400.475 4.658 5 20 HJBD O=C(c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 485637110 RIXKDTIRMIBUAZ-DNVJHFABSA-N 416.481 4.579 5 20 HJBD CC(=O)c1cc(N2C[C@@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 488684217 ILEXYIUCDVWIBL-BLVKFPJESA-N 408.376 4.783 5 20 HJBD CC[C@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1nc(C(F)(F)F)cs1 489285609 HIVMCXUTRRNOOL-NSHDSACASA-N 416.425 4.756 5 20 HJBD Cc1ccc(NC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1OC(C)C 489551463 LUTCZJKJNQVXBI-UHFFFAOYSA-N 413.474 4.766 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3cc4ccccc4o3)o2)cccc1[N+](=O)[O-] 489641582 WCNATBFPJFNWBW-LBPRGKRZSA-N 424.438 4.819 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)N[C@H](C)c1ccc(Oc2cccnc2)cc1 489935000 OBPMCKNVQLARNN-IAGOWNOFSA-N 420.469 4.850 5 20 HJBD CCNc1ccc(C(=O)Nc2c(-c3ccccc3)nc3c(C)cccn23)cc1[N+](=O)[O-] 490939707 VUBQOPFMLJPGKT-UHFFFAOYSA-N 415.453 4.902 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)N[C@@H](C)c1ccc(NC(=O)c2ccncc2)cc1 492755628 FBRXYGVYHPMOKW-DLBZAZTESA-N 404.470 4.962 5 20 HJBD O=C(CCCc1ccc(Br)s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 493004724 QNGOEKYMDUSSLD-UHFFFAOYSA-N 423.332 4.933 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(OC(F)F)c1 498304968 SNZYKECROBLINJ-NSHDSACASA-N 419.409 4.811 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)COc2ccc([N+](=O)[O-])c(OC)c2)c(C)c1 498452933 PAGWQAOYKLDIOY-AWEZNQCLSA-N 404.488 4.820 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2c(Br)cccc2[nH]1 502009057 VXOUXNNKMBKDHH-UHFFFAOYSA-N 416.275 4.889 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCc3cccc(F)c3C2)cc1[N+](=O)[O-])c1ccccn1 503129248 KIDYOGCSTODMBI-HNNXBMFYSA-N 420.444 4.501 5 20 HJBD Cc1ccccc1[C@H](NC(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H]1CCC[C@H](C)C1 503314793 JFELFDREAWUUKJ-LUQKVYGDSA-N 409.486 4.526 5 20 HJBD Cn1c(CN(Cc2cccc([N+](=O)[O-])c2)C2CC2)nc2cc(C(F)(F)F)ccc21 507171272 UJVSAKSIEHSUDI-UHFFFAOYSA-N 404.392 4.665 5 20 HJBD CC(=O)c1sc(NC(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)nc1-c1ccccc1 507247853 RCGQRIBMNGUHIX-UHFFFAOYSA-N 406.423 4.655 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)C(C)C)cc1OCC 508768892 IAWLKXXEYNWQLP-NRFANRHFSA-N 416.474 4.528 5 20 HJBD COc1cc(CN2CCC[C@H]2c2cc(C)no2)c([N+](=O)[O-])cc1OCc1ccccc1 509815529 VINXULAIIFHYFF-IBGZPJMESA-N 423.469 4.816 5 20 HJBD COc1ccc(CNC(=O)N(Cc2ccccc2)Cc2ccc(F)cc2)cc1[N+](=O)[O-] 510341070 XKJVNETZLVZDJN-UHFFFAOYSA-N 423.444 4.655 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 512634979 NLWJQSLQTMKMDR-SAABIXHNSA-N 421.419 4.744 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(C(F)(F)F)cn1 515242795 LNVNWBXPNGBQDA-UHFFFAOYSA-N 419.363 4.570 5 20 HJBD C[C@H](N[C@H](C)c1ccc(OC(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 515361868 GZCLSFMZSCMOLP-MNOVXSKESA-N 413.808 4.527 5 20 HJBD Cn1ccnc1[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccc([N+](=O)[O-])cc1 517191048 INPAGYYYRGIQJO-LJQANCHMSA-N 414.868 4.532 5 20 HJBD O=C(NC[C@H](OCC1CC1)c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1Cl 519762738 FKEINCVGGUJROK-SFHVURJKSA-N 409.269 4.799 5 20 HJBD O=C(Nc1c(F)cccc1N1CCCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 521835850 XGOJKDKORRETBK-UHFFFAOYSA-N 412.446 4.710 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1ccc(Oc2ccccc2)cc1 522261517 XQRAIUYSSYKURM-CQSZACIVSA-N 406.394 4.607 5 20 HJBD CCn1c(SCc2cc(Cl)c3c(c2)OCO3)nnc1-c1ccc([N+](=O)[O-])cc1 531761963 PLGZQJBSBQFYMX-UHFFFAOYSA-N 418.862 4.548 5 20 HJBD CCOc1cc(NC(=O)c2cc(C)n(C3CCCCC3)n2)c([N+](=O)[O-])cc1OCC 537348051 TYDDCUNBAWMJNK-UHFFFAOYSA-N 416.478 4.655 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCCc1nc(-c2ccccn2)cs1 537968669 QXBPHSPYSGZWJY-OAHLLOKOSA-N 414.556 4.816 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@@H](C)c1ccc(C(F)(F)F)cc1 542454469 AMHUKBOGNYKISC-LBPRGKRZSA-N 411.380 4.525 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNC(=O)N(C)[C@H](C)[C@@H](C)c1ccc(Cl)cc1 543173575 VNHLPWZZUZPFBK-ZIAGYGMSSA-N 405.882 4.590 5 20 HJBD CC[C@H](C)n1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])c(C(F)(F)F)c3)cc(C)nc21 543854626 OMDJQAYXLYZGIF-NSHDSACASA-N 421.379 4.890 5 20 HJBD Cc1csc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)n1 546502270 OGVYKOSFGPNFQF-GFCCVEGCSA-N 408.443 4.645 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc([C@@H](C)c2ccc([N+](=O)[O-])cc2F)n1 547175744 PKPLZGWSLITCDE-AWEZNQCLSA-N 415.421 4.659 5 20 HJBD COc1cc([C@H](C)NCc2cc(O)ccc2[N+](=O)[O-])ccc1OCc1ccccc1 549928281 MQXRREXLUWQTJE-INIZCTEOSA-N 408.454 4.739 5 20 HJBD COc1ccc(CN(C)Cc2cccc(C)n2)cc1OCc1ccc([N+](=O)[O-])cc1 556895809 SHMMOYUCSLJNHS-UHFFFAOYSA-N 407.470 4.518 5 20 HJBD CCc1ccc([C@H]2CCCN(C(=O)C3CCN(c4ccccc4[N+](=O)[O-])CC3)C2)cc1 557147932 IJGOGLMTMWLWSU-QFIPXVFZSA-N 421.541 4.780 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])Nc1cc(F)ccc1SCC(F)F 567645672 VFLZKPXKJZZVMD-UHFFFAOYSA-N 402.419 4.822 5 20 HJBD CC(C)[C@H](c1cccnc1)c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 570885142 UEXQBRQSHGGGED-QGZVFWFLSA-N 417.263 4.514 5 20 HJBD COCCC[C@H](CNC(=O)c1cc(SC)ccc1[N+](=O)[O-])c1ccc(Cl)cc1 572669435 YQKLCACHWHVIBB-OAHLLOKOSA-N 422.934 4.910 5 20 HJBD CC(C)(CC(=O)c1ccccc1)Cc1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 577780824 JNLXZAWASMCQAX-UHFFFAOYSA-N 418.453 4.892 5 20 HJBD C[C@H](NCC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(F)c2ccccc12 583286619 XFBFIPWIGQVLMA-LBPRGKRZSA-N 401.825 4.830 5 20 HJBD CCOc1ncccc1CNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 608972459 MGOAJOVJGYKXRL-UHFFFAOYSA-N 406.442 4.561 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCOc4ccccc4C3)c([N+](=O)[O-])c2)cc1 608973004 YMSVTNRZBTVAQW-UHFFFAOYSA-N 403.438 4.555 5 20 HJBD COc1ccc(CC(=O)NCc2ccccc2-c2ccc(Cl)cc2)cc1[N+](=O)[O-] 609011606 JZQMCCNQLRQHJC-UHFFFAOYSA-N 410.857 4.783 5 20 HJBD C[C@H]1CSc2ccc(Br)cc2[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 609192249 IFEUJCINGQKICJ-MGPLVRAMSA-N 407.289 4.570 5 20 HJBD CC(C)(C(=O)Nc1cccc(CSCc2ccccc2)c1)n1cc([N+](=O)[O-])cn1 609697068 HSWXASBDMSDLJR-UHFFFAOYSA-N 410.499 4.599 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)N(C)Cc1ccccc1 609769695 WVQATFHCIKHVCJ-QGZVFWFLSA-N 405.454 4.846 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1nc(C(C)(C)C)no1 611206389 INZPHIUKVPLYAM-LBPRGKRZSA-N 418.519 4.801 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(NC3C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)c2c1 611700854 HQBNSWUJTYJTAW-RKDXNWHRSA-N 408.302 4.859 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1N1CC=C(c2ccc(O)cc2)CC1 728199695 WUJLHCLJQRDASE-UHFFFAOYSA-N 409.320 4.521 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCCCc2nc3ccccc3s2)cc1SC 728771905 QAEZPWNDJCGKNG-UHFFFAOYSA-N 418.496 4.725 5 20 HJBD CCN(C(=O)/C=C\c1ccc([N+](=O)[O-])cc1)[C@H](c1cccnc1)c1ccc(F)cc1F 732963575 LGPHEWDLTFCKDQ-KOESHJNESA-N 423.419 4.919 5 20 HJBD CC(C)c1cccc(O[C@@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735445472 IJXAIEXDZRJTQH-HNNXBMFYSA-N 410.426 4.884 5 20 HJBD CSCC[C@H](C)N[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 736348107 LOAHNULIQIQCCY-UGSOOPFHSA-N 407.923 4.659 5 20 HJBD CCC[C@H](CCc1ccccc1)NC(=O)c1cc([N+](=O)[O-])ccc1Br 741603374 OMGVCQBJWYWLKD-OAHLLOKOSA-N 405.292 4.889 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])cc2)n1 742410803 BGPCHIRBMNUBIN-UHFFFAOYSA-N 414.374 4.555 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cccc(F)c2Cl)cc1)c1cccc([N+](=O)[O-])c1 742497989 YAIUKGWDKNUCJS-UHFFFAOYSA-N 414.776 4.859 5 20 HJBD O=C(Oc1ccc(NC(=O)c2ccco2)cc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747204817 NCERQTDYIHIZMA-UHFFFAOYSA-N 420.299 4.678 5 20 HJBD CC(C)(c1csc(-c2c(F)cccc2F)n1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 752864619 DOBHYGFMCJSBHK-UHFFFAOYSA-N 422.457 4.785 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)N1CCS[C@H](c2ccccc2)C1 755036407 VNYJLYFEHMJHSE-INIZCTEOSA-N 422.304 4.679 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1cccc(C(F)(F)F)c1F 760528479 FLEVDIYJVXTUCP-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)[C@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 766766431 DHVUDBRBOKILHV-CABCVRRESA-N 418.327 4.514 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)cc2)co1 770147716 BNXKUZSODKIJGG-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496731 VDVVWVAFGJELQK-UHFFFAOYSA-N 418.818 4.557 5 20 HJBD CN(C[C@H](O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCSc2ccc(Br)cc21 776581537 DZDMPHAHNORJCM-IRXDYDNUSA-N 423.332 4.560 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H]1CC2(CCC2)Oc2ccccc21 778701673 MWNODHAHZCVUGC-QGZVFWFLSA-N 415.877 4.536 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])o1 781683097 WVXBGLBDXGDPBM-SFHVURJKSA-N 415.446 4.735 5 20 HJBD CC(C)c1noc([C@@H](C)OC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])n1 787244598 BMCVURIEWBLJTC-CQSZACIVSA-N 411.414 4.598 5 20 HJBD Cc1c(Br)ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])c1F 791021464 HONJIIOQQXZTLO-UHFFFAOYSA-N 419.609 4.779 5 20 HJBD Cc1ccc(-c2cccnc2)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 795718371 RXNOLSRHMPZMTO-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD O=C(N[C@H]1C[C@H](c2ccc(Br)cc2)C1)c1cccc(Cl)c1[N+](=O)[O-] 812614892 UXMCQXNEPSKYFE-AULYBMBSSA-N 409.667 4.687 5 20 HJBD CCC[C@@H]1C[C@H]1C(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 812827264 NTGVDNBCDXIOIB-MLGOLLRUSA-N 402.834 4.842 5 20 HJBD CC[C@H](C)NC(=O)c1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1 812928701 DTWLXVSZRJMKIB-JTQLQIEISA-N 410.257 4.682 5 20 HJBD O=C(Nc1ccc2nc(Cl)sc2c1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 813373410 PDEWYACNMNIYQY-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1ccc(Cl)c([N+](=O)[O-])c1 825487532 SYXZRXGXDKTBRG-UHFFFAOYSA-N 402.863 4.710 5 20 HJBD CCOc1ccc(CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])cc1OC 915212393 BODHWQNGTYOQNT-UHFFFAOYSA-N 415.324 4.541 5 20 HJBD O=c1c2ccccc2nc(SCc2cc(F)ccc2[N+](=O)[O-])n1Cc1ccccc1 915790911 NNFWEGIZFNJDEG-UHFFFAOYSA-N 421.453 4.784 5 20 HJBD Cc1sc2nc(SCc3cc(F)ccc3[N+](=O)[O-])n(C(C)C)c(=O)c2c1C 915791141 PDMRBNQDUBSAHY-UHFFFAOYSA-N 407.492 4.995 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nc(-c2ccc(Br)cc2)n[nH]1 915792045 IFXSVOWTCZLIIT-UHFFFAOYSA-N 409.240 4.574 5 20 HJBD O=C(Oc1ccc2ccc(=O)oc2c1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 915811636 LQOYLJIOQGKFLU-UHFFFAOYSA-N 403.346 4.713 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1cc2cc([N+](=O)[O-])ccc2[nH]1 919516676 XMFYOMRNOXUQAD-NRFANRHFSA-N 415.405 4.613 5 20 HJBD COc1ccc(C(=O)Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c(OC)c1OC 920330076 RICLKDVCRYUHFM-UHFFFAOYSA-N 409.394 4.507 5 20 HJBD CCNC(=O)c1cccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1116013189 PFHKGVYUMOKJKD-UHFFFAOYSA-N 413.861 4.548 5 20 HJBD Cc1ccc(C)c(NC(=O)[C@@H](c2ccccc2)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1319534511 JOYKDOSBUFXHNB-HSZRJFAPSA-N 401.466 4.907 5 20 HJBD CCO[C@H](C)c1noc(CN(C)Cc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)n1 1319715745 PNRRUVSAEQZFKP-GFCCVEGCSA-N 420.853 4.621 5 20 HJBD CCn1c([C@@H]2CCCN2c2ncnc3c(Cl)cc([N+](=O)[O-])cc23)nc2ccccc21 1327269539 RSXQPNYYDOODBJ-SFHVURJKSA-N 422.876 4.903 5 20 HJBD CC[C@H](c1ccccc1)c1nnc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])c(C)c2)o1 1341657481 JIVKGDFMYDPNJM-UYAOXDASSA-N 420.469 4.805 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nnc(CSc4ccc(Cl)cc4)o3)n2)cc1 1346612568 DUSGSNXTMSJTMQ-UHFFFAOYSA-N 413.846 4.776 5 20 HJBD Cc1ccc(NC(=O)[C@H](OC(=O)c2sccc2C)c2ccccc2)c([N+](=O)[O-])c1 5110167 PCPFUODSBTTWKC-GOSISDBHSA-N 410.451 4.810 5 20 HJBD CC(C)OC(=O)C[C@H](NC(=O)c1cc2ccccc2s1)c1ccccc1[N+](=O)[O-] 14378898 WTIQOXQFYOLREL-INIZCTEOSA-N 412.467 4.622 5 20 HJBD Cc1ccc(/C=C(\Cl)c2nnc(C(=O)Nc3ccccc3)s2)cc1[N+](=O)[O-] 22608406 HPKKBQZTNLZYBZ-ZROIWOOFSA-N 400.847 4.744 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)Nc2nc(-c3ccc[nH]3)cs2)CC1 29351500 VCUNJMGJGUHKMV-UHFFFAOYSA-N 411.487 4.535 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 55769802 UTEKJWXHXNXGFT-UHFFFAOYSA-N 400.478 4.889 5 20 HJBD Cc1cc(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c2[nH]c(C)c(C)c2c1 65726142 ZNHYTICRBUHKCD-UHFFFAOYSA-N 423.516 4.878 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)c1cc([N+](=O)[O-])ccc1Cl 109850771 GJUNXYKXRQMZRR-LJQANCHMSA-N 410.861 4.931 5 20 HJBD Cc1occc1-c1nnc(Sc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])n1-c1ccccc1 301112124 XEHOFAHFQKFWPB-UHFFFAOYSA-N 423.410 4.803 5 20 HJBD COc1ccc2oc([C@H](C)Nc3c([N+](=O)[O-])cc(CO)c(C)c3[N+](=O)[O-])c(C)c2c1 301211899 XFNGOLYZROVSJC-LBPRGKRZSA-N 415.402 4.540 5 20 HJBD CC[C@@H](Nc1cnn(-c2ccc([N+](=O)[O-])cc2)c(=O)c1Cl)c1cc(F)ccc1F 301381191 YQOBONFIBJNIEH-MRXNPFEDSA-N 420.803 4.636 5 20 HJBD O=C(c1cc(N2CC=C(c3ccc(Cl)cc3)CC2)ccc1[N+](=O)[O-])N1CCCC1 301545007 DFPOXEAJYANDDU-UHFFFAOYSA-N 411.889 4.778 5 20 HJBD C[C@@H](NC(=O)c1cc2ccccc2c2cccnc12)c1nc(Cc2ccccc2)no1 427183877 FEGQTRNWPVCRKI-MRXNPFEDSA-N 408.461 4.853 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(Br)s1 443728602 CFSVJTIEGZZRMD-LLVKDONJSA-N 424.320 4.854 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N(CC(F)(F)F)c1ccc(Br)cc1 443896366 HUTOWEUDJKFOBQ-UHFFFAOYSA-N 421.144 4.706 5 20 HJBD CCS[C@H]1CCC[C@@H](NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)C1 444110178 PJLVTJVJSJYIEO-CABCVRRESA-N 416.493 4.754 5 20 HJBD Cn1nc(C2CCCCC2)cc1NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444235988 JKPATKKXHRMEFV-UHFFFAOYSA-N 418.472 4.854 5 20 HJBD Cc1cc(CN(C)C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1F 444441727 LXEWRPMFOFYUND-UHFFFAOYSA-N 410.395 4.679 5 20 HJBD O=C(Nc1cccc(COc2cccc(F)c2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 446837017 UPDGEZKMOCDNPQ-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD Cn1c(COc2ccccc2)nnc1SCc1ccc([N+](=O)[O-])cc1C(F)(F)F 448100782 HZTGUTBRXGCSED-UHFFFAOYSA-N 424.404 4.613 5 20 HJBD CC[C@@H](Sc1ccccc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464664185 ZDJXGLLVOYPXNE-HXUWFJFHSA-N 400.500 4.536 5 20 HJBD O=C(Nc1ccccc1CCC(F)(F)F)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 465141753 TZDSBBVSAOJATC-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD Cc1cc(NC(=O)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)ccc1[N+](=O)[O-] 473778544 LNVDBJNFAXAQGW-KRWDZBQOSA-N 410.257 4.815 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(COc2ccccc2C(F)(F)F)CC1 475874155 OFSKJGVHYPXUIC-UHFFFAOYSA-N 414.405 4.606 5 20 HJBD COc1ccccc1CSc1ccccc1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 477104721 ZSXKGFOFEUQKAE-UHFFFAOYSA-N 410.451 4.854 5 20 HJBD CCC[C@H](NCc1cccc(NC(=O)c2ccccn2)c1)c1cccc([N+](=O)[O-])c1 480902838 WGBOGCIWWITYNX-NRFANRHFSA-N 404.470 4.873 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cc2ccncc2)cc1 481131489 OUXIVJIMIWOWRZ-UHFFFAOYSA-N 423.494 4.571 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cc(CSCCO)ccn3)o2)c(Cl)c1 482822736 YOBTYEZLRXEUJV-UHFFFAOYSA-N 419.890 4.741 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Nc2ccc(F)cc2)CC1 483982613 VGJZLMVQPIYERN-UHFFFAOYSA-N 403.479 4.563 5 20 HJBD COCCSc1ccc([C@@H](C)Nc2ncc([N+](=O)[O-])cc2Br)cc1 485215155 UBIFISPRPUIAIE-LLVKDONJSA-N 412.309 4.664 5 20 HJBD Cc1nc(Cc2ccccc2)sc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486020782 YLLLZKDXMSYOEM-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD O=C(Cc1coc(-c2ccc(Cl)cc2)n1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486125465 WRWUDYROMJGTBA-UHFFFAOYSA-N 411.845 4.637 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1 486529971 QXNPPUWOECACAA-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD CCOc1cc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)ccc1OC(F)F 487208395 QYKOTAWTHYOVRG-UHFFFAOYSA-N 420.334 4.904 5 20 HJBD CC(C)(C)c1ncc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cn1 495101868 HVKNOZNQXMAYNW-UHFFFAOYSA-N 406.442 4.514 5 20 HJBD COc1cc(C2(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)CC2)ccc1C 501554084 MWIAHENQDNDJOU-UHFFFAOYSA-N 409.467 4.706 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 504696419 YHLXTKRZZRIPSS-MRXNPFEDSA-N 413.543 4.801 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCCC[C@@H]2O)cc1 505206487 ZUHQNPQEWYZSKX-RBUKOAKNSA-N 416.499 4.641 5 20 HJBD Cc1ccccc1-c1csc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)n1 507388765 YAGQJLACMHDWGF-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD CNC(=O)Nc1cc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)ccc1F 512898591 FWKGSCLBEHQBOS-UHFFFAOYSA-N 400.435 4.826 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N(C)c2ccc(F)cc2)cc1[N+](=O)[O-] 515692266 QPXCCVRKZHLQMZ-UHFFFAOYSA-N 424.453 4.963 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2N)cc1F 516230772 UEDMQOPDBJTHNY-UHFFFAOYSA-N 415.352 4.508 5 20 HJBD O=C(Nc1ccnn1-c1ccc([N+](=O)[O-])cc1)[C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1 517579258 DJNZWMADAFAHMN-IAGOWNOFSA-N 416.359 4.542 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nc3cc(F)ccc3[nH]2)cc1 518500524 VOQIBKJEBHTOGP-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1cc(F)cc([N+](=O)[O-])c1 520229673 BSJJGWFSLRVCCK-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 525130969 YUBISZZDOQYCJC-PWHSHALESA-N 410.517 4.948 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)N[C@H](c1ccc(Br)cc1)C1CCC1 525660200 KPYKLLGBQTYRBT-IBGZPJMESA-N 403.276 4.557 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)Nc3cc(C)c([N+](=O)[O-])cc3C)CC2)c(C)c1 530447194 XMJVHJCHTCFICL-UHFFFAOYSA-N 409.486 4.955 5 20 HJBD CCn1ccnc1CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 537299486 GAQYGGURLNUOCX-UHFFFAOYSA-N 404.829 4.686 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc4c(c3)OCCO4)o2)c(Cl)c1 538308824 CYADVHHZXQGWLB-UHFFFAOYSA-N 400.818 4.569 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)oc12 541583642 VFUWYLREICXLER-OLZOCXBDSA-N 400.406 4.860 5 20 HJBD C[C@H](NC(=O)CSc1ccccc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 544072990 HOMMKFJSIIKFQG-INIZCTEOSA-N 423.494 4.538 5 20 HJBD CCCN([C@H](C)c1cc2ccccc2o1)S(=O)(=O)Cc1ccccc1[N+](=O)[O-] 546800427 DZYULSQCEZFYRR-OAHLLOKOSA-N 402.472 4.644 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@H]3c3c(F)cccc3Cl)n2)ccc1[N+](=O)[O-] 547200009 ISGIKUSPKAGDQY-NEPJUHHUSA-N 403.797 4.641 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(CC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1 553719217 HROTYCAIIRKJFI-UHFFFAOYSA-N 411.502 4.564 5 20 HJBD COc1cc(Cc2noc([C@H](C)Oc3cccc4ccccc34)n2)ccc1[N+](=O)[O-] 564685196 AIEXFQMOGAWFGZ-AWEZNQCLSA-N 405.410 4.870 5 20 HJBD COc1ccc(CNCc2cc(C(F)(F)F)ccc2Br)cc1[N+](=O)[O-] 569630867 WGWZAIZUFZERMO-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CN(C(=O)c2ccccc2)C2CC2)no1 570316739 WOFTWVHASKWGHW-KRWDZBQOSA-N 420.469 4.519 5 20 HJBD CCOc1ccc([C@@H](C)NCc2c(OC)cccc2OC(F)(F)F)cc1[N+](=O)[O-] 571457713 LVXIZFJONHBNLN-GFCCVEGCSA-N 414.380 4.752 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)cc1OC 578514001 XDWDTQZEXGJTGF-NSHDSACASA-N 412.364 4.512 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(OCCc3ccccc3)CC2)c1 584732922 MURZBWGXKWGHDT-UHFFFAOYSA-N 408.420 4.837 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N(Cc1ccco1)c1ccc(F)cc1F 603996504 GUVQBOOZWIJOIO-UHFFFAOYSA-N 401.369 4.501 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 609031498 LJRGXXLRNBPWGM-NWDGAFQWSA-N 423.252 4.805 5 20 HJBD Cc1ccc(CNc2ccc(CC(=O)N3CCc4ccccc43)cc2)cc1[N+](=O)[O-] 610910719 WOWUEPBARVZSJO-UHFFFAOYSA-N 401.466 4.647 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@@H]2[C@@H]1[C@@H]2C(F)(F)F 619684429 ANGHZUJEVWRBFP-JCURWCKSSA-N 408.401 4.769 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(CC[C@H](O)c2ccccc2)cc1 727763581 ZMCPDJZFCDUECJ-QHCPKHFHSA-N 419.481 4.702 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN3CCC[C@H](c4nc5ccccc5s4)C3)c2)cc1 728897172 UZNVPYKWNYWOMK-KRWDZBQOSA-N 419.510 4.905 5 20 HJBD O=C(NC[C@@H]1CCCCN1Cc1cccc(Cl)c1)c1cc(Cl)ccc1[N+](=O)[O-] 731595109 WVKNVQZYLSNPBI-KRWDZBQOSA-N 422.312 4.686 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)[C@H](C)c2ccsc2)c2ccccc2)c([N+](=O)[O-])c1 738829236 IBLJIGDTEKSLFH-QRWLVFNGSA-N 424.478 4.991 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)Oc1ccc(Sc2ccncc2)cc1 749527026 GBEJDQVFTBRFLM-UHFFFAOYSA-N 405.435 4.701 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 752110408 FBBLPNCHOUIJFM-UYAOXDASSA-N 402.878 4.757 5 20 HJBD O=C(c1cc(F)c([N+](=O)[O-])cc1F)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 753205757 PJROBASMZGEKPM-NSHDSACASA-N 400.303 4.522 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccc(Cl)cc2n1C 754335449 HEQHOMXNSJOALC-GHMZBOCLSA-N 405.813 4.682 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 754634235 XARFHFZDDCAMCP-UHFFFAOYSA-N 401.419 4.769 5 20 HJBD C[C@H](C(=O)OCc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 755066386 OZLCXYDBDVRDHD-HNNXBMFYSA-N 422.412 4.833 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 759099709 STPFSCWMPBYQGO-AWEZNQCLSA-N 412.446 4.751 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCCCC[C@H]1C[C@H](O)c1ccco1 759181416 OHHDUUFQEYOXKJ-GUYCJALGSA-N 407.854 4.741 5 20 HJBD Cc1nc(C(F)(F)F)c(COC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)s1 759451655 NPVLYZZEKKNXQH-MRVPVSSYSA-N 406.407 4.603 5 20 HJBD CC(C)(C)N1C[C@@H](c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)CC1=O 761857125 ODHDXQFPIGXOEL-HNNXBMFYSA-N 422.441 4.552 5 20 HJBD CC[C@@H](C)Oc1ccc(-c2noc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)n2)cc1 762082467 ACEJMNSXXPYUDE-BFUOFWGJSA-N 408.414 4.688 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 763419883 CPLQAGRNPMLRHU-WDEREUQCSA-N 411.241 4.576 5 20 HJBD CN(CC1(c2ccc(Br)cc2)CC1)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764260500 HCUPYFWZLFOGKW-UHFFFAOYSA-N 423.694 4.815 5 20 HJBD COc1cc(COC(=O)c2ccc(C3SCCS3)cc2)c([N+](=O)[O-])cc1F 766161342 UBAKYJSCLAAWBY-UHFFFAOYSA-N 409.460 4.578 5 20 HJBD COc1cc(CN(Cc2ccccc2)C[C@@H](O)c2ccsc2)c([N+](=O)[O-])cc1F 766795839 IFMHLWHZKUGBKI-HXUWFJFHSA-N 416.474 4.540 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCC[C@@H]3C[C@@H](O)c3cccs3)cs2)c1 770293514 XTQZQRCELSNNAU-SJLPKXTDSA-N 415.540 4.868 5 20 HJBD Cc1ccc(COC(=O)c2ccccc2C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)nc1 772242959 AIRLYPXVTAKNCI-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD COc1ccc(N[C@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)cc1OC1CCCC1 774322994 CTUQUBFSGCFCSE-ROUUACIJSA-N 400.479 4.717 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1cccc(Br)n1 776377695 NCLFHUNVWXAWEA-UHFFFAOYSA-N 410.293 4.529 5 20 HJBD COc1cc([C@H](C)NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)ccc1OCc1ccccc1 776605517 LSYHMRYHFBNTSX-HTAPYJJXSA-N 422.481 4.567 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(N3CCCCCCC3)c(F)c2)c1 782506483 SCUQGPQGROMFKL-UHFFFAOYSA-N 401.438 4.765 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 784521617 QANVJLBPXJGMKG-AWEZNQCLSA-N 410.417 4.722 5 20 HJBD CC[C@@H](CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1)c1ccccc1 791175257 YHOIQVZKHDGQGH-AWEZNQCLSA-N 417.303 4.826 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C)C(=O)COc1cc(F)c([N+](=O)[O-])cc1Cl 795247605 LLLJWNHGSNCGIZ-JTQLQIEISA-N 401.221 4.639 5 20 HJBD Cc1ccc2oc(CN(Cc3ccco3)C(=O)c3cc([N+](=O)[O-])c(F)cc3N)cc2c1 801909224 JSWNMGUXCWZPKO-UHFFFAOYSA-N 423.400 4.806 5 20 HJBD O=C(CCCc1ncc(-c2ccccc2)o1)OCc1c(F)cc([N+](=O)[O-])cc1F 803283350 VMSAVNBMNCHKSD-UHFFFAOYSA-N 402.353 4.594 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 809216819 JLOCHBBKLMBVMB-LLVKDONJSA-N 400.784 4.970 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3c[nH]c4cc([N+](=O)[O-])ccc34)cs2)cc1 811606009 UQKWFJONDWJGKP-UHFFFAOYSA-N 423.450 4.777 5 20 HJBD Cc1nc(C2(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])CCCCCC2)no1 812901160 QAUOOTRMPIDIJY-UHFFFAOYSA-N 413.261 4.573 5 20 HJBD O=C(Nc1ccc(Br)c2cccnc12)c1cc([N+](=O)[O-])ccc1Cl 865201845 HLRGAQKBIZWXLK-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc([N+](=O)[O-])cc2[N+](=O)[O-])N1c1ccc(Cl)cc1 877842117 SBJCAYGIDGEQGZ-LJQANCHMSA-N 424.800 4.928 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2ccco2)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 914522519 UKYIQTZGTKCQAD-UHFFFAOYSA-N 415.789 4.905 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cncc3ccccc23)cc1)c1cccc([N+](=O)[O-])c1 917562415 PUBHHLSUXCJWKE-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1coc(-c2ccccc2)n1 1319937419 HUUGBICEQDGEMF-UHFFFAOYSA-N 411.483 4.724 5 20 HJBD Cc1cc(F)cc(-c2nnc([C@H](C)Oc3cccc(Br)c3)o2)c1[N+](=O)[O-] 1322407566 SHQQVVHGYPMTKU-JTQLQIEISA-N 422.210 4.995 5 20 HJBD Cc1ccc(C)c(OCc2nnc(-c3cc(F)cc([N+](=O)[O-])c3Br)o2)c1 1322646701 YOVWIWWXOYNIOU-UHFFFAOYSA-N 422.210 4.742 5 20 HJBD Cc1nc(CSCC(=O)Oc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])cs1 1343992478 CLLKVMSJLSYTPI-UHFFFAOYSA-N 414.508 4.789 5 20 HJBD COC(=O)c1ccccc1C(=O)c1ccc(NCc2ccccc2C)c([N+](=O)[O-])c1 29346496 OXHUBCDCZMRLGT-UHFFFAOYSA-N 404.422 4.533 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3ccccc3C)cs2)c([N+](=O)[O-])cc1OC 31789386 PXULMDKDIOMKEM-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c2sc(C(=O)N(C)c3ccc([N+](=O)[O-])cc3)cc12 57682056 WSUNGRQECJJEMI-UHFFFAOYSA-N 424.457 4.778 5 20 HJBD CN(Cc1ccccc1)c1ccccc1NC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 63660154 AWYJHGLBSNHBPR-UHFFFAOYSA-N 400.438 4.965 5 20 HJBD CCCN(C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1)c1cccc2ccccc12 63951957 NVKFLYTXUOBOGI-UHFFFAOYSA-N 400.438 4.991 5 20 HJBD CC(C)CC(=O)N1CCC(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 301098471 JOESTCRBXYVUHX-UHFFFAOYSA-N 407.820 4.716 5 20 HJBD C[C@@H](c1cccnc1)N1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1 301255062 DDPVDTDSNTVPEP-LBPRGKRZSA-N 414.815 4.545 5 20 HJBD Cc1cc(NCc2ccc([C@@H](C)Nc3cc(C)c([N+](=O)[O-])cn3)cc2)ncc1[N+](=O)[O-] 302193796 WLVFMUYESWRFMH-OAHLLOKOSA-N 422.445 4.695 5 20 HJBD COc1ccc([C@H]2CCCCCN2Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 302802965 DUJGGNUIRZDQFS-HXUWFJFHSA-N 408.458 4.771 5 20 HJBD COc1ccc(N(Cc2cccs2)C(=O)CCNc2ccccc2[N+](=O)[O-])cc1 302952933 NCHIZESOXFZASH-UHFFFAOYSA-N 411.483 4.700 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 302973148 GRZKHDDJNKXLBN-UKRRQHHQSA-N 410.499 4.705 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1cscc1Br 404765662 MFRMSSKXTFXBGB-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CC(C)[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccc(F)cc1 410263132 GQIXFFFEKKBHOH-OAQYLSRUSA-N 415.465 4.684 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccc([N+](=O)[O-])cc12)NCc1cc(-c2ccccc2)cs1 426736070 HWYOFFUIZYTGHG-OAQYLSRUSA-N 421.478 4.590 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N1CCCCC[C@H]1[C@H]1CCCCC1=O 426891524 PWVMZZXGEBPNGS-UAGQMJEPSA-N 422.909 4.546 5 20 HJBD O=C(c1cc(OCc2ccccc2)ccc1[N+](=O)[O-])N1CC=C(C(F)(F)F)CC1 426994793 KAHOLEFTZIYXAU-UHFFFAOYSA-N 406.360 4.508 5 20 HJBD C[C@@H]1CN(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)CCN(C2CCC2)C1 433165600 CMKHOFFIVYMXQO-KRWDZBQOSA-N 424.501 4.725 5 20 HJBD Cc1cccn2c(=O)cc(CNc3cc([N+](=O)[O-])ccc3Sc3cccs3)nc12 434880269 MAKHPSAFAMYKJF-UHFFFAOYSA-N 424.507 4.736 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)c1[N+](=O)[O-] 435812764 ZWDMUONKUURCKA-LJQANCHMSA-N 414.820 4.915 5 20 HJBD CC(C)[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1ccc(F)cc1F 437991111 WYTVFLSDRUOBIB-HXUWFJFHSA-N 403.429 4.944 5 20 HJBD CCN(C)C(=O)c1cccc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440597260 LEXDFEPNYXKGNF-UHFFFAOYSA-N 417.465 4.534 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCC3([S@](C)=O)CC3)cc2[N+](=O)[O-])c1 441375295 IMZCDQFCHHKEOR-LJAQVGFWSA-N 416.543 4.820 5 20 HJBD COc1cccc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 444264256 CGVMGUOVGLXAGX-INIZCTEOSA-N 422.485 4.554 5 20 HJBD C[C@@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2n1C(F)F 444671284 FYNCKXOIHXUFGJ-GFCCVEGCSA-N 413.384 4.845 5 20 HJBD Cc1nc([N+](=O)[O-])c(-c2noc(/C=C/c3ccc(Cl)c(C(F)(F)F)c3)n2)n1C 445625466 ZUIWOIAEETVFMZ-GQCTYLIASA-N 413.743 4.529 5 20 HJBD O=C(Nc1cccc(OCc2cccc(F)c2)c1)N1CCc2ccc([N+](=O)[O-])cc2C1 446823212 JXEGWGARAWPMHI-UHFFFAOYSA-N 421.428 4.903 5 20 HJBD CC[C@@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 460424961 KZBOPXIFVWMCLS-FQEVSTJZSA-N 406.486 4.572 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)[C@H](CC)Cc3ccc([N+](=O)[O-])cc3)CC2)cc1 462604693 SNHONRUNVOKXEQ-LJQANCHMSA-N 408.498 4.878 5 20 HJBD COc1cc(CN[C@H](C)c2ccc(OC3CCCC3)c(OC)c2)c([N+](=O)[O-])cc1F 464479901 JTPCFADEZHYAGF-CQSZACIVSA-N 418.465 4.923 5 20 HJBD COc1cc(CNC/C(C)=C/c2cccc([N+](=O)[O-])c2)cc(Br)c1OC 468637343 IHHNGRIJVPNKDK-NTUHNPAUSA-N 421.291 4.568 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc(-n2cccn2)c1 471545256 FQSBESMDHHEIIV-UHFFFAOYSA-N 412.471 4.542 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)CCC2 475225465 VUVVQADICYHHPS-CQSZACIVSA-N 414.409 4.920 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](CO)c3c(Cl)cccc3Cl)cs2)c1 475623825 IHLGHAWTFQQPFY-MRXNPFEDSA-N 424.309 4.848 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC(n2c(C)nc3ccccc32)CC1 477365574 PAAKSGBTHRFIEN-UHFFFAOYSA-N 406.486 4.655 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccc(CO)cc2)cc1)c1ccccc1[N+](=O)[O-] 481072921 AXWDIAQSHDYLGC-UHFFFAOYSA-N 406.438 4.796 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C(F)(F)F)ccc1Br 484794619 IPSMFOITNXLBKA-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD COc1ccc(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OCC(F)(F)F 485748635 JLBYNWUJVUXULO-UHFFFAOYSA-N 416.377 4.519 5 20 HJBD O=C(C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)Nc1nncs1 487653982 HBVUHDQINZKACH-UKTHLTGXSA-N 423.479 4.625 5 20 HJBD C[C@H](Cc1cccc(O)c1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491939414 PVVAQSLPSDXZGH-OAHLLOKOSA-N 408.479 4.813 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCCCO2)cc([N+](=O)[O-])c1 494210890 CCHZGKMBKLMYGU-KRWDZBQOSA-N 404.850 4.757 5 20 HJBD CCC(C)(C)OCc1cccc(NCC(=O)N2CCc3ccc([N+](=O)[O-])cc32)c1C 495070294 VFVCMLUXHYWIRN-UHFFFAOYSA-N 411.502 4.610 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)c1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-] 498838660 PKDKULLAIWRMPD-AWEZNQCLSA-N 404.426 4.503 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 505061157 GXUJERXZZNFTPH-ZDUSSCGKSA-N 400.456 4.508 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCCc3cc(F)c(F)cc32)cc1[N+](=O)[O-] 510617792 VINMURKXHOJZKN-UHFFFAOYSA-N 411.202 4.537 5 20 HJBD COc1cc(CNc2cccc(-c3ncco3)c2)c([N+](=O)[O-])cc1OCC(F)(F)F 514074689 SMDGEJCAQVJSOD-UHFFFAOYSA-N 423.347 4.812 5 20 HJBD COCCN(C(=O)[C@H]1CCC(C)(C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514143427 GBXSYTSJUWZKLQ-HNNXBMFYSA-N 403.504 4.524 5 20 HJBD COc1ccc(CC(=O)Nc2ccc(OCc3cccc(C)c3)cc2C)cc1[N+](=O)[O-] 517173673 ADOCAYMYRCYZFT-UHFFFAOYSA-N 420.465 4.980 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@H](c2ccc(Cl)cc2)C2CCCC2)cc1[N+](=O)[O-] 517966339 WGCHUTWGODFVSG-IBGZPJMESA-N 415.877 4.543 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1 518836125 BPHOUZJEQRMZMZ-UONOGXRCSA-N 406.866 4.680 5 20 HJBD CCOc1cc(C(=O)N[C@H]2CCSc3ccc(Cl)cc32)c([N+](=O)[O-])cc1OC 520007782 VSCQVMYAYSNOTH-AWEZNQCLSA-N 422.890 4.622 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)c3c([N+](=O)[O-])c(C)nn3C)cc2)c1 524115724 KUFKWJIDWNWALE-UHFFFAOYSA-N 422.485 4.900 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])s1 525048250 BIPRAPNGPHICFH-VXGBXAGGSA-N 411.911 4.562 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2ccc3c(c2)NC(=O)CC3)cc1[N+](=O)[O-] 525086527 AUZRIUZTKTYZIO-UHFFFAOYSA-N 413.499 4.870 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Br)s1 525286195 SBWRGKOOOSUFAL-ZETCQYMHSA-N 421.219 4.762 5 20 HJBD CC(C)N(C(=O)CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccccc1 534058671 DWIRQGTVANFBMI-UHFFFAOYSA-N 411.483 4.925 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)Nc2cc([N+](=O)[O-])ccc2O)c1 536222165 SIYGNHXWNAFPIL-UHFFFAOYSA-N 405.838 4.581 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(C/C=C\c2ccccc2[N+](=O)[O-])CC1 538725610 KDUIWKNYDLIMCX-HYXAFXHYSA-N 404.388 4.620 5 20 HJBD CC(C)(C)OCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 539770628 FSVORLVBFJEGHG-UHFFFAOYSA-N 410.829 4.611 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(OC(F)F)cc1 540398120 MUYYKUHFANVVOB-UHFFFAOYSA-N 410.442 4.669 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 542527522 JQMXJTTWROZABF-NSISKUIASA-N 416.543 4.565 5 20 HJBD C[C@H](C(=O)N[C@@H](c1c(F)cccc1F)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 543459771 XAFQSDLZAAIWBC-AYVTZFPOSA-N 406.282 4.535 5 20 HJBD O=C(Nc1ccc(SCc2ccccc2Cl)cn1)c1ccc([N+](=O)[O-])cn1 546219911 OLWYAZWEJHLQIY-UHFFFAOYSA-N 400.847 4.583 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(N[C@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cn1 546986671 HPBMZUHIEMDPQM-CQSZACIVSA-N 402.414 4.583 5 20 HJBD C[C@@H](c1ccncc1)c1noc(-c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)n1 547220507 XQPRHXHKYDLLCL-OAGGEKHMSA-N 407.474 4.674 5 20 HJBD CCc1nc(C)c(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 548294452 FZYNKXKQGBLKLQ-GFCCVEGCSA-N 411.487 4.743 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN[C@@H](c3cccc(C(F)(F)F)c3)C3CC3)o2)cc1 549410071 UUJJDUYKOURBTF-GOSISDBHSA-N 418.375 4.905 5 20 HJBD O=C(Nc1cccc(-c2n[nH]c(C3CC3)n2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550126520 YOKFJJOJYNSSJE-UHFFFAOYSA-N 417.347 4.528 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F 553156227 WUGNBYJCFXSNDI-KRWDZBQOSA-N 411.767 4.692 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCC[C@@H]2c2nnc([C@H]3CCCO3)o2)cc1C(F)(F)F 568909297 ZDTOHCAXIICSNN-HUUCEWRRSA-N 412.368 4.580 5 20 HJBD Cn1ccnc1[C@@H](Nc1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1)c1ccccc1 570568545 DVNGPLICHQZJBX-NRFANRHFSA-N 403.417 4.731 5 20 HJBD C[C@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nccn1-c1ccccc1 576260104 SKNXUGPDGKELGY-HNNXBMFYSA-N 405.483 4.755 5 20 HJBD CC(C)N1C[C@@H](c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)CC1=O 578088133 VQMYYJVOKVVKNE-PLWJUESGSA-N 424.482 4.601 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1nc2ccccc2cc1Br 579396672 HUYXEPVCTPQXJD-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 580353991 OAPUTFARGNEBCQ-UHFFFAOYSA-N 406.417 4.716 5 20 HJBD Cc1cc(-c2cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c3c(C)noc3n2)c(C)o1 591350639 DTNVUYGTTWJVCR-UHFFFAOYSA-N 418.409 4.519 5 20 HJBD C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 609708156 JPSMYTUVLCBNRG-HRAATJIYSA-N 410.445 4.780 5 20 HJBD CCOC(=O)c1csc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)n1 611203385 SSYJJFGXCGNMNZ-UHFFFAOYSA-N 421.500 4.515 5 20 HJBD O=C(Nc1nc(-c2cc3ccccc3o2)cs1)c1cc(F)c(F)cc1[N+](=O)[O-] 727706286 ONDKOQXBBJKNCQ-UHFFFAOYSA-N 401.350 4.995 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN1CCC[C@@H]1c1ccccc1C(F)(F)F 728060610 MYRQRLXJYSETMN-MRXNPFEDSA-N 407.392 4.698 5 20 HJBD O=C1OC(c2cccc(Cl)c2)=N/C1=C\c1csc(-c2cccc([N+](=O)[O-])c2)n1 733084840 AAKDOHJUMNPJCF-SXGWCWSVSA-N 411.826 4.716 5 20 HJBD CC(C)n1c(C(F)(F)F)nc2cc(C(=O)OCc3cccc([N+](=O)[O-])c3)ccc21 734390602 RDMWPSKYZVSKPE-UHFFFAOYSA-N 407.348 4.901 5 20 HJBD Cc1ccc(C2=CCN(C(=O)c3ccc([N+](=O)[O-])cc3Br)CC2)cc1 739497830 FBCDPWKKGXLPDE-UHFFFAOYSA-N 401.260 4.595 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccccc1C(=O)Nc1cccc(Cl)c1 741888641 OHPRMTJFNAOUAN-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(c2cccc(F)c2)CC1 752642083 DAFWGTJFNBOXKS-UHFFFAOYSA-N 409.364 4.747 5 20 HJBD C[C@@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccccc1 755335200 DAHWEOOLQGKQEM-JOCHJYFZSA-N 414.527 4.515 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc(Cl)c([N+](=O)[O-])c3)o2)cc1OC 760148527 ZQIHPESRDWRIBE-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N1CC=C(c2cccc(Br)c2)CC1 762481193 BAASPGJYNODOGR-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD Cc1ccc(Cc2sc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)nc2C)cc1 763427993 SMPJEWYAQVKYJB-UHFFFAOYSA-N 403.410 4.789 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2Cc3ccccc3[C@H](C)C2)c1=S 764861274 WFIPDZRUXAXPRS-OAHLLOKOSA-N 409.515 4.586 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cccc(OC)c2F)cc1 770063328 FWMCYIUUIJUOQJ-UHFFFAOYSA-N 412.373 4.796 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)O[C@@H](C)c1nc(O)c2ccccc2n1 775084326 BOLAQHGPZUADRT-LBPRGKRZSA-N 413.455 4.662 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1cccc(Oc2cccnc2)c1 776870866 PJYNVGQPEXLOHY-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD Cc1nc(SCC(=O)Nc2cc(Cl)ccc2[N+](=O)[O-])[nH]c1-c1ccccc1 777588315 PKDFCWRPVIYCKU-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)[C@@H](C)c1c(C)nn(CC(F)(F)F)c1C 778844114 TYMNEFSHWUUHDF-SMDDNHRTSA-N 413.396 4.687 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCC[C@@H]1/C=C\c1ccccc1 780292673 ODJKQGRHACLHTG-SIJIIQCFSA-N 401.260 4.675 5 20 HJBD CC(C)O[C@@H](COC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1 795513579 OGJZXDXKSIZGRJ-INIZCTEOSA-N 408.248 4.680 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1cc([N+](=O)[O-])ccc1Br 797356050 SUBRAGJWILXNOJ-OCCSQVGLSA-N 407.239 4.563 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2ccccc2F)C2CCCC2)cc1[N+](=O)[O-] 914516618 MZKWPCBTLDHDKF-UHFFFAOYSA-N 415.421 4.578 5 20 HJBD O=C(CC[C@H]1CCCO1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 914715695 BPTYCXHVRYOSCC-OAHLLOKOSA-N 404.850 4.725 5 20 HJBD CCC(=O)c1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 919679088 NFZMUDZWSAMNPU-HNNXBMFYSA-N 419.437 4.975 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1OC(F)F 1116468084 AXHAFCUOJAEDAG-WDEREUQCSA-N 422.363 4.797 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1OC(F)F 1116468349 HCJWNVMEEJPGMP-NSHDSACASA-N 407.348 4.841 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(Oc3ccc(Cl)cn3)cc1)CC2 1116470803 AYDYHVPXWYLXIQ-UHFFFAOYSA-N 409.829 4.947 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 1318815264 POYJFXYEAKAGRE-MRXNPFEDSA-N 421.501 4.587 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3ccc(Br)s3)o2)ccc1N1CCCC1 1326188688 LUSZQJXDRBCGLM-UHFFFAOYSA-N 421.276 4.736 5 20 HJBD O=C(/C=C\c1ccc2ccccc2n1)OCc1ccc([N+](=O)[O-])cc1Br 1343346470 DCFPOEVBUZKCDO-NTMALXAHSA-N 413.227 4.662 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc2c(c1)OC1(CCCCC1)O2 5152132 FVQHFXFGXVNJKE-UHFFFAOYSA-N 418.833 4.697 5 20 HJBD CCNc1ccc(C(=O)Nc2nc(-c3ccc(F)c(F)c3)cs2)cc1[N+](=O)[O-] 7688723 QBRQLQZBAXHERY-UHFFFAOYSA-N 404.398 4.681 5 20 HJBD CSc1ccc(C(=O)NC[C@@H](c2cccs2)N2CCC(C)CC2)cc1[N+](=O)[O-] 14515070 GQTIVAFUOCKSLH-KRWDZBQOSA-N 419.572 4.581 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)[nH]1)Nc1ccc(Cl)cc1[N+](=O)[O-] 17749299 WOSFHQFIYJKMBA-UHFFFAOYSA-N 406.826 4.508 5 20 HJBD Cc1cccc(NC(=O)c2ccccc2NC(=O)CCNc2ccccc2[N+](=O)[O-])c1 25169658 OALLMIDXMQFGJB-UHFFFAOYSA-N 418.453 4.596 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 26819786 ATSYJJULRWDIJT-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccccc2[N+](=O)[O-])cc1Cl 55689177 UPHOBLRKDIIMMT-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1ccc(Cl)cc1[N+](=O)[O-] 56274720 WFRJORFYRGHHQU-CYBMUJFWSA-N 411.241 4.712 5 20 HJBD COc1cc(CNCCc2cccc(F)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 61581024 BWNAAUUAZFUFAM-UHFFFAOYSA-N 410.445 4.654 5 20 HJBD Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)c(Br)c1 159036972 AUFDHXTYLOJJGM-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)c(Cl)cc1NCCCc1nnc2n1CCCCC2 301162958 SWCCIYVUZNBGSL-UHFFFAOYSA-N 417.819 4.630 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(NCc2ccccc2-c2ccc(Cl)cc2)s1 301931149 LJECEDYZZXMWMW-UHFFFAOYSA-N 422.915 4.992 5 20 HJBD O=C(CCCCc1nc2ccccc2s1)Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1 303175119 RTUHWCDHEXJLEW-UHFFFAOYSA-N 421.482 4.946 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCCc2cc(OC(F)(F)F)ccc21 303318801 AODJRNNCDNXSLI-UHFFFAOYSA-N 400.740 4.740 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC[C@H]3CCCN(c4ccccc4)C3)cs2)c1 433194856 ZJDMVXZWDPSSAN-QGZVFWFLSA-N 408.527 4.725 5 20 HJBD CCc1ccc(C(=O)N2CCC[C@@H](c3nc(C(F)(F)F)cs3)C2)cc1[N+](=O)[O-] 435358272 ZFAXDLHYQSMQBX-CYBMUJFWSA-N 413.421 4.652 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1Sc1nc(C2CC2)n(-c2ccccc2)n1 436275690 PSYCGTMDWRPFSB-UHFFFAOYSA-N 407.377 4.618 5 20 HJBD Cc1ccccc1[C@H](CC(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 436355539 DWTDIAJHIUUFOD-AWEZNQCLSA-N 418.318 4.928 5 20 HJBD CNC(=O)Nc1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 442282681 IUASOWLHIXPMKV-UHFFFAOYSA-N 422.466 4.750 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(CCc1ccccc1)Cc1cccnc1 443952989 ZULRMAXLGZUHBY-UHFFFAOYSA-N 404.470 4.883 5 20 HJBD CC(C)n1c(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)nc2ccccc21 444026572 ADFLXFYSCTZRLF-UHFFFAOYSA-N 410.477 4.542 5 20 HJBD CC(C)COc1ccccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 445997910 CXKJAFZRBZZZDS-UHFFFAOYSA-N 407.264 4.573 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CCCC[C@@H]1Cc1ccccc1 446092607 VUHMHSWBWKYOOE-CYBMUJFWSA-N 409.305 4.656 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)cc2)cs1)c1ccc(F)cc1[N+](=O)[O-] 466417224 OWSVVRRXJSKYLI-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD CC[C@@H](CSc1ccccc1[N+](=O)[O-])Nc1ccc(Cl)c(N2CCNC2=O)c1 467402870 IDDQZDVZLJQXCS-ZDUSSCGKSA-N 420.922 4.761 5 20 HJBD COc1cc(C(=O)N[C@H]2CCC[C@H](C(C)C)CC2)c([N+](=O)[O-])cc1OC(F)F 469505263 QPQSZMFUXBGLTP-STQMWFEESA-N 400.422 4.540 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 470270561 KWNWHCHKWJLXBI-AZUAARDMSA-N 423.494 4.677 5 20 HJBD CCc1ccc(C(=O)Nc2cc(C(=O)N3CCCCC3)ccc2Cl)cc1[N+](=O)[O-] 471903829 XLSJTFUAIJJQKB-UHFFFAOYSA-N 415.877 4.689 5 20 HJBD CCc1nc2c(s1)[C@@H](N(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 478277291 HLAUYCCSPYQYSG-LRDDRELGSA-N 405.545 4.630 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)cc2CC)cc1OC 479085643 OEBDYOHRMYTSCA-UHFFFAOYSA-N 423.263 4.579 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OC(F)F 482633714 OGFGJKCBWSIOBV-UHFFFAOYSA-N 422.409 4.692 5 20 HJBD Cc1c([C@H](C)N[C@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cccc1[N+](=O)[O-] 483462498 MJRWEVOAZRZWDG-GAJHUEQPSA-N 424.522 4.747 5 20 HJBD Cc1cc(=NC(=O)c2c(-c3cccc(Cl)c3)noc2C)c2cc([N+](=O)[O-])ccc2[nH]1 484094451 GTEUTILUJXEIDT-UHFFFAOYSA-N 422.828 4.742 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NCc1nnc(-c2c(Cl)cccc2Cl)o1 486176099 VGEJKMYHMXHDEY-UHFFFAOYSA-N 409.229 4.962 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2ccccc2F)nc1 487130162 ZHVWWXQDQRYIQS-UHFFFAOYSA-N 406.373 4.584 5 20 HJBD CCc1nc2cc(CNC(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])ccc2n1C1CC1 491039727 UGXMUIOSFADIIH-UHFFFAOYSA-N 421.501 4.576 5 20 HJBD COc1ccc(Br)cc1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 493626950 SLFVFVLEWLIIJK-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494550890 WGTHQQGKLLYJTL-VBKZILBWSA-N 417.893 4.698 5 20 HJBD CCN(C(=O)CCNc1ccccc1[N+](=O)[O-])[C@@H](c1ccc(C)cc1)c1cccnc1 496489954 FZHWMXDEDUFVBD-DEOSSOPVSA-N 418.497 4.738 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 500651831 XSUFEIZTJTYGKF-RTBURBONSA-N 424.522 4.794 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 502125972 LSAJXWDRFQXRNQ-LLVKDONJSA-N 403.797 4.548 5 20 HJBD CC(C)Oc1cc(CN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C2CC2)ccn1 503071154 FRYKQAVBUXVXRQ-UHFFFAOYSA-N 408.458 4.597 5 20 HJBD Cc1c(CNC(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 503103925 LIVSUGJJMXUUJR-INIZCTEOSA-N 406.442 4.651 5 20 HJBD C[C@H](Nc1ccc(C(=O)NCCSc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 506056837 YQSFJWBYCWOSCQ-INIZCTEOSA-N 422.510 4.685 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1c(-c2ccco2)nc2ccccn12 507915719 VCLIQVJAKOXSCS-ZDUSSCGKSA-N 408.439 4.622 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(OCc2cccc(F)c2)c1 511337960 OEZVGKIHGFXTAC-UHFFFAOYSA-N 409.417 4.977 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1nnc(-c2ccccc2Cl)s1 512568746 MHCXSBAVFVBNOE-UHFFFAOYSA-N 417.878 4.598 5 20 HJBD CSc1cccc(C(=O)N(C)Cc2ccc(OCC(F)(F)F)cc2)c1[N+](=O)[O-] 516977343 UOQOFZUMEPKJJU-UHFFFAOYSA-N 414.405 4.530 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1csc2ccccc12 518831596 MJJKAWYEBFQMTJ-GFCCVEGCSA-N 404.875 4.589 5 20 HJBD C[C@@H](C(=O)N(Cc1cccc(-c2cccnc2)c1)C1CC1)c1cccc([N+](=O)[O-])c1 519797773 FEKFNDOOKQNPDK-QGZVFWFLSA-N 401.466 4.952 5 20 HJBD COc1ccc(-c2ccc(CN[C@H](CN3CCCC3)c3ccccc3)o2)c([N+](=O)[O-])c1 520191924 SKUHWYKYJBBXQX-JOCHJYFZSA-N 421.497 4.790 5 20 HJBD CCC[C@H]1CCc2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)sc2C1 523506410 PREIZWPPBNOTBA-JTQLQIEISA-N 424.320 4.971 5 20 HJBD CCCCc1oc2ccccc2c1CN(C)C(=O)CCn1nc(C)c([N+](=O)[O-])c1C 523954428 FGAFHWDENDMVIW-UHFFFAOYSA-N 412.490 4.546 5 20 HJBD C[C@H]1C[C@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)CCN1Cc1ccccc1 538425614 PEUXBRRLZREMHG-FXAWDEMLSA-N 422.554 4.861 5 20 HJBD Cc1ccoc1C(=O)NCCCN[C@@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1 540181049 FAHRGHKBABOSJU-HNNXBMFYSA-N 413.499 4.695 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(OC[C@@H]2CCCCO2)c(F)c1 542496911 MUJXUMCVMYFSEG-KXBFYZLASA-N 418.465 4.541 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)CSc2ccccc2[N+](=O)[O-])n1 544085912 ZOBLZYUARRJRSM-UHFFFAOYSA-N 419.459 4.597 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(-c4ccc(OC5CCOCC5)cc4)no3)cc2c1 545083656 DSOLTPFYKVAMSL-UHFFFAOYSA-N 407.382 4.616 5 20 HJBD CN1CC[C@H](c2noc(Cn3c4ccccc4c(=O)c4ccccc43)n2)c2ccccc21 547061178 YIFIXBNZJSGKHE-SFHVURJKSA-N 422.488 4.558 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2)cn1 555048895 ORPJCSUEBQQUMK-UHFFFAOYSA-N 406.442 4.638 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 581568500 NUTUNIOHKHAQDK-KRWDZBQOSA-N 421.291 4.518 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@H]2c2cccs2)c([N+](=O)[O-])cc1OCC 603651465 RFEOBXIYYSHHID-AWEZNQCLSA-N 405.476 4.823 5 20 HJBD COc1cc(OC)c(C2CCN(c3ccc4ncccc4c3[N+](=O)[O-])CC2)c(OC)c1 603847918 DSTPJAZMUCTUMV-UHFFFAOYSA-N 423.469 4.553 5 20 HJBD COCc1ccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])cc1 608972925 LLHIKAANPMBABM-UHFFFAOYSA-N 405.454 4.914 5 20 HJBD CC(=O)Nc1cccc(CNc2ccc(C(=O)Nc3ccc(C)cc3)cc2[N+](=O)[O-])c1 608973053 SHLXCGWSEOIEHM-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD Cc1nc(C(C)(C)NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)sc1C 609908719 LRFMFDKFTDBXEW-UHFFFAOYSA-N 416.547 4.570 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610038810 KBGDVCMTTZCVNR-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@H]2c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OCC 610106543 LNWDATAMIVNBIC-NEPJUHHUSA-N 422.840 4.927 5 20 HJBD Cc1noc(C)c1C[C@@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611206345 YXUFFMAUOOQORV-GFCCVEGCSA-N 403.504 4.595 5 20 HJBD CCN(Cc1nc(O)c2ccccc2n1)C(=O)c1cc2ccccc2c2cccnc12 643140005 MANMIOSACAFTAO-UHFFFAOYSA-N 408.461 4.699 5 20 HJBD COc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c1)N2 726605453 PONOIWKJIPGGRG-NRFANRHFSA-N 423.400 4.731 5 20 HJBD CC[C@@H](CC(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)C(C)C 729760529 IQRMNGAVSZMHRE-RYUDHWBXSA-N 404.385 4.556 5 20 HJBD Cc1ccc(Cl)c(O[C@@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 735443330 MXBJDSAKQDSIAG-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD C[C@@H]1CCC[C@@H](OCc2cccc(NC(=O)Cn3ncc4ccc([N+](=O)[O-])cc43)c2)C1 745089693 CZODKBSLTLFGBT-IIBYNOLFSA-N 422.485 4.679 5 20 HJBD CC(C)(C)c1ccc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 751083077 HDWDTAUUTNYUPX-UHFFFAOYSA-N 405.292 4.627 5 20 HJBD Cc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1OC(F)F 758358472 AXGGPENGZKUCKH-UHFFFAOYSA-N 401.163 4.519 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1ccccc1Oc1cc(F)cc(F)c1 759357146 GOYQINJAMKVGDU-UHFFFAOYSA-N 418.327 4.822 5 20 HJBD COc1ccc(CCC(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1Cl 760468829 BNLPHKKTNZTOHS-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD Cc1[nH]c2ccc(-c3noc([C@@H]4CC(c5ccccc5[N+](=O)[O-])=NO4)n3)cc2c1C 761777522 XTLRTQDTZNYBCC-IBGZPJMESA-N 403.398 4.609 5 20 HJBD C[C@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 763214324 LLCVQBLCJSZTTQ-BTDLBPIBSA-N 407.239 4.550 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@@H]2c2cccc(C)n2)c1=S 765104297 HLXACROMGPROJP-LJQANCHMSA-N 424.530 4.507 5 20 HJBD COCCSc1ccc([C@H](C)NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)cc1 765714000 BEZVSMFZVVDLEX-KRWDZBQOSA-N 415.559 4.653 5 20 HJBD CCN(C[C@@H]1CCN(Cc2ccccc2)C1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 770005347 ANQQJWXANZKBPW-QGZVFWFLSA-N 416.909 4.624 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1cc2ccccc2c2cccnc12 772321632 BRMASVVTTILOIG-AEFFLSMTSA-N 419.525 4.906 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 784536558 UNSQMPLWPSESDA-CYBMUJFWSA-N 404.344 4.990 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NC[C@@H](C)c1ccccc1 788005297 WHSLSBMBVIQKEF-OLZOCXBDSA-N 401.513 4.605 5 20 HJBD O=[N+]([O-])c1cccc(NS(=O)(=O)c2ccc(C(F)(F)F)cc2Cl)c1Cl 788216635 MPKVIRFCWOXKRF-UHFFFAOYSA-N 415.176 4.721 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F 791221675 XZWNEVDHGGOMEP-LBPRGKRZSA-N 408.499 4.521 5 20 HJBD C[C@@]1(C[C@H]2CCCN2Cc2c3ccccc3c(Cl)c3ccccc23)NC(=O)NC1=O 809705131 ONJKGNRGEQUUIH-MYYSRTQBSA-N 421.928 4.599 5 20 HJBD O=C(CCc1nnc(C2CCCC2)o1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811084263 XXRWDLPQNKQXJH-UHFFFAOYSA-N 414.245 4.618 5 20 HJBD CC[C@@H](C)n1nc(C(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1C 811218917 IRZAEQCEYHZSMN-GFCCVEGCSA-N 400.460 4.551 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1cccc([N+](=O)[O-])c1Cl 816879288 LRDBIUVYIDHEPO-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1ccc(F)cc1OCC(F)F 864031567 GEPHQRQWIBXTSV-UHFFFAOYSA-N 405.170 4.752 5 20 HJBD O=C(Nc1ccc(OC(=O)C23CC4CC(CC(C4)C2)C3)cc1)c1cccc([N+](=O)[O-])c1 909916822 AASLBIZKNITMOI-UHFFFAOYSA-N 420.465 4.969 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(-c2cccs2)n1C[C@@H]1CCCO1 915791920 ULAGYQXYEDADQD-AWEZNQCLSA-N 420.491 4.525 5 20 HJBD CCCCS[C@@H](C)C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 918144730 GMPFIJKQVGAUSN-AWEZNQCLSA-N 402.472 4.674 5 20 HJBD O=[N+]([O-])c1nn(CN2CCC[C@@H]2c2cccc3ccccc23)cc1Br 919949799 AMQNCWIUDXOORN-QGZVFWFLSA-N 401.264 4.502 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H]2CCCc3c2cnn3Cc2ccccc2)cc1OC(F)F 1116138286 BZMLQABUBTTZEW-KRWDZBQOSA-N 414.412 4.931 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)ccc1NC(=O)OC(C)(C)C)c1ccc([N+](=O)[O-])cc1F 1320088375 AEENRAAAAKTHCV-LLVKDONJSA-N 421.400 4.962 5 20 HJBD CO[C@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccc(F)cc1 1320376185 NPSORLUAKIZEAD-LJQANCHMSA-N 418.490 4.886 5 20 HJBD CC(C)(C)CC(=O)Nc1ccc(Cl)c(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1323282035 GBODINKYBVRORJ-UHFFFAOYSA-N 415.877 4.779 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CC(C)(C)C2(C)C)cc1[N+](=O)[O-] 1340002233 UCPPVXRBOFLVNV-UHFFFAOYSA-N 412.511 4.808 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1nc(Cl)c(Cl)c(Cl)c1Cl 14601289 GCSBCZBWYIIAIH-UHFFFAOYSA-N 412.012 4.831 5 20 HJBD Cc1cccc(-c2nnc(-c3ccc(C(=O)NCc4ccc([N+](=O)[O-])cc4)cc3)o2)c1 55798294 QJUCZYDDWCHSLC-UHFFFAOYSA-N 414.421 4.550 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2cc(SC)ccc2[N+](=O)[O-])s1 78609740 IGFXVOBLKXASKU-AWEZNQCLSA-N 414.512 4.962 5 20 HJBD COc1cc(CN(CCc2cccc(F)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 79305718 UQSJECAXPQEALR-UHFFFAOYSA-N 410.392 4.551 5 20 HJBD O=C(Nc1cccc(CSC2CCOCC2)c1)c1ccc(Cl)cc1[N+](=O)[O-] 217222759 QGLJIPDKPBVKSW-UHFFFAOYSA-N 406.891 4.913 5 20 HJBD CC(=O)c1ccc(N2CCCN(Cc3ccc(C(F)(F)F)cc3)CC2)c([N+](=O)[O-])c1 301098060 QFLWWQWHZRXWMS-UHFFFAOYSA-N 421.419 4.529 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(N2CCC[C@H](c3nnc4n3CCCCC4)C2)c(Cl)c1 302046518 UZUUEBCMZZVXOZ-LBPRGKRZSA-N 410.305 4.604 5 20 HJBD Cn1cc([C@@H](Nc2ccc([N+](=O)[O-])c(-c3ccccc3)n2)c2ccc(F)cc2)cn1 302487254 ZDGYONKHQBEETJ-NRFANRHFSA-N 403.417 4.731 5 20 HJBD O=C(N[C@H]1CCOc2c(Cl)cccc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 302973266 BRIFNAJNHAMZNL-HNNXBMFYSA-N 415.858 4.625 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2c(C)c(C(C)(C)C)nn2-c2ccccc2)c1 409996681 GIZBCDCCKNWWHB-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD Cc1onc(-c2cccc(Cl)c2Cl)c1C(=O)Nc1cc([N+](=O)[O-])ccc1O 435811418 WGXOQACLXUXUBE-UHFFFAOYSA-N 408.197 4.823 5 20 HJBD COc1cccc(C(=O)Nc2nc3ccccc3n2Cc2ccccc2C)c1[N+](=O)[O-] 436035193 FJWHROMHSKOLRQ-UHFFFAOYSA-N 416.437 4.562 5 20 HJBD O=C(Nc1cccc(OCc2ccccn2)c1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437155367 PVHPSBYQUAKIBT-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 439535569 IIBGTXMWISPJTQ-UHFFFAOYSA-N 424.463 4.800 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3cc(F)cc(F)c3Br)co2)cc1 442857901 GSMRXAJRLGQLQE-UHFFFAOYSA-N 410.174 4.903 5 20 HJBD CC1(C)CN(C(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)Cc2ccccc21 444281463 AATKSFXOLFQSJX-UHFFFAOYSA-N 418.443 4.893 5 20 HJBD O=C(N[C@@H]1CCCc2cc(F)ccc21)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444293118 SNNFTQQLOYYRAK-HXUWFJFHSA-N 404.416 4.767 5 20 HJBD C[C@H]1CO[C@H](c2cccc(Cl)c2)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 444407675 FCYIXFRZIGIZKO-BUXKBTBVSA-N 400.818 4.597 5 20 HJBD Cc1ccc(-c2noc(CCn3cc([N+](=O)[O-])nc3C)n2)c(Sc2ccccc2)c1 445237768 UEMLBGIAYOYYKP-UHFFFAOYSA-N 421.482 4.852 5 20 HJBD COc1ccc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2C)cc1Br 446488259 KSIUNCONYOKTNA-NSHDSACASA-N 408.252 4.557 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1 462640836 NMNPENVAJFCDFR-RBSBEOHCSA-N 416.543 4.849 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 462988326 IFEGTQUHGJDWDU-MGPUTAFESA-N 420.868 4.556 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 463290637 SYHBQLLTGMIIBS-UHFFFAOYSA-N 423.391 4.784 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCCc1c[nH]c2ccc(Cl)cc12 463893011 FKBANCHRIGHXGH-LLVKDONJSA-N 422.268 4.509 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)cc1 463951853 RPANCCBLXHFHDN-HNNXBMFYSA-N 403.504 4.833 5 20 HJBD Cc1ccc(-c2noc([C@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)cc1F 463973137 SFLAFHTYWNAJEU-ZDUSSCGKSA-N 413.409 4.592 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCC1(c2cccc(C(F)(F)F)c2)CCC1 466102145 KNZIVHDHOLWVSG-UHFFFAOYSA-N 421.419 4.877 5 20 HJBD COc1ccc(Cl)cc1C1(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)CCOCC1 467833842 CPCZOOVUDVXWCG-HNNXBMFYSA-N 404.894 4.656 5 20 HJBD COc1ccc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)nc2)cc1Cl 469877623 GRAQJZMYKXXNAE-UHFFFAOYSA-N 413.817 4.580 5 20 HJBD COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 470377682 YYLUOIINQBGSEP-NSHDSACASA-N 410.879 4.636 5 20 HJBD CCOc1ccccc1[C@@H](CC(C)C)NC(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-] 470779702 OFTXGLDHABZNQT-QGZVFWFLSA-N 416.474 4.528 5 20 HJBD O=C1CCc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc2N1 485219647 AJZQMVNIUBDGTE-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])s1)c1ccc(OCc2ccc(F)cc2)cc1 485601478 PMUJIIIBIDPGSV-ZDUSSCGKSA-N 400.431 4.865 5 20 HJBD O=C(Nc1cccc(Cl)c1Br)c1ccc(NC2CC2)c([N+](=O)[O-])c1 486831912 CXNOKXMJFZKTDI-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1Cl 486913627 XSJQDYKAZNJEGM-LLVKDONJSA-N 419.268 4.793 5 20 HJBD CSc1ccc(C(=O)NCc2ccnc(Oc3ccccc3F)c2)cc1[N+](=O)[O-] 487676664 OEKTYLBHZQDHTP-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1 487995320 JUFZKRREDFCSEP-UHFFFAOYSA-N 403.482 4.983 5 20 HJBD C[C@@H](c1ccc(C(C)(C)C)cc1)[S@@](=O)Cc1cc([N+](=O)[O-])cc2c1OCOC2 488792310 ANLJGWYNYAEYCN-POXGOYDTSA-N 403.500 4.769 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(c2ccccc2Cl)CC1 490749750 VAVBLPOBPWFCIN-UHFFFAOYSA-N 416.908 4.656 5 20 HJBD O=C(Nc1ccc(Cl)cc1Br)c1cc([N+](=O)[O-])ccc1OC(F)F 497349173 ARHXAZBURJNHLC-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(F)cc1-n1cccc1 499128107 CFTLROWAFZHCJX-UHFFFAOYSA-N 411.436 4.723 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2ccc(C)cc2C)c([N+](=O)[O-])cc1OC(F)F 499460410 GVRDKODXBZQMFX-ZDUSSCGKSA-N 408.401 4.655 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 500648218 SZMBQYOGRUNZMZ-TYILLQQXSA-N 400.500 4.983 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccc(Br)s2)cs1 503202416 WRXSRHHFTCRHTD-UHFFFAOYSA-N 424.301 4.724 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cnc(-c2ccco2)s1 504376708 PKWRJMHOSJYIEA-UHFFFAOYSA-N 419.418 4.795 5 20 HJBD CCn1nc(C)c(NCc2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)c1C 507922852 RJYXRXKCWVPGMH-UHFFFAOYSA-N 410.474 4.628 5 20 HJBD Cc1c(Br)cc(C(=O)N2CCCSc3ccccc32)cc1[N+](=O)[O-] 509882388 QHZXHEATOXYSLQ-UHFFFAOYSA-N 407.289 4.808 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cc([N+](=O)[O-])c1 511475930 PUKPKFJWXRTDKK-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)c3cccc(SC)c3[N+](=O)[O-])c2)cn1 512935016 YVGPBTQRGYQZNU-UHFFFAOYSA-N 409.467 4.948 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccsc1)c1cccnc1 522512627 LMLUAMRUHBGFOU-UHFFFAOYSA-N 422.510 4.501 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(SC)cn2)cc1 522550562 IGMBSBQOICQCEU-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CC[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 524767888 BWXOCRKRCKDGNU-YADHBBJMSA-N 421.541 4.996 5 20 HJBD CC1(C)[C@H]2OCC[C@@H]2[C@H]1NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 524948656 QYDXXOHSZQITMG-AHRSYUTCSA-N 402.878 4.944 5 20 HJBD Cc1cc(C)cc(C2=CCN(C(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)CC2)c1 535811694 LKRYLSNEXMJARU-UHFFFAOYSA-N 416.481 4.542 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN(Cc2ccccc2)CC2CCOCC2)c1 537627363 QGPQGBCATMELNS-UHFFFAOYSA-N 406.429 4.625 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(-n2ccnc2)c1 539672310 YXIQKZQSQCXNAQ-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD O=[N+]([O-])c1cccc(/C=C/c2nc(Cc3ccc(OCc4cccnc4)cc3)no2)c1 545577278 RLCPTCGVHAQXMR-DHZHZOJOSA-N 414.421 4.713 5 20 HJBD CO[C@H](c1ccc(Br)cc1)c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 545809334 FBTLPWZSDOUQGX-JSNVTFDYSA-N 416.231 4.647 5 20 HJBD COc1cc(/C=C/c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)ccc1OC(F)F 546493016 YNEUVNRQWNRNJC-VQHVLOKHSA-N 417.368 4.657 5 20 HJBD CS(=O)(=O)c1ccc(CSc2nc3ccccc3c3nc4ccccc4n23)cc1 551347113 OZRWJSGTFDLGGO-UHFFFAOYSA-N 419.531 4.732 5 20 HJBD CC(C)(C)C[C@H](CC(=O)O)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 551422822 IHUOHUDNBHPDLM-INIZCTEOSA-N 404.438 4.895 5 20 HJBD COCCC[C@@H](CNC(=O)c1cccc(SC)c1[N+](=O)[O-])c1ccc(Cl)cc1 552679354 ASBKQYRCLTWIDQ-HNNXBMFYSA-N 422.934 4.910 5 20 HJBD O=C(Nc1cc(Cl)c(Cl)cc1Cl)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 553043781 FZIAOMYARHNNLU-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2cccc(C(F)(F)F)c2)OC[C@@H]1C 557438759 KPNIEPSOCWJGLA-UGSOOPFHSA-N 408.376 4.524 5 20 HJBD COc1ccccc1-c1nnc(Sc2c(Cl)cc(Cl)cc2[N+](=O)[O-])n1C 561111837 HOKJUZMCAPIGMH-UHFFFAOYSA-N 411.270 4.857 5 20 HJBD CC(C)[C@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 572153879 RHHAPARJBODZEA-JOCHJYFZSA-N 420.575 4.748 5 20 HJBD O=C(Nc1ccccc1)c1cccc(C(=O)Nc2ccc([N+](=O)[O-])c3ncccc23)c1 577676785 RUCBBMYWJLBDBU-UHFFFAOYSA-N 412.405 4.648 5 20 HJBD C[C@H](C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1cccc([N+](=O)[O-])c1 579428217 RKOHKSYOJUCFMQ-VIFPVBQESA-N 406.826 4.648 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N3CCOc4ccc([N+](=O)[O-])cc4C3)cn2)cc1 584022782 IGMOCSPRGYJAHJ-UHFFFAOYSA-N 420.425 4.517 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCCC[C@@H]3c3nnc([C@H]4CCCO4)o3)o2)cc1 589191260 UWNDHFNNEUMLBE-UYAOXDASSA-N 424.457 4.817 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccccc2)cc1 603732209 BVVBXZYWDBEMQN-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD C[C@@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)Nc1ccccc1[N+](=O)[O-] 603938253 QYKPXTNIKPUKDN-SECBINFHSA-N 410.377 4.609 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3ccccc3[N+](=O)[O-])o1)O[C@@H](C)C2 608835679 KOJUBJJBGIDUSI-HNNXBMFYSA-N 408.454 4.867 5 20 HJBD CCOc1ccc(Oc2ccccc2NC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 609009774 MNAVHISEEBUZBC-UHFFFAOYSA-N 422.437 4.976 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(OC(F)(F)F)cc1 609722537 KMBNDDQCYOEGMX-UHFFFAOYSA-N 404.728 4.554 5 20 HJBD O=C(Nc1ccnc(Oc2ccccc2Br)c1)c1csc([N+](=O)[O-])c1 609816035 AVZXXZQBBGTFLJ-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCC[C@@H](N3CCCC3)CC2)cc1[N+](=O)[O-] 609977727 LCWNJFJGBFJECU-LJQANCHMSA-N 419.591 4.824 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCN(C2CCCC2)CC1 610026202 GEJWPERXRUNWDY-UHFFFAOYSA-N 424.501 4.869 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC 611040715 SQVFDYUTPTYYIH-MRXNPFEDSA-N 403.410 4.510 5 20 HJBD CSc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)ccn1 611328208 VQLLNQYHLYQSHD-UHFFFAOYSA-N 403.529 4.676 5 20 HJBD Cn1cnc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)c2)n1 611971146 IPULEKYYJLZXRL-UHFFFAOYSA-N 423.498 4.677 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 727708023 HVWMMIPCEYSUDR-UHFFFAOYSA-N 422.281 4.542 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1)C(=O)OC(C)(C)C 727867462 JSNAYZRZXXUEAY-UHFFFAOYSA-N 419.865 4.867 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc(OCc3ccc(C)cc3)c2)cc1[N+](=O)[O-] 732437592 KCSXTKHNVHZGDA-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD COc1cccc(CNC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1OC1CCCC1 733241407 XMKBZKWLBUOHHS-UHFFFAOYSA-N 404.850 4.508 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccc(OCc2ccncc2)cc1 733396966 RKXZBPNYSXNLOF-MRXNPFEDSA-N 424.478 4.793 5 20 HJBD CS[C@H]1CC[C@H](NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 742740950 QFGLXWLFJXPNOO-HOTGVXAUSA-N 419.934 4.874 5 20 HJBD C[C@@H](OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1)c1ccccc1[N+](=O)[O-] 749054632 JSPQAXRNJLWLKV-GFCCVEGCSA-N 401.806 4.535 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CCO2)cc1 757526268 LPFHFGVEYKGFFN-KNQAVFIVSA-N 410.470 4.581 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 760378833 URXCATXNIMJSQR-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764161178 FSRNAAINUNHXPI-UHFFFAOYSA-N 405.407 4.929 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN1CCC(O)(c2ccccc2Cl)CC1 769818500 XMACRIKAXCPXSS-UHFFFAOYSA-N 404.894 4.519 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(-c4c(Cl)nc5ccccn45)no3)cs2)c1 771335292 YNXICXRAULYMNQ-UHFFFAOYSA-N 424.829 4.736 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(CC2CCCCC2)CC1 775530392 RVOMCGBWOFYXMG-UHFFFAOYSA-N 419.591 4.870 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1cc(Cl)ccc1[N+](=O)[O-] 776347060 JDZVACCHGDPTMQ-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD O=C(c1cc(F)ccc1[N+](=O)[O-])N1CCC[C@@H]1c1ccc(Br)c(F)c1 797574661 GYURDVFMDROKJY-OAHLLOKOSA-N 411.202 4.613 5 20 HJBD O=[N+]([O-])c1cccc([N+](=O)[O-])c1Nc1cccc(C[S@](=O)C2CCCCC2)c1 804462622 OGNZWBOFDOJRGS-NDEPHWFRSA-N 403.460 4.828 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)nc2ccccc21 809916279 YKUNWEXAZFYKFD-QGZVFWFLSA-N 413.865 4.987 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)nc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813104267 LFCMIEXHJVMOOI-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD C[C@@H](OC(=O)/C=C\c1ccc(Cl)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 829093393 YOEATZDSYWNHFP-UJICNMFASA-N 405.819 4.677 5 20 HJBD CCOC(=O)/C=C/c1ccc(NCc2cccc([N+](=O)[O-])c2Br)cc1 864000018 LWBGQFHUZQGEFZ-DHZHZOJOSA-N 405.248 4.546 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCN1c2ccccc2Sc2ccccc21 918090979 DUICVNMXCXFYTF-UHFFFAOYSA-N 419.506 4.947 5 20 HJBD O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)Nc1ccc(OC2CCCC2)c(Cl)c1 919827708 QCVJJDBYMHLBDI-UHFFFAOYSA-N 414.849 4.558 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3ccc(Br)cc3[N+](=O)[O-])o2)c1 1117173132 VQEUKINOFBOKIM-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(-c3cnc4c(c3)c(C3CC3)nn4-c3ccccc3)n2)cc1 1320182816 IBTNAGLSWVETQS-UHFFFAOYSA-N 424.420 4.923 5 20 HJBD Cc1cccc(Nc2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3C)s2)c1 8090997 QKBWNPFRSUDOHF-UHFFFAOYSA-N 415.500 4.538 5 20 HJBD Cc1[nH]c2ccc(C(=O)O[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3Cl)cc2c1C 9551694 NQSDDGYMRPSCDO-LBPRGKRZSA-N 415.833 4.530 5 20 HJBD CCN(Cc1ccccc1)C(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 10083258 CSWTZKZSSZGILB-ZDUSSCGKSA-N 401.513 4.734 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 10902218 ALNZZYJVPHWAPI-UHFFFAOYSA-N 401.875 4.952 5 20 HJBD CCCOc1c(Cl)cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC)cc1OCC 15270852 FCGHZXIBCHUETR-UHFFFAOYSA-N 408.838 4.697 5 20 HJBD O=C(Nc1ccc(Br)cc1F)c1ccc(Br)c([N+](=O)[O-])c1 15582773 PEAJTJUPHFKXSJ-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1nc(S[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)n(CC(C)C)c1C 16678761 SPMLOIQDNNMHEM-CQSZACIVSA-N 401.492 4.967 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)cc3C)cs2)c([N+](=O)[O-])cc1OC 23654622 VICVVHQBFHPVGI-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD COc1ccc([C@@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)cc1F 24077893 KTCUTVIXMFYVIH-OAHLLOKOSA-N 423.444 4.846 5 20 HJBD CC(=O)N1CCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)ccc21 42398433 CUTTZKWNNOCLOO-UHFFFAOYSA-N 417.421 4.548 5 20 HJBD COc1cc(C(=O)Nc2ccc(N(C)Cc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 71982953 GVKHKRXPSBYWKR-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD Cc1cc(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)ccc1Oc1cccnc1 72028795 HOXNXXSRDSNFPI-UHFFFAOYSA-N 404.426 4.917 5 20 HJBD O=C(COc1ccccc1C(F)(F)F)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 105968979 AFLRYQGKCYMCID-UHFFFAOYSA-N 423.372 4.755 5 20 HJBD CCOc1cc(C(=O)N[C@H](c2ccccc2)C(CC)CC)c([N+](=O)[O-])cc1OC 107803133 VPHQROYYJXUQJF-NRFANRHFSA-N 400.475 4.909 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 195692864 CDWKJHWTQMPLKA-CYBMUJFWSA-N 416.890 4.862 5 20 HJBD CCNC(=O)c1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1 236979468 BTVFRVQJLNUSBF-UHFFFAOYSA-N 413.861 4.548 5 20 HJBD COc1ccccc1COC1CCN(c2ccc([N+](=O)[O-])cc2Br)CC1 301940857 UYWVVLKTHIJIHN-UHFFFAOYSA-N 421.291 4.552 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 303343674 WPMXPAOJGQOQPI-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD O=C(Nc1cccc(NC2CCCC2)c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 409548649 SQOGMDRKZCBRJX-UHFFFAOYSA-N 408.502 4.804 5 20 HJBD O=C(c1cc(-c2ccc(Cl)s2)on1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427593680 VEUXKJOCNMERIT-UHFFFAOYSA-N 403.847 4.770 5 20 HJBD O=C(Nc1nc2c(s1)CCCCC2)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436067191 POXQDPLUXGOCGK-UHFFFAOYSA-N 407.470 4.798 5 20 HJBD O=C(Nc1ccc(SC2CCCC2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 437441829 JUMHLRVPKSVKJN-UHFFFAOYSA-N 419.890 4.860 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 443959994 OTPYHSSHXOZUFI-INIZCTEOSA-N 416.909 4.776 5 20 HJBD CC(C)n1c([C@H]2CCCN2Cc2nc3ccc([N+](=O)[O-])cc3[nH]2)nc2ccccc21 444665608 PISWDLKZYIJLJG-HXUWFJFHSA-N 404.474 4.739 5 20 HJBD O=C(c1ccccc1)c1ccc(OCc2nc(-c3ccc([N+](=O)[O-])s3)no2)cc1 445473753 SDZZHTMAOKVKIE-UHFFFAOYSA-N 407.407 4.516 5 20 HJBD C[C@H](NC(=O)Cc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 460154971 LYXISENOGQMVLY-INIZCTEOSA-N 408.429 4.733 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(Br)c1COC 462484345 JVTSGSYBJDWIIV-AWEZNQCLSA-N 421.291 4.711 5 20 HJBD CCN(c1cccc(C)c1C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462545913 CUJDPWRDPAHXKK-UHFFFAOYSA-N 403.287 4.734 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463611531 KXLBRXDCITZGIZ-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD C[C@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 471446450 ISMSGDSYSWXFPV-RDJZCZTQSA-N 407.495 4.812 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NCc1cc(Oc2ccc(F)cc2)ccn1 475636395 HCYYZPFPIANXIS-UHFFFAOYSA-N 410.405 4.860 5 20 HJBD COc1ccc(NC(=O)N(C)[C@H](C)Cc2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 480360290 AXIQMXJEQKDPGD-GFCCVEGCSA-N 411.380 4.717 5 20 HJBD O=C(Nc1c(Br)cc(F)cc1Br)c1ccc([N+](=O)[O-])s1 481949055 ZFEZZAIXKGKGMK-UHFFFAOYSA-N 424.045 4.573 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nccn2Cc2ccccn2)nc1-c1ccc(F)cc1 485395136 LOOGUBCEAJVTGI-UHFFFAOYSA-N 407.430 4.587 5 20 HJBD C[C@H](c1ccc(C(C)(C)C)cc1)[S@](=O)CCC(=O)Nc1ccccc1[N+](=O)[O-] 488807085 QJZGBHKKVDHKPU-WQIZZMQYSA-N 402.516 4.731 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1nc(-c2ccccc2)cs1 489412733 XIDZMFKYVJEXTR-LLVKDONJSA-N 419.409 4.811 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccccc2C)C[C@@H]1C 489596198 LBWRKVGCXJQQCP-IRXDYDNUSA-N 414.527 4.660 5 20 HJBD CCS[C@@H](C)c1noc(CSc2ccc(Br)cc2[N+](=O)[O-])n1 489625924 QMPYBVDRURVOJR-QMMMGPOBSA-N 404.311 4.847 5 20 HJBD O=C(Nc1ccc(OC(F)(F)F)cc1)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 492885860 HKLCRXRFAZBKPX-UHFFFAOYSA-N 424.253 4.687 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 493232879 DBSRRPAIRHOCIH-KRWDZBQOSA-N 418.563 4.579 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cc2)n1 494137410 QRTACDZVDNTYFS-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD CN(CC(=O)Nc1c(Cl)cccc1Cl)Cc1c(Cl)cccc1[N+](=O)[O-] 494197748 IDBRBRYCKBXYTO-UHFFFAOYSA-N 402.665 4.626 5 20 HJBD O=C(c1sc(Br)cc1[N+](=O)[O-])N1CC2(CCC2)[C@H]1c1ccccc1 495484976 QYKQKXUEOBRXEE-OAHLLOKOSA-N 407.289 4.786 5 20 HJBD CCC[C@@]1(C(F)(F)F)CCCN1C(=O)c1ccc([N+](=O)[O-])cc1Br 499518840 JDLXTNOCDXAHDJ-AWEZNQCLSA-N 409.202 4.695 5 20 HJBD O=C(Nc1cc(Br)ccc1Cl)c1ccc([N+](=O)[O-])c2cccnc12 499663500 VBADKKQCLHLHBK-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1ccc(C(=O)N[C@@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 500766731 ILICHHYIHQFAAJ-SFHVURJKSA-N 402.859 4.838 5 20 HJBD COc1cc(CN(Cc2cccs2)Cc2cccs2)c([N+](=O)[O-])cc1OC 506050361 AABCOXNOJJGMDO-UHFFFAOYSA-N 404.513 4.937 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC(Cc2cccc(F)c2)CC1 510852045 UNWLYQDLFHSLSY-UHFFFAOYSA-N 423.391 4.822 5 20 HJBD O=[N+]([O-])c1ccc(OCCSc2nnc(-c3ccccc3)n2Cc2ccco2)cc1 520014219 WJFHAEFYWLGTFR-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD CC(C)Oc1ccc(CC[C@H](C)NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])cc1 520555103 PPCOSFZSWROZRP-SFHVURJKSA-N 413.518 4.587 5 20 HJBD CN(C(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)C1CCC(F)(F)CC1 521302524 KNQKOFBIIGOIEJ-UHFFFAOYSA-N 403.429 4.857 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)s1 523501104 JNZJIKCHZFNHCT-KRWDZBQOSA-N 410.499 4.905 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)cc3cccnc23)cc1SC 524253184 VVMUPHSFWMRSHN-UHFFFAOYSA-N 403.847 4.779 5 20 HJBD CN(C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)c1ccccc1Oc1ccccc1 524466679 VRRMKNSCBGGDHN-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD C[C@@H](C(=O)N1CCCC[C@@H]1c1ncc(-c2ccccc2)[nH]1)c1cccc([N+](=O)[O-])c1 526011737 HZYVZXCZFTXCBF-IIBYNOLFSA-N 404.470 4.842 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1C1CC1 534999309 RLGHUTRAHATAGR-LLVKDONJSA-N 421.295 4.583 5 20 HJBD C[C@@H](NC(C)(C)CNC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-])c1ccccc1 537243061 UZLVMGBSPXRCEC-QGZVFWFLSA-N 418.497 4.516 5 20 HJBD CN(C(=O)CSc1ccc(F)c(F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 540453033 LQMXMGDYLYWUQX-UHFFFAOYSA-N 421.450 4.752 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(CCC(=O)N3CCCC3)cc2)cc1[N+](=O)[O-] 540788032 TYFXWPZZCUMTNO-KRWDZBQOSA-N 411.502 4.722 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 541472071 GSUUYDWZDRMEIC-UHFFFAOYSA-N 406.423 4.533 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1ccc(Br)c2cccnc12 542221193 WFPDPHUPTSQXGE-UHFFFAOYSA-N 401.216 4.687 5 20 HJBD C[C@@H](C(=O)N[C@@H](c1ccc(F)cc1)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544403790 QQGHKCDUKZAGSD-BLVKFPJESA-N 402.422 4.944 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3cccnc3OC3CCCC3)no2)ccc1N1CCCC1 544509805 BAGIJOIQUPWMLV-UHFFFAOYSA-N 421.457 4.628 5 20 HJBD Cc1ccc(-c2noc(C3CCC(NC(=O)CC4CCCC4)CC3)n2)cc1[N+](=O)[O-] 544955611 YHINIYOXNXNYEB-UHFFFAOYSA-N 412.490 4.676 5 20 HJBD NC(=O)c1ccc(-c2noc(/C=C/c3ccc(-c4ccccc4[N+](=O)[O-])s3)n2)cc1 545007038 RQTQOJKOEZQAQW-ZRDIBKRKSA-N 418.434 4.643 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccccc3OC(F)F)no2)cc(C(F)(F)F)c1 545042409 HBJOFQINTGNWJG-UHFFFAOYSA-N 415.274 4.856 5 20 HJBD Cc1ccc(C(C)(C)NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cn1 545357945 BHCSCCCMFIMSLK-INIZCTEOSA-N 419.485 4.531 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc4noc(-c5ccc(F)cc5)c4c3)n2)nc1 545786781 USNSNNOAJVOLHU-UHFFFAOYSA-N 417.356 4.578 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(-c3cc(Cl)ccc3O[C@H]3CCOC3)no2)cc1 547195615 RGVWMBXUMPBEEV-XOYTVWKISA-N 413.817 4.636 5 20 HJBD CCCC(C)(C)N(Cc1cccs1)C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2 549319843 VRUASZPZLIENAP-UHFFFAOYSA-N 418.515 4.578 5 20 HJBD CC(C)CN(C(=O)[C@@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 553634599 AHVBKXDKMMQSKM-HUUCEWRRSA-N 403.504 4.522 5 20 HJBD CC(C)(C(=O)N1CCC(c2noc3cc(F)ccc23)CC1)c1ccccc1[N+](=O)[O-] 557170500 LBZLIRCOKNYVCT-UHFFFAOYSA-N 411.433 4.559 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 558025985 NLBIOQSSMJKYBN-SAABIXHNSA-N 421.419 4.744 5 20 HJBD COc1cccc(C(=O)N2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1[N+](=O)[O-] 583100257 JSJJFYJJZLSNIF-CQSZACIVSA-N 408.376 4.642 5 20 HJBD O=[N+]([O-])c1cc(CN2CC3(CCOCC3)[C@H]2C2CC2)ccc1Oc1ccc(F)cc1 583159953 YELBUNFXOVHODU-JOCHJYFZSA-N 412.461 4.917 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 583838271 BMBXVFIFPNIJDA-AWEZNQCLSA-N 401.426 4.808 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2OC)c2ccccc2)cc1 603623024 LZFOJSFOEOTHTJ-QFIPXVFZSA-N 406.438 4.522 5 20 HJBD O=C(CCCOCCc1ccccc1)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 603946444 GWUOMUNQXXRQNM-UHFFFAOYSA-N 411.483 4.696 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1ccccc1C(F)(F)F)C1CC1 604090939 RTQNYCMEWKGEKW-UHFFFAOYSA-N 403.360 4.900 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 604288673 NZOIZQHBPAURPI-HTQZYQBOSA-N 419.709 4.843 5 20 HJBD O=C(Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1sc2cc(F)ccc2c1Cl 609135982 XMBLVACDLJPOPL-UHFFFAOYSA-N 417.809 4.639 5 20 HJBD COc1cc(CN[C@@H](C)c2cnn(C(C)C)c2)ccc1OCc1ccc([N+](=O)[O-])cc1 609572352 BBXPKTGIHMLTKV-KRWDZBQOSA-N 424.501 4.811 5 20 HJBD CC(C)(CNC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1cccc(C(F)(F)F)c1 609785091 DJBPGGAGPMYMSQ-UHFFFAOYSA-N 414.811 4.904 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)Cc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 609978709 JDEFLRPATOILHB-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610037824 YNXDEGMMGQPFEA-GOSISDBHSA-N 419.275 4.733 5 20 HJBD CC[C@H](Nc1c([N+](=O)[O-])c(C)nn1C)C(=O)Nc1ccc(Oc2ccccc2)cc1C 610427232 YTRDVGNVXOIYPJ-SFHVURJKSA-N 423.473 4.567 5 20 HJBD CCn1c(SCC(=O)N(C(C)C)C2CCCC2)nc2cc3ccccc3cc2c1=O 613973295 UKGWUMZQNUZDJK-UHFFFAOYSA-N 423.582 4.841 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1Sc1ccc(Br)cc1 731905521 NGCOSMSHGQVJAN-UHFFFAOYSA-N 424.244 4.505 5 20 HJBD Cc1nc(-c2cccc(NC(=O)CCOc3ccc(Cl)cc3[N+](=O)[O-])c2)[nH]c1C 735040842 WNIMAYIBZMKRNW-UHFFFAOYSA-N 414.849 4.663 5 20 HJBD O=C(Nc1ccc(SC(F)F)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 743052896 JUNCNOIYWYYLIP-UHFFFAOYSA-N 423.441 4.985 5 20 HJBD Cc1ccc(CCN(CC(F)(F)F)C(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 750197510 DDAQQMFKCPGYJA-UHFFFAOYSA-N 400.784 4.804 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccccc1COc1ccccc1 751271870 HPYLTGFXQWKHJT-UHFFFAOYSA-N 418.858 4.628 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)O[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 758069737 QVQBVXZLKPGKMG-HXUWFJFHSA-N 417.824 4.615 5 20 HJBD Cc1cccc2c(CCC(=O)OCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)c[nH]c12 760526873 NGZJIYMXAIJWEY-UHFFFAOYSA-N 405.410 4.716 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)C1CCN(C(=O)OC(C)(C)C)CC1 764431677 DRKFPEFGVWTJPF-HNNXBMFYSA-N 405.495 4.515 5 20 HJBD C[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 774924378 CTPPRYZAGZTLFZ-GHMZBOCLSA-N 413.808 4.527 5 20 HJBD COc1ccccc1/C(C)=C/C(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140539 ZNABHCTWHMKDHO-INJZMFEXSA-N 404.422 4.735 5 20 HJBD Cc1nc2cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)ccc2n1Cc1ccsc1 788432110 YRTQSOXGRHFQAD-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790547575 XQZKRTRQVPFLNT-UHFFFAOYSA-N 404.747 4.607 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3cc(F)c([N+](=O)[O-])cc3Cl)c2)cs1 795260309 GFQOMGUZLNQIHI-UHFFFAOYSA-N 421.837 4.837 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnc2c(F)cccc2c1 797031451 MACBHQWWKANQQT-NRFANRHFSA-N 403.369 4.624 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C(C)(C)c1csc(-c3cccs3)n1)CC2 799980457 CWIVDIPLGPNQJN-UHFFFAOYSA-N 413.524 4.955 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3cc(Cl)c(O)cc3F)cc2[N+](=O)[O-])CC1 805259520 SSQYEWPHZUQCDC-UHFFFAOYSA-N 407.829 4.582 5 20 HJBD Cc1ccc(C)c(OC2CCN(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)CC2)c1 809916235 XBIHNGQCMGUQKG-UHFFFAOYSA-N 403.866 4.940 5 20 HJBD O=C(CCC(=O)N1CCn2cncc2C1)c1ccc2ccc3cccc4ccc1c2c34 812298551 WVYHWCFUBUAXDA-UHFFFAOYSA-N 407.473 4.786 5 20 HJBD Cc1csc(N(CC(F)(F)F)C(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 812926293 HCQLZLJGCBGCNI-UHFFFAOYSA-N 414.192 4.876 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1ccccc1)[C@@H](O)c1ccccc1 813819789 RDEUOXKBZQPXFC-UXHICEINSA-N 411.845 4.845 5 20 HJBD CC(=O)c1ccc2cc(OS(=O)(=O)c3cc(Cl)c(F)cc3[N+](=O)[O-])ccc2c1 815020581 BNVIXJGFFBCOJM-UHFFFAOYSA-N 423.805 4.511 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)Nc3cc([N+](=O)[O-])c(F)cc3F)c(C)c2c1 897471801 DFPSXEHEZJUXGH-UHFFFAOYSA-N 402.353 4.702 5 20 HJBD CCOc1cc(NC(=O)c2nc(-c3cccs3)oc2C)c([N+](=O)[O-])cc1OCC 915833931 CPETZMPDYYWNQZ-UHFFFAOYSA-N 417.443 4.669 5 20 HJBD C[C@@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1cc(F)c(Cl)cc1Cl 916149074 JBRHAHREULPSII-MRVPVSSYSA-N 420.655 4.983 5 20 HJBD CCC[C@H](NC(=O)[C@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccc1 917263266 BBSYBYUCNGZPJN-KSSFIOAISA-N 410.426 4.544 5 20 HJBD CCCn1cc(NC(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)ccc1=O 918116596 WKQNQNQBTDWQPZ-UHFFFAOYSA-N 423.494 4.878 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCCCN1C(=O)c1ccco1 919644289 UNGOHXMFAZYSLT-JOCHJYFZSA-N 414.461 4.719 5 20 HJBD C[C@H](OC(=O)C[C@H]1CCCC[C@H]1C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 920726308 HUCGUFXOZDYCCO-FRRDWIJNSA-N 416.396 4.700 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(CCc2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 921148981 SZLMUXNWDLOCAC-UHFFFAOYSA-N 405.410 4.701 5 20 HJBD C[C@H](Oc1cccc(Br)c1)c1nnc(-c2cc(F)cc([N+](=O)[O-])c2)o1 1118357351 GTZVYZYSLOBHQO-VIFPVBQESA-N 408.183 4.686 5 20 HJBD CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C 1318538239 VHHYSKDAMACWAC-LLVKDONJSA-N 414.462 4.699 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])o2)c1 1322688792 RJJKZZPQBHKMMQ-UHFFFAOYSA-N 423.347 4.782 5 20 HJBD Cc1nc(-c2ccc3c(c2)CCN3C(=O)c2c(F)c(C)cc([N+](=O)[O-])c2F)cs1 1327732986 DFPYHHOGADCXBZ-UHFFFAOYSA-N 415.421 4.816 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])cc3)cc(-c3ccccc3)nc21 19161385 KOEMXUXNLXPCSC-UHFFFAOYSA-N 401.426 4.840 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NCc1ccccc1F)c1ccccc1 21820172 VFKKCTJMXIKMCK-FQEVSTJZSA-N 413.836 4.857 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 47599549 KAAIQMUJUIYKSM-UHFFFAOYSA-N 412.490 4.521 5 20 HJBD CN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1Oc1ccccc1 64315642 WWJRWHNPHFMMCY-UHFFFAOYSA-N 414.421 4.849 5 20 HJBD CCCn1c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)nc2ccccc21 64868792 NORAZFQVXPTPFB-UHFFFAOYSA-N 407.455 4.730 5 20 HJBD CC(=O)c1ccc(Sc2ncc(-c3ccccc3)n2C[C@@H]2CCCO2)c([N+](=O)[O-])c1 71838340 WBWHHUQAUYLBTC-SFHVURJKSA-N 423.494 4.991 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)NCC(C)(C)c2cccc(Cl)c2)cc1SC 97547893 NLCQXJQBPLPVQE-UHFFFAOYSA-N 408.907 4.686 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@@H]3CCCN(c4cccc(F)c4)C3)cs2)c1 237759893 SKAYQSWLFRFPLA-QGZVFWFLSA-N 412.490 4.616 5 20 HJBD CCOc1cccc2cc([C@H](C)Nc3ccc([N+](=O)[O-])cc3N3CCOC3=O)oc12 301368445 RKVJISQVWKGJEU-ZDUSSCGKSA-N 411.414 4.869 5 20 HJBD COc1ccccc1NC(=O)C1CCN(c2c(Cl)cc([N+](=O)[O-])cc2Cl)CC1 302246439 TZCWHYBPBYQIKZ-UHFFFAOYSA-N 424.284 4.765 5 20 HJBD CN(c1ccccc1)c1ccc(CNC[C@@H](CC(=O)O)c2ccccc2)cc1[N+](=O)[O-] 426645065 BPPJONLHKJRLEO-HXUWFJFHSA-N 419.481 4.711 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CC[C@H](OCCCc4ccccc4)C3)o2)c1 430917176 XSRJNNHFKRZNNN-QFIPXVFZSA-N 421.497 4.516 5 20 HJBD CC(=O)[C@@H](Cc1ccccc1F)Sc1nc2cc3ccccc3cc2c(=O)n1C 436122050 AAQKQBYZHDUKKD-OAQYLSRUSA-N 406.482 4.518 5 20 HJBD O=C(N[C@@H]1CCC[C@@H]1c1ccccc1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444009721 DDLZUCZEZDPRSI-IIBYNOLFSA-N 400.453 4.887 5 20 HJBD O=C(N[C@H]1CSc2ccccc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444040329 XIBZXZYJBNDCSU-SFHVURJKSA-N 408.429 4.536 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3csc(-c4ccccc4C)n3)n2)c1 445577382 PZAIXSQZEMRFCU-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@H]2CSc3ccccc3O2)no1 446014932 BNNYLAUWCAPQSQ-ZUZCIYMTSA-N 419.846 4.997 5 20 HJBD C[C@@H](NC(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Cl)c2ccccc12 446896300 LOSZZNQAOISLIP-GFCCVEGCSA-N 423.860 4.838 5 20 HJBD O=C(CCCOc1ccccc1Cl)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460352344 YJIDZSHLXPYSHA-LJQANCHMSA-N 406.891 4.681 5 20 HJBD Cc1cc(Sc2nnc(COc3ccc(Cl)cc3)n2C)c(F)cc1[N+](=O)[O-] 461737214 VWPDHDHVUGISMM-UHFFFAOYSA-N 408.842 4.554 5 20 HJBD CCN(c1cc(C)ccc1C)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462179445 YJMCQOBFZRCHRC-UHFFFAOYSA-N 403.287 4.734 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464660119 LRYJQZRTVZSKMU-UHFFFAOYSA-N 423.494 4.710 5 20 HJBD CN(CCCN1CCCC1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 467669381 WNDOLUOHNGWPLO-UHFFFAOYSA-N 417.893 4.598 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1CCC(C(=O)c2cc(F)ccc2F)CC1 470176880 NSPFJENJLSLGQO-PTNGSMBKSA-N 400.425 4.871 5 20 HJBD CC[C@H]1CN(C(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)CC[C@H]1c1ccccc1 470186027 NWVDXTUKIZIIQC-LAUBAEHRSA-N 418.497 4.651 5 20 HJBD COc1ccc(CSCC(=O)Nc2cccc(C(F)(F)F)c2C)cc1[N+](=O)[O-] 471831458 LJSCFSXUIVPZTN-UHFFFAOYSA-N 414.405 4.803 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@@H]2CCN(Cc3ccccc3)[C@H](C)C2)cc1[N+](=O)[O-] 473827506 IENDUGKYPGDQRN-WIYYLYMNSA-N 409.530 4.843 5 20 HJBD CCC(=O)c1ccc(Nc2ccc3c(c2)CCN3C(=O)c2cccnc2)c([N+](=O)[O-])c1 479997949 TXHWZKZUBSGNJC-UHFFFAOYSA-N 416.437 4.529 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cc1SC 483023618 XKWGPXHSNUXLJX-SWLSCSKDSA-N 416.499 4.847 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 485917254 LQKPRGJYSSNRIG-INIZCTEOSA-N 403.438 4.647 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 486091219 PGMKEXGSASKBPT-STQMWFEESA-N 409.305 4.875 5 20 HJBD CSc1cccc(C(=O)NCCc2nc(C3CCCCC3)cs2)c1[N+](=O)[O-] 486206263 VIHAJQCXQWTHBR-UHFFFAOYSA-N 405.545 4.793 5 20 HJBD CC(=O)Nc1cccc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)c1 486826383 VQGKQKYRHBGXHA-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3cccc([N+](=O)[O-])c3)o2)cc1 488820503 QKBADWNEYDEUPT-ZDUSSCGKSA-N 412.471 4.888 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(S[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)o1 488864844 ZLNWGTKXYMPMOL-CXAGYDPISA-N 412.471 4.639 5 20 HJBD Cn1nc(-c2cccc(C(F)(F)F)c2)cc1NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 489998404 PMNZZIQUAPAAAB-UHFFFAOYSA-N 424.766 4.920 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2noc(-c3ccc(Cl)cc3)n2)c1 490012779 SVKDXMQWDDRJBN-SNVBAGLBSA-N 418.862 4.511 5 20 HJBD CCOc1cc(CN2CCC(n3c(CC)nc4ccccc43)CC2)ccc1[N+](=O)[O-] 492608597 QKJPQCLYDORACZ-UHFFFAOYSA-N 408.502 4.743 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2)cc1[N+](=O)[O-] 493074604 DMMHYNUWSQVLBF-IAGOWNOFSA-N 424.501 4.801 5 20 HJBD O=C(N[C@@H](c1cccc(F)c1)c1ccccn1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 498896451 ZTCCKODZHFGYEX-SFHVURJKSA-N 419.334 4.667 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3cccc([N+](=O)[O-])c3C)s2)c(F)c1 499940130 PYOUSDKKBRGCOW-UHFFFAOYSA-N 401.419 4.735 5 20 HJBD CC[C@@H]1C[C@@]1(NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(C)c1 501658293 VCPBSABXFGBHFX-ASSNKEHSSA-N 420.412 4.568 5 20 HJBD O=C(NCCc1cc2ccccc2s1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 506643232 OIFJJJSYONKQOT-UHFFFAOYSA-N 403.463 4.844 5 20 HJBD Cc1c(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 509895696 HBESNJJFLQWNQS-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD O=C(Nc1ccccc1)c1ccc(Cl)c(NC(=O)c2ccc([N+](=O)[O-])s2)c1 512317508 CFHIAQUFDUUWEF-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N(C)CCc1cccc([N+](=O)[O-])c1 513013883 CBFFZPVDQLHYOP-UHFFFAOYSA-N 417.437 4.620 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)c3ccc(NC4CC4)c([N+](=O)[O-])c3)n2)s1 514577228 QWMNZNLGBJTEBA-UHFFFAOYSA-N 415.500 4.618 5 20 HJBD CCOc1cc(Cc2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)ccc1OC(F)F 515015818 ACVAWTOHFJAXRQ-GFCCVEGCSA-N 419.384 4.721 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc([C@@H](F)c3ccc(C(F)(F)F)cc3)n2)c1 515492282 AZVKXJSQMXZEHF-INIZCTEOSA-N 411.311 4.655 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])N(Cc1ccc(F)cc1)C1CCCCC1 516273109 SAIXHLMLWOYWAS-UHFFFAOYSA-N 403.479 4.583 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1noc(Cc2ccccc2)n1 519673328 UKQHZEAWSQRFPO-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD CCc1nc([C@@H](C)NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cs1 520318541 VNJZPDGYTJFUDU-SECBINFHSA-N 419.450 4.602 5 20 HJBD Cc1nc([C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccccc2F)no1 522283930 LYQNVPUYAFTPDA-HXUWFJFHSA-N 408.389 4.565 5 20 HJBD Cc1cnn([C@@H]2CCCc3ccccc32)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522746730 QGHXJZBSQBVZIE-HXUWFJFHSA-N 424.888 4.860 5 20 HJBD COc1ccc(-c2noc(CSCc3ccc(Cl)cc3[N+](=O)[O-])n2)c(OC)c1 523852983 CODYCLQPDWMTLP-UHFFFAOYSA-N 421.862 4.749 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nnc(-c3ccc(Br)o3)o2)c2ccccc12 531538407 KJDWGKITOGFAGN-UHFFFAOYSA-N 416.187 4.733 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1[N+](=O)[O-])[C@H](C)c1cccc(C(F)(F)F)c1 533433011 QTHVGVSUBRKUPN-CQSZACIVSA-N 409.408 4.944 5 20 HJBD O=C(Nc1ncccc1OCc1cccc(Cl)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 535697764 BDAWHRCJMRNPIQ-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD COc1ccc(CNC2CCC(F)(F)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 536412716 WQIIJEQFGFTDIW-UHFFFAOYSA-N 406.429 4.850 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CN[C@H](Cc1ccc(Cl)cc1Cl)c1ccc(F)cc1 538218543 ZTIPIOUNUNINAX-QGZVFWFLSA-N 423.275 4.848 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc(C(F)(F)F)cs1 540456860 QLCBMXZLMFWRGM-UHFFFAOYSA-N 419.450 4.543 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1ncc(-c2ccccc2Br)o1 548934964 YMNLHFNQOLDQIY-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD COc1ccc(CN(C)Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OC(F)F 549366047 CYYNBWQCHIAWAG-UHFFFAOYSA-N 420.334 4.856 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CS(=O)(=O)c2ccc(Cl)cc2Cl)c1 555095534 UDBUHHSHEZEBIX-UHFFFAOYSA-N 414.188 4.894 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC(F)(c2cccnc2)CC1 556477617 SWHZZEDDOBJWLY-UHFFFAOYSA-N 421.428 4.883 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)N(CC(F)(F)F)c1ccccc1 560060015 IFIUTZGEFNVZGQ-UHFFFAOYSA-N 417.181 4.875 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 573504838 FOPFKSOKPBKELP-OAHLLOKOSA-N 403.438 4.898 5 20 HJBD O=C(N[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1)c1cccc([N+](=O)[O-])c1 577426048 WUYMBKVJLNUIHG-GOSISDBHSA-N 408.376 4.511 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2ccccc2Cl)no1 579821211 JICQIBAINLVBOU-AWEZNQCLSA-N 414.849 4.549 5 20 HJBD COc1cccc(N2CCc3nc(-c4ccccc4Cl)sc3C2)c1[N+](=O)[O-] 579880893 NXGFGOIRLDBXGF-UHFFFAOYSA-N 401.875 4.943 5 20 HJBD O=C(c1ccnc(-c2ccccc2)c1[N+](=O)[O-])N1CCC(c2ccc(F)c(F)c2)CC1 586827801 NQSCZPUZWPRENN-UHFFFAOYSA-N 423.419 4.955 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(Cl)c2OCC(F)F)c1[N+](=O)[O-] 589083049 CEFCYHDOEZBVRC-UHFFFAOYSA-N 402.806 4.866 5 20 HJBD CC(C)(CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccccc1Cl 590215251 FLRSDKFNVSGCRX-UHFFFAOYSA-N 400.784 4.975 5 20 HJBD CSc1ccc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)cc1[N+](=O)[O-] 601681308 YRIQECFZCQGYQG-UHFFFAOYSA-N 421.450 4.895 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2ccc(NC)c([N+](=O)[O-])c2)c2ccccc2)cc1 603613071 NALHDUAVFVMCQU-JOCHJYFZSA-N 405.454 4.555 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2ccccc2)cc1 603732205 BVVBXZYWDBEMQN-JOCHJYFZSA-N 402.406 4.869 5 20 HJBD COc1ccc(NC(=O)c2cc(C3CC3)nc3c2c(C)nn3C(C)(C)C)c([N+](=O)[O-])c1 603732614 LEXKHVCJEULLMF-UHFFFAOYSA-N 423.473 4.541 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCc2cccc(-c3cccc(C)c3)c2)cc1OC 608850299 GTNZLTIEVBTAAV-UHFFFAOYSA-N 420.465 4.908 5 20 HJBD Cc1ccccc1NC(=O)[C@H]1CCc2ccccc2N1C(=O)c1csc([N+](=O)[O-])c1 608872416 OEDUFJXMHCWQHH-LJQANCHMSA-N 421.478 4.565 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)Nc1nc2c(Cl)c(Cl)ccc2s1 608927714 IHPRCGKLQBALML-UHFFFAOYSA-N 418.283 4.621 5 20 HJBD COc1ccc(CC(=O)N(Cc2ccco2)C23CC4CC(CC(C4)C2)C3)cc1[N+](=O)[O-] 609009866 CSCRNXHBUYTNCI-UHFFFAOYSA-N 424.497 4.737 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccc(F)c(Br)c1 609375029 QUASOJJFZZIPFZ-SECBINFHSA-N 407.195 4.734 5 20 HJBD CCOc1cc(NC(=O)N2C[C@H](c3ccccc3)C[C@H]2C)c([N+](=O)[O-])cc1OCC 609683475 XTUFNICFLZKMDV-NVXWUHKLSA-N 413.474 4.802 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 610205093 IYCMGCTUDGUDCK-UHFFFAOYSA-N 400.438 4.800 5 20 HJBD COc1ccc(CN(Cc2ccc(OC)cc2)C(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 610227537 DZGIAKMBGWLQAQ-UHFFFAOYSA-N 420.465 4.763 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC1CCN(c2cccs2)CC1 611473130 AFWULLRQZOOACL-UHFFFAOYSA-N 419.572 4.947 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@H](c1ccccc1)c1cccnc1 728416660 SCEUTHRKWFUINK-HHHXNRCGSA-N 420.468 4.883 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)cc1 730505768 CJKSRCPHNCTFNE-UHFFFAOYSA-N 400.435 4.608 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 731839131 FRRCVPAGBOPBNH-UHFFFAOYSA-N 401.444 4.814 5 20 HJBD CC[C@@H](C)n1ncc2c(C(=O)Nc3cc([N+](=O)[O-])c(Cl)cc3C)cc(C)nc21 735820370 CQNVRWWUTNZYFB-GFCCVEGCSA-N 401.854 4.833 5 20 HJBD O=C(CC1CCCC1)NC1CCC(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)CC1 735905427 SVGICSSIEDCIJD-UHFFFAOYSA-N 422.909 4.547 5 20 HJBD CC(C)[C@@H](NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)c1ccccn1 736574503 MWKNIIQZONERMK-JOCHJYFZSA-N 404.470 4.729 5 20 HJBD CCOC(=O)c1ccnc(Oc2ccc(-c3ccccc3)cc2[N+](=O)[O-])c1[N+](=O)[O-] 737397822 DLAMLRHECDXJIX-UHFFFAOYSA-N 409.354 4.534 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2ccc3ncccc3c2)c(-c2cccs2)c1 741157578 BGDLKWZLGNTZJO-UHFFFAOYSA-N 412.448 4.639 5 20 HJBD Cc1ccc(-n2c(S[C@H](C)c3ccccc3[N+](=O)[O-])nc3ccccc3c2=O)nc1 742449802 YICQNOJPYDHABF-OAHLLOKOSA-N 418.478 4.851 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)O[C@@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1 745333208 NDHJIFUKFWHFNV-XMMPIXPASA-N 414.417 4.786 5 20 HJBD C[C@@H](Nc1nc2ccc([N+](=O)[O-])cc2s1)[C@@H](OC1CCOCC1)c1ccccc1 746717676 ZIRZUMLGVRXDEY-JLTOFOAXSA-N 413.499 4.942 5 20 HJBD C[C@@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ncc(C(C)(C)C)o1 747691158 QEEFIDNMMQQUEO-SNVBAGLBSA-N 416.352 4.739 5 20 HJBD O=C(OCCOc1ccccc1Cl)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 747957285 MWHRIPXWSIZHKE-UHFFFAOYSA-N 404.831 4.608 5 20 HJBD C[C@H](Nc1ccc(OC2CCC2)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 748267619 RWHAVJIGUKONAY-NSHDSACASA-N 407.829 4.758 5 20 HJBD Cc1cc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])nc(-c2ccc(Cl)cc2)n1 751855098 BFBZZCYKIHPJTK-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD Cc1cc(F)cc(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)c1[N+](=O)[O-] 753102023 OIASXMDQYUYPTK-INIZCTEOSA-N 424.476 4.993 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Oc1ccc(NC(=O)c2cccs2)cc1 758154886 DJFFSHKEEWQHIS-UHFFFAOYSA-N 421.434 4.538 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@@H]1/C=C\c1ccccc1 759088959 VJYUMTTWWBPIPL-QYMJWVLRSA-N 401.260 4.675 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCc2cnc(Cl)s2)cc1[N+](=O)[O-])c1ccccn1 760502939 XCQFPXWSMPTZHT-NSHDSACASA-N 418.862 4.630 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 770062703 RSNLQDUDUFOSPS-UHFFFAOYSA-N 412.352 4.769 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)OC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 779311334 RLOBDNQGSKUIAV-CYBMUJFWSA-N 400.460 4.587 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(OCc2ccccc2)cc1 781697722 NXTSTPQWRYTBMM-UHFFFAOYSA-N 405.454 4.516 5 20 HJBD Cc1ccc(-c2nc(N)sc2CC(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])cc1C 782188777 XRMXKERXAPIKGY-AWEZNQCLSA-N 411.483 4.764 5 20 HJBD Cc1ccc2oc(C(=O)Nc3ccc(OCc4ccccc4)cc3[N+](=O)[O-])cc2n1 782850095 WLYZYXALEAEKEW-UHFFFAOYSA-N 403.394 4.876 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H]2c2cccs2)cc1[N+](=O)[O-] 784258067 SNQJCUBJEXVMRX-RHSMWYFYSA-N 416.499 4.689 5 20 HJBD O=[N+]([O-])c1ccc(CNc2ccc(OC(F)F)c(C(F)(F)F)c2)c([N+](=O)[O-])c1 793316645 LHSJAHHFZPHFTA-UHFFFAOYSA-N 407.251 4.735 5 20 HJBD C[C@@](O)(CCNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccccc1 799725772 KKGVCFTTZVAUOE-HSZRJFAPSA-N 422.506 4.774 5 20 HJBD CN(CCCc1cc(-c2ccccc2)n[nH]1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809910791 BURADWLQFSYFPL-UHFFFAOYSA-N 413.865 4.735 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1noc(-c2ccc(Cl)cc2)n1 809913770 CDQCGSZZBJRYOY-SECBINFHSA-N 422.228 4.834 5 20 HJBD O=C(CCc1cnc2ccccc2c1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811214946 KFBTYKCJJDXWDR-UHFFFAOYSA-N 419.462 4.943 5 20 HJBD O=C(O[C@@H](c1nc2ccccc2o1)C(F)(F)F)c1cc(Cl)cc([N+](=O)[O-])c1 816062073 GQMMERFVNDKUNP-ZDUSSCGKSA-N 400.696 4.850 5 20 HJBD CC(=O)Cc1ccc(OC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 816212409 RDPSDWYHMWLJGD-INIZCTEOSA-N 419.437 4.514 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 817038171 NDXPEXBDZWYWRB-UHFFFAOYSA-N 413.474 4.536 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(N3CCCCC3)nc2)no1 904427874 HQDLYWOEPTVJKY-QGZVFWFLSA-N 407.474 4.766 5 20 HJBD O=[N+]([O-])c1cc(NCc2nc(-c3cc(Br)cs3)no2)ccc1Cl 918185984 RUXRSJBVJSBPNC-UHFFFAOYSA-N 415.656 4.734 5 20 HJBD COc1cc(CNCc2ccc([C@@H](C)O)cc2)ccc1OCc1ccc([N+](=O)[O-])cc1 920182593 UMSYDSWILAKQIB-QGZVFWFLSA-N 422.481 4.526 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)c1ccn(-c2ccncc2)n1 1321998286 MFIXSWDYUCNQEG-UHFFFAOYSA-N 423.479 4.641 5 20 HJBD COc1ccc(Cl)cc1COC(=O)Cn1c2ccccc2c(=O)c2ccccc21 10723268 CLNMLKOBZSHXBT-UHFFFAOYSA-N 407.853 4.560 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(N3CCCC3)n2-c2ccccc2Cl)o1 14902948 ZCZHSDXVUXBYPB-FMIVXFBMSA-N 417.878 4.785 5 20 HJBD CCCOc1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)c([N+](=O)[O-])cc1OCCC 17741881 FIGLMNWUFPRZTH-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD CCc1ccc(CNC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 53513721 JSWDKPMGPIADGY-AWEZNQCLSA-N 410.499 4.717 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cc([N+](=O)[O-])ccc2C)cs1 54917093 WUOVFXLLDKHADQ-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)NCCc2ccc([N+](=O)[O-])cc2)cc1 65439952 ITTSORDEQNAZAK-UHFFFAOYSA-N 407.426 4.760 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(NC(=O)c2ccccc2)cc1 65864878 KSLDORIPEZKZBL-UHFFFAOYSA-N 417.465 4.898 5 20 HJBD Cc1cc(N[C@@H](C)c2noc(-c3ccccc3)n2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301825433 MEKXZZBDESPFOD-AWEZNQCLSA-N 402.414 4.583 5 20 HJBD CC1(C)Cc2ccc(Br)cc2[C@@H]1NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 429284275 LMDALMJLEKQMPF-SFHVURJKSA-N 415.291 4.647 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2ccc(O)c([N+](=O)[O-])c2)cc1 436966328 PQQDVZZFTFQALI-QFIPXVFZSA-N 405.454 4.510 5 20 HJBD CC(C)[C@](C)(O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440227615 PYHZUEFSULQCOI-LJQANCHMSA-N 408.907 4.536 5 20 HJBD O=C1CCCC[C@H]1[C@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 440365266 HKWGYMYNZIXTAI-AZUAARDMSA-N 407.470 4.702 5 20 HJBD Cc1cccc2nc(CNC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cn12 442730047 MKFMKDGNUMNTKA-UHFFFAOYSA-N 418.478 4.632 5 20 HJBD C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445245925 CSJDROATZFDQKH-SSDOTTSWSA-N 405.220 4.530 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(Br)c(Cl)c3)n2)c1 448058563 PPJFQCCKXZDILG-UHFFFAOYSA-N 409.627 4.673 5 20 HJBD O=C(C[C@H]1CCCN1C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(F)cc1 448438035 IWRAMGXYJOJFLE-MRXNPFEDSA-N 412.442 4.826 5 20 HJBD C[C@H](c1ccc(-c2ccccc2)cc1)N(C)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 462582603 BXQZQKFUGCLKAE-QGZVFWFLSA-N 410.495 4.785 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1cccnc1SCc1c(C)noc1C 464714057 RBNHSLJBEOWQQJ-UHFFFAOYSA-N 412.471 4.702 5 20 HJBD O=C(NCCc1ccccc1[N+](=O)[O-])Nc1ccc(-c2nc3ccccc3o2)cc1 466149727 VAHANXMYVCKNMH-UHFFFAOYSA-N 402.410 4.767 5 20 HJBD O=C(CCc1ncc(-c2ccccc2Cl)o1)NCc1ccc([N+](=O)[O-])cc1Cl 466282030 YKBZIJCNCJZFPA-UHFFFAOYSA-N 420.252 4.806 5 20 HJBD CCN(C(=O)c1ccc(N2C[C@@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1)c1cccc(OC)c1 477467194 WJTMFKCLLUHBOU-CALCHBBNSA-N 411.502 4.752 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1cc(F)ccc1Br 477591148 UPDPGSRKOCEICL-UHFFFAOYSA-N 416.634 4.514 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1sc(Br)cc1[N+](=O)[O-] 478296472 XDDHZGBWSLGMKV-CMPLNLGQSA-N 413.268 4.624 5 20 HJBD CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@H](c1ccc(Cl)cc1)C(F)(F)F 479573228 HVUAPFHLCHFLOT-MRXNPFEDSA-N 404.747 4.692 5 20 HJBD Cc1c(CNC(=O)[C@H]2CC=CC[C@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 481492293 MNHRDFDBYYQLDX-DLBZAZTESA-N 407.495 4.879 5 20 HJBD COc1ccccc1-c1csc(C2CCN(C(=O)c3cccc([N+](=O)[O-])c3)CC2)n1 481564057 OBHIPHQNGPKCQX-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2C)c1 484920384 XISWGRRCBDARKB-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD Cc1cccc([C@@H](NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])c2ccccn2)c1 488352306 WFUBPMHLMHOGLH-OAQYLSRUSA-N 424.888 4.583 5 20 HJBD Cc1cccc([C@@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)c1 498231413 KWEFAOYLBBLWMK-VGOFRKELSA-N 404.470 4.756 5 20 HJBD CC[C@H](C)Sc1ccc(NCC(=O)Nc2ccc([N+](=O)[O-])cc2OC)c(C)c1 498645599 KBRGHZKHIUDIFN-AWEZNQCLSA-N 403.504 4.853 5 20 HJBD C[C@@]1(c2ccccc2)CCCN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 499877717 WRUHPXNZFZVWLB-HHHXNRCGSA-N 410.517 4.735 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3ncnn3C(C)(C)C)cc2[N+](=O)[O-])cc1 500500588 RNRMMWLOYKHQBU-UHFFFAOYSA-N 411.531 4.691 5 20 HJBD C[C@@H](NC(=O)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cccc([N+](=O)[O-])c1 502293591 OSTBUZUEGJUNFH-VFNWGFHPSA-N 424.888 4.987 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)CC(C)(C)C)cc1OC 504888329 OPTQOIIDVYMVEF-UHFFFAOYSA-N 400.475 4.691 5 20 HJBD Cc1ccc(-n2cc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)cn2)c(C)c1 505175510 YZLKZUXVNRFHBM-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1cc(COc2ccc(C(F)(F)F)nc2C)c([N+](=O)[O-])cc1OCC(F)F 506310697 OVFXSBCAWDXHPI-UHFFFAOYSA-N 422.306 4.549 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2ccc([N+](=O)[O-])c(OC)c2)n1 508679155 LSJFTRMULQNJAO-INIZCTEOSA-N 417.849 4.865 5 20 HJBD CC(C)c1[nH]nc(C(=O)N2CC=C(c3ccc(Cl)c(Cl)c3)CC2)c1[N+](=O)[O-] 511669815 FKHWZEAUSMDXOU-UHFFFAOYSA-N 409.273 4.678 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1cccc(Cl)c1Cl 518824943 IONLDGHDCCEFHH-VIFPVBQESA-N 403.649 4.639 5 20 HJBD O=C(CCCc1ccccc1Cl)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 521125424 LZQXQNFQWVMFGI-UHFFFAOYSA-N 402.878 4.641 5 20 HJBD O=C(c1cccc(Oc2cnccn2)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 522967347 KCYGNJPXYOOHLY-UHFFFAOYSA-N 404.426 4.767 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)[C@@H]1CC=CC[C@H]1c1nc2ccccc2s1 534772188 SZIQHGGHXNXONY-QZTJIDSGSA-N 407.495 4.613 5 20 HJBD C[C@H](c1ncc(-c2ccccc2)o1)[S@](=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534796764 RYVDGKQDQOHTEQ-ILHIWHGASA-N 423.450 4.915 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cc(Cl)ccc1Cl 535661742 LMRHIZLELUMMSD-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCC1(c2ccccc2Cl)CCOCC1 536689486 VDEBZESIDBMZSY-UHFFFAOYSA-N 416.905 4.652 5 20 HJBD CN(C(=O)c1ccc(F)cc1OC(F)F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 539354209 QBKSDAGBZVSTSG-UHFFFAOYSA-N 423.372 4.735 5 20 HJBD COc1ccc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C(F)(F)F)cc1[N+](=O)[O-] 539447854 GNCHFECEEZIIAL-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD COc1ccc(C[C@H](NCc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1OC 540360303 UNGSJKIPLFNTGF-NRFANRHFSA-N 406.482 4.994 5 20 HJBD CCOCc1nnc(NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)o1 540793329 PHXNHOGDSVXFGE-UHFFFAOYSA-N 404.810 4.572 5 20 HJBD C[C@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1cccc(OCc2ccccn2)c1 541612573 BGDYRPQYNKQCLC-CVEARBPZSA-N 423.444 4.689 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)[C@H](C)c3ccc([N+](=O)[O-])cc3F)ccn2)c1C 542511642 QIZQVLYNZZEGDB-MRXNPFEDSA-N 423.444 4.958 5 20 HJBD CC[C@@H](C)C(=O)Nc1cccc(CNc2ncc([N+](=O)[O-])c(C)c2Br)c1 543449924 VLSQLHKJQQELCF-LLVKDONJSA-N 421.295 4.657 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)Nc1ccc([N+](=O)[O-])cc1F 543645576 YWZXNCPIYYTYJL-LJAQVGFWSA-N 413.430 4.686 5 20 HJBD CO[C@@H](c1ccc(F)cc1)c1noc([C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 545095354 GJMPTQSQZHVMAC-HWPZZCPQSA-N 407.785 4.646 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OC(C)C 546867310 KNLLAGNALUZZHQ-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD O=[N+]([O-])c1ccc(-c2ncn(Cc3coc(-c4ccc(C(F)(F)F)cc4)n3)n2)cc1 549777071 CDKPZYNPOZBTRU-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD C[C@H](C(=O)N1CCC[C@H]1c1cc(F)cc(Br)c1)c1cccc([N+](=O)[O-])c1 555315032 GNRJSGWARDBPQS-SGTLLEGYSA-N 421.266 4.964 5 20 HJBD CCOc1ccc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)sc2c1 555750915 IEHVVIMIGBLYLP-UHFFFAOYSA-N 411.361 4.874 5 20 HJBD O=C(Nc1cccc(Br)c1Cl)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 564103932 RNYGCZKOYXCEPX-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD COc1ccc(NC(=O)CCN[C@@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])c1 579216957 UXWMEAIXTAJYBJ-AWEZNQCLSA-N 411.433 4.575 5 20 HJBD NC(=O)c1ccnc(NCc2cccc(Oc3cccc4ccccc34)c2)c1[N+](=O)[O-] 580865139 HYSPOVOBBJUKGG-UHFFFAOYSA-N 414.421 4.646 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1 582202738 ZJNURMNPOIXZCL-HNNXBMFYSA-N 407.426 4.672 5 20 HJBD Cc1ccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])cc1NC(=O)c1ccccc1 584476761 GURZAYCOZWKGSV-UHFFFAOYSA-N 417.465 4.821 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2ccc([N+](=O)[O-])s2)n(-c2ccccc2)n1 603760773 UCTVACSYFDHRNS-UHFFFAOYSA-N 420.450 4.770 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604095153 PSNLNDHGWAVUQC-MYJAWHEDSA-N 423.313 4.715 5 20 HJBD Cc1ccc(CN(Cc2ccco2)S(=O)(=O)c2cc([N+](=O)[O-])cc(C)c2C)s1 604459917 CRPNBEBIQSVPNX-UHFFFAOYSA-N 420.512 4.566 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N[C@@H](C)c3cn(C)nc3C)cc2[N+](=O)[O-])cc1 609025939 VJIDKGSWYUWVQP-AWEZNQCLSA-N 410.499 4.587 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccc(F)cc1)CC1CC1 609652467 ARYJSCLJJUCQEW-CYBMUJFWSA-N 406.841 4.593 5 20 HJBD C[C@@H](NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 609724007 RNPDRODOXLJDAC-LLVKDONJSA-N 411.911 4.649 5 20 HJBD COc1ccc(COCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609762911 RKWXMBQMFUMEEK-UHFFFAOYSA-N 408.410 4.551 5 20 HJBD CCC[C@@H]1SCCN1C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 609851390 DRFLRVIVLJKCFW-HNNXBMFYSA-N 409.558 4.826 5 20 HJBD Cc1ccsc1[C@H](Cc1ccccc1)N[C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O 609918562 AAFNLOYLYUCQKZ-RTWAWAEBSA-N 421.522 4.644 5 20 HJBD O=C(Nc1cc(-c2cccc(Cl)c2)[nH]n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 682031494 JXSIODSBWXJPJX-UHFFFAOYSA-N 414.852 4.977 5 20 HJBD Cc1cccc(NC(=O)[C@@H](OC(=O)c2c(Cl)cccc2[N+](=O)[O-])C(C)C)c1C 730643485 RBXOIFJNMHSYJB-SFHVURJKSA-N 404.850 4.685 5 20 HJBD O=c1nc(/C(Cl)=C\c2csc(-c3cccc([N+](=O)[O-])c3)n2)[nH]c2ccccc12 733083875 SSPQYCVDJMPDDF-OQLLNIDSSA-N 410.842 4.692 5 20 HJBD COc1cc(COC(=O)C[C@@H](C)c2ccccc2C)c([N+](=O)[O-])cc1OC(F)F 734909924 LHSZOTSLSFLYFV-CYBMUJFWSA-N 409.385 4.750 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 748621755 ZAQSDJQJHMXALQ-UHFFFAOYSA-N 422.235 4.751 5 20 HJBD O=C(Cc1cc2c(ccc3ccccc32)o1)OCc1cc([N+](=O)[O-])cc2c1OCOC2 753441788 BLRZLXQRLGKUMG-UHFFFAOYSA-N 419.389 4.647 5 20 HJBD Cn1cc(-c2ccccc2CNc2c([N+](=O)[O-])cc(C(F)(F)F)cc2[N+](=O)[O-])cn1 758216953 KXWZOAMDXIPIGQ-UHFFFAOYSA-N 421.335 4.534 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](COC(C)C)c2cccc(F)c2)cc1SC 760655393 XRJKTSOIIHXXIW-SFHVURJKSA-N 423.462 4.788 5 20 HJBD C[C@@H](C[C@H](O)c1ccco1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 763522831 BADCNCRVWNWLKU-LIRRHRJNSA-N 400.406 4.721 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 776217131 FSGBLNHZTHPDDF-GOSISDBHSA-N 400.337 4.538 5 20 HJBD COc1cc(OC)c(NCc2cc(Cl)ccc2[N+](=O)[O-])cc1Br 779188473 OSLZOKCFRCQSRW-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD COc1cc(CNc2ccc3sc(C)nc3c2)c([N+](=O)[O-])cc1OCC(F)F 781129756 KANUJRFZFSVPDG-UHFFFAOYSA-N 409.414 4.778 5 20 HJBD COc1ccc(COC(=O)c2cc(-c3cccnc3)nc3ccccc23)cc1[N+](=O)[O-] 785419610 GMZAHWUYQXVFMO-UHFFFAOYSA-N 415.405 4.571 5 20 HJBD Cc1nc(-c2cccc(NC(=O)COc3ccc(Cl)c([N+](=O)[O-])c3)c2)cs1 808056386 QYVZAUHPJSKDGR-UHFFFAOYSA-N 403.847 4.698 5 20 HJBD O=C(OCc1nnc(-c2ccccc2)s1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374157 CJCUCEULSIAOCJ-UHFFFAOYSA-N 410.238 4.777 5 20 HJBD O=C(NCc1ccc(OCC(F)(F)F)cc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812856305 ZDWLCRDFVONMQJ-UHFFFAOYSA-N 423.174 4.773 5 20 HJBD COCCn1c(C)c(C)c2cc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)ccc21 813243864 BVDLIHYUWYFYPI-UHFFFAOYSA-N 401.850 4.718 5 20 HJBD Cc1ccc(-c2cc(C(=O)OCC(=O)c3ccc([N+](=O)[O-])cc3)c3ccccc3n2)o1 844735624 JWHZTQVVYPOSIU-UHFFFAOYSA-N 416.389 4.751 5 20 HJBD Cc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cc(I)c2C)n1 904565064 OTFYYKFQPIVLGR-UHFFFAOYSA-N 421.194 4.533 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c2ccc(F)cc2)cc1 920016672 AVAPMSXDFXKSEO-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nnc3n2CCCCCC3)cc1 921279109 NGZKICCJLFTIOM-UHFFFAOYSA-N 405.458 4.531 5 20 HJBD O=C(Nc1ccc(COCc2ccccc2)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116153228 YXQGEDHBCDJEBQ-UHFFFAOYSA-N 403.438 4.859 5 20 HJBD C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(Cl)c(F)c1 1116426807 CFFTUJHMOUBZSG-SECBINFHSA-N 420.746 4.819 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(Cc2nc3c(s2)CCCC3)no1 1320490271 VXZIPWNVIHWEDO-SNVBAGLBSA-N 420.878 4.697 5 20 HJBD O=[N+]([O-])c1cc(F)cc(-c2nnc(-c3ccc4ccccc4n3)o2)c1Br 1322671980 SMSDFVFVLHQFLS-UHFFFAOYSA-N 415.178 4.762 5 20 HJBD CCOc1cc(C(=O)N2CC(C)(C)c3c(Cl)cccc32)c([N+](=O)[O-])cc1OC 1338969656 DSVIWHKOBICULO-UHFFFAOYSA-N 404.850 4.594 5 20 HJBD COc1ccc([C@@H](NCc2ccc([N+](=O)[O-])cc2Br)C(F)(F)F)cc1 1342952458 XPADFBVBCBSTNN-OAHLLOKOSA-N 419.197 4.759 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)OCC(=O)Nc1cc(Cl)c(Cl)cc1Cl 26113702 MQKYDADSWPMDAB-UHFFFAOYSA-N 417.632 4.659 5 20 HJBD CCN(CC)[C@@H](CNC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccsc1 31987004 INMBVZGXQRVGSP-INIZCTEOSA-N 403.529 4.684 5 20 HJBD O=C(Cc1csc(-c2ccc(Cl)s2)n1)N1CCc2ccc([N+](=O)[O-])cc21 57385354 OJIPORVLUYZPIR-UHFFFAOYSA-N 405.888 4.565 5 20 HJBD CN(CC(=O)Nc1ccc(Cl)c(Cl)c1)c1ccc([N+](=O)[O-])c2cnccc12 68838225 BWTHLKCJBXSJJQ-UHFFFAOYSA-N 405.241 4.525 5 20 HJBD O=C(Nc1ccc(C(=O)O)cc1[N+](=O)[O-])OCC1c2ccccc2-c2ccccc21 71084624 YILWOVZADAHUCR-UHFFFAOYSA-N 404.378 4.654 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)c1nnc2ccccn12 96971487 QIMFXCBMAINAGE-CQSZACIVSA-N 423.860 4.934 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](C)CC(C)(C)c2ccccc2)cc1SC 97547687 HVEHFNKGMFPIRO-CQSZACIVSA-N 402.516 4.812 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1nccn1C 109689190 JYRWPLUMICRHTJ-CYBMUJFWSA-N 414.512 4.599 5 20 HJBD Cc1cc(C)n(-c2ccc(COC(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)cc2)n1 302638759 MXKRGLJSVLIARN-MRXNPFEDSA-N 411.483 4.621 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CNc1ccc([N+](=O)[O-])cc1Br 302970610 IIIULMCXQLOFHO-UHFFFAOYSA-N 415.291 4.831 5 20 HJBD COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 426034292 HVAFOSCKLRHOMN-AUUYWEPGSA-N 405.882 4.647 5 20 HJBD COc1ccc([C@@H](C)CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 426227403 LLLFPBSUEUEPOD-AWEZNQCLSA-N 410.392 4.688 5 20 HJBD CC(C)(NC(=O)c1ccc(NC(=O)Nc2ccccc2)s1)c1ccc([N+](=O)[O-])cc1 430547193 UIIVFOQGQKYLIE-UHFFFAOYSA-N 424.482 4.965 5 20 HJBD CC(C)(NC(=O)Nc1c(F)cc(Br)cc1F)c1ccc([N+](=O)[O-])cc1 431208148 ARJBZYCGVDNJRU-UHFFFAOYSA-N 414.206 4.692 5 20 HJBD O=c1[nH]c(/C=C\c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)nc2cnccc12 434937609 JFNLXVRUGBAWEY-BAQGIRSFSA-N 420.812 4.842 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)c1ccc(-n2ncc3ccccc32)cc1Cl 436042153 FLJFGCFHXSORNX-UHFFFAOYSA-N 420.856 4.560 5 20 HJBD CCC[C@H](Cc1ccccc1)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436422426 ZJBUDHVWTPNIRB-QGZVFWFLSA-N 402.469 4.962 5 20 HJBD CCS[C@@H]1CC[C@H](N(C)C(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)C1 437111989 RXUXUAABHVUICN-PBWTXFEYSA-N 419.591 4.823 5 20 HJBD Cc1ccc([C@@H]2OCCC[C@H]2CNC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 437470504 GICVZIKAWXUWJV-MMOPVJDHSA-N 414.527 4.668 5 20 HJBD CCOCc1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 439583191 SSYLWFYLPXVPJR-GFCCVEGCSA-N 411.380 4.589 5 20 HJBD CN(C)c1cccc([C@@H]2CCCN2C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 444021087 MKHXMGHFILTORZ-DEOSSOPVSA-N 411.505 4.725 5 20 HJBD O=C(NC1(c2ccc(F)cc2F)CCC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292218 RREOYEYOVURDFU-UHFFFAOYSA-N 404.416 4.769 5 20 HJBD CC[C@H](Cc1ccc(C)cc1)N(CC)C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 460428267 NVLNVCITWYYUGC-LJQANCHMSA-N 406.486 4.572 5 20 HJBD C[C@@H](NS(=O)(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(Cl)c2ccccc12 462676500 KKBOUXJXCSENLL-CYBMUJFWSA-N 404.875 4.582 5 20 HJBD O=C(Nc1ccc2c(c1)nc1n2CCCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463361534 MMHLTFDICJIORV-UHFFFAOYSA-N 418.375 4.942 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463470031 ICTSZIPSODGDND-UHFFFAOYSA-N 401.344 4.852 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1cccc([N+](=O)[O-])c1 463691816 ALKCSJZEXRGGCR-OAHLLOKOSA-N 405.458 4.677 5 20 HJBD COCc1ccc([C@H]2CCCN2c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)o1 466635730 QLVGVRSGVGGZNS-GOSISDBHSA-N 409.442 4.650 5 20 HJBD C[C@H](CC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)Cc1cccc(F)c1 468873490 HQAGCLWLCCNZHS-AWEZNQCLSA-N 412.383 4.894 5 20 HJBD C[C@H](NC(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 471347977 WUTMMSDROCEXFH-HNNXBMFYSA-N 413.474 4.752 5 20 HJBD Cc1cn([C@H](C)c2ccccc2)nc1NC(=O)c1ccc(OC(F)F)c([N+](=O)[O-])c1 472837431 KASFOLZJWXUAKQ-CYBMUJFWSA-N 416.384 4.563 5 20 HJBD CCN(C(=O)c1cccc([N+](=O)[O-])c1OC)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 475662808 AJEKDGPUJPXGNR-OAQYLSRUSA-N 423.444 4.698 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)c2cccnc2O1 475906469 PUQQOOXNBJGXTG-AWEZNQCLSA-N 407.451 4.569 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br)CCC2 478277181 GVMITGOOTDRTRO-CQSZACIVSA-N 424.320 4.526 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(C)cc(C)cc2s1 481197317 IGDRPCNYHDDPTL-UHFFFAOYSA-N 417.512 4.812 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2F)CC(C)C)cc1SC 482525060 GZTXWLVJVFMWLO-UHFFFAOYSA-N 406.479 4.763 5 20 HJBD COc1ccc(CNCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1Br 487180864 JHWSFGAQSJPVPJ-UHFFFAOYSA-N 419.197 4.675 5 20 HJBD O=[N+]([O-])c1cc(CNCc2cnn(-c3ccc(Cl)cc3)c2)cc(C(F)(F)F)c1 487189223 SRGMUSZUXJOIQK-UHFFFAOYSA-N 410.783 4.743 5 20 HJBD Cc1csc(N(C)C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 488555100 PDNAVUNILCWTNE-UHFFFAOYSA-N 416.890 4.902 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1cccnc1)C(C)C 489902851 XCYSGCCXLYZHIB-IBGZPJMESA-N 401.532 4.908 5 20 HJBD CCCCOc1ccc(NC(=O)c2cc(OC)c(OC(F)F)cc2[N+](=O)[O-])c(C)c1 490750162 YDHHUZYASXCNDW-UHFFFAOYSA-N 424.400 4.944 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)c4c(Cl)cccc4[N+](=O)[O-])CC3)c2c1 497644637 CFVDVIFIMVONBQ-UHFFFAOYSA-N 413.861 4.758 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)ccc1OCC(F)(F)F 498119304 IDIUKTIYBLQCOL-UHFFFAOYSA-N 405.332 4.645 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](c1ccc(Br)cc1)c1ccco1 498452427 KYPGLIVMWAMZMX-IBGZPJMESA-N 417.259 4.838 5 20 HJBD CN1CCC(Nc2ccccc2NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CC1 502611372 ALMHTMPQSISAPJ-UHFFFAOYSA-N 410.499 4.568 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2CCN3CCCC[C@H]23)cc1 509616095 FYQXBEBJFDODEG-RTBURBONSA-N 401.894 4.757 5 20 HJBD C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1[N+](=O)[O-])c1ccc(NC(=O)NC2CC2)cc1 513788714 WFLQRFZGLKKDBE-CECAUBDESA-N 422.529 4.941 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 517363534 FSNGSTCSQJNKNW-WDEREUQCSA-N 411.911 4.647 5 20 HJBD CC[C@H](NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1)c1ccc2c(c1)OCCO2 518267579 MBMFZZUKRRDVPL-IBGZPJMESA-N 424.453 4.876 5 20 HJBD Cc1c(CNC(=O)Cn2c3ccccc3c(=O)c3ccccc32)oc2ccc(F)cc12 522793334 BHDBRDISTUHBHP-UHFFFAOYSA-N 414.436 4.665 5 20 HJBD O=C(Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1cc(Cl)cc([N+](=O)[O-])c1 522875899 CAFYTRLLGZIRMN-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD C[C@@H](Sc1ncccc1Br)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 522901932 OLRLDUDUFNNRRV-SECBINFHSA-N 407.249 4.656 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2 524390655 YQZGYXLTTTWWPR-UHFFFAOYSA-N 424.384 4.602 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCN1Cc2ccccc2-c2ccccc2C1 533266933 SYYLAPYKWRORIS-UHFFFAOYSA-N 415.449 4.576 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cnc([C@@H]2CCCO2)s1 534093490 PYBLPWAOYBSMPS-KRWDZBQOSA-N 411.439 4.947 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCN(C)[C@H]2c2ccc(Cl)c(F)c2)cc1[N+](=O)[O-] 534234614 QVMLLKJWKWISHG-LIRRHRJNSA-N 420.872 4.510 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1cccc(N2CCCC2)c1 540478617 OVUGEHUERNCUMF-KRWDZBQOSA-N 406.486 4.743 5 20 HJBD Cc1ccc(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c(Br)c1 542057898 UHANZFAJWGRRJF-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)NCc1cc([N+](=O)[O-])ccc1OC(C)C 542529024 KFBQNBSMQXKLRT-GFCCVEGCSA-N 414.428 4.608 5 20 HJBD COc1ccc(N(Cc2ccco2)C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)cc1F 542558722 CDMBKLFCSODJET-CYBMUJFWSA-N 416.380 4.812 5 20 HJBD CCc1ccccc1N(C(C)=O)c1nc(CN2CCc3c2cccc3[N+](=O)[O-])cs1 546808583 DYXGDGHSYQUDOI-UHFFFAOYSA-N 422.510 4.861 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(OCc2csc(C)n2)c1 547372259 VIYXHCFAHUOQNW-UHFFFAOYSA-N 413.455 4.590 5 20 HJBD COc1ccc(CC(=O)Nc2cc(Cl)ccc2OC2CCCC2)cc1[N+](=O)[O-] 547989411 WGGMKRUVHOFRIL-UHFFFAOYSA-N 404.850 4.759 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)[C@]1(c2ccccc2)CCCCC1=O 551444520 WWYXYCZJDBRHLY-DEOSSOPVSA-N 419.481 4.763 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ncnc3sc([N+](=O)[O-])cc23)cn1 552962869 FPRYMHQPBAFIGC-UHFFFAOYSA-N 423.454 4.798 5 20 HJBD CC(C)(NC(=O)c1cccc(C(=O)Nc2ccccc2)c1)c1ccccc1[N+](=O)[O-] 554070613 UTUKUXZVJXCBBI-UHFFFAOYSA-N 403.438 4.512 5 20 HJBD C[C@@H](Nc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Oc2cccnc2)cc1 564054184 OGVFAIPKJFFPBH-CQSZACIVSA-N 404.426 4.840 5 20 HJBD C[C@H](C(=O)NCC(C)(C)c1cccc(Br)c1)c1cccc([N+](=O)[O-])c1 577035856 MAMOORLSAWQROR-ZDUSSCGKSA-N 405.292 4.555 5 20 HJBD COc1cc(Cc2noc(CC3(CSc4ccccc4)CC3)n2)ccc1[N+](=O)[O-] 578677632 BREHEGAMGHDGED-UHFFFAOYSA-N 411.483 4.692 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CCO[C@H](c4ccc(F)cc4F)C3)cs2)c1 582642412 AMTLMWPFVLTKGS-IBGZPJMESA-N 417.437 4.570 5 20 HJBD O=C(CCCOc1cccc2ccccc12)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 603733561 ALRKXYRRKASWGU-UHFFFAOYSA-N 422.437 4.707 5 20 HJBD COc1cccc(Oc2ccc(CNc3ccc4ncccc4c3[N+](=O)[O-])cn2)c1 603866189 LGMYYULHNYSDRC-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NCc1c(Cl)cccc1Cl 604445452 YUSMCTOGJAFRRF-VIFPVBQESA-N 403.649 4.639 5 20 HJBD CSc1ccc(C(=O)Nc2cccc(-c3ccc4c(c3)CCO4)n2)cc1[N+](=O)[O-] 609382132 OOMCOETVRLDIRI-UHFFFAOYSA-N 407.451 4.566 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCc4sc(Br)cc4C3)co2)cc1 611171942 BAUVWOVCLQENQV-UHFFFAOYSA-N 420.288 4.632 5 20 HJBD COc1cc(CN[C@@H](C)c2cnccc2C)ccc1OCc1ccc([N+](=O)[O-])cc1 611293152 SCSAPLWOLUDRIV-KRWDZBQOSA-N 407.470 4.737 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccc(Br)cc1)c1ccc([N+](=O)[O-])cc1 612504910 DZCSQWPRLNFAHH-CQSZACIVSA-N 417.181 4.781 5 20 HJBD Cc1ccc(C)c2sc(NC(=O)c3sc(Br)cc3[N+](=O)[O-])nc12 618373864 NSSYMVJRLWFFAF-UHFFFAOYSA-N 412.290 4.898 5 20 HJBD O=C(Nc1ccccc1-c1ncc2n1CCCC2)c1cc2cccc([N+](=O)[O-])c2[nH]1 619581076 PDKUHMQDRSIRSH-UHFFFAOYSA-N 401.426 4.528 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCO[C@H](c3ccc(Cl)c(Cl)c3)C2)cc1 727285127 JYMFBTXBXQYWEE-INIZCTEOSA-N 412.298 4.672 5 20 HJBD O=C(OCCOc1ccccc1[N+](=O)[O-])c1cc(-c2ccc(C(F)(F)F)cc2)no1 730859822 YMOLJVIUWXMEMN-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(-c3ccco3)nn2-c2ccc(C)cc2)c1 737693533 YKTHSURGOMLDMM-UHFFFAOYSA-N 418.409 4.610 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2)cc1 744280239 BDTSRJBXFRBARY-UHFFFAOYSA-N 410.813 4.744 5 20 HJBD Cc1sc(NC(=O)COC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c(C)c1C 744570974 CJYRZTBEMZRESQ-UHFFFAOYSA-N 404.469 4.592 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nc(-c2cccc(C(F)(F)F)c2)no1 745334936 JUMZHBDMAZGAEI-NSHDSACASA-N 421.331 4.511 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)CCCC1 748101435 XYDANMHRVACVKB-UHFFFAOYSA-N 416.478 4.598 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750948451 UMIJRCAQJGYZKM-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD Cc1c([C@@H](C)N[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)oc2ccccc12 754624122 DUFNGWJIAVSQTK-OLZOCXBDSA-N 401.850 4.981 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(C[C@@H](NC(=O)OC(C)(C)C)c2ccccc2)n1 762071943 PDTXZYCNXKLHJW-GOSISDBHSA-N 424.457 4.762 5 20 HJBD CC(C)(c1ccccc1)c1nnc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)s1 764237063 YXRCGYUQUMIANH-UHFFFAOYSA-N 402.863 4.678 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1)c1cccs1 765547906 FSOIPOLHQUFWQP-UHFFFAOYSA-N 419.418 4.676 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1csc([N+](=O)[O-])c1 768816698 NDLKXBRIPSZDLP-SNVBAGLBSA-N 420.434 4.589 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc2c(c1)CN(C(=O)OC(C)(C)C)C2 769434177 IDDAUQKYYISOFF-UHFFFAOYSA-N 411.458 4.715 5 20 HJBD O=C(Cc1ccnc(O)c1Br)OCc1nc2ccccc2c2ccccc12 773641370 WFQXFWLUFXDMQI-UHFFFAOYSA-N 423.266 4.537 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1cc(Br)cnc1Cl 779464923 BEQCFXMMUNUKOL-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD C[C@H](CN(C)C(=S)Nc1ccc([N+](=O)[O-])cc1)Oc1ccc(Cl)c(Cl)c1 787197618 MXACCWOLVWQHCH-LLVKDONJSA-N 414.314 4.998 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cccnc1OCc1ccccc1F 792539923 HMSRJNGMJFYDQA-UHFFFAOYSA-N 415.808 4.922 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1cc(-c2cccnc2)nc2ccccc12 804177152 KYLZLGOSXJEMFI-UHFFFAOYSA-N 412.449 4.520 5 20 HJBD CN(C(=O)Nc1cccc([N+](=O)[O-])c1Cl)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 809921034 CDIJPLOCRSFLGC-YOEHRIQHSA-N 407.829 4.771 5 20 HJBD CCOC(=O)c1c(CC(C)C)csc1NC(=O)c1cc(Cl)ccc1[N+](=O)[O-] 809946771 MJYXNTHVIXXRQY-UHFFFAOYSA-N 410.879 4.937 5 20 HJBD CC[C@@H](C)NC(=O)c1cccc(CNCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1 811474245 GILAFLOELQQPIT-GFCCVEGCSA-N 410.301 4.720 5 20 HJBD O=C(CCc1ccc(Br)cc1F)Nc1cccc([N+](=O)[O-])c1Cl 813246827 SCMSGVUBJNYHCD-UHFFFAOYSA-N 401.619 4.721 5 20 HJBD COc1ccc(-c2nnc(S/C=C\c3ccc([N+](=O)[O-])o3)n2Cc2ccco2)cc1 825256185 ZLEQGQPJXXVNAI-BENRWUELSA-N 424.438 4.859 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CC(Cc2ccccc2C(F)(F)F)C1 1319103283 HXPYFKPOFZFURJ-UHFFFAOYSA-N 404.344 4.675 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)cc1NC(=O)CSc1ccccc1[N+](=O)[O-] 1323704165 BYLWSAYKYIMZBW-UHFFFAOYSA-N 421.450 4.812 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nc(-c2ccccc2COc2ccccc2)no1 1323890577 GCTFVLKMRCKPSM-UHFFFAOYSA-N 403.394 4.803 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCCCOc3ccc(Br)cc3)o2)c1 1327277469 DVQGIPWNHSZRBI-UHFFFAOYSA-N 418.247 4.809 5 20 HJBD CCOc1ccc(C(=O)N[C@H](c2ccc(F)cc2)c2cccs2)cc1[N+](=O)[O-] 9900239 BMILRFQZWODLHR-LJQANCHMSA-N 400.431 4.714 5 20 HJBD C[C@H](Sc1ccc2c(c1)OCCCO2)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 10603353 NJALQRUGLSYGCK-NSHDSACASA-N 408.863 4.529 5 20 HJBD O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1ccc([N+](=O)[O-])cc1Cl 10714779 ZJOFTCJPYJFRSV-UHFFFAOYSA-N 407.810 4.773 5 20 HJBD C[C@@H](OC(=O)Cc1ccc(C(F)(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 11070173 XOXZDAKVHWGSDS-LLVKDONJSA-N 421.331 4.511 5 20 HJBD Cc1ccc(-c2nnc(-c3ccc(C(=O)OCc4ccc([N+](=O)[O-])cc4)cc3)o2)cc1 16287640 HDUJYMSUPSWWEW-UHFFFAOYSA-N 415.405 4.977 5 20 HJBD COc1ccccc1-c1nnc(Sc2ccc([N+](=O)[O-])cn2)n1-c1ccc(C)cc1 23615560 GRJBYPRBSNYRMR-UHFFFAOYSA-N 419.466 4.706 5 20 HJBD C[C@@H](CCc1ccco1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53028429 LYSCAEZNCHONMS-JKSUJKDBSA-N 408.458 4.507 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1cccc(Oc2ccccc2)c1 57570225 WFWGXYOFEKMEOO-OAHLLOKOSA-N 407.426 4.835 5 20 HJBD CCn1c([C@@H](C)NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2ccccc21 64947232 CMQBBULQAMTUOS-CYBMUJFWSA-N 421.482 4.579 5 20 HJBD CC[C@H](C)Oc1ccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1 65870604 QTFOQYUIVSXEHL-AWEZNQCLSA-N 404.488 4.653 5 20 HJBD CCN(C[C@@H]1CCCO1)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 109344685 JEDWNGAIYJDCKM-KRWDZBQOSA-N 404.850 4.682 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)n[nH]1)[C@@H]1C[C@H]1c1c(F)cccc1Cl 303905254 TTZGZBAQFJQDCQ-CHWSQXEVSA-N 400.797 4.520 5 20 HJBD O=C(O)[C@H](NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)c1ccccc1 426789218 SQAZDEMOFNPKAG-HXUWFJFHSA-N 412.829 4.956 5 20 HJBD CC[C@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)C1 428513995 GXRMGJBAGVMUSN-NRFANRHFSA-N 406.548 4.502 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433939494 GYQPOLQOPQUHAN-ZDUSSCGKSA-N 413.503 4.706 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc2[nH]c3ccccc3c(=O)c2c1 437309517 NZHWGQSMXRQDIL-JLTOFOAXSA-N 406.844 4.760 5 20 HJBD CC(C)[C@@](C)(O)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 440227613 PYHZUEFSULQCOI-IBGZPJMESA-N 408.907 4.536 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1 440274499 HERWCOCEXOBIFV-UHFFFAOYSA-N 422.489 4.579 5 20 HJBD CCOc1ccccc1OCC(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440593346 BZXNKVIQXIVHNC-UHFFFAOYSA-N 406.438 4.606 5 20 HJBD O=C(NCC1(c2ccccc2Cl)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444297357 XZROTAFVNVCEFS-UHFFFAOYSA-N 402.881 4.796 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCC(c2ccsc2)CC1 444332167 RQWXHHKMZRBVIX-UHFFFAOYSA-N 406.482 4.902 5 20 HJBD CCN(Cc1ccc(Cl)c(Cl)c1)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446896038 IEXVZTNZSLGWOP-UHFFFAOYSA-N 422.272 4.510 5 20 HJBD O=[N+]([O-])c1ccn([C@H]2CCCC[C@H]2NCCc2nc(C3CCCCC3)cs2)n1 447587080 OJLLNCQJGDPSFP-AEFFLSMTSA-N 403.552 4.612 5 20 HJBD Cc1c(Br)ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c1F 447642159 WJTWHZHXAXPFIB-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD Cc1cc(NC2CCN(Cc3coc(-c4ccccc4)n3)CC2)c(F)cc1[N+](=O)[O-] 461784503 LDXZIRAWLBKZHH-UHFFFAOYSA-N 410.449 4.774 5 20 HJBD Cc1cc(NCCCC(=O)N2Cc3ccccc3C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461959551 TXTGNBVCVUTRDF-UHFFFAOYSA-N 407.392 4.657 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)cnn1C 465253749 DHXSDYRMWBQRRY-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD O=C(NCCC1CCOCC1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466438515 MTHRXHIWLMYQAZ-UHFFFAOYSA-N 420.918 4.946 5 20 HJBD C[C@H](NCCc1ccccc1[N+](=O)[O-])c1ccc(OC[C@H]2CCCCO2)c(F)c1 467987393 APKKCURSWYEFTR-QFBILLFUSA-N 402.466 4.575 5 20 HJBD C[C@H](c1nccs1)N1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])s2)CC1 468549154 UCKSIWBAVMCQNT-OAHLLOKOSA-N 414.556 4.659 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c2cccnc12)N1CCC(Cc2ccc(F)c(F)c2)CC1 469369717 QOJABAPCVUSROS-UHFFFAOYSA-N 411.408 4.516 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN1CCC[C@H]1c1nc2ccccc2n1C(C)C 471030915 FSNRPFKWXAMUHI-NRFANRHFSA-N 421.501 4.609 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)Nc1ccc(Cl)cc1-c1ccc(Cl)cc1 471387777 JOHBPAUHHRCXOR-UHFFFAOYSA-N 405.241 4.794 5 20 HJBD CCC(=O)c1ccc(Cl)c(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 471420695 VGMORFOYJQDSNN-UHFFFAOYSA-N 415.877 4.696 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1sc(Br)cc1[N+](=O)[O-] 471505865 RVKTUGWKQGWHCJ-PWSUYJOCSA-N 413.268 4.624 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c(-c2nc(C3CC3)no2)c1 471658074 JZMLPJGYPCUBAK-CYBMUJFWSA-N 424.482 4.950 5 20 HJBD Cn1nc(C2CCCCC2)cc1NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 478788633 UPLNPVGOIPPRPQ-UHFFFAOYSA-N 414.509 4.575 5 20 HJBD COc1ccc(CN(C(=O)c2cc(SC)ccc2[N+](=O)[O-])[C@@H](C)C2CC2)cc1 484259829 DOZWHPCZCIEBEB-AWEZNQCLSA-N 400.500 4.766 5 20 HJBD CSc1cccc(C(=O)Nc2cc(-c3ccc(Cl)s3)nn2C)c1[N+](=O)[O-] 489306250 QADYTQOLHLTRDV-UHFFFAOYSA-N 408.892 4.684 5 20 HJBD COc1ccc(C[C@H](C)CN(C)C(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 492876149 ORSJHAOFDMEESL-ZDUSSCGKSA-N 410.392 4.573 5 20 HJBD Cc1nc(-c2ccc([C@@H](C)Nc3cccc(S(C)(=O)=O)c3[N+](=O)[O-])cc2)cs1 497536404 ZLERWUDSSFYCKA-GFCCVEGCSA-N 417.512 4.603 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccccc2)c2ccc(F)cc2)cc1OC 499239088 ZSRSHHVFAQTFBN-JOCHJYFZSA-N 424.428 4.661 5 20 HJBD C[C@H](CC(=O)Nc1cc(C(F)(F)F)ccc1Cl)NCc1ccc([N+](=O)[O-])cc1 512116342 SPSCUQXTJUDCKB-LLVKDONJSA-N 415.799 4.774 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC[C@](F)(c2cccc(Cl)c2)C1 512371938 OJFTUYPTRQGDBC-OAQYLSRUSA-N 417.868 4.559 5 20 HJBD Cc1nc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)nc2c1CCCC2 515287758 RPISGPIIBKGBJI-UHFFFAOYSA-N 404.426 4.617 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](Cc2ccc(Cl)cc2)c2ccccc2)c1 517903616 JGBVHBBYPIIPNX-FQEVSTJZSA-N 410.857 4.971 5 20 HJBD CC[C@H](C)[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)C(=O)Nc1cccc(N2CCCC2)c1 518279092 BAOYNEGZGXAMQP-GAJHUEQPSA-N 424.545 4.646 5 20 HJBD CCCCc1ccc(-c2csc(NC(=O)CCn3cc([N+](=O)[O-])nc3C)n2)cc1 520477130 SFUCHRFILHJTIQ-UHFFFAOYSA-N 413.503 4.595 5 20 HJBD CC(C)Oc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1 520992620 GKXIVLNRTYRQNP-ZIAGYGMSSA-N 405.882 4.713 5 20 HJBD COc1ccccc1-c1csc(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)n1 521293461 SKFZXDREUBNCPL-UHFFFAOYSA-N 412.471 4.558 5 20 HJBD C[C@@H](c1ccc(Cl)cc1)N(C(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 521381482 ZKVGZMRBBSLDMI-AWEZNQCLSA-N 410.861 4.800 5 20 HJBD CN(C(=O)Cc1ccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cc1)c1ccccc1 521953712 PZUJGZBIXFOYEO-UHFFFAOYSA-N 423.856 4.706 5 20 HJBD O=C(NCc1cccc([N+](=O)[O-])c1)NC[C@H]1CC2c3ccccc3C1c1ccccc12 524337255 VXXDBKKQVIWBNM-ZPSAWSNLSA-N 413.477 4.691 5 20 HJBD CCCOc1cc(NC(=O)N2C[C@H](C)S[C@@H](C)C2)c([N+](=O)[O-])cc1OCCC 525736527 UTXAZMHEXQOLSL-KBPBESRZSA-N 411.524 4.530 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1ccc(Cl)cc1[N+](=O)[O-] 530895688 ZTVZYAJHJZHIIC-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN3CCc4sccc4[C@H]3c3cccs3)n2)cc1 532745523 JLXSRLFPKSKQKF-IBGZPJMESA-N 424.507 4.916 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(-c2ccccc2)nn1 538258257 RBZCCTDYZIHHQS-UHFFFAOYSA-N 408.483 4.829 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2[N+](=O)[O-])cc1 538258477 ZGXDVAVOZOKKDG-QGZVFWFLSA-N 419.481 4.868 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(COc2ccccc2-c2ccccc2)n1 545668823 HUPZTELUKOUWAE-UHFFFAOYSA-N 416.437 4.878 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(-c3ccc4cc(Br)ccc4c3)n2)nc1 546489506 STISECZGQLOXCS-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN2CCC(n3c(O)nc4ccccc43)CC2)c1 549747265 RZBFLFHOPGUJSE-UHFFFAOYSA-N 420.391 4.506 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3ccccc3N3CCC(CO)CC3)o2)c1 551805406 SPJAOEUPRWVBCJ-UHFFFAOYSA-N 407.470 4.676 5 20 HJBD COc1ccc(-c2ncc(-c3nc(-c4ccc([N+](=O)[O-])s4)no3)s2)cc1OC 554826091 VDCUVGOHOWBQAB-UHFFFAOYSA-N 416.440 4.514 5 20 HJBD CCOc1ccc([C@@H](C)NCCc2cnc(SC3CCCC3)nc2)cc1[N+](=O)[O-] 559912424 ZYPLLMTVGQBHKL-OAHLLOKOSA-N 416.547 4.712 5 20 HJBD O=C(Nc1ccc2oc3ccccc3c2c1)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 568825735 OLXXPTFQPLQKQB-UHFFFAOYSA-N 405.414 4.560 5 20 HJBD C[C@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1noc(Cc2ccccc2)n1 569531541 JNOGFAYUIRDFKI-HNNXBMFYSA-N 404.426 4.679 5 20 HJBD Cc1c(NC(=O)C2(c3cccnc3)CCCC2)cc(Br)cc1[N+](=O)[O-] 574116814 HEOOWPQREZGWLO-UHFFFAOYSA-N 404.264 4.511 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@@H]3CCCC(F)(F)C3)n2)c(Br)c1 575365137 NARGSUWZADMECK-SNVBAGLBSA-N 402.195 4.624 5 20 HJBD COc1cc(C(=O)N[C@@H](CC(C)C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 585689396 GSFOHPJQGLLLIM-HNNXBMFYSA-N 408.401 4.722 5 20 HJBD C[C@H]1C[C@H](C)CN(c2ccc(C(=O)Nc3ccccc3-n3ccnc3)cc2[N+](=O)[O-])C1 603883322 WVZLLOKLYWTDNK-IRXDYDNUSA-N 419.485 4.515 5 20 HJBD CC[C@H](C)[C@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2ccccc2[nH]1 603939500 SFLPVJOKPXIXNT-RBZFPXEDSA-N 407.474 4.563 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(Cl)c1)c1cc([N+](=O)[O-])cc2c1OCCO2 608940625 QUPGKVPWXCHDLO-UHFFFAOYSA-N 418.833 4.593 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCCC[C@@H]2c2ccccc2C(F)(F)F)s1 608978396 BMXSXCHWRKWBJK-CYBMUJFWSA-N 420.434 4.591 5 20 HJBD C[C@H](CNC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1c(Cl)cccc1Cl 609550841 DPIBMADCPOTAEL-SNVBAGLBSA-N 420.252 4.689 5 20 HJBD O=[N+]([O-])c1cc(CNC[C@@H]2OCCc3ccccc32)ccc1Oc1ccc(F)cc1 609600761 NRVKFDZIPNAZRA-QHCPKHFHSA-N 408.429 4.930 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2nc(/C=C\c3ccccc3)cs2)cc([N+](=O)[O-])c1 609756987 BUGPNRXPDFQSMO-HJWRWDBZSA-N 423.450 4.651 5 20 HJBD COc1cc(OC)c(C2CCN([C@@H](C)c3ccccc3[N+](=O)[O-])CC2)c(OC)c1 610367470 ISHKVGKJPMAASU-HNNXBMFYSA-N 400.475 4.561 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c(OC)c1 611203351 JOOOGEHJCARSBY-UHFFFAOYSA-N 402.472 4.899 5 20 HJBD C[S@@](=O)Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)c1 611206128 AWQPPDXNTYHDOB-MUUNZHRXSA-N 418.540 4.760 5 20 HJBD CC(C)Oc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccn1 614591882 YVGUJJJYHNLDCW-HNNXBMFYSA-N 421.457 4.597 5 20 HJBD Cc1ccc(C(=O)C2CCN(Cn3cc(-c4ccc([N+](=O)[O-])cc4)cn3)CC2)c(C)c1 728898481 XMCBBLVZIAZLFS-UHFFFAOYSA-N 418.497 4.628 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Oc1cc(Cl)ccc1[N+](=O)[O-])O[C@H](C)C2 730695832 XVOFLULVACCWHQ-DIOULYMOSA-N 417.845 4.679 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1cc(-c2ccc(C(F)(F)F)cc2)no1 730859447 QUCGCZIBJVLNGS-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)[C@H]1COc2ccccc21 733216125 YFXVGURSQRZGFO-INIZCTEOSA-N 424.840 4.942 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CSCc1ccc(S(=O)(=O)C(F)F)cc1 734850597 KRUXEJRRTDYESE-UHFFFAOYSA-N 407.847 4.678 5 20 HJBD CC(C)(C)c1ccc(-c2cc(NC(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)on2)cc1 736679731 KJEGNVHYURSTGP-UHFFFAOYSA-N 410.386 4.708 5 20 HJBD COc1ccc([C@H](NC(=O)c2cc([N+](=O)[O-])ccc2F)c2ccccc2Cl)cc1 739655878 GCZIYPVQPGVZGK-FQEVSTJZSA-N 414.820 4.915 5 20 HJBD CC(C)(C)c1ccccc1NC(=O)COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745565534 QUSHCIWISUMXMV-UHFFFAOYSA-N 424.375 4.707 5 20 HJBD C[C@H](OC(=O)CCCC1CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 745600765 KAPVRFLRDVSEGU-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD C[C@@H](OC(=O)c1cc2ccc(F)cc2s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 746244749 CUUSSZITJZWHHB-SNVBAGLBSA-N 413.386 4.917 5 20 HJBD Brc1nc(Br)n(Cc2nc3ccccc3c3ccccc23)n1 748631332 LHOCCJWKULKPJF-UHFFFAOYSA-N 418.092 4.553 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750178963 KAIQZRIJCDVESP-UHFFFAOYSA-N 415.877 4.871 5 20 HJBD COc1ccc([C@@H](NC(=O)c2cccc(Cl)c2[N+](=O)[O-])c2ccc(F)cc2)cc1 750179373 IEDUOAXTQUOVAS-IBGZPJMESA-N 414.820 4.915 5 20 HJBD Cc1c(COC(=O)c2ncoc2-c2cccc(C(F)(F)F)c2)cccc1[N+](=O)[O-] 752202950 YZSDWINJRSXIJP-UHFFFAOYSA-N 406.316 4.934 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752556838 HOFJYMJOIQUZAF-GXTWGEPZSA-N 402.422 4.740 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)c2nc(Cc3ccc(Cl)cc3)no2)c1[N+](=O)[O-] 752558317 OVZWZINQDHSZQK-NSHDSACASA-N 419.796 4.588 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1Br 753835988 ISLZSILLNGPBGP-BTDLBPIBSA-N 407.239 4.550 5 20 HJBD CCC(C)(C)OCc1ccccc1NC(=O)c1cc([N+](=O)[O-])c2nsnc2c1 756459064 WCBCDUQFMAZFMU-UHFFFAOYSA-N 400.460 4.557 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Nc1ccccc1CCC(F)(F)F 756796544 CGJMSKPHGONKCZ-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD Cc1ccc(-c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cc1[N+](=O)[O-] 761639502 ULPJRWDZTMCFCZ-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD O=C(OCCCSc1ccccc1Cl)c1cc(O)nc2ccc([N+](=O)[O-])cc12 767344801 HXYFDISFPJMDBH-UHFFFAOYSA-N 418.858 4.841 5 20 HJBD O=C(NCCCCc1nc(-c2cccnc2)cs1)c1ccc([N+](=O)[O-])c(Cl)c1 768707909 VDMIHRYZANYASW-UHFFFAOYSA-N 416.890 4.519 5 20 HJBD C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 770380002 NKEDHMDXTOVOEX-CVEARBPZSA-N 415.490 4.732 5 20 HJBD O=C(c1cc(Cl)ccc1[N+](=O)[O-])N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 772238554 WADKQBWURJFINK-VIFPVBQESA-N 419.812 4.743 5 20 HJBD CC(C)(C)c1ncc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)s1 774540180 JYWBPPATEICBRP-UHFFFAOYSA-N 403.485 4.829 5 20 HJBD O=C(C1CCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)CC1)C(F)(F)F 776008531 MHGKEHJLYMCJBY-UHFFFAOYSA-N 416.783 4.852 5 20 HJBD COc1cccc([C@@H](NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)c1 779064584 MKTKADUDQDKGNX-FGZHOGPDSA-N 412.873 4.669 5 20 HJBD CC(C)(C)Sc1ccc(NC(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781626598 KTUCZVSSZWINJX-UHFFFAOYSA-N 403.460 4.965 5 20 HJBD O=C(Nc1cc2ccccc2nc1Br)c1ccc(Cl)c([N+](=O)[O-])c1 788110766 ITUNBIZSCGWWRC-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1cc(CNCc2c(Cl)nc3cc(Cl)ccn23)cc(C(F)(F)F)c1 796360978 QYUIHAPUBDLBGJ-UHFFFAOYSA-N 419.190 4.858 5 20 HJBD O=C(O[C@H]1CCCOc2c(F)cccc21)c1ccc2[nH]c3ccccc3c(=O)c2c1 797094304 AUZZADVICRIOQI-NRFANRHFSA-N 403.409 4.891 5 20 HJBD Cc1cncc(N(Cc2ccc(F)cc2)C(=O)c2cc(F)c([N+](=O)[O-])cc2F)c1 799399693 KMWIPLKBPPRDAF-UHFFFAOYSA-N 401.344 4.563 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 804668953 WPNAGACQLXSEHE-UHFFFAOYSA-N 416.477 4.952 5 20 HJBD C[C@@]12CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C[C@H]1C2(Cl)Cl 804705414 LWBVKZNBEKNHED-ZYHUDNBSSA-N 414.167 4.542 5 20 HJBD CC[C@H](c1cccc(OC)c1)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 808839900 BTXMFARCIMHRHX-MRXNPFEDSA-N 422.279 4.981 5 20 HJBD Cc1ccc(C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(Br)n1 811162153 CJGXKESGDMFKGZ-UHFFFAOYSA-N 420.046 4.725 5 20 HJBD CN(C(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])C1CCN(Cc2ccccc2)CC1 812994830 BPNBZEXFTVHWAV-UHFFFAOYSA-N 422.312 4.638 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Oc3cccc([N+](=O)[O-])c3C)s2)cc1OC 917050368 VHISGORMBQJLNQ-UHFFFAOYSA-N 414.439 4.572 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)cc([N+](=O)[O-])c1NC1CCN(c2ccccc2)CC1 919723247 FXUCZHQKURMJNA-UHFFFAOYSA-N 410.352 4.603 5 20 HJBD Cc1cccc(C(=O)Nc2ccccc2C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1 920739284 KRLPVUZOWITJGD-INIZCTEOSA-N 403.438 4.647 5 20 HJBD Cc1ccc(Cc2cnc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)s2)cc1F 1322125526 SSEDXNAVVCSHDB-UHFFFAOYSA-N 412.446 4.637 5 20 HJBD C[C@@]1(C(=O)Nc2ccc(C3(NC(=O)c4ccc([N+](=O)[O-])o4)CCC3)cc2)CC=CCC1 1791989756 XDXGBQNTLJYVLH-JOCHJYFZSA-N 423.469 4.682 5 20 HJBD C[C@H](OC(=O)/C=C/c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1-c1ccccc1 6012686 ULJUYOIKUMTTAX-MAUBAPBLSA-N 416.433 4.845 5 20 HJBD O=C(Cc1ccc(-c2ccccc2)cc1)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 10963497 VQJCQRJHUIXKGF-UHFFFAOYSA-N 404.422 4.604 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2nc3ccccc3[nH]2)cc1 14861994 KJXHDIKFJBZYSF-UHFFFAOYSA-N 404.451 4.869 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1NCCNC(=S)Nc1ccccc1Cl 18146086 PCAARIQYNYIXLR-UHFFFAOYSA-N 418.828 4.666 5 20 HJBD CCN(C(C)=O)c1nc(COc2ccc([N+](=O)[O-])cc2Cc2ccccc2)cs1 23200804 ALYPHJSITNSRFM-UHFFFAOYSA-N 411.483 4.594 5 20 HJBD C[C@H](OC(=O)c1cc2c(F)cccc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 24899190 BTAOTSLNVBECSA-VIFPVBQESA-N 422.821 4.786 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1CN1CCc2ccccc21 56689656 VCHKVPNFPOUJIV-UHFFFAOYSA-N 416.481 4.821 5 20 HJBD COc1cc(Br)c(/C=C\c2ccc3cccc([N+](=O)[O-])c3n2)cc1O 96792276 RZWLBMHNNJFJGF-VURMDHGXSA-N 401.216 4.790 5 20 HJBD COc1ccc(-c2ccc(CNc3ccc(CC(=O)NC(C)C)cc3)o2)c([N+](=O)[O-])c1 237441056 XIOMDAFGIRBXRO-UHFFFAOYSA-N 423.469 4.543 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2c2ccc(C)s2)c([N+](=O)[O-])cc1OC(F)F 261490446 ZZQMXYWMYDAYPK-GFCCVEGCSA-N 412.414 4.552 5 20 HJBD C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 303933308 XPDWVHVTGKSISI-HKUYNNGSSA-N 414.480 4.698 5 20 HJBD O=C(O)[C@@H](Cc1c[nH]c2ccccc12)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426647103 MBXPPASYIYVRHJ-LJQANCHMSA-N 421.478 4.590 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1ccc(Br)cc1[N+](=O)[O-] 429845071 AJZUOUXXHMHDLG-UHFFFAOYSA-N 405.248 4.536 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc2nc(C3CC3)oc2c1 430558323 QAVUTGZUTOVXGK-UHFFFAOYSA-N 409.445 4.812 5 20 HJBD Cc1ccc([C@H]2CCCN2S(=O)(=O)c2ccc([N+](=O)[O-])cc2C(F)(F)F)s1 447025783 FNXVTAMZIDQJHW-CYBMUJFWSA-N 420.434 4.509 5 20 HJBD Cc1nc2c(s1)CCC[C@@H]2C(=O)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 447185008 OWVSQCVQBNUTJN-INIZCTEOSA-N 421.478 4.649 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCN([C@@H](C)c2ccsc2)CC1 463086193 OFCVXBQWSNSBAQ-HNNXBMFYSA-N 419.572 4.676 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 464284177 AAWRINFWYJIOKT-DYVFJYSZSA-N 406.841 4.766 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)Cc1ccc(NC(=O)CC(C)(C)C)cc1 466508333 NBMQRKHVTNJUSQ-UHFFFAOYSA-N 413.474 4.549 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C(=O)NCCc1ccc([N+](=O)[O-])cc1 469045473 PJCDPLXEOPRPKH-INIZCTEOSA-N 424.526 4.972 5 20 HJBD COc1ccc(SCCCC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1 474232639 PIUVQJFQMWTFQX-UHFFFAOYSA-N 400.500 4.667 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CN(Cc1ccc(-c2ccccc2C(F)(F)F)o1)C1CC1 475539445 XOGDEMDASKMBBD-UHFFFAOYSA-N 420.391 4.772 5 20 HJBD O=C(Nc1nnc(SCc2cccs2)s1)c1c[nH]c2cccc([N+](=O)[O-])c12 477111730 YOQRFFFVIXKKNH-UHFFFAOYSA-N 417.497 4.534 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(Br)c(Cl)c1 477972157 WJWNVONUGWACSL-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD CCc1c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)cnn1-c1ccccc1Cl 482711802 IZVSJLMHTUDSET-UHFFFAOYSA-N 423.860 4.590 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)cn2)cc1 483950524 CDCPCALLUDMJCT-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CSc1cccc(N(C(=O)c2ccc([N+](=O)[O-])cc2Cl)C2CCN(C)CC2)c1 484299567 XQEOWNBETVHGRK-UHFFFAOYSA-N 419.934 4.711 5 20 HJBD O=C(Nc1c(Cl)ccc2c1CCO2)c1csc(-c2cccc([N+](=O)[O-])c2)n1 484587718 UNYUGFXYPDXDBN-UHFFFAOYSA-N 401.831 4.559 5 20 HJBD O=C(c1ccc(-n2ccnc2)c([N+](=O)[O-])c1)N1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 485577075 DBMUHQUVVPYYHY-PWRODBHTSA-N 416.481 4.579 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1sc(Br)cc1[N+](=O)[O-] 485894794 DDAGTWDVOFQMPD-WZRBSPASSA-N 409.305 4.826 5 20 HJBD CC(=O)c1cc(N2C[C@H](c3cccc(C(F)(F)F)c3)OC[C@H]2C)ccc1[N+](=O)[O-] 488472872 CCCJZCIQRBEEAJ-CWTRNNRKSA-N 408.376 4.783 5 20 HJBD O=C(N[C@H]1CCOC2(CCCC2)C1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 489046407 TUJZWDIMQFXCHM-KRWDZBQOSA-N 412.511 4.968 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(CSc3ccccn3)c2)cc1[N+](=O)[O-] 499224549 XKWQHNYYTZFNKC-UHFFFAOYSA-N 409.467 4.933 5 20 HJBD Cc1ccc(Sc2c(Cl)cccc2NC(=O)c2c([N+](=O)[O-])cnn2C)cc1 501724178 GUCXDMHTSAZRJQ-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD Cc1c(NC(=O)NC2(c3ccc(Br)cc3)CCC2)cccc1[N+](=O)[O-] 503014952 IYGISCKYEGMEQV-UHFFFAOYSA-N 404.264 4.867 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N[C@@H](C)c2nc(-c3ccccc3)cs2)c1 503514036 SVDOXOMLQQAYGX-HNNXBMFYSA-N 411.483 4.673 5 20 HJBD O=C(NCc1ccc(OC2CCCC2)cc1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 505397079 RAPUUMGICIEKLD-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD Cn1cc(CN(Cc2ccccc2)Cc2ccco2)c(-c2ccc([N+](=O)[O-])cc2)n1 506069564 CROUCFPMTZHTBL-UHFFFAOYSA-N 402.454 4.791 5 20 HJBD CCN(CCc1cccs1)C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 506179523 OZUPOKUQLZGVGX-MRXNPFEDSA-N 424.526 4.929 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NCc1c(F)cc([N+](=O)[O-])cc1F 506310532 RGWGSRJHSVISPX-UHFFFAOYSA-N 406.307 4.529 5 20 HJBD C[C@@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 507664214 BCMKGJWPBJATDH-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD CN(C)[C@@H](CNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 522710261 PIWGQWSDGGZCDO-QFIPXVFZSA-N 420.469 4.812 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H]2CCO[C@@]3(CCSC3)C2)cc1[N+](=O)[O-] 532866727 PFGALPNKZUWRQU-GCJKJVERSA-N 413.543 4.507 5 20 HJBD C[C@@H](c1cccc(Cl)c1)S(=O)(=O)Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 534061162 UBKPWDPVWDKARZ-LBPRGKRZSA-N 406.847 4.579 5 20 HJBD C[C@@H](CO)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 536295558 SSHSQXWGBQNHAZ-CYBMUJFWSA-N 408.907 4.538 5 20 HJBD CC1CCC(NC(=O)[C@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 536303434 ZGCAGQSRNBTISP-BBBYJDLNSA-N 403.504 4.566 5 20 HJBD C[C@H](NCC(=O)Nc1c(Cl)cc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 537078445 GHAJNLASXFWCSJ-VIFPVBQESA-N 402.665 4.844 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](C)c2ccc(OCC3CC3)c(F)c2)cccc1[N+](=O)[O-] 537124599 YJPZPHXMVSBKJZ-HNNXBMFYSA-N 415.465 4.511 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(Oc2cccc(C(N)=O)c2)cc1 539912530 WLXUQYAZHQLGHZ-UHFFFAOYSA-N 419.437 4.664 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](CN2CCCC[C@@H]2C)C1 540452332 YJWCEACOYNWLOD-ZWKOTPCHSA-N 419.591 4.822 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1csc(-c2ncccc2F)n1 541067982 FZFCGSMEUMMANY-UHFFFAOYSA-N 412.324 4.524 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccco1)CC(F)(F)F 541268564 NAJPVWJQZSVRCR-UHFFFAOYSA-N 408.785 4.524 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 542536925 STQAEPCXFIZMIU-LBPRGKRZSA-N 410.829 4.545 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3ccc(Cl)cc3)no2)c(Br)c1 545703805 TYTYCTAFEZYTCZ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD CC(C)(C(=O)N[C@H](CCc1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 545828370 VUEZJNXBCSJAHX-QGZVFWFLSA-N 412.383 4.691 5 20 HJBD Cc1cc(CNC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])no1 546634907 MEKQVZPOJZEWPO-UHFFFAOYSA-N 403.847 4.626 5 20 HJBD COCC(C)(C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 563763733 MXTWGTNFWGIOKI-UHFFFAOYSA-N 402.516 4.929 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1cccc(NC(=O)C2CCCCC2)c1 564339318 YNBQLNBEALIGLR-UHFFFAOYSA-N 424.501 4.820 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc([C@@H]4C[C@@H]4c4cc(F)c(F)c(F)c4)n3)c2c1 579525421 UOCAQAQYIWKQPL-TZMCWYRMSA-N 414.343 4.738 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)sc2c1)N1CCOc2ccc([N+](=O)[O-])cc2C1 579651384 CSSMAKQXFFOITH-UHFFFAOYSA-N 410.455 4.508 5 20 HJBD C[C@@H](C(=O)Nc1ccc(OCc2cn3ccccc3n2)cc1)c1cccc([N+](=O)[O-])c1 580988993 IFBUOJOFXVKZBF-MRXNPFEDSA-N 416.437 4.564 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CC(C)(C)[C@@H]3[C@@H]3CCCO3)c([N+](=O)[O-])c2)cc1 581104698 VNFRZWWAGRBENA-SFTDATJTSA-N 409.486 4.549 5 20 HJBD CC(C)Oc1ccc(NC(=O)Cc2c(F)cc([N+](=O)[O-])cc2F)c(C(F)(F)F)c1 583047427 LFXPWUJDSPTEAV-UHFFFAOYSA-N 418.318 4.860 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC[C@@H](C4CC4)C3)cc2[N+](=O)[O-])n1 589526544 QDIJOLQGHMVLDB-OAHLLOKOSA-N 403.529 4.773 5 20 HJBD O=C(COc1cc(Cl)c(Cl)cc1Cl)N1CCc2ccc([N+](=O)[O-])cc21 589980446 AHVYVXVWYGKEMZ-UHFFFAOYSA-N 401.633 4.523 5 20 HJBD O=C(N[C@@H]1C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)c1ccc([N+](=O)[O-])cc1Cl 603572916 MCYYNOOMQHSIJF-BRPSZJMVSA-N 418.721 4.888 5 20 HJBD CNc1ccc(C(=O)N2CCC[C@@H](c3nc4ccccc4n3C(C)C)C2)cc1[N+](=O)[O-] 609622684 DBELSJZCRGERLD-QGZVFWFLSA-N 421.501 4.587 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cccc(Cl)c1Cl 609796091 MLAVPVAANURJDJ-NSHDSACASA-N 419.268 4.793 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)c(C(F)(F)F)c1)c1csc([N+](=O)[O-])c1 609864533 VYMFLGYJBNRZMD-UHFFFAOYSA-N 414.283 4.869 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cn2)c1 610040440 RMGRQQLNAHGCTO-UHFFFAOYSA-N 419.481 4.993 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccc(OCc2ccccn2)c1 610661241 SWCHXKXNEZXTOI-UHFFFAOYSA-N 406.442 4.507 5 20 HJBD COc1cccc(CC2CCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 612122309 OERXCUHRKQUOAL-INIZCTEOSA-N 422.485 4.669 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 619638752 AKSLZOSKWWCFSA-LAUBAEHRSA-N 413.474 4.625 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 726153217 UCQANWHIFFWVKX-UHFFFAOYSA-N 406.398 4.743 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1coc(-c2ccc(F)c(F)c2)n1 728616359 TVNZRONCEQULPA-LLVKDONJSA-N 420.393 4.752 5 20 HJBD Cc1ccc(-c2ccc(C(=O)OCC(=O)Nc3c(C)cccc3[N+](=O)[O-])c(F)c2)cc1 730345768 KSPNSHUAFSOUID-UHFFFAOYSA-N 422.412 4.813 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccccc1NC(=O)C(C)(C)C 733129091 WZVLGWUOAPRCLD-UHFFFAOYSA-N 403.866 4.505 5 20 HJBD O=C(c1cccc(Oc2cnccn2)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 736473963 DOIZFQKMMJHCGI-UHFFFAOYSA-N 424.844 4.887 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 745352487 ZJIFWCXASXAESX-SJORKVTESA-N 424.236 4.615 5 20 HJBD Cc1c(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cnc(Cl)c1Br 759281522 GFPTTYQIMJQGPE-UHFFFAOYSA-N 412.671 4.630 5 20 HJBD C[C@@H](OC(=O)c1cccc(-c2ccoc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 760569876 BKEFJPMKCXLQBO-GFCCVEGCSA-N 414.801 4.692 5 20 HJBD O=C(O[C@H](c1ncccn1)C1CCCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 761409443 XWTKCCSDCUNPGA-KRWDZBQOSA-N 410.455 4.597 5 20 HJBD CCS[C@@H]1CCCCN(Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765009844 DZILMYONKNWXJP-QGZVFWFLSA-N 421.592 4.574 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 769757453 ZJMKECBTTMEMAO-CYBMUJFWSA-N 405.907 4.603 5 20 HJBD O=C(Nc1ccc(F)cc1OCC(F)F)c1cc([N+](=O)[O-])ccc1N1CCCCC1 774195394 OJXPJRGCZFLTBR-UHFFFAOYSA-N 423.391 4.620 5 20 HJBD Cn1cc(CCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])c2ccccc21 776131239 FFDWGFCVZKVRBC-XMMPIXPASA-N 415.449 4.747 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(NC(=O)OCC(C)C)c2)c1[N+](=O)[O-] 776797999 QRSXKDMEPRNYPX-UHFFFAOYSA-N 403.460 4.774 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1[C@H]2CC[C@@H](O2)[C@@H]1C(F)(F)F 785806267 SSBIRMRNMKJSID-ZRNYENFQSA-N 401.384 4.787 5 20 HJBD Cc1cnc(COC(=O)[C@H](C)c2cccc(C(=O)c3ccccc3)c2)c(C)c1[N+](=O)[O-] 789441277 PYKYUGYZWXQQJI-MRXNPFEDSA-N 418.449 4.685 5 20 HJBD CCOc1ccc(-c2nc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cs2)cc1 800149540 PEBPZLJARKEXMX-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD CC(C)(C)OC(=O)NC[C@@]1(C)CCN(c2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)C1 800878818 LJJBXJDFGHSZDW-OAQYLSRUSA-N 407.511 4.513 5 20 HJBD COC1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCC(c2ccccc2)CC1 805228131 INLZHFZRQJCLAV-UHFFFAOYSA-N 402.878 4.721 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCC[C@H]1C[C@@H](O)c1ccc(F)cc1 809920207 VGHSSGDGIBBKLG-WMLDXEAASA-N 407.829 4.507 5 20 HJBD O=C(/C=C/SCc1ccco1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811218237 RUAOVPWHADPDIK-SOFGYWHQSA-N 402.453 4.802 5 20 HJBD O=C(NCc1ccc(Oc2cccc(F)c2)nc1)c1cccc([N+](=O)[O-])c1Cl 816912531 BLKNEFPJUDYPNN-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1cc2ccc(Br)cc2[nH]1 912729814 FFDNIXNAVDQJEF-UHFFFAOYSA-N 422.666 4.764 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)cc1[N+](=O)[O-] 915833207 FGNFLXDLOAEQKQ-UHFFFAOYSA-N 424.394 4.933 5 20 HJBD CSc1ccc2cc(COC(=O)COc3ccc([N+](=O)[O-])cc3)c(Cl)nc2c1 916419633 ODFUNWNTPUXJIS-UHFFFAOYSA-N 418.858 4.641 5 20 HJBD Cc1nc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)sc1Cc1cccc(F)c1 917734693 JWIYYZVVVDHTHW-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD CN(Cc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)C(=O)OC(C)(C)C 918109045 RSFMDSQAGCOTNK-UHFFFAOYSA-N 424.457 4.695 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3cc([N+](=O)[O-])ccc3F)CC2)cc1 921160479 MFVKMLPZMDDAFP-UHFFFAOYSA-N 400.450 4.618 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nnc(-c4ccccc4)o3)n2)c(Cl)c1 1118412977 OBNDTRFCISIGFC-UHFFFAOYSA-N 402.197 4.804 5 20 HJBD COc1cccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1Br 1321307520 FDNNWHODYAMJHJ-NSHDSACASA-N 408.252 4.557 5 20 HJBD CC(C)[C@@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1328158772 XLAYJOGRJRIJSQ-VYBOSXCSSA-N 424.501 4.675 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H]2c2nnc(-c3cc4ccccc4o3)o2)ccc1[N+](=O)[O-] 1341566235 DWXBCKNKPWGBBR-QGZVFWFLSA-N 418.409 4.677 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cc(Cl)c(OC)c(OC)c1 1355220970 FYKDMLAWYMIWHJ-UHFFFAOYSA-N 424.906 4.698 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CCO)c2ccc(Cl)cc2)nc1-c1ccc(F)cc1 1515237046 BGMWQQALNSKOJT-QGZVFWFLSA-N 401.825 4.985 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)N1CCc2ccccc21 21278526 JZCJXIZYQCZART-UHFFFAOYSA-N 424.460 4.579 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](NCc1ccc(F)cc1)c1ccccc1 21819988 QXOZXFUREFPBJR-HXUWFJFHSA-N 413.836 4.857 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)Nc1ccc(S(C)(=O)=O)cc1[N+](=O)[O-] 53584332 BGQRSUGTHQHUMU-GFCCVEGCSA-N 417.512 4.603 5 20 HJBD O=C(Nc1cccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1cccs1 55394390 ZOCVSXRLUDANBD-UHFFFAOYSA-N 406.423 4.642 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)CCCNc1ccc([N+](=O)[O-])cc1 60064664 CCBUSFKNUQHGSY-UHFFFAOYSA-N 411.380 4.843 5 20 HJBD C[C@@H](NC(=O)NCc1cccc(CN2CCCCCC2)c1)c1cccc([N+](=O)[O-])c1 61011473 LJYRDNVQPJWAIF-GOSISDBHSA-N 410.518 4.531 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(Cl)ccc2Cl)cc1[N+](=O)[O-] 71878154 NNDKOCYEADGSSX-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCc2ccccc2)nc1 108298227 OKRWKLQGSVHVIE-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1cccc([N+](=O)[O-])c1Br 159128111 JQNQCMYZGAWGDG-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1nn(C)cc1[C@H](C)NCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 237555698 XJCHWSQUOFAOKR-ZDUSSCGKSA-N 400.866 4.933 5 20 HJBD O=C(CCNCc1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CCCCC1 237772673 KLXMIQHKZMJZHA-UHFFFAOYSA-N 417.893 4.533 5 20 HJBD O=C(O)[C@H](Cc1cccc(Cl)c1)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426742855 LTBWZDUKXJMKLM-KRWDZBQOSA-N 416.886 4.762 5 20 HJBD COc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(Br)c1 429065440 QCEQOOTYGRKNNI-NSHDSACASA-N 408.252 4.557 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(Cc1cccs1)CC(F)(F)F 432751645 ZCKIESLHTAVVAG-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cc(Cl)ccc2NCc2ccccc2)c1[N+](=O)[O-] 434263030 ZXTPXMWPPUQHSE-UHFFFAOYSA-N 413.865 4.959 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1 436083602 OXACVVLLFZVAMI-UHFFFAOYSA-N 406.432 4.667 5 20 HJBD Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 439382241 QSOWMTTVMNYQSF-UHFFFAOYSA-N 409.486 4.593 5 20 HJBD COCc1nc(CN[C@H](C)CCc2ccccc2[N+](=O)[O-])c(-c2ccccc2)s1 442851025 DITDJWTWMCGEET-MRXNPFEDSA-N 411.527 4.976 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c2cc(Cl)ccc2O1 443970617 UPWWLMFHCYFTKR-SECBINFHSA-N 400.740 4.695 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Br 445739436 FSBGCHUDRHJVBJ-NSHDSACASA-N 411.683 4.773 5 20 HJBD C[C@@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC[C@@H]1c1ccccc1 460638738 GCEDDDJDAKMRIE-CTNGQTDRSA-N 410.517 4.807 5 20 HJBD CC(C)[C@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 463042684 HCNPWAOHHVFPSK-ZHRRBRCNSA-N 405.454 4.538 5 20 HJBD Cc1cc(NC(=O)c2cccc(Cl)c2)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1 464456986 LSWLPIPKZXXZFH-UHFFFAOYSA-N 423.856 4.990 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2cccc(Cl)c2)C1 469227528 WXRYYGTXYULKRT-KRWDZBQOSA-N 406.891 4.574 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 472834929 QKRCGWSEGAOLGT-HNNXBMFYSA-N 405.458 4.536 5 20 HJBD Cc1ncoc1C(=O)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 476686459 HCYICHVOUZEYER-UHFFFAOYSA-N 406.320 4.906 5 20 HJBD CCOc1ccccc1NC(=O)[C@H](NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 479505916 WRCXDHAOEBISRI-HSZRJFAPSA-N 419.481 4.772 5 20 HJBD CC(C)N(Cc1ccccc1F)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 480158863 YXMDAZIIYRIZCK-UHFFFAOYSA-N 414.355 4.726 5 20 HJBD O=C(Nc1cc(F)ccc1OCC1CC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 482500141 AKGYMNGQSGJCIF-UHFFFAOYSA-N 413.430 4.899 5 20 HJBD O=C(NCc1ccccc1N1CCCCC1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 482939278 JSXBBTKTFFDEDD-UHFFFAOYSA-N 407.392 4.534 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2)n1 483060290 NBDNADWJNKHKMP-UHFFFAOYSA-N 421.457 4.965 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNC[C@@H]2CCN(CCc3ccccc3)C2)o1 488455656 DNAVJEWSJGACOK-FQEVSTJZSA-N 405.498 4.509 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2nc(O)c3c(-c4ccccc4)c(C)sc3n2)c1 491571478 DYSPOAMOPBQPPS-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD CCc1cc(Br)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497355464 WVKHKADTCGDRRB-UHFFFAOYSA-N 415.190 4.773 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])cc1F)[C@H](c1cccnc1)c1ccc(F)c(C)c1 497872812 HQCDVCOBRCCWFZ-NRFANRHFSA-N 411.408 4.828 5 20 HJBD O=C(Nc1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])c2cccnc12 499125836 BTRNKTRPQUAPSP-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)c2cc(Cl)cc(Cl)c2)cc1SC 499526922 FOCUGYKAXBELAP-UHFFFAOYSA-N 401.271 4.909 5 20 HJBD O=C(N[C@@H]1CCOc2c(Cl)cccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 501633646 WVYRGHINANGGOB-OAHLLOKOSA-N 415.858 4.625 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3ccc(OC(C)C)cc3)n2)c([N+](=O)[O-])c1 501950570 VGTYYSORBMYMNA-UHFFFAOYSA-N 413.455 4.766 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H](c3ccccc3F)C2)c([N+](=O)[O-])cc1OCC 503715823 IUURNSLMFABZOW-AWEZNQCLSA-N 417.437 4.553 5 20 HJBD COCCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](C)c1cccc(C(F)(F)F)c1 503934055 GHAHMBWIAXQBQS-NSHDSACASA-N 402.394 4.525 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 504141433 FYBAJWRUFJMTHE-UHFFFAOYSA-N 404.426 4.635 5 20 HJBD Cc1nn(Cc2ccc(-c3nc(-c4ccccc4Cl)no3)cc2)c(C)c1[N+](=O)[O-] 504996408 NYYLGXCMRQNAHI-UHFFFAOYSA-N 409.833 4.827 5 20 HJBD CS(=O)(=O)c1cc(SCCCOc2cccc3ccccc23)ccc1[N+](=O)[O-] 507609751 QNSYPDBBVRVNMQ-UHFFFAOYSA-N 417.508 4.713 5 20 HJBD C[C@@H](NC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(Oc2cccnc2)cc1 514169652 JXMXUDNIGFFESZ-MRXNPFEDSA-N 406.442 4.685 5 20 HJBD COc1ccc(CNC(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)cc1[N+](=O)[O-] 518166387 YLJQYXXJIUDXTK-IBGZPJMESA-N 408.458 4.522 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 518402591 RDHWPILJHYPNHV-UHFFFAOYSA-N 417.531 4.968 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)c1noc(Cc2ccccc2)n1 519673911 UKQHZEAWSQRFPO-OAHLLOKOSA-N 404.426 4.679 5 20 HJBD COCCN(C(=O)Nc1ccc([N+](=O)[O-])cc1)[C@H](C)c1cccc(C(F)(F)F)c1 520154578 HQVHSNZHDZACOM-CYBMUJFWSA-N 411.380 4.855 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)S(=O)(=O)Cc1ccc([N+](=O)[O-])cc1 520155193 VRVVIKLPAGOFRP-MRXNPFEDSA-N 416.524 4.750 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(-c2cccc(Cl)c2)s1 522718890 OZBGQJICJVRTRF-UHFFFAOYSA-N 409.254 4.601 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)c1[N+](=O)[O-] 523959930 OMSJDZVGZPCLSJ-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 524228999 FUAMIXIRJQHBNO-UHFFFAOYSA-N 402.756 4.847 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cnn(-c2cccc(C(F)(F)F)c2)c1C 530779939 KFHOARSLOBAWLJ-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2ccncc12 530797328 ABRXYRKNIBZMHN-UHFFFAOYSA-N 407.373 4.893 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)NC1(c2ccccc2)CCC1 535508820 VJSOHKYBRGBLTF-UHFFFAOYSA-N 423.494 4.679 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)cc1 537093801 CKKRPBNSQYQGLM-UHFFFAOYSA-N 415.515 4.830 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N(C)[C@H](C)c2sc(C(C)C)nc2C)c1 538423250 FZUFEBHSZBAWPM-OAHLLOKOSA-N 405.520 4.780 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1cccc2c1CN(c1ccccn1)C2 538828225 QSMJBSNYJQLDMH-UHFFFAOYSA-N 402.454 4.688 5 20 HJBD Cc1ccc([C@@H](C)NCC(=O)N[C@H](c2ccccc2)c2cccs2)cc1[N+](=O)[O-] 539379587 WRBOGSAWNJTEJZ-OPAMFIHVSA-N 409.511 4.521 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1N1[C@H]2CC[C@H]1CC(O)(Cc1ccc(F)cc1F)C2 540297126 KXYOXSRRJVWJPX-HOTGVXAUSA-N 408.832 4.631 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)NCc1ccccc1[N+](=O)[O-] 542934420 LQMVIRWRZBROPJ-UHFFFAOYSA-N 405.332 4.507 5 20 HJBD O=C(NCCC[C@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 546162908 WHSKHIZEVHJKPE-QGZVFWFLSA-N 400.500 4.825 5 20 HJBD C[C@H](c1nc(Cc2ccc(Br)cc2)no1)c1ccc([N+](=O)[O-])cc1F 546257645 XCIUXJWGRQGKSA-JTQLQIEISA-N 406.211 4.622 5 20 HJBD CCN(Cc1ccccc1Br)C(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 546700659 KJJURPUTJVQZDI-GFCCVEGCSA-N 409.255 4.649 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(-c3nc([C@H](C)c4ccncc4)no3)cc2[N+](=O)[O-])C1 547220506 XQPRHXHKYDLLCL-BZUAXINKSA-N 407.474 4.674 5 20 HJBD COc1ccc(-c2ccc(C)c(NC(=O)NCc3cccc([N+](=O)[O-])c3C)c2)cn1 549389468 HUOVOZFTTOKSBY-UHFFFAOYSA-N 406.442 4.604 5 20 HJBD CCN(C(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C1CCCC1 551759628 UFQORTRCMKCQCT-UHFFFAOYSA-N 419.528 4.537 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 556269909 VYDNTDOHYYUSJL-UHFFFAOYSA-N 424.375 4.671 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCCn4c(cc5ccccc54)C3)o2)c1 558170786 YUWZSHCMERGUQJ-UHFFFAOYSA-N 402.454 4.653 5 20 HJBD C[C@@H](C(=O)NCc1nc(-c2cc3ccccc3o2)cs1)c1cccc([N+](=O)[O-])c1 571851438 YGPIYXPIBNICII-CYBMUJFWSA-N 407.451 4.884 5 20 HJBD COc1cc([C@H](C)Nc2ccc([N+](=O)[O-])c(C(=O)O)c2)ccc1OC1CCCC1 583335370 MKQLKLQXDLRFHE-ZDUSSCGKSA-N 400.431 4.796 5 20 HJBD COc1ccc(N2C(=O)c3ccccc3N[C@@H]2c2ccc([N+](=O)[O-])cc2F)c(OC)c1 602761545 SSDCRGKKZYMCMJ-NRFANRHFSA-N 423.400 4.522 5 20 HJBD O=C(NCc1ccnc(Oc2ccc(F)cc2)c1)Nc1ccc([N+](=O)[O-])cc1Cl 604540241 GSBSWFTXNPHJMO-UHFFFAOYSA-N 416.796 4.896 5 20 HJBD O=C(NCc1ccccc1-c1ccc(Cl)cc1)c1cc2c(cc1[N+](=O)[O-])OCCO2 608850715 KNDLJUTZXXYAEL-UHFFFAOYSA-N 424.840 4.616 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)c1 609186213 DWAPAUCEYUJUQY-LLVKDONJSA-N 415.446 4.665 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)c1 609263018 ALHSDGIVGBITQP-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(N[C@@H](C)c3ccccc3)CCCC2)c1 609481245 SGHGGKBOORARRS-INIZCTEOSA-N 413.543 4.710 5 20 HJBD C[C@@H](c1ccccc1)[C@H](CO)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 609708159 JPSMYTUVLCBNRG-KKSFZXQISA-N 410.445 4.780 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3cccc(C)c3C)nc2)cc([N+](=O)[O-])c1OC 610176201 ZNDWZXHFYCNNRU-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(cnn2C(C)C)c1 611206135 GCXQVYUOMYZERC-UHFFFAOYSA-N 414.487 4.516 5 20 HJBD C[C@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C[C@@H]1n1ccnc1 611212576 RCBJJMCQQOZXHX-KXBFYZLASA-N 414.531 4.549 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(F)c(Br)c1 612611957 ZDFXJBJSIMFZCD-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1 730452692 OKEAZPICRQSNDP-IBGZPJMESA-N 402.328 4.771 5 20 HJBD CC(C)C(=O)Nc1cccc(OC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)c1 733173521 RPKBMHIUECBRDJ-UHFFFAOYSA-N 411.439 4.532 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 733280971 CRIOCWLEJBQWKR-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@@H](Cc2ccc(Cl)cc2)C(F)(F)F)c1 733947843 ZSEFHVHAQCTVQH-HNNXBMFYSA-N 403.740 4.587 5 20 HJBD Cc1cc(C)cc(-c2nnc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCC3)s2)c1 734452768 NZKWAXWTADLODI-UHFFFAOYSA-N 423.498 4.583 5 20 HJBD O=Cc1cccc(OCCC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1 735273920 TVCZPESWJIJNKB-UHFFFAOYSA-N 406.394 4.607 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 736006115 HXBGMJVGOIIVTL-UHFFFAOYSA-N 410.213 4.867 5 20 HJBD COC(=O)c1ccc(OCc2ccc(OC)c(SC(F)(F)F)c2)c([N+](=O)[O-])c1 741853627 CBGSWFFXMOUCAY-UHFFFAOYSA-N 417.361 4.581 5 20 HJBD Cc1cc[n+](/C(C(=S)Nc2cc(C)ccc2F)=C(/O)c2ccc([N+](=O)[O-])cc2)cc1 744115212 GUWCGWSUGNYBGR-UHFFFAOYSA-O 424.477 4.962 5 20 HJBD C/C(=C/C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(C(F)(F)F)c1 745487875 RZTHKFLTSOMGLT-XGICHPGQSA-N 423.343 4.627 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 749915551 ILFDWRDFYVURRX-CQSZACIVSA-N 411.845 4.713 5 20 HJBD O=C(Nc1cccc(NC(=O)C2CCCCC2)c1)c1cc(F)c(F)cc1[N+](=O)[O-] 750232248 XWNSPGAOBROHGI-UHFFFAOYSA-N 403.385 4.644 5 20 HJBD COc1cc2c(cc1NC(=O)COc1ccc([N+](=O)[O-])cc1OC)oc1ccccc12 750960825 XUVCPONSBGOUHW-UHFFFAOYSA-N 422.393 4.529 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(CC(F)F)c1cccc(Cl)c1 753663559 AODFETFFVYIBES-UHFFFAOYSA-N 419.609 4.923 5 20 HJBD C[C@H](OC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 757409916 RKHJUXBIWUROFD-INIZCTEOSA-N 404.422 4.789 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)n1 760091888 MUVHZOSWUUWAOQ-QGZVFWFLSA-N 419.485 4.643 5 20 HJBD CC(C)[C@H](OC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C(=O)Nc1ccccc1Cl 763715080 GXVWEGWYHRVSEY-INIZCTEOSA-N 411.241 4.722 5 20 HJBD COc1cc(COC(=O)c2ccc(C3SCCCS3)cc2)c([N+](=O)[O-])cc1F 766168667 GYTVWSZVCZMDNO-UHFFFAOYSA-N 423.487 4.968 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@@H]1C[C@H](O)c1ccc(F)cc1 773254195 ALTZNUIONGPLHC-QAPCUYQASA-N 407.829 4.507 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nnc(SCc3ccc(F)cc3)s2)cc1 776280436 ZSWCPJNPNBKUHX-HNNXBMFYSA-N 423.516 4.703 5 20 HJBD CN(C)c1ccc(N(Cc2ccccn2)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1 776389717 FBZJEEORTCZZHB-UHFFFAOYSA-N 410.861 4.556 5 20 HJBD Cc1ccc(C(F)(F)C(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 779837626 PECGHXUMXXDNOJ-UHFFFAOYSA-N 402.319 4.586 5 20 HJBD CC(C)c1ccc(C(=O)O[C@H](C)C(=O)Nc2ccc(F)cc2Cl)cc1[N+](=O)[O-] 784257998 QRVDBUAZFDMLIH-LLVKDONJSA-N 408.813 4.695 5 20 HJBD CSc1cccc(N(C(=O)c2cc([N+](=O)[O-])cc(C)c2F)C2CCN(C)CC2)c1 790492257 GCIZRJJTYYILAL-UHFFFAOYSA-N 417.506 4.505 5 20 HJBD CC[C@@H]1CCCC[C@@H]1C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 796532745 UUJQMLOZTXZYJQ-QAPCUYQASA-N 400.479 4.598 5 20 HJBD Cc1c(C(=O)Nc2ncc(-c3ccccc3F)s2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 801731922 GFDSPLKRMVZLLT-UHFFFAOYSA-N 416.390 4.635 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1ccc(Br)cc1F 804891454 CBUJKEYKZHFZHX-MRVPVSSYSA-N 401.619 4.641 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H](C)c1ccc(N2CCCCC2=O)cc1 810194208 OJPDLVLPTYWCGA-MRXNPFEDSA-N 410.474 4.611 5 20 HJBD CCOc1cc2c(cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])O[C@H](C)C2 812843460 WTCKQHHHMVTDHC-SECBINFHSA-N 411.241 4.876 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n(C)n1 813035098 JROJXERPXZRCMA-UHFFFAOYSA-N 405.241 4.863 5 20 HJBD Cc1nn(-c2ccccc2)c2nc(C(=O)Nc3cccc([N+](=O)[O-])c3Cl)ccc12 813247164 XXVPLETVLYGTEU-UHFFFAOYSA-N 407.817 4.543 5 20 HJBD O=C(Cc1ccccc1)N1CCc2ccc(NCc3ccc([N+](=O)[O-])c(Cl)c3)cc21 816659667 WGBWAWDGTVXUNH-UHFFFAOYSA-N 421.884 4.992 5 20 HJBD Cc1c(C(=O)O[C@H](C(=O)c2ccccc2)c2ccccc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 875883183 QITGOGKZYGLQNF-NRFANRHFSA-N 420.377 4.592 5 20 HJBD O=C(Cc1cccc(F)c1)Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1 890107930 RDGZKNKSPNFVKT-UHFFFAOYSA-N 419.364 4.655 5 20 HJBD O=[N+]([O-])c1cnn(CN(Cc2ccc(-c3ccccc3C(F)(F)F)o2)C2CC2)c1 919950126 FPQBAIFZTUVBEM-UHFFFAOYSA-N 406.364 4.692 5 20 HJBD COc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)c1Br 1325516851 BEKNZTIJLHBDMX-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)c1ccc2nc(O)[nH]c2c1 1339064488 IIFUUTPYAFJLKC-NSHDSACASA-N 412.833 4.941 5 20 HJBD COc1ccc(C(=O)CCCCc2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1343480991 LOUSOGZKXIOPIB-UHFFFAOYSA-N 409.442 4.866 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)ccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3370702 SCVUZEXJDWSXST-QMMMGPOBSA-N 417.632 4.739 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cs1 10749891 UPDLTBXTQPLZKV-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc(-c2cc(C(=O)Nc3cccc([N+](=O)[O-])c3C)c3c(C)noc3n2)cc1 22227295 QXHCAOJRSVZQDJ-UHFFFAOYSA-N 402.410 4.976 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cc1OC 41895418 UUPWYPBZZNEPAK-CZUORRHYSA-N 414.458 4.523 5 20 HJBD COc1ccc(CSCC(=O)Nc2ccc(OC(C)C)cc2C)cc1[N+](=O)[O-] 55769947 JRIZBCPDPWIAMV-UHFFFAOYSA-N 404.488 4.571 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(Br)cc1)C1CCC1 60611672 IKLZQOYQWPHWTG-SFHVURJKSA-N 418.291 4.698 5 20 HJBD Cc1ccc(NC(=O)N(CCN(C)C)Cc2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 62368736 PQMMPTDRYXWDFJ-UHFFFAOYSA-N 424.423 4.518 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1Br 70140267 CNMLBWXLSFSTIG-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NCC(C)(C)c2ccccc2)cc1[N+](=O)[O-])c1ccccn1 108785279 XXHDLKFZNVSVTM-QGZVFWFLSA-N 418.497 4.871 5 20 HJBD CC[C@@H](NCc1cc(OC)c(OCC(F)(F)F)cc1[N+](=O)[O-])c1nc(C)cs1 237005742 QXXJQANIIWDDQD-GFCCVEGCSA-N 419.425 4.550 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN[C@H](CN3CCCC3)c3ccccc3)cs2)c1 238010066 XSTNYGMQGSZJKW-OAQYLSRUSA-N 408.527 4.645 5 20 HJBD COc1cccc(NC2CCN(c3ccc([N+](=O)[O-])c(-c4ccccc4)n3)CC2)c1 301865098 MNJFBNRMFCIMMR-UHFFFAOYSA-N 404.470 4.746 5 20 HJBD CC(C)N(Cc1ccc(F)cc1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426265984 XAQNEPMNLAFQED-UHFFFAOYSA-N 413.371 4.873 5 20 HJBD CC(C)(c1nc(Cc2ccc(Br)cc2)no1)c1ccccc1[N+](=O)[O-] 426332979 YCVJHLYVRBTMEY-UHFFFAOYSA-N 402.248 4.657 5 20 HJBD Cc1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCCO)ccc1-c1ccccc1 428558037 FWFFQEWBFKZJIW-UHFFFAOYSA-N 422.506 4.585 5 20 HJBD CC(C)(C(=O)NCc1ccc([N+](=O)[O-])cc1)c1csc(-c2c(F)cccc2F)n1 429895314 KLDSZSQGILKGHO-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD CCC(=O)Nc1ccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 436068786 QCEISFFBLMPLMS-UHFFFAOYSA-N 421.403 4.560 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c1 437480314 GDMWWWJAZIIDDV-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCCC3(CCCC3)C2)cc1[N+](=O)[O-])c1ccccn1 438685427 IAXXTPTXYKFJMF-QGZVFWFLSA-N 408.502 4.959 5 20 HJBD Cc1ccc(S(=O)(=O)Nc2ccc(Oc3ccc(Cl)cn3)cc2)c([N+](=O)[O-])c1 438770969 JGZMQWXUQCITKP-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD C[C@H](Nc1ccc(COCc2ccccc2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 439621385 VUZBITRAYJFETR-KRWDZBQOSA-N 405.454 4.751 5 20 HJBD C[C@H](CSCc1ccccc1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 440265680 MHZWHVSSNRJPKP-QGZVFWFLSA-N 414.527 4.534 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN[C@@H](CC(F)(F)F)c1ccccc1C 444778656 XAPYBVJSYWFHQM-SFHVURJKSA-N 409.408 4.824 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2ncc3n2CCCC3)c1 446908384 MJJBTNSMXLCCFX-MRXNPFEDSA-N 419.485 4.624 5 20 HJBD COc1ccc(NC(=O)CNc2ccc3nc(C(C)(C)C)sc3c2)c([N+](=O)[O-])c1 462175282 JYRCGVQHYKCIRH-UHFFFAOYSA-N 414.487 4.561 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc2cc(Cl)ccc2s1 462436073 KCEGCQIIPHTDGK-CQSZACIVSA-N 417.918 4.786 5 20 HJBD CCOc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2CC)cc(OCC)c1OCC 464711860 NITAEVFKDQYUBV-UHFFFAOYSA-N 402.447 4.606 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CCN1C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 466908229 PRJBYEGXOODFMH-WIYYLYMNSA-N 407.514 4.606 5 20 HJBD CC[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)CCCO1 467815746 ACOPDCIXGRGOMR-KRWDZBQOSA-N 417.893 4.501 5 20 HJBD COc1cc(C(=O)Nc2ccccc2Oc2cc(F)cc(F)c2)ccc1[N+](=O)[O-] 469121805 YSFKMUAUJOFASR-UHFFFAOYSA-N 400.337 4.926 5 20 HJBD CC(=O)N1CC[C@H](Nc2cc(C)c([N+](=O)[O-])cc2Br)c2ccccc21 473513785 QGWGCHIZQFMZJE-HNNXBMFYSA-N 404.264 4.576 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)c3nnn(-c4cccc([N+](=O)[O-])c4)c3C)c2)o1 477522722 ORKHTYJHXUGWPW-UHFFFAOYSA-N 417.425 4.613 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 480374214 GUSPWQWQYQSLEX-UHFFFAOYSA-N 421.457 4.537 5 20 HJBD CCC[C@H](NCC1(c2ccc3c(c2)OCO3)CCOCC1)c1cccc([N+](=O)[O-])c1 481545524 NVKBPVSVEKYXCL-FQEVSTJZSA-N 412.486 4.503 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 481564865 AXSKIQZHZSWBDV-CYBMUJFWSA-N 407.854 4.546 5 20 HJBD CC[C@H](CN(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1ccccc1 482259782 FONBKOGTPIUSAQ-CQSZACIVSA-N 422.428 4.861 5 20 HJBD COc1cc(C(=O)N(CC(C)(C)C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 486604320 NCLAWTJXSVGUJV-UHFFFAOYSA-N 408.401 4.898 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2ccnc2)c(Cl)c1 486677036 RANPYXAMFNNPLW-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD C[C@@H](Cc1ccncc1)NC(=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 487885537 YZVYSKYBOYSHGK-HNNXBMFYSA-N 424.888 4.616 5 20 HJBD CC(C)Sc1c(Cl)cccc1NC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 488480848 QCMNHZZTJKUPBT-UHFFFAOYSA-N 423.926 4.814 5 20 HJBD CCOc1cc(CN[C@H](C)c2ccc(OC)c(Br)c2)ccc1[N+](=O)[O-] 492584052 SOWBQVLVCXUVGI-GFCCVEGCSA-N 409.280 4.615 5 20 HJBD O=C(c1csc(Cc2ccc(F)cc2)n1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492870890 HVUYWPKQQBTHJE-UHFFFAOYSA-N 411.458 4.586 5 20 HJBD CN(Cc1cccc(C(F)(F)F)c1)C(=O)Cn1c2ccccc2c(=O)c2ccccc21 502741818 QVKQTJGQJIGKCC-UHFFFAOYSA-N 424.422 4.832 5 20 HJBD CC(=O)c1ccc(NCc2cc(Br)ccc2OC(F)F)c([N+](=O)[O-])c1 504166183 QEVZVCNSUIQCGJ-UHFFFAOYSA-N 415.190 4.773 5 20 HJBD COc1cc([N+](=O)[O-])ccc1OCc1csc(N(C(C)=O)c2ccc(C)cc2)n1 509069897 YMXXLXFLZIASEA-UHFFFAOYSA-N 413.455 4.632 5 20 HJBD COc1ccc(CN2CCC[C@@H]2c2cc(C)no2)cc1OCc1ccc([N+](=O)[O-])cc1 509814301 KHSOJAPDEVCCNC-HXUWFJFHSA-N 423.469 4.816 5 20 HJBD COc1ccc(OC)c(CN(C(=O)[C@H](C)c2ccc([N+](=O)[O-])cc2F)C(C)(C)C)c1 514677369 DEDDNOXSFBREHD-CQSZACIVSA-N 418.465 4.682 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H](Cc1ccccc1)c1cccs1 515314299 XHECHKBMFUJPFY-HXUWFJFHSA-N 409.511 4.824 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1Cc2ccccc2[C@@H](c2ccccc2)C1 518965032 LLOIIEFIVUVAQS-OAQYLSRUSA-N 402.450 4.538 5 20 HJBD O=C(Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1cc(-c2ccccc2)ccc1Cl 521380800 DRTWTEBBAFLMBW-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(CCCc3ccccc3)cc2)c(C)c1[N+](=O)[O-] 522542569 KVOXUDLRXWHLQB-UHFFFAOYSA-N 406.486 4.612 5 20 HJBD COc1ccc(CSCC(=O)N2CCCCC[C@H]2c2ccco2)cc1[N+](=O)[O-] 522946162 QLQZNZXVDUFBCS-INIZCTEOSA-N 404.488 4.574 5 20 HJBD COc1cc(C(=O)N2CC=C(c3cccc4ccccc34)CC2)cc([N+](=O)[O-])c1OC 525066352 NVERZUIUWMKIBN-UHFFFAOYSA-N 418.449 4.695 5 20 HJBD Cc1nc(C(C)C)sc1[C@H](C)N(C)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 535147795 JXFOPFBPXQDNGH-NSHDSACASA-N 417.940 4.518 5 20 HJBD CCSCc1ccnc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 535636557 MPPXUAAWTKYBQB-UHFFFAOYSA-N 400.485 4.619 5 20 HJBD COc1ccc(NC(=O)c2sc(-c3cccc(Cl)c3)nc2C)cc1[N+](=O)[O-] 542000102 MFBBXROTWSXGCP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1noc(C)c1CCc1nc(-c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)no1 545785016 OJSFPEUOSNATHB-UHFFFAOYSA-N 406.398 4.827 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3ccccc3CN3CCCCC3)no2)cc1 546409371 XIYHUDAZQVCCFU-UHFFFAOYSA-N 410.499 4.923 5 20 HJBD COc1ccc(CC(=O)N[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)cc1[N+](=O)[O-] 547408508 OMGGOANTSNDNBH-HDJSIYSDSA-N 409.269 4.515 5 20 HJBD COCCC[C@H](CNC(=O)c1cccc(SC)c1[N+](=O)[O-])c1ccc(Cl)cc1 552679355 ASBKQYRCLTWIDQ-OAHLLOKOSA-N 422.934 4.910 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3sc([N+](=O)[O-])cc23)c1)c1cccc(F)c1 557503398 JZEIBEPHJRSKJI-UHFFFAOYSA-N 423.429 4.603 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)n1 559033409 ZFLJQRFCUNFWOP-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CN1C[C@@H](CC(=O)N(C)C)c2cc(Cl)ccc21 569291573 IUCUNHSUUAFUMG-BAPRJRNISA-N 413.905 4.734 5 20 HJBD COCCC[C@H](CNC(=O)Nc1cc([N+](=O)[O-])ccc1C)c1ccc(Cl)cc1 588226815 XYTMKRJILRXGTI-MRXNPFEDSA-N 405.882 4.889 5 20 HJBD CC(C)c1ccc(-c2nc(CC(=O)NCCNc3ccc([N+](=O)[O-])cc3)cs2)cc1 608837912 XXENNJYYIFJSNQ-UHFFFAOYSA-N 424.526 4.613 5 20 HJBD O=C(Nc1nc(C2CC2)c(Cc2ccccc2Cl)s1)c1cc([N+](=O)[O-])c[nH]1 608855893 XUSNAWMJGRIGEK-UHFFFAOYSA-N 402.863 4.753 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 609647212 ARTPVFQWAFOXHA-UKRRQHHQSA-N 408.376 4.554 5 20 HJBD CC(C)Oc1c(Cl)cccc1NC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609821334 MSRWSFWGQHDKRY-UHFFFAOYSA-N 401.806 4.542 5 20 HJBD Cc1c(C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)cnn1Cc1ccccc1 609859158 MTPSWOKFYIHIEK-UHFFFAOYSA-N 404.470 4.737 5 20 HJBD CC(C)(C(=O)Nc1ccc(-c2ncc3n2CCCC3)cc1)c1ccc([N+](=O)[O-])cc1 610040462 SVXZYNHFAYYJEN-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c(C(F)(F)F)c2)cc([N+](=O)[O-])c1OC 610168677 XCHGYNNMEOJOBP-UHFFFAOYSA-N 404.728 4.537 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)C(=O)NCCc1ccc(Cl)cc1[N+](=O)[O-] 611514052 IYVKGRBVSXLNJD-UHFFFAOYSA-N 415.799 4.651 5 20 HJBD Cc1ccc(-c2n[nH]c(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)n2)o1 611522350 SQBVVHHZQCBZOI-UHFFFAOYSA-N 413.459 4.568 5 20 HJBD O=C(Nc1c(F)cc(F)cc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 618670525 MFULCUYWSZARHS-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD COc1ccc2oc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)c(C)c2c1 730107517 IWDKFKTZDIPYCW-CYBMUJFWSA-N 409.442 4.723 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC[C@H]1c1ccc(F)c(F)c1 740483129 NKLGQXQHUKAZNX-FQEVSTJZSA-N 403.429 4.742 5 20 HJBD O=[N+]([O-])c1ccc(CN(C(=S)Nc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 743061011 DIOVALPHNCRMQO-UHFFFAOYSA-N 408.439 4.907 5 20 HJBD Cc1cc(C)c2c(c1)CCCN2C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 747309551 UYGODHJNRHDROO-UHFFFAOYSA-N 418.497 4.666 5 20 HJBD Cc1c(C(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748617106 FWSGTIBDCRRIFA-UHFFFAOYSA-N 422.397 4.905 5 20 HJBD Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(N3CCCCC3)cc2)cc1[N+](=O)[O-] 751921088 DTVWAPNAMBZWDB-QZTJIDSGSA-N 410.518 4.571 5 20 HJBD CSc1ccc(C(=O)N2CCCCC[C@@H]2C[C@@H](O)c2ccccc2)cc1[N+](=O)[O-] 752115444 VFPXFYZQKHKCPI-UYAOXDASSA-N 414.527 4.825 5 20 HJBD C[C@@H]1C[C@H](CNC(=O)OC(C)(C)C)CN1C(=O)c1cc2ccccc2c2cccnc12 752325882 RDUQFBSUUVOKQE-IAGOWNOFSA-N 419.525 4.763 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3F)o2)c1 753423765 SHVGBDSYXSVKDV-ZDUSSCGKSA-N 413.405 4.674 5 20 HJBD C[C@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc2ccccc2c1 753513018 CYHNVYCLHNBHDS-AWEZNQCLSA-N 403.394 4.645 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@H]2c2c(C)nn(CC(C)C)c2Cl)cc1[N+](=O)[O-] 760029717 SCXQJBJFUIKTIF-INIZCTEOSA-N 404.898 4.695 5 20 HJBD C[C@@H](C(=O)Nc1cccc2c1CCN2C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 762002567 VAANDSAEMOJHGZ-CQSZACIVSA-N 411.458 4.635 5 20 HJBD O=C(OCc1ncc(-c2ccc(Cl)cc2)o1)c1ccc([N+](=O)[O-])c2cccnc12 766222595 PBYRAIOEMNHEHK-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD COc1cc(C(=O)Nc2ccc(Br)c([N+](=O)[O-])c2)cc(OC)c1C(C)C 781169812 ZPVAMUMPSSWXNE-UHFFFAOYSA-N 423.263 4.750 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](c1ccccc1)C1CCOCC1 784010690 STNWHLPADJDDOJ-FQEVSTJZSA-N 420.918 4.624 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-] 790537067 APNMEHLMTUUQHF-UHFFFAOYSA-N 410.829 4.756 5 20 HJBD Cc1nc2c(C(=O)Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)cccc2o1 791455961 BPCPZFQUFLRROC-UHFFFAOYSA-N 417.377 4.516 5 20 HJBD CCc1cccc(CC)c1NC(=S)Nc1cc(C(=O)OC)cc([N+](=O)[O-])c1C 792685088 GQNBZIOCLBPHTF-UHFFFAOYSA-N 401.488 4.624 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1ccc(N2CCc3ccccc3C2)cc1 800149556 PQNUPLLJUJUNBQ-UHFFFAOYSA-N 405.429 4.857 5 20 HJBD Cc1ccc(N2CCC[C@H](NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])C2)cc1 813026727 IUTMLYRXOUYUIM-AWEZNQCLSA-N 408.285 4.609 5 20 HJBD O=C(Nc1cc(CCc2ccccc2)[nH]n1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813461347 JWIWRDYIBQMTFX-UHFFFAOYSA-N 405.241 4.662 5 20 HJBD Cc1c(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cccc1-c1ncco1 816797970 ZDHLNXGEPRIOMT-UHFFFAOYSA-N 420.194 4.712 5 20 HJBD C[C@H](Oc1ccc(F)cc1Br)C(=O)O[C@H](C)c1cccc([N+](=O)[O-])c1 915496756 VUEOCCNCSJSUAR-MNOVXSKESA-N 412.211 4.568 5 20 HJBD O=C(Oc1ccc(NC(=O)c2cccs2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 915809580 TVJCLZGUNSWZSB-UHFFFAOYSA-N 408.391 4.874 5 20 HJBD CC(C)(C)c1ccc([C@H]2CCCN2C(=O)COc2cc(F)ccc2[N+](=O)[O-])cc1 917170491 GDNMNPILFRQRAB-GOSISDBHSA-N 400.450 4.774 5 20 HJBD C[C@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 920259750 IPXPYVTWYGLWTQ-CQSZACIVSA-N 414.458 4.801 5 20 HJBD O=C(NC[C@H]1CCN(c2ccc(Cl)cc2)C1)Nc1ccc(Cl)c([N+](=O)[O-])c1 921233732 ABUBORUPOKLAJB-GFCCVEGCSA-N 409.273 4.550 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3ccccc3o2)CC1 1116163619 CQTYOEHBWWWFMC-UHFFFAOYSA-N 422.485 4.648 5 20 HJBD CC(C)CCOc1ccc(-c2nnc([C@H]3CC(c4ccccc4[N+](=O)[O-])=NO3)o2)cc1 1117188488 MYLQZZVOJXHNKT-HXUWFJFHSA-N 422.441 4.935 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(-c3cccc(OC(F)F)c3)o2)c(Br)c1 1117261041 GLKQOTMYOVPEFZ-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD Cc1cc(C)c2cc(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)sc2n1 1122496782 QNPBJMHQHVXKIT-UHFFFAOYSA-N 409.423 4.833 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)CCc1nc(-c3ccc(C(C)C)cc3)no1)CC2 1337182682 WJKDJOFADSIWGR-UHFFFAOYSA-N 420.469 4.599 5 20 HJBD Cc1[nH]c2ccc(Br)cc2c1CC(=O)Nc1cccc([N+](=O)[O-])c1C 32660027 LMTZRWUEMHJZMU-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)N(C)Cc1ccccc1[N+](=O)[O-] 54839542 RPAHZFWCRYZVDU-OAHLLOKOSA-N 410.499 4.929 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1OC 56404486 APYLACVVXKNLTI-HUUCEWRRSA-N 401.463 4.514 5 20 HJBD Cc1ccc2nc(-c3ccc(NC(=O)C(C)(C)n4cc([N+](=O)[O-])cn4)cc3)sc2c1 72080052 SYDCTZDDFLZFRQ-UHFFFAOYSA-N 421.482 4.750 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c1C 138464920 LVVYMEPOAXWPAH-CYBMUJFWSA-N 419.865 4.701 5 20 HJBD Cc1noc(C)c1[C@@H](C)NCc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 237469544 DSOYOIOAZKECLZ-OAHLLOKOSA-N 417.469 4.903 5 20 HJBD Cc1cc(N2CCO[C@H](c3cccc(OC(F)F)c3)C2)c2cccc([N+](=O)[O-])c2n1 302030067 RREQAKYXKGSIBQ-IBGZPJMESA-N 415.396 4.631 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Sc1nnc(-c2ccco2)n1Cc1ccco1 302784861 UXLBGMDXRBIHAX-UHFFFAOYSA-N 402.819 4.892 5 20 HJBD O=[N+]([O-])c1ccc(CCCCNc2cc(C(F)(F)F)nc(-c3cccnc3)n2)cc1 303775130 FYPLBPZUEWAKQM-UHFFFAOYSA-N 417.391 4.900 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)nc1)c1ccc(Br)cc1[N+](=O)[O-] 429846891 ZXUFDICTAURGEG-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1nc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc2o1 435874940 PHYUAJJAZPNEIT-UHFFFAOYSA-N 405.360 4.661 5 20 HJBD O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 436115564 PKLLEPVYVQKNKV-UHFFFAOYSA-N 416.387 4.602 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)NCc2csc(-c3ccc(C(F)(F)F)cc3)n2)c1 437013123 IVQFBEBKXHNTGB-UHFFFAOYSA-N 421.400 4.976 5 20 HJBD O=C(Nc1nc(-c2cccc(O)c2)cs1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 438334993 BRRFWJVAWRXNHB-UHFFFAOYSA-N 409.345 4.695 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@@H](Cc2ccccc2)C1 438683247 UZXXHOQIIDEYBX-LEWJYISDSA-N 410.517 4.682 5 20 HJBD Nc1ncc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1-c1cccc(Cl)c1 447627338 WMTQWSVFWITCCE-UHFFFAOYSA-N 403.225 4.798 5 20 HJBD CC(C)n1nc(-c2ccco2)cc1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 447860993 LRONTERYHXFQEF-UHFFFAOYSA-N 418.837 4.693 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)cc1OC[C@H]1CCCO1 462478546 CSKRFIMREBKOND-AZUAARDMSA-N 412.486 4.668 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](CC)c1ncc(-c2ccccc2)[nH]1 462923270 ADTJCPYDVZMFRH-FXAWDEMLSA-N 406.486 4.821 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1 464718464 CWQPVQXFNDPRKP-UHFFFAOYSA-N 403.438 4.686 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3Cc4ccccc4C[C@H]3c3ccccc3)o2)cc1 464725644 OIZCXDQHCZGRDE-QFIPXVFZSA-N 412.449 4.944 5 20 HJBD COC[C@@H]1CCCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 467721971 HXEDJQANCLMTGH-CQSZACIVSA-N 420.918 4.898 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(Cc2ccc(F)c(F)c2)CC1 469666382 AEOBGLAQLNYHEA-UHFFFAOYSA-N 403.429 4.976 5 20 HJBD Cc1ccc([C@H](NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c2ccccn2)cc1 471063637 SUCRZAJMFVGROR-QFIPXVFZSA-N 400.438 4.832 5 20 HJBD COc1ccc(NC(=O)c2ccc3c(c2)nc(C)n3Cc2ccsc2)cc1[N+](=O)[O-] 472568948 XYQWTHAFQQQTAC-UHFFFAOYSA-N 422.466 4.624 5 20 HJBD Cc1ccc(C(=O)[C@H](c2ccc(C)cc2)S(=O)(=O)c2ccc([N+](=O)[O-])cc2)cc1 477055216 HWLIFEDVTIDYFG-QFIPXVFZSA-N 409.463 4.610 5 20 HJBD O=[N+]([O-])c1ccc(N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)c2ccncc12 481055687 SGEMDZPRYFOULH-INIZCTEOSA-N 411.767 4.961 5 20 HJBD CN(C)c1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c(C(F)(F)F)c1 482994698 YYUKWDBQJBLGBW-UHFFFAOYSA-N 422.407 4.876 5 20 HJBD CCc1c(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cnn1C(CC)CC 484197295 XZRRWVVLFGSWKE-UHFFFAOYSA-N 411.409 4.960 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)Sc1nnc(N2CCC(C)CC2)n1C1CC1 484618460 LJRCDNNYRBMIHG-HNNXBMFYSA-N 401.536 4.919 5 20 HJBD Cc1cc(C(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c(F)cc1F 484751863 DIKASTNNLOARIK-UHFFFAOYSA-N 410.445 4.648 5 20 HJBD O=C(Nc1ccc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1Cl)c1ccco1 492195854 RUELVZFPXWHUSY-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD CN(Cc1cc(Br)ccc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1F 493157431 QGGJVJRXSPSYIM-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CC2(CCC2)[C@@H]1c1ccccc1 495475356 JXCWAEFNOGJRFW-QFIPXVFZSA-N 405.498 4.565 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1cccs1 496550590 FPLWNMYVZXICMC-CYBMUJFWSA-N 406.529 4.796 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C)ccc1OCc1ccccc1 498725186 SWHFMTLJGNKBQP-QGZVFWFLSA-N 420.465 4.982 5 20 HJBD COc1cc(CNc2ccc(Br)cc2)c([N+](=O)[O-])cc1OCC(F)F 502624314 WRYXTOOYISWARD-UHFFFAOYSA-N 417.206 4.622 5 20 HJBD CC(C)N(Cc1nc(O)c2ccccc2n1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 507459032 HUDAIOCRTUUPBN-UHFFFAOYSA-N 418.453 4.914 5 20 HJBD COc1cc(CNCc2cc3ccccc3[nH]2)c([N+](=O)[O-])cc1OCc1ccccc1 507932119 NTOJANKWBBFYGW-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD CN(C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cc(F)ccc1F 507942583 YEMGNVQWZSTXFV-UHFFFAOYSA-N 406.332 4.647 5 20 HJBD Cc1c([C@@H](C)NCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)cnn1C 509590415 DWJOAGHKMIBKBW-CYBMUJFWSA-N 400.866 4.933 5 20 HJBD Cc1c(C(=O)N(C)CCc2cccc([N+](=O)[O-])c2)oc2c(Br)cccc12 512934124 PJHMEVCBGANTPK-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD Cc1cccc(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1C 514511081 RXTKTEVOBBQZKU-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD COCCc1ccc(Oc2ccc(NC(=O)NCc3ccccc3[N+](=O)[O-])cc2)cc1 518164880 HHPMATYWDLPRHN-UHFFFAOYSA-N 421.453 4.898 5 20 HJBD COc1ccc(NC(=O)[C@H](N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccc2)cc1 530727264 GRYOOEYYCIOIEJ-GAJHUEQPSA-N 419.481 4.942 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@H]2c3ccccc3C[C@@H]2O)cc1 531250884 JIGZYRLUUUTGOE-UNMCSNQZSA-N 410.857 4.788 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCc2cc(Cl)cc(Cl)c2C1 534724916 CKUSQFZZBXSJBV-UHFFFAOYSA-N 404.253 4.510 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@@H](c4nc5c(s4)CCCC5)C3)co2)cc1 536352100 BFMVCNXEZWVCPE-MRXNPFEDSA-N 424.526 4.965 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H](NC1CCN(c2nc3ccccc3s2)CC1)C1CC1 537960099 IYCZFKRZVDSQNA-FQEVSTJZSA-N 422.554 4.784 5 20 HJBD CN(C)c1nc2sc(C(=O)N(c3cccc([N+](=O)[O-])c3)C3CCCC3)cc2s1 538400275 QHCLXLZDKHHOGA-UHFFFAOYSA-N 416.528 4.921 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(COCc2ccco2)c1 538537347 KPOJTXMRLXHFKC-INIZCTEOSA-N 409.442 4.734 5 20 HJBD Cc1cc(NC(=O)CSc2nnc(-c3ccc4ccccc4c3)o2)ccc1[N+](=O)[O-] 538550893 HXFPFWZMFKESAQ-UHFFFAOYSA-N 420.450 4.837 5 20 HJBD COCCOc1c(Cl)cc(NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)cc1Cl 540244433 ZMGJAUSILDVCHN-UHFFFAOYSA-N 424.240 4.660 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(-n2cccn2)c1 540459418 YNYZIMFPRRRHCT-OAHLLOKOSA-N 410.499 4.772 5 20 HJBD CC(C)COc1ncc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1Cl 541188103 LJPUPVLCPFWUOV-UHFFFAOYSA-N 415.780 4.532 5 20 HJBD CCc1ccc([C@@H](NCc2ccc(OC)c(NC(C)=O)c2)c2ccc([N+](=O)[O-])cc2)o1 541546109 ORAFUAYKOAPVHG-QHCPKHFHSA-N 423.469 4.596 5 20 HJBD C[C@H]1C[C@@H](CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 544138302 KKHYRMFHRNMFCQ-GJZGRUSLSA-N 418.877 4.976 5 20 HJBD CCN(CC)C(=O)c1ccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)cc1Cl 544431728 WFZFTRZHSJXPGR-LBPRGKRZSA-N 421.856 4.612 5 20 HJBD Cc1ccc(O[C@H](C)c2nc(Cc3ccccc3[N+](=O)[O-])no2)c(Br)c1 546238611 INSUZSZYMOVAEZ-GFCCVEGCSA-N 418.247 4.780 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc([C@@H]2CCOc3ccccc32)no1 546396544 ODDSHYJCCKRPSI-BXUZGUMPSA-N 401.806 4.686 5 20 HJBD C[C@H](Nc1ccc(-c2nc([C@@H](C)c3ccncc3)no2)cc1[N+](=O)[O-])c1ccccn1 547211445 QUPHQJONUHDFNX-GJZGRUSLSA-N 416.441 4.760 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)c1 550177515 ZLEWOSKULGEGTD-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC(C2CCC2)C2CCC2)cc1[N+](=O)[O-])c1ccccn1 553291180 FLZPXJDLQRLXEQ-HNNXBMFYSA-N 408.502 4.862 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccc(Cl)c2)c(C)s1 556759887 PLHLGFBDNPEOFD-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@@H](C(=O)Nc1nnc([C@H]2CCCc3ccccc32)s1)c1cccc([N+](=O)[O-])c1 559425395 YIIIUFDDVFFEJK-ACJLOTCBSA-N 408.483 4.657 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N[C@H](c1ccccc1)C(F)(F)F 569878952 SSHNANBNKADFGS-CQSZACIVSA-N 421.297 4.716 5 20 HJBD O=C(Nc1cccc(N[C@@H]2CCCC[C@@H]2n2ccc([N+](=O)[O-])n2)c1)C1CCCCC1 573193875 TYZKFHXYHHJOLA-UXHICEINSA-N 411.506 4.906 5 20 HJBD O=C(Cc1cccc(C(F)(F)F)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613742 OJPPLTKEBPHUPU-UHFFFAOYSA-N 420.309 4.747 5 20 HJBD Cc1ccc(S[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)nc1 603726023 OFKSIAOALGBTDT-GFCCVEGCSA-N 400.485 4.541 5 20 HJBD O=C(Nc1ccc2nc(N3CCCC3)sc2c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 604071459 KWAISHHSPIZSRG-UHFFFAOYSA-N 407.455 4.538 5 20 HJBD O=C(Cc1csc(-c2ccc(C(F)(F)F)cc2)n1)NCc1cccc([N+](=O)[O-])c1 604470512 GQLBNVROYBFXPT-UHFFFAOYSA-N 421.400 4.596 5 20 HJBD CCOc1cc(C(=O)Nc2cccc(-c3nc(C)cs3)c2)c([N+](=O)[O-])cc1OC 608808476 KYAXYGDUVAQKMM-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD Cc1nc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cs1 608836027 DPRSDUAEUSNLAN-UHFFFAOYSA-N 408.277 4.672 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(SC)nc2)cc1 608900150 IZXRXIAKPKNQCI-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)NCc1cccc([N+](=O)[O-])c1 609148321 HUAIUUHJWIIUHX-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD CCSc1ccc(Cl)cc1NC(=O)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 609183065 LRQOHWOYJINKSI-UHFFFAOYSA-N 402.863 4.798 5 20 HJBD C[C@@H]1CSc2ccc(Br)cc2[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 609192375 VELQFACALZATND-CLAHSXSESA-N 413.318 4.632 5 20 HJBD COc1ccc([C@@H](Nc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)c2ccccc2)cc1 609585227 KHWXLDVBGKHVGQ-QHCPKHFHSA-N 403.438 4.690 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)c1ccccc1 609652244 FWYHHIDJRBREHD-OAHLLOKOSA-N 420.421 4.758 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc2ccccc2c(O)n1 609762395 HNKFOMCMGLBDBB-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD CCOC(=O)c1ccnc(N[C@@H](C)c2sc(-c3ccccc3)nc2C)c1[N+](=O)[O-] 609962698 ZIAKMZUDCDBCFP-LBPRGKRZSA-N 412.471 4.772 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(OCc2ccccc2F)CC1 611261853 LEEVSRAGQPBDTF-UHFFFAOYSA-N 401.438 4.564 5 20 HJBD O=C(Nc1c(-c2ccccc2)ncn1C1CC1)c1cc([N+](=O)[O-])ccc1OC(F)F 611523181 TXCMRXBIEUJOKP-UHFFFAOYSA-N 414.368 4.647 5 20 HJBD C[C@@H](C(=O)Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1F 612462373 YCPFREVUMYNTBS-LLVKDONJSA-N 422.338 4.681 5 20 HJBD COc1ccc([C@H](NC(=O)NCc2cccc([N+](=O)[O-])c2C)c2ccccc2)cc1 616177156 UGWOZRQLJUWYPH-JOCHJYFZSA-N 405.454 4.501 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 727711697 PQULOMIOEMSGRT-UHFFFAOYSA-N 404.791 4.532 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)OCc2ccc(Cl)cc2[N+](=O)[O-])cc1 734881865 OBSJTXKBXMKQRI-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(OCC(F)(F)F)cc1 735443396 QIZXQWJWRGBHAB-UHFFFAOYSA-N 422.315 4.548 5 20 HJBD O=C(Nc1nc2ccccc2n1-c1ccccc1F)c1cc([N+](=O)[O-])ccc1Cl 742004510 MOULNKNZDLZBII-UHFFFAOYSA-N 410.792 4.979 5 20 HJBD Cc1cccc2c(CCC(=O)O[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c[nH]c12 745134507 CBVBVYQWAPXNIV-CQSZACIVSA-N 420.425 4.672 5 20 HJBD CCOC(=O)c1sc2cccc(F)c2c1COc1cc(C=O)ccc1[N+](=O)[O-] 748153878 DGANWIYUFLGDTG-UHFFFAOYSA-N 403.387 4.517 5 20 HJBD O=C(Nc1nc2ccccc2s1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749568770 ULFNWAWDOWHMLS-UHFFFAOYSA-N 412.652 4.873 5 20 HJBD Cn1ccnc1[C@@H](CCc1ccccc1)OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 754735917 QAKHHBJBJGOTAZ-LJQANCHMSA-N 405.410 4.605 5 20 HJBD C[C@H](OC(=O)Cc1cccc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 758042179 YDJRRWSUEMVPSB-JTQLQIEISA-N 422.224 4.799 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)c1cc(F)c([N+](=O)[O-])cc1F 763403641 RATFNQHYLSIHQW-UHFFFAOYSA-N 413.218 4.686 5 20 HJBD C[C@H](CCC1CC1)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 769647247 ZRCAPJNAEHWFIK-CYBMUJFWSA-N 415.877 4.809 5 20 HJBD Cc1ncc(CCNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 772212758 BQKBZQKEAXXLCN-UHFFFAOYSA-N 417.874 4.778 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(S(=O)(=O)C2CCCC2)cc1 773041579 QVAQUCXVSKBVNJ-AWEZNQCLSA-N 422.934 4.815 5 20 HJBD COc1cc(C)c(NCc2cc(OC)c(OCC(F)(F)F)cc2[N+](=O)[O-])cc1F 775990689 XJZWQFHPZGGMFW-UHFFFAOYSA-N 418.343 4.613 5 20 HJBD C[C@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nnc(-c2cccc(Cl)c2)o1 785937276 SWNDWIDFIFMCRV-JTQLQIEISA-N 422.224 4.799 5 20 HJBD CC[C@H](C)COc1cc([N+](=O)[O-])c(CSC[C@@H]2CC3(CCC3)C(=O)O2)cc1OC 790572597 RNKCERGRWUQKHP-HOCLYGCPSA-N 423.531 4.747 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1cnc(C3CCC3)s1)CC2 793617922 YELDGYBJCPUGMK-UHFFFAOYSA-N 408.321 4.640 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@H](C)c1ccc(C(F)(F)F)cn1 798667544 SOGXNGKNZTURAY-ZJUUUORDSA-N 418.755 4.734 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1Br)c1ccc(C(F)(F)F)cn1 798671273 ZMCDIAFXROACOH-MRVPVSSYSA-N 419.153 4.689 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2ccc(Cl)c([N+](=O)[O-])c2)c1=O 808437578 JNFNVWWLJARORB-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD COc1ccc(CN(CC2CC2)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(OC)c1 809917418 HFTKFLYUOUOGEO-UHFFFAOYSA-N 419.865 4.710 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNCc1cc(Cl)c2c(c1)OCCO2 811472656 LVKVFMJWJGRIHM-UHFFFAOYSA-N 403.649 4.616 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1cc(Cl)ccc1[N+](=O)[O-] 812575268 WJILKGKFWHQULS-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CON(Cc1ccc(Cl)cc1)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 812799264 UTTRZFIHJDEVQH-UHFFFAOYSA-N 403.847 4.576 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])o1)c1ccc(N2CCCNC2=O)cc1 812801340 QXJUDZNVTACECH-MRXNPFEDSA-N 420.469 4.625 5 20 HJBD O=C(Nc1cccc(CCN2CCCC2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813129761 FHRPSYULGFIFKX-UHFFFAOYSA-N 408.285 4.792 5 20 HJBD O=C(Cc1c[nH]c2cc(Br)ccc12)Nc1cccc([N+](=O)[O-])c1Cl 813244991 CWQSVTJVXQKYDE-UHFFFAOYSA-N 408.639 4.673 5 20 HJBD COc1cc(Br)c(NCc2ccc(Cl)cc2[N+](=O)[O-])cc1OC 864005990 COTOVSSBYXJZAN-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD O=C(Nc1ccc(Br)cc1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 868765576 CXASPHKYHHBVEF-UHFFFAOYSA-N 404.264 4.600 5 20 HJBD CCOc1ccccc1Oc1ccc(CNC(=S)Nc2ccc([N+](=O)[O-])cc2)cn1 916027999 WXPROOKHZZGZCI-UHFFFAOYSA-N 424.482 4.667 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)c2nc(-c3ccc(F)c(C)c3)no2)cc1[N+](=O)[O-] 918816949 CNKNCLVPVQYIDL-NSHDSACASA-N 417.418 4.732 5 20 HJBD COc1cc(C)ccc1OC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 919679295 NDUTZYRDMJFAJK-HNNXBMFYSA-N 407.426 4.699 5 20 HJBD Cn1c([C@@H](NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c2ccccc2)nc2ccccc21 920752256 BGPJJCFAHHDIET-FQEVSTJZSA-N 420.856 4.654 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2ccccc2)C2CCOCC2)c(Br)c1 1325792060 YOXDVRQCIOWQRJ-LJQANCHMSA-N 405.292 4.615 5 20 HJBD C[C@H](c1ccccc1)[C@@H](CO)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1328549413 RAIJEHDRLNIQMK-AUUYWEPGSA-N 400.862 4.762 5 20 HJBD CC(C)c1cc(-c2nnc(COc3ccccc3[N+](=O)[O-])o2)nn1-c1ccccc1 1329075219 RVWXZAHGKNQMJY-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD C[C@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@@H](O)c1ccc(F)cc1 1339154578 INZLTDFYUIVCSW-FKIZINRSSA-N 404.825 4.859 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)C1(c2ccccc2Cl)CCOCC1 1346040172 RIIDJUFNLAGEPD-UHFFFAOYSA-N 410.253 4.864 5 20 HJBD CCn1c(SCC(=O)c2ccc(F)c(F)c2)nc2cc3ccccc3cc2c1=O 7577813 HDSDJQMQKWGTIT-UHFFFAOYSA-N 410.445 4.823 5 20 HJBD O=C(c1ccc(NC2CC2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1nc2ccccc2s1 15593538 JGIKXDZOBGYSHM-KRWDZBQOSA-N 408.483 4.756 5 20 HJBD CC(C)c1ccc([C@H](NC(=O)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C(C)C)cc1 61958108 XKVXMORSWWCJLR-LJQANCHMSA-N 417.893 4.824 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(OC3CCCC3)c(Cl)c2)cc([N+](=O)[O-])c1 65695170 KSUNYOLJKBAGLF-UHFFFAOYSA-N 418.833 4.609 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])cn1)Nc1cc(Cl)ccc1Oc1ccc(Cl)cc1 219531419 LVNXOSVIHVNWRE-UHFFFAOYSA-N 407.213 4.529 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)Nc3ncc([N+](=O)[O-])cc3Br)cc2)n1 301666146 LOEGUXXDJFAOMK-CYBMUJFWSA-N 416.279 4.728 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1CC=C(c2ccc(F)cc2)CC1 302934815 HHYGQDPIZNTJRG-UHFFFAOYSA-N 412.464 4.610 5 20 HJBD O=[N+]([O-])c1ccc(CNCc2ccc(OC(F)(F)F)c(Br)c2)cc1 326437712 VRHITECODCGULW-UHFFFAOYSA-N 405.170 4.546 5 20 HJBD CC(C)CN(C(=O)c1ccc(F)cn1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 410002424 VRTLFOLWJKGKJH-UHFFFAOYSA-N 400.435 4.555 5 20 HJBD O=C(N[C@@H]1CCCOc2ccccc21)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 426102959 GOWBKMPOGRXMCT-OAQYLSRUSA-N 418.449 4.818 5 20 HJBD COc1ccc([C@H](CCC(=O)O)NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1 426731924 QVNRFQZVBNZDSJ-IBGZPJMESA-N 410.426 4.559 5 20 HJBD CCN1CC[C@H](N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@@H]1C 426848968 BDJIEMWEONTBTK-LPHOPBHVSA-N 413.543 4.691 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CN(Cc1ccc(F)cc1)C1CCOCC1 429718051 DQRGPMRIOXCDQM-UHFFFAOYSA-N 402.466 4.702 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2cccc(F)c2)c2cccc(Cl)c2)c1[N+](=O)[O-] 434247067 CVAOVUDAINKCCK-KRWDZBQOSA-N 416.840 4.753 5 20 HJBD NC(=O)c1ccc(CS[C@@H](c2ccccc2)c2ccc(F)c(F)c2)c([N+](=O)[O-])c1 437452499 LSSWCFNPLZZETL-FQEVSTJZSA-N 414.433 4.995 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1sc(Br)cc1[N+](=O)[O-] 445179256 AEBVROXQJMMGMU-UHFFFAOYSA-N 411.277 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])c(Cc2noc(-c3sc(-c4cccs4)nc3C)n2)c1 445573380 GWVGTOSCMNZKPK-UHFFFAOYSA-N 414.468 4.738 5 20 HJBD Cc1c(NC(=O)CCc2cccc([N+](=O)[O-])c2)cccc1C(=O)Nc1ccccc1 446138647 OPLZHCYBQIKCIE-UHFFFAOYSA-N 403.438 4.727 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC(C)(C)Sc3ccccc32)cc1OC 446442338 NPVVGVISNNSTSK-UHFFFAOYSA-N 402.472 4.533 5 20 HJBD COc1ccc2[nH]cc(C3CCN(C(=O)Nc4ccc([N+](=O)[O-])cc4C)CC3)c2c1 446478952 APKWGHRSMUGYLX-UHFFFAOYSA-N 408.458 4.805 5 20 HJBD COC(=O)c1c(C)cccc1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 447193178 OIZDFYUAYWUBMW-UHFFFAOYSA-N 420.421 4.521 5 20 HJBD COc1ccccc1-c1csc([C@@H]2CCCN(c3cc(C)c([N+](=O)[O-])cn3)C2)n1 447683962 VQKAIGFBISZGGQ-OAHLLOKOSA-N 410.499 4.814 5 20 HJBD CC(C)(CNC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccccc1Br 448172210 BOZDZXMYLOXBHP-UHFFFAOYSA-N 411.683 4.718 5 20 HJBD C[C@H]1CN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC[C@H]1c1ccccc1 460638740 GCEDDDJDAKMRIE-PZJWPPBQSA-N 410.517 4.807 5 20 HJBD CN(Cc1nc(C(F)(F)F)cs1)c1ccc([N+](=O)[O-])c(OCc2ccccc2)n1 462489503 NYTXODBTOMPWNW-UHFFFAOYSA-N 424.404 4.681 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(Oc2ncccn2)cc1C 462503246 RHXDTSCMZWWQTN-KRWDZBQOSA-N 406.442 4.693 5 20 HJBD CCc1ccc(-c2noc([C@H](C)NC(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)n2)cc1 462619376 DJPLGMSJRIEXIK-YJBOKZPZSA-N 422.485 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OCC2CCOCC2)cc1 462625526 VOWRABBSDCIDGJ-IBGZPJMESA-N 412.486 4.608 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@H](c2ccccc2)C1 463103124 UESMZVQDYFIUTL-APWZRJJASA-N 400.500 4.747 5 20 HJBD C[C@H]1C[C@H](NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCO1 466256532 OGTALOMANHRJPO-SWLSCSKDSA-N 406.891 4.697 5 20 HJBD Cc1c(-c2cc(CNc3cc([N+](=O)[O-])ccc3Nc3ccccc3)on2)cnn1C 467253277 FNGTVJWMFVJVPZ-UHFFFAOYSA-N 404.430 4.647 5 20 HJBD CC[C@@H](Cc1ccccc1)N(CC)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 469707419 IISZESNLQPTZAT-HNNXBMFYSA-N 422.428 4.688 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)N1CC(Oc2ccc([N+](=O)[O-])cc2)C1 470400971 MODNGVLRKHPIBI-UHFFFAOYSA-N 413.474 4.513 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1OCc1nc(Cc2cccc(Br)c2)no1 471290007 YSXBQKYHQQFFMY-UHFFFAOYSA-N 424.638 4.564 5 20 HJBD Cc1ccc(C(C)C)c(OCc2ccc(NC(=O)c3cc([N+](=O)[O-])nn3C)cc2)c1 478120618 VAMYCDGSPUOJSL-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD Cn1c(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)nc2cccc(Cl)c21 479419939 LWFBBYQVDBBLEF-UHFFFAOYSA-N 413.890 4.548 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N(C)[C@@H](C)Cc1ccccc1C(F)(F)F 481426834 OMGAQSPQHJXWPZ-LBPRGKRZSA-N 411.380 4.717 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@H](C)Sc3ccccc32)cc1SC 482099896 CVGRLWMUMBYWNO-LBPRGKRZSA-N 404.513 4.856 5 20 HJBD CC[C@@H](N[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1)c1cccc([N+](=O)[O-])c1 483459028 VAKVMAYAJMYASO-PKTZIBPZSA-N 424.522 4.829 5 20 HJBD CSc1cnn(-c2ccccc2)c1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 484359264 BWZDTBFCZFMPJF-UHFFFAOYSA-N 423.498 4.699 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1C 485866444 YHZLJMZWFNRAPE-UHFFFAOYSA-N 423.860 4.644 5 20 HJBD CCOc1ccc(Br)cc1NC(=O)c1cccc(SC)c1[N+](=O)[O-] 486227199 CENZVKAFTPEIHA-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD COc1ccc([C@H](Nc2ncccc2[N+](=O)[O-])c2ccccc2)cc1Br 488040027 DWGZWTKDIKPIBC-GOSISDBHSA-N 414.259 4.962 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)CCCc2ccc(Br)s2)cc1[N+](=O)[O-] 488062739 OJCHNVGKQCNLAJ-GFCCVEGCSA-N 411.321 4.927 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)cn1)c1ccc([N+](=O)[O-])c2cccnc12 498279790 RSTVDERDUBJLIK-UHFFFAOYSA-N 404.357 4.722 5 20 HJBD CCC(CC)(NC(=O)CCn1cc([N+](=O)[O-])nc1C)c1ccc(Cl)c(Cl)c1 504628404 SPGKCRHTDIYULY-UHFFFAOYSA-N 413.305 4.628 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(C)s3)c(C)s2)c([N+](=O)[O-])cc1OC 504826073 OVZSEGDKZQFVIQ-UHFFFAOYSA-N 419.484 4.666 5 20 HJBD O=C(Nc1ccccc1)C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 506722001 LSMMYMAPVBSODH-UHFFFAOYSA-N 405.454 4.706 5 20 HJBD Cc1cc(Br)c2oc(C(=O)N(C)CCc3cccc([N+](=O)[O-])c3)cc2c1 512999968 VXYYDYSQWSMGOE-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)SCc2ccc([N+](=O)[O-])cc2)c(Br)c1 513816556 PWTVNVOXLAVZLT-GFCCVEGCSA-N 409.305 4.926 5 20 HJBD CC(C)(C)c1noc2ncc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)cc12 515977755 CFGKOZYZAAIRFU-UHFFFAOYSA-N 423.454 4.804 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCc3nc(-c4ccc(Cl)cc4)no3)o2)cc1 518300082 KGRXLFVBMBXWMR-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD CCOCCOc1c(Cl)cccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 520141818 DAHCHAOPDCXGAM-UHFFFAOYSA-N 404.806 4.662 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])N[C@@H](C)c1ccccc1 520393696 STLACVYGEGEGNB-YJBOKZPZSA-N 403.910 4.744 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@H](Cc1ccccc1)c1cccs1 523886400 PAEYKZRMZJZLBK-LJQANCHMSA-N 405.479 4.711 5 20 HJBD Cc1cccc([C@@H]2CCCN2C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])c1C 525071292 LTHLWMBYKPLXSH-SFHVURJKSA-N 402.878 4.998 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)NCc1ccc(COCc2ccccc2)cc1 531505219 MJHDZGVJFPTBAV-UHFFFAOYSA-N 404.466 4.561 5 20 HJBD C[C@H]1CC(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C[C@H](C)O1 534316571 MBMQSDNHCZFZJC-RYUDHWBXSA-N 409.280 4.663 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 534895995 KQXIFMUPNJCFEA-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1cccc(CSc2ccccn2)c1 535454255 ALTZCAMQVIYESM-UHFFFAOYSA-N 418.478 4.945 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccccc3Cn3cncn3)o2)c(Cl)c1 536101116 LYCVXSXTRCAOBL-UHFFFAOYSA-N 409.833 4.760 5 20 HJBD CCOc1cc(N[C@@H](C)[C@@H](OC2CCOCC2)c2ccccc2)ccc1[N+](=O)[O-] 537835215 ULYYDZFLGIOWRS-KSFYIVLOSA-N 400.475 4.731 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)c2cc3c(cc2Br)OCCO3)cc1[N+](=O)[O-] 540191994 WPRWBEXYCLDKMR-QWHCGFSZSA-N 421.291 4.849 5 20 HJBD O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)Nc1ccccc1Oc1ccccc1 540858988 RNVROXVMQAHLKA-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1F 542619038 GOTBZWRSVTZUOI-KPZWWZAWSA-N 421.266 4.964 5 20 HJBD COc1ccc(NC(=O)c2oc3ccc(Br)cc3c2C)cc1[N+](=O)[O-] 543853355 PEVLPADLGAFCSU-UHFFFAOYSA-N 405.204 4.673 5 20 HJBD Cc1ccc(C(=O)NC2CCN(c3c(Cl)cc(Cl)cc3[N+](=O)[O-])CC2)s1 557533552 GOAMOEOYWXKSRR-UHFFFAOYSA-N 414.314 4.670 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1)[C@@H]1C[C@H]1C 566876697 RDTCWTQVUGYBRP-ADLMAVQZSA-N 421.375 4.627 5 20 HJBD O=C(Nc1cccnc1N1CCCC1)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 604236577 VRCZHOCBSIUFEB-UHFFFAOYSA-N 403.442 4.586 5 20 HJBD Cc1ccsc1[C@@H](Cc1ccccc1)N[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] 609918580 CSEDIBWXBNGJHH-QFBILLFUSA-N 409.511 4.865 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCO[C@@H](c4cc(F)c(Cl)cc4Cl)C3)c2c1 611707411 QJUNYMBTONRZGO-QGZVFWFLSA-N 423.231 4.562 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(-n2ccc(-c3nc([C@H]4CCCS4)no3)n2)c(Cl)c1 612949197 MSTVCOBQLLLVCF-GFCCVEGCSA-N 412.258 4.705 5 20 HJBD O=C(c1cc(Cl)c2c(c1)OCCO2)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 731839445 JHNFVPMLRQTLLB-UHFFFAOYSA-N 402.834 4.609 5 20 HJBD COc1ccccc1-c1nc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)cs1 741439863 IWLHZNYHZNDUOF-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1csc(SCc2ccc(C(=O)NCCc3ccccc3[N+](=O)[O-])cc2)n1 742136842 HTDJFMMSEYRHDJ-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD O=C(OCCCO)c1c2c(nc3ccccc13)/C(=C\c1cccc([N+](=O)[O-])c1)CCC2 747386932 HUWZHJRVPXUTON-VKAVYKQESA-N 418.449 4.559 5 20 HJBD C[C@H](CN(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccccc1 750863590 ADSUNHWQRDKVCL-LLVKDONJSA-N 411.683 4.886 5 20 HJBD C[C@H](C(=O)O[C@H](C)c1nc2cc(Cl)ccc2n1C)c1ccc([N+](=O)[O-])cc1F 754337332 OPYARJXVCGDOAI-WDEREUQCSA-N 405.813 4.682 5 20 HJBD CC(C)c1c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 754431656 UHOANSXYVWPKIQ-UHFFFAOYSA-N 400.385 4.634 5 20 HJBD O=C(CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)N[C@@H]1CCc2c[nH]nc2C1 758820442 JUURVKIAOVBTNL-HXUWFJFHSA-N 421.500 4.944 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(Cc2ccc([N+](=O)[O-])c(Cl)c2)CC1 761511508 LGTJOSLDMCSNFZ-UHFFFAOYSA-N 412.795 4.760 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1)c1ccccc1 765549583 XFRPRTTVAZLHDD-UHFFFAOYSA-N 413.389 4.615 5 20 HJBD CCn1c(NC(=S)Nc2ccc([N+](=O)[O-])cc2)nc2ccc(Br)cc21 770597990 ZUAMBVJXPNZNAG-UHFFFAOYSA-N 420.292 4.536 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCCc2c1cccc2[N+](=O)[O-] 775490697 BWMCFBDZQSQTHS-UHFFFAOYSA-N 401.444 4.597 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C 778275683 VDGQJEVCSHHZOW-UHFFFAOYSA-N 401.444 4.571 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1ccccc1SC(F)(F)F 781616882 JBNQBTFYKRIDEP-UHFFFAOYSA-N 415.349 4.686 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cccc([N+](=O)[O-])c1Br 782167919 VDGQRERRXIIKJQ-UHFFFAOYSA-N 405.248 4.536 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@@H](c2ccccc2)CC1 782169525 LZZFUERKONPWBD-OAHLLOKOSA-N 403.276 4.767 5 20 HJBD Cc1nnc([C@@H](C)OC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 784221764 QRMWSCHERSFRHT-LLVKDONJSA-N 401.469 4.824 5 20 HJBD CC(C)COc1ccc(OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 789531068 HKQPOHFCJUKKMQ-UHFFFAOYSA-N 401.462 4.795 5 20 HJBD COc1ccc(OC(=O)c2ccc(C)c(NC(=O)c3ccccc3F)c2)cc1[N+](=O)[O-] 792123250 DXSQFTKBHNMZQN-UHFFFAOYSA-N 424.384 4.522 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)C(=O)N[C@@H](CC(C)C)c1ccc(Cl)cc1 800607361 GTOOWMUXHREMBT-INIZCTEOSA-N 421.856 4.538 5 20 HJBD O=C(Cc1ccc(NC(=O)C2CC2)cc1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811085084 ZMKNPKSRELHRRU-UHFFFAOYSA-N 423.252 4.536 5 20 HJBD O=C(NCc1c(F)cccc1OC(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813034256 FJSNSVJPHZUWNC-UHFFFAOYSA-N 409.147 4.572 5 20 HJBD Nc1ccc(NC(=O)c2oc3ccccc3c2COc2ccccc2)cc1[N+](=O)[O-] 819904717 CHISLXGAABIGMI-UHFFFAOYSA-N 403.394 4.755 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc(-c3ccc(-n4cnc5ccccc54)nc3)no2)c1C 904482148 YZZNMRPPOWGFMJ-UHFFFAOYSA-N 412.409 4.663 5 20 HJBD CCOc1cccc2cc([C@@H](C)Nc3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)oc12 917014603 YOCHLQCXWWZTPV-CYBMUJFWSA-N 409.442 4.805 5 20 HJBD COCCO[C@@H](C)c1nnc(-c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)o1 1325791941 SEHXOWPEWSGGGC-AWEZNQCLSA-N 415.471 4.828 5 20 HJBD CC(C)c1ccc(C(=O)OCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1 5452634 FQHQDADXCSBDLB-UHFFFAOYSA-N 410.348 4.533 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCc1coc(-c2cccs2)n1 11278292 RZWNCDUPLGFGLK-UHFFFAOYSA-N 416.458 4.615 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)Cc1ccc(OC(F)(F)F)cc1 31330036 AWYBQRMUXCSBOO-GFCCVEGCSA-N 414.405 4.633 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C)ccc2OC)cc1 33147912 OUPZOWVKVKIDIB-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD COc1ccc(CSCC(=O)N[C@H](C)c2cccc(OC(C)C)c2)cc1[N+](=O)[O-] 46726312 HSNDQRVLOIDAOD-OAHLLOKOSA-N 418.515 4.501 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1ccsc1 57084088 WWEXKBKVJRMBOH-LJQANCHMSA-N 402.859 4.594 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1OCC(F)(F)F 64783266 NHYQYZCOQMMHPO-SNVBAGLBSA-N 418.755 4.595 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1oc2ccccc2c1CSc1ncccn1 109385888 RQQBWAWPKUESKU-UHFFFAOYSA-N 406.423 4.676 5 20 HJBD O=C(COc1cccc([N+](=O)[O-])c1)N[C@@H](c1ccccc1)c1cc2ccccc2o1 116875631 KKHQDHODPXXTFO-QHCPKHFHSA-N 402.406 4.626 5 20 HJBD O=Cc1ccc(Oc2ccc(Br)cc2[N+](=O)[O-])c(Br)c1 227758699 GBQKXLLFYIEWLB-UHFFFAOYSA-N 401.010 4.725 5 20 HJBD CC1(C)[C@H]2OCC[C@@H]2[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 236707327 AMVBOOJZIOOTSI-XMCHAPAWSA-N 402.878 4.944 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccccn1 409484658 GQOAACBRHQQJEZ-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@H]1NCc1nc2cc([N+](=O)[O-])ccc2o1 429394776 RHHSJXVZJXKQNG-GOSISDBHSA-N 416.275 4.912 5 20 HJBD O=C(Nc1cccc(Oc2ccccn2)c1)c1ccc(Br)cc1[N+](=O)[O-] 430597999 NDDZHQZWUKBIAS-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccco1 431102094 KIXSRWRPSPEPKO-GOSISDBHSA-N 420.343 4.735 5 20 HJBD Cc1coc(-c2cc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)ccc2C)n1 431908905 IBHWYACMNPXBKB-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CC(C)(C(=O)Nc1nnc(-c2ccccc2Cl)s1)c1ccccc1[N+](=O)[O-] 432879850 VDLQEUHQYXUDHA-UHFFFAOYSA-N 402.863 4.683 5 20 HJBD CC[C@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1)C(C)(C)C 435481056 JHPSWECBTQAMIM-HNNXBMFYSA-N 421.544 4.687 5 20 HJBD CC(C)(CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)c1ccccc1F 436294758 PAXZQOVWUQPATP-UHFFFAOYSA-N 424.422 4.806 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 437157052 XGSXTBZQRSJACE-UHFFFAOYSA-N 409.364 4.851 5 20 HJBD CCOc1cccc([C@@H]2C[C@H]2NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 437202551 OFWCWMZFWNGGMP-PKOBYXMFSA-N 400.500 4.780 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(NC(=O)NCc3ccco3)cc2)cc1[N+](=O)[O-] 438972943 LUXKHTBFZLNLDQ-UHFFFAOYSA-N 422.441 4.885 5 20 HJBD C[C@H](CC(=O)N(C)Cc1ccccc1[N+](=O)[O-])c1ccc(F)c(Br)c1 461906082 WZVICAQDACBATP-GFCCVEGCSA-N 409.255 4.649 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H]2c3ccccc3CCC[C@@H]2C)cc1SC 462579415 PGNORRGSFIBRFU-RBZFPXEDSA-N 400.500 4.769 5 20 HJBD O=C(Nc1cc(Cl)c(O)c(Cl)c1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 463111748 XOMRFSHYJWSAHC-UHFFFAOYSA-N 410.238 4.983 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(CCO)Cc1ccc(Cl)c(Cl)c1 463951724 BOJIRFSDPMMXCP-UHFFFAOYSA-N 412.273 4.545 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(=Cc3ccccc3F)CC2)cc1SC 465084127 LOCIILHGPDOREY-UHFFFAOYSA-N 416.474 4.784 5 20 HJBD C[C@@H]1COCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 466537889 CRZOTIXKLIDHHK-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD Cc1ccc(NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)cc1NC(=O)C(C)(C)C 467376352 JHHLYGRYAUXYRR-UHFFFAOYSA-N 418.881 4.863 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1N1C[C@H]2CC[C@H]1C2 468686269 UQWAKYOAQVIDQH-DZKIICNBSA-N 415.877 4.643 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@H](c2ccc(C)cc2C)C1 471325129 ZGGRBIQELLXSAX-KRWDZBQOSA-N 414.527 4.580 5 20 HJBD CCN(C(=O)c1cc(Br)c(C)c([N+](=O)[O-])c1)c1ccc2[nH]ccc2c1 472277496 JRWQGCSTQRAMDG-UHFFFAOYSA-N 402.248 4.814 5 20 HJBD COc1c(C(=O)N2CCC[C@H](c3nc4ccccc4n3C(C)C)C2)cccc1[N+](=O)[O-] 475912163 QGLHXAQEDZSBRG-INIZCTEOSA-N 422.485 4.554 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)N(C)CCc1ccccc1[N+](=O)[O-] 476510357 LGAKBCVNVGBBJE-SFHVURJKSA-N 410.518 4.988 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Cl)c1ccn(-c2ccccc2[N+](=O)[O-])n1 484713067 YRYFPTCZPCSZMV-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CSc1cccc(C(=O)NCc2cccnc2Oc2cccc(F)c2)c1[N+](=O)[O-] 485764928 SZDBXXKMHVCMDG-UHFFFAOYSA-N 413.430 4.573 5 20 HJBD CC1(C)[C@H]2OCCC[C@H]2[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 487940413 CNILKQAVRGKBHD-DBVUQKKJSA-N 412.511 4.679 5 20 HJBD CC(=O)c1cc(N2C[C@H](C)O[C@H](c3cccc(C(F)(F)F)c3)C2)ccc1[N+](=O)[O-] 488684219 ILEXYIUCDVWIBL-BUXKBTBVSA-N 408.376 4.783 5 20 HJBD COc1ccc(CSCC(=O)N(C)c2cc(Cl)cc(Cl)c2)cc1[N+](=O)[O-] 499538856 IZACJSHPLLRVCS-UHFFFAOYSA-N 415.298 4.806 5 20 HJBD O=C(Nc1ncc(Cc2cccc(Br)c2)s1)c1cccc([N+](=O)[O-])c1 505384551 NVAGOQXMNXLHMM-UHFFFAOYSA-N 418.272 4.657 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2cc(C(=O)O)ccc2C)cc1 512335546 GQSKSHQBYQDSDM-UHFFFAOYSA-N 422.393 4.655 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1ccc(OC(F)F)cc1F 512435048 DQDFFUOTNKDISO-UHFFFAOYSA-N 412.367 4.534 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N[C@H](c1ccc(Cl)cc1)c1ccsc1 512748031 GLZDOCCTSXGMMC-HXUWFJFHSA-N 416.886 4.984 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1csc(-c2ccccc2Cl)n1 512999366 RRTOYBHJEFUKQC-UHFFFAOYSA-N 401.875 4.686 5 20 HJBD Cn1ccnc1[C@H](NCC(c1ccccc1)c1ccccc1)c1ccc([N+](=O)[O-])cc1 513665176 CDPVTNDTWFAKAV-XMMPIXPASA-N 412.493 4.839 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516342658 SLVUUCFZWWOPRL-UCLAIMLFSA-N 422.403 4.695 5 20 HJBD O=[N+]([O-])c1cc2c(c(C[S@](=O)Cc3ccc(-c4ccccc4)cc3)c1)OCOC2 517325275 MFEUJXVUIZPNBI-GDLZYMKVSA-N 409.463 4.577 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 519091490 FAKSYSSHTIUYBM-JOCHJYFZSA-N 407.445 4.997 5 20 HJBD O=c1c(Cl)c(N[C@@H]2CCC[C@H]2c2ccccc2)cnn1-c1ccc([N+](=O)[O-])cc1 521964015 OIBKOCFDPQDXMK-ZWKOTPCHSA-N 410.861 4.542 5 20 HJBD CC(C)n1nccc1NC(=O)[C@H](C)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 522716233 WBLYFBYVBBTPHN-HNNXBMFYSA-N 409.446 4.604 5 20 HJBD O=C(Nc1ccc(NC(=O)c2cccs2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 523334042 RPMSPAQVQVDODP-UHFFFAOYSA-N 407.407 4.907 5 20 HJBD O=C(Nc1cccc(C[S@@](=O)c2ccccc2)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 524547360 MWPHNTGQLIIPQI-SSEXGKCCSA-N 419.462 4.636 5 20 HJBD CCCN(CC)Cc1ccc(C(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)cc1 524915117 TZFULVGJITYNPG-UHFFFAOYSA-N 421.501 4.573 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1Oc1ccc(CN[C@@H]2c3ccccc3C[C@H]2O)cc1 531250883 JIGZYRLUUUTGOE-IFMALSPDSA-N 410.857 4.788 5 20 HJBD COCCOC[C@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 536665555 PZRLBVDYNVYMJW-KRWDZBQOSA-N 420.893 4.525 5 20 HJBD CC(=O)N(c1nc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)cs1)c1ccccc1F 540380636 WYNGTJCHBJQMFL-ZDUSSCGKSA-N 414.462 4.726 5 20 HJBD CCC[C@H](N[C@@H](CCO)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 543777756 YZBPRFMAYMOELY-OALUTQOASA-N 407.308 4.912 5 20 HJBD C[C@H](C(=O)Nc1cc(Cl)ccc1N1CCSCC1)c1ccc([N+](=O)[O-])cc1F 544424978 WTRIIGZJNSLDNL-LBPRGKRZSA-N 423.897 4.683 5 20 HJBD CN(Cc1ccncc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 544597333 AGCIGZVORGISDN-UHFFFAOYSA-N 402.248 4.644 5 20 HJBD CC[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1nc(C(F)(F)F)cs1 545119786 PGPZVQFZOOJURG-GFCCVEGCSA-N 419.400 4.754 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(/C=C/c3ccc(OCc4cccnc4)cc3)n2)cc1 545553245 XRAQBEWQRDIUBJ-LFYBBSHMSA-N 400.394 4.789 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cccc(COc2ccc(C)nc2)c1 545959275 YQPYSGVXDDCRAS-UHFFFAOYSA-N 407.426 4.528 5 20 HJBD CCOC1(C)CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 560943008 MOOMQKPHICRSMF-UHFFFAOYSA-N 400.500 4.777 5 20 HJBD CCOc1ccc(C(=O)Nc2cc(C(C)(C)C)nn2Cc2ccccc2)cc1[N+](=O)[O-] 603458350 CENRNYWOWDODGM-UHFFFAOYSA-N 422.485 4.788 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Cl)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603726673 QKTWIRXNYBPIJS-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD Cc1ccn2cc(CNCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)nc2c1 609222663 XERNYENYHGFYSX-UHFFFAOYSA-N 406.417 4.772 5 20 HJBD COc1cc(CSCc2nc(-c3ccccc3)no2)c([N+](=O)[O-])cc1OC(F)F 609235934 JUHDRDNZEWQYFG-UHFFFAOYSA-N 423.397 4.688 5 20 HJBD C[C@H]1c2ccsc2CCN1C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 609545040 OAFQMCYUVCJEHZ-NSHDSACASA-N 413.421 4.623 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 609546912 HDFJQCDLBVUKJI-INIZCTEOSA-N 421.453 4.956 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 609646927 YGKBZNPZVLKHAI-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)c1ccccc1 609666940 QKSVQXLGXGEHIV-CQSZACIVSA-N 402.410 4.863 5 20 HJBD C[C@H](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1C(F)(F)F 610169636 QNPWNWLGACSUMJ-GFCCVEGCSA-N 424.375 4.907 5 20 HJBD O=C(NC[C@@H]1CCC[C@H](O)C1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610198423 DGFKZACINMMTLV-CJNGLKHVSA-N 420.918 4.680 5 20 HJBD COc1ccc(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c(OCc2ccccc2)c1 617769366 AEENTAFWXYMMPD-MRXNPFEDSA-N 406.438 4.925 5 20 HJBD Cc1ccc(-c2csc(NC(=O)COc3cccc4cccnc34)n2)cc1[N+](=O)[O-] 619729520 AFKXNYHGSIMBAH-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD O=C(C[C@@H](NC(=O)c1ccccc1)c1ccccc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710782 GJLUVLILSVIVQQ-LJQANCHMSA-N 423.856 4.748 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 729035428 JEILJODHYTZBID-UHFFFAOYSA-N 424.360 4.547 5 20 HJBD Cc1nc2c(s1)[C@@H](OC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 733070629 YBMUOELRSJFJKI-AWEZNQCLSA-N 416.377 4.535 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1csc(COc2ccc(F)cc2)n1 734886802 FMECPZNCGACDLA-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 742503281 OYRNLMQTIHFGRZ-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD Cc1cccc(C2(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CCC2)c1 744540179 QXUDIZYKFRLOPE-HNNXBMFYSA-N 407.426 4.679 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)c1ccnc(F)c1 751065029 TTZMWASKNMZJCY-UHFFFAOYSA-N 401.781 4.614 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(Br)cc1Cl 751650119 BKMDKHBDHJAMDM-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD O=C(Nc1ccc(N2C[C@H]3CC[C@H]2C3)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 757623895 DKBFUZUHLSSEOW-JXFKEZNVSA-N 409.489 4.922 5 20 HJBD O=C(Oc1ccccc1Oc1ccccn1)c1cccc([N+](=O)[O-])c1Br 758180149 XPNATCOCVBNLRX-UHFFFAOYSA-N 415.199 4.764 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cc(Br)cc(OC(C)C)c2)c1[N+](=O)[O-] 758529138 CGCRKOYKNRQWMC-UHFFFAOYSA-N 411.227 4.844 5 20 HJBD Cc1ncc(C(=O)OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c(Cl)c1Cl 760542432 OPAXWYHIZLRVMP-UHFFFAOYSA-N 408.197 4.617 5 20 HJBD CC(=O)c1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)cc1C 765286273 DJUBCAWVYAIXQX-UHFFFAOYSA-N 411.639 4.774 5 20 HJBD O=C(OCC(=O)c1cccc2ccccc12)c1sc(Br)cc1[N+](=O)[O-] 773602299 KAGRXAMMYIIXDY-UHFFFAOYSA-N 420.240 4.612 5 20 HJBD O=C(Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-])c1cc2ccccc2oc1=O 782848375 AHPXDHAVKPSXCA-UHFFFAOYSA-N 416.389 4.533 5 20 HJBD Cc1ccccc1[C@@H](C)CC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791173750 GBDSVPCCFFVXLE-AWEZNQCLSA-N 417.303 4.744 5 20 HJBD CC(C)Oc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1C(N)=O 793378229 NVESCSRICMHBGV-UHFFFAOYSA-N 411.483 4.822 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 793616173 ATOGTLFBTOTRPA-UHFFFAOYSA-N 413.474 4.915 5 20 HJBD O=C(c1nc(Cl)c(Cl)c(Cl)c1Cl)N1CCc2ccc([N+](=O)[O-])cc21 794099040 LXZMDANLYUKETP-UHFFFAOYSA-N 407.040 4.806 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(O)c3cc(Br)sc3n2)cc1Cl 794946531 WCCHLMRISCVWAF-RQOWECAXSA-N 412.652 4.891 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CC[C@H](c2ccccn2)C1 798714518 YDVDLMFDANKSHU-KRWDZBQOSA-N 405.479 4.771 5 20 HJBD CO[C@@H](COC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ccc(Cl)cc1 801313331 BSEZSGIEOVURON-NRFANRHFSA-N 407.853 4.879 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)c1cc(F)c(Br)c(Cl)c1 808809061 KQMIAPZKKFHTID-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD CC(C)OC(=O)NCc1ccc(NC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1 809915208 OFIYKPKOLITMQR-UHFFFAOYSA-N 406.826 4.527 5 20 HJBD CC(=O)N(c1nnc(SCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])s1)C1CC1 809954933 LEIMMIUISCSIDG-UHFFFAOYSA-N 419.315 4.561 5 20 HJBD CS(C)(=O)=NC(=O)c1ccc(NC(=O)c2cc3ccccc3c3cccnc23)cc1 813381206 XGECNIGNSXCYNT-UHFFFAOYSA-N 417.490 4.508 5 20 HJBD O=C(CCCCc1ccc(Br)cc1)Nc1cc([N+](=O)[O-])c(F)cc1F 915837912 QCBBQBHLQYYVCD-UHFFFAOYSA-N 413.218 4.987 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)c1ccc(OC(F)F)cc1 916541067 DCARXNOYAWPBKU-SNVBAGLBSA-N 421.377 4.839 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCCOC2CCCC2)cc1 918518304 OVXQFAHTLNLZQE-UHFFFAOYSA-N 401.415 4.512 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc(Cl)cc2cccnc12 920778423 JHUIDXRIEOVHBM-NSHDSACASA-N 421.236 4.961 5 20 HJBD COc1ccc([C@H](NC[C@@H](O)c2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 1120558766 JGBXSBYTUURJOQ-YADHBBJMSA-N 412.873 4.669 5 20 HJBD C[C@H](NC(=O)N1CCC(Nc2ccccc2Cl)CC1)c1cccc([N+](=O)[O-])c1 1318298562 RXVXFQJZYMGRAA-AWEZNQCLSA-N 402.882 4.595 5 20 HJBD O=C(Nc1ccc(C2(NC(=O)C3CC4(CCC4)C3)CCC2)cc1)c1ccc([N+](=O)[O-])o1 1785751937 QGPUOLGADCQWFD-UHFFFAOYSA-N 423.469 4.516 5 20 HJBD Cc1nc(C(=O)OCc2nc3ccccc3c3ccccc23)nn1-c1ccc(F)cc1 16398742 BZXFWNYYYGCPQL-UHFFFAOYSA-N 412.424 4.773 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(SC)sc3c2)cc1OC 19200705 LAYPCFOADLWKBY-UHFFFAOYSA-N 419.484 4.586 5 20 HJBD Cc1c(NC(=O)COc2ccc3cc(Br)ccc3c2)cccc1[N+](=O)[O-] 23514955 WOWWFYXOEQLEEZ-UHFFFAOYSA-N 415.243 4.836 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccco1)Cc1cccs1 24049794 GNANFUYZQDUBNG-CQSZACIVSA-N 402.497 4.959 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@@H]3c3ccc(F)cc3)cc2)c(C)c1[N+](=O)[O-] 25325974 BWJDAGZCOKTCGE-OAQYLSRUSA-N 422.460 4.573 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3ccc(Cl)cn3)cc2[N+](=O)[O-])n1 57626469 AELXKUBZEBOYFD-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2ccc(-n3cccn3)cc2)o1 110467993 KKXPNCFZMJULIF-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)NCCCCSc1ccccc1Br 303642279 RHXDHZVAYITXKG-UHFFFAOYSA-N 423.332 4.589 5 20 HJBD CC1(C)CCC[C@@H]1NC(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 427145833 BUZBDUGNSFXPRF-KRWDZBQOSA-N 413.440 4.525 5 20 HJBD CC(C)CC(=O)Nc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1F 427602531 GNLSUMOWAGBVFV-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ccccc1 429783517 LIBWOWWYKHLNNM-ACJLOTCBSA-N 423.847 4.953 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)c1ccc(Br)cc1[N+](=O)[O-] 430623443 GZQAVFBMEMHVEG-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CS[C@H]1CCCCN(C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)C1 435296101 DGTWNMXUSLFODC-WBVHZDCISA-N 414.531 4.521 5 20 HJBD O=C(Nc1ccc(OCC(F)(F)F)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435876550 YVOCUYLBJPVXPU-UHFFFAOYSA-N 412.367 4.875 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccc(COCC(F)(F)F)cc1 436274938 NRGXXQGQPDGGDS-UHFFFAOYSA-N 409.286 4.700 5 20 HJBD CC(C)(C)c1nsc(Oc2ccc(Nc3ncc([N+](=O)[O-])cn3)cc2Cl)n1 436465772 YHHMCJZNUOOWCR-UHFFFAOYSA-N 406.855 4.723 5 20 HJBD O=C(Nc1ccc2ncnc(O)c2c1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440715397 NODAXKRSNMGDSS-UHFFFAOYSA-N 418.434 4.647 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@@H]2CCCC[C@H]2O)cc1 442930725 ZUHQNPQEWYZSKX-RTBURBONSA-N 416.499 4.641 5 20 HJBD COc1cc(Cl)ccc1-c1noc(-c2ccc(N3CCCC3)c([N+](=O)[O-])c2)n1 445670109 LUBCOWXOFDNXST-UHFFFAOYSA-N 400.822 4.574 5 20 HJBD Cc1cccc([C@@H]2CCCCN2C(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)c1C 446899421 INQKUDHPWDLMBJ-FQEVSTJZSA-N 407.474 4.525 5 20 HJBD C[C@H](c1ccccc1Cl)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447019014 VTHVYZIAOOKACN-SNVBAGLBSA-N 422.812 4.649 5 20 HJBD Cc1ccc(CNC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(OCC(F)(F)F)c1 447069587 QDWCOMJQILMZBP-UHFFFAOYSA-N 411.380 4.783 5 20 HJBD Cc1ccc([C@@]2(C)CCN(C(=O)c3cccc4c(=O)c5cccc(F)c5[nH]c34)C2)cc1 447693954 GBPXZMASPMBOFY-SANMLTNESA-N 414.480 4.933 5 20 HJBD Cc1cccc(-n2nc(-c3ccco3)cc2NC(=O)c2cc(C)ccc2[N+](=O)[O-])c1 460109335 UKHQDXPPZJECJI-UHFFFAOYSA-N 402.410 4.910 5 20 HJBD C[C@H](NC(=O)c1ccc(F)cc1[N+](=O)[O-])c1ccc(OCc2ccc(F)cc2)cc1 466329244 QCGYAKCZSKKOGG-AWEZNQCLSA-N 412.392 4.943 5 20 HJBD Cc1ccc([C@H](OC[C@@H](O)COc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1 466998820 RURGDWXCVDUCPH-DENIHFKCSA-N 411.429 4.588 5 20 HJBD CN(Cc1nc(C(F)(F)F)cs1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 470660274 HNFRBJWIFRSYOS-UHFFFAOYSA-N 401.410 4.640 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCO[C@@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 477081626 CJNLYBFVIDCWJH-BFUOFWGJSA-N 421.856 4.540 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(F)cc(C(=O)OC)c1F 478087895 QDYLFBDQQMJPGS-UHFFFAOYSA-N 424.425 4.804 5 20 HJBD Cc1c(CN[C@@H](c2ccccc2)c2nc(-c3ccccc3)no2)cccc1[N+](=O)[O-] 479466898 WTYGCUGRQXCOGC-NRFANRHFSA-N 400.438 4.832 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 485203082 LURCIUYMEYSWGR-NRFANRHFSA-N 419.412 4.765 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccnc(Sc2ccccn2)c1 486102790 FQSTZCQZKSAVBS-UHFFFAOYSA-N 412.496 4.900 5 20 HJBD CSc1cccc(C(=O)NCCCO[C@@H]2CCCc3ccccc32)c1[N+](=O)[O-] 486209602 ILBJTEFFWAZNKG-GOSISDBHSA-N 400.500 4.531 5 20 HJBD CSc1cccc(C(=O)N2CCC(c3c[nH]c4cc(F)ccc34)CC2)c1[N+](=O)[O-] 486213482 XKUBAJDPQXWEBO-UHFFFAOYSA-N 413.474 4.957 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1coc(-c2cccs2)n1 502589131 PSGZMQIBIMEEJP-UHFFFAOYSA-N 403.485 4.743 5 20 HJBD Cc1cc(C(=O)N(C)[C@@H]2CCSc3ccc(Br)cc32)cc([N+](=O)[O-])c1 504558325 DAQPSAMPRMFVRK-MRXNPFEDSA-N 421.316 4.975 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 505889192 XYLJVJQAQNDQMA-BBRMVZONSA-N 406.866 4.682 5 20 HJBD Cc1ccc(NC(=O)COc2cc(C)c([N+](=O)[O-])cc2Cl)c(Br)c1 506447241 YMRRAPYWYXYXPV-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD O=C(Nc1ccccc1)N1CC[C@H](Nc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)C1 509429423 KISPTFLUMLDECJ-SFHVURJKSA-N 421.432 4.514 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@H]1CCCO[C@H]1c1ccccc1 509751358 PWSKCLQMKJZTJP-IRLDBZIGSA-N 411.502 4.683 5 20 HJBD C[C@H]1CCCCN1C[C@@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 512181757 QFZNLECHBPBWAW-ZWKOTPCHSA-N 414.575 4.805 5 20 HJBD NC(=O)CC[C@@H]1CCCN(Cc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)C1 512676268 ADSPXLYGFXWGKE-HNNXBMFYSA-N 417.893 4.518 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)c1sc2cc(Cl)ccc2c1Cl 520256115 CGCXWFLLVDOTEU-UHFFFAOYSA-N 411.266 4.925 5 20 HJBD COc1ccc(-c2noc(-c3ccc(N[C@@H](C)c4ccccn4)c([N+](=O)[O-])c3)n2)cc1 520292861 NILBTQBEYPIECV-AWEZNQCLSA-N 417.425 4.889 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)Nc1cc(OCC)c(OCC)cc1[N+](=O)[O-] 521818077 LERAQSWXACAOLU-UHFFFAOYSA-N 419.453 4.975 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(CC(F)(F)F)c1ccccc1 522720749 IDDQVPXPOCAPOE-NSHDSACASA-N 402.756 4.611 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(COCC(F)(F)C(F)F)c2)c1 525141262 RRGUCBFFYCOJMX-UHFFFAOYSA-N 400.328 4.573 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1cccc(Br)c1 532930370 VQWCFKAJQDMUQP-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)c2cccc(C(=O)c3ccccc3)c2)c([N+](=O)[O-])c1 534170479 AFHRYHWJOFDAPK-HNNXBMFYSA-N 404.422 4.577 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(Br)cc2C(C)C)cc1[N+](=O)[O-] 535539698 TYIDYKUNVWFQFI-UHFFFAOYSA-N 422.279 4.811 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCc1cc(-c2c(OC)ccc3ccccc23)no1 537559176 PMFHLENWENHGOZ-UHFFFAOYSA-N 406.394 4.999 5 20 HJBD COC1CCC(N(C)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 537625211 SWVSURPWMRIRKH-UHFFFAOYSA-N 420.513 4.837 5 20 HJBD CCCN(Cc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H]1[C@H]2CCO[C@H]2C1(C)C 538737083 CAOLADDWPHLKMU-GUDVDZBRSA-N 401.532 4.744 5 20 HJBD O=C(Nc1cccc(CNc2ncnc3cccc([N+](=O)[O-])c23)c1)C1CCCCC1 542505296 BFZFHBACGRVYTG-UHFFFAOYSA-N 405.458 4.669 5 20 HJBD COc1ccc(CC(=O)Nc2ccccc2NCc2ccccc2C)cc1[N+](=O)[O-] 543944322 HXTYMJYGDYLTQR-UHFFFAOYSA-N 405.454 4.705 5 20 HJBD COc1ccc(CSCc2nc(Cc3ccc(F)cc3Cl)no2)cc1[N+](=O)[O-] 544948486 DQZBIDCULDPNSO-UHFFFAOYSA-N 423.853 4.803 5 20 HJBD CCc1noc(-c2ccc(C)c(NCc3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)n1 552587688 UHDUMWNPSPPGLD-UHFFFAOYSA-N 418.457 4.528 5 20 HJBD CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc(Br)cc1)c1ccccc1[N+](=O)[O-] 557967325 CAHXDYBWZWKQSW-LSDHHAIUSA-N 403.276 4.512 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](CO)c1ccccc1Cl 565679139 QYJQRBYUZJBGQY-CQSZACIVSA-N 422.934 4.646 5 20 HJBD C[C@@H](C(=O)NCc1cccc(COCc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 580393798 ZMJFPSRELNEXBN-GOSISDBHSA-N 404.466 4.732 5 20 HJBD COc1ccc(CN2CCCC[C@@H]2c2cc[nH]n2)cc1OCc1ccc([N+](=O)[O-])cc1 589183272 AVKYJLOIIZRBMO-OAQYLSRUSA-N 422.485 4.633 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])c1ccc(CSc2nc3ccccc3[nH]2)cc1 603918398 NTYYBWGWFBLMSK-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)c2)n1 604254325 WGPCDVZTCHGUOH-UHFFFAOYSA-N 420.472 4.559 5 20 HJBD COc1ccc([C@@H](NC(=O)CSc2ccccc2[N+](=O)[O-])c2ccccc2)cc1 604451123 HSBWUWARKBUGQR-QFIPXVFZSA-N 408.479 4.601 5 20 HJBD O=C(CSc1ncccc1[N+](=O)[O-])N[C@H](c1ccccc1)c1ccc(Cl)cc1 604476777 YUPFEFYLKSXPPS-LJQANCHMSA-N 413.886 4.641 5 20 HJBD CC(C)(NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)cc1 609783701 DVHFBBZWDQXRBS-UHFFFAOYSA-N 411.683 4.605 5 20 HJBD C[C@H](N[C@H](Cc1ccccc1)c1ccccc1F)C(=O)Nc1ccccc1[N+](=O)[O-] 610139737 BTKHJEIPNLSRII-HRAATJIYSA-N 407.445 4.635 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(COCc3ccccc3)cc2)cc1[N+](=O)[O-] 610185093 YHDMKMRMUMDROR-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)c1cc(NC(=O)NC(C)(C)C)ccc1Cl 610195035 KLRIISXCANJNKI-UHFFFAOYSA-N 404.854 4.729 5 20 HJBD O=C(c1ccc(OC2CCOCC2)cc1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 610228082 GCDSPSSDXCMBKQ-UHFFFAOYSA-N 410.470 4.742 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc2c(cnn2C(F)F)c1 612051430 RPCLKOUNSFEADY-UHFFFAOYSA-N 412.805 4.724 5 20 HJBD CCCOc1cc(NC(=O)c2ccnc3ccccc23)c([N+](=O)[O-])cc1OCCC 619206659 FEQWUJJGAPTICD-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=S)N[C@H](C)c1ccc(Cl)cc1Cl 726434058 DYHNIWLVUNDPAU-SECBINFHSA-N 400.287 4.958 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3OCc3ccccc3)no2)cc([N+](=O)[O-])c1 731802853 RFNYBMMOJQBTMF-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD CCCOc1cc(NC(=O)/C=C/c2cnc(C)s2)c([N+](=O)[O-])cc1OCCC 732729882 FBGNPBZHDIETJI-VOTSOKGWSA-N 405.476 4.589 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1cc(F)ccc1[N+](=O)[O-] 737734489 ZGKIURJELPRZGC-UHFFFAOYSA-N 422.391 4.987 5 20 HJBD C[C@H](OC(=O)Cn1ccc2cc([N+](=O)[O-])ccc21)c1nc2ccccc2n1C(F)F 743607702 JGBNZCWRUORBBA-LBPRGKRZSA-N 414.368 4.599 5 20 HJBD CCOc1cc(N2CCC(OC(=O)[C@@H](C)c3cccc(C)c3)CC2)ccc1[N+](=O)[O-] 744203358 HRRAXXPKDAFCBF-KRWDZBQOSA-N 412.486 4.618 5 20 HJBD Cc1cc2cc(C(=O)O[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)oc2cc1C 745580508 FBOLCPGKMJMVPW-GFCCVEGCSA-N 416.817 4.795 5 20 HJBD C[C@H](Oc1ccccc1Br)C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-] 746077709 YABHNIBDZQHJIA-JTQLQIEISA-N 414.639 4.521 5 20 HJBD Cc1c(C(=O)OCc2csc(-c3ccsc3)n2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748945392 YOMNFRIKAKMIMF-UHFFFAOYSA-N 419.440 4.662 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccc(F)cc1F 749409408 BEEOOAJSHMBOOG-ZETCQYMHSA-N 419.609 4.780 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ncc(-c2ccccc2)o1 754865452 RFIQRMMNVOGVNQ-OLZOCXBDSA-N 414.849 4.581 5 20 HJBD O=C(OCc1coc(-c2ccc(C(F)(F)F)cc2)n1)c1cc([N+](=O)[O-])ccc1F 756174144 IFMQSDMBDKYTNI-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD C[C@H](Sc1nc2ccccc2s1)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 762571410 QHMFMWHIUVDQLN-LBPRGKRZSA-N 401.513 4.737 5 20 HJBD O=[N+]([O-])c1ccc([C@H](O)CN[C@H]2CCCc3nc(-c4ccc(F)cc4)sc32)cc1 765849026 OCSGDDIZWGUXFX-PKOBYXMFSA-N 413.474 4.558 5 20 HJBD Cc1c([C@H](C)NCc2cc([N+](=O)[O-])ccc2Cl)nnn1-c1cccc(Cl)c1 773040808 OCRWKIJPJCKJDE-NSHDSACASA-N 406.273 4.642 5 20 HJBD O=C(O[C@H]1COc2cc(Cl)ccc21)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 774527462 QLRKSFJUAUGEFR-INIZCTEOSA-N 402.815 4.662 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778166110 LOQXSWMDCPEOSM-UHFFFAOYSA-N 416.258 4.590 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 779889329 AAQFPMRJCHMRSU-UHFFFAOYSA-N 417.878 4.583 5 20 HJBD Cc1ccc([C@@H]2CCC[C@H]2N(C)C(=O)CCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 781686382 PZCBRRPMCDVGSH-VQTJNVASSA-N 411.458 4.539 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](Cc2cccc(F)c2)c2cccc(F)c2)c([N+](=O)[O-])c1 792877604 SYAMEFBOKOQIHJ-HXUWFJFHSA-N 413.380 4.855 5 20 HJBD C[C@@H](OC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1 808955791 MPOAXXBJKBHJQO-MRVPVSSYSA-N 406.719 4.648 5 20 HJBD COc1ccc([C@@H]2CCCN2C(=O)Nc2cccc([N+](=O)[O-])c2Cl)c(OC)c1 809920518 UJWULXMYSDVKAZ-HNNXBMFYSA-N 405.838 4.634 5 20 HJBD Cc1cc(Cl)c(C(=O)O[C@H](C)C(=O)Nc2cccc(Cl)c2C)cc1[N+](=O)[O-] 815406285 CASZEKYLXUDZDG-LLVKDONJSA-N 411.241 4.702 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC2CC2)cc1[N+](=O)[O-])c1cccc(OC(F)(F)F)c1 864010597 GPCNTMQRNRMXON-LLVKDONJSA-N 409.364 4.559 5 20 HJBD Cc1cccc2sc(C(=O)OCC(=O)Nc3ccccc3[N+](=O)[O-])c(Cl)c12 875312572 XANZNTHLTINFOT-UHFFFAOYSA-N 404.831 4.567 5 20 HJBD CC(C)c1ccc(CN(C(=O)C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C2CC2)cc1 918312191 MCLVBEWBLUNULO-UHFFFAOYSA-N 415.877 4.501 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)C(=O)Nc1ccc(Cl)c(Cl)c1 919526832 UOISGLXUHIKHPK-SECBINFHSA-N 422.224 4.567 5 20 HJBD COc1cc(C(=O)O[C@H](C)c2ccccc2[N+](=O)[O-])ccc1OCc1cscn1 920267839 KLOPURJAGWHFRL-CYBMUJFWSA-N 414.439 4.557 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1 920704007 QDEUASAIXQCLQS-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD Cc1nc(-c2ccc(NC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)co1 920765918 NJSJCOMQZRORSZ-LLVKDONJSA-N 401.806 4.618 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCCCN1Cc1cccnc1 1320941359 VXPLVGALFXQSSV-RUZDIDTESA-N 411.505 4.881 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@H]2N(C(=O)OC(C)(C)C)CC2(C)C)n1 1347069168 YHAPSCDDEOAIIU-OAHLLOKOSA-N 402.451 4.580 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1CCC(CCc2ccccc2)CC1 11228802 ASBYXWIOAJDTMJ-UHFFFAOYSA-N 421.541 4.683 5 20 HJBD O=[N+]([O-])c1ccc(/C=C/Sc2nnc(-c3cccnc3)n2Cc2ccccc2)o1 14730972 WHNJDIXCDPTVBV-ZRDIBKRKSA-N 405.439 4.653 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1c(-c2c(F)cccc2Cl)noc1C 47220046 RMTVQCGFNZZWJV-UHFFFAOYSA-N 405.769 4.612 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(C)Cc1ccccc1C(F)(F)F 64420149 JAJDEPVKBWVOQY-LLVKDONJSA-N 416.783 4.693 5 20 HJBD C[C@H]1CC[C@H](NC(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CC1 250890329 DACAEYQPYODAHW-IRJFHVNHSA-N 418.497 4.685 5 20 HJBD O=[N+]([O-])c1ccccc1Sc1nnc(-c2c[nH]c3ccccc23)n1C[C@H]1CCCO1 301094395 OMCWUIJOHPKCMD-CQSZACIVSA-N 421.482 4.665 5 20 HJBD O=C(C1CCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])CC1)C(F)(F)F 302462103 VHXNWXMQXSBKNL-UHFFFAOYSA-N 404.694 4.615 5 20 HJBD Cc1nc(-c2ccc([C@H](C)NCCC(=O)Nc3ccccc3[N+](=O)[O-])cc2)cs1 409869585 JJHMIYGLWASQGW-AWEZNQCLSA-N 410.499 4.706 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1ccc(SC)cc1 410235930 KKILCEYKSHYBEA-ZDUSSCGKSA-N 406.529 4.546 5 20 HJBD O=C(O)[C@H](Cc1c[nH]c2ccccc12)NCc1ccc(-c2ccccc2[N+](=O)[O-])s1 426647102 MBXPPASYIYVRHJ-IBGZPJMESA-N 421.478 4.590 5 20 HJBD O=C(c1c(Cl)cccc1[N+](=O)[O-])N1CCC(c2noc3cc(F)ccc23)CC1 426779463 IEPCVLOSDBUIJD-UHFFFAOYSA-N 403.797 4.548 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1ccc(Cl)cc1[N+](=O)[O-] 428608944 BLEPYAQJBMBFBF-NSHDSACASA-N 411.241 4.569 5 20 HJBD CC(C)(NCC(=O)Nc1ccccc1Cc1ccccc1)c1ccccc1[N+](=O)[O-] 429241243 YUUPIADXSXPABV-UHFFFAOYSA-N 403.482 4.649 5 20 HJBD CC1(C)Cc2c(Br)cccc2[C@H]1NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 429379754 DFZBZKQRJDOIKL-GOSISDBHSA-N 415.291 4.647 5 20 HJBD CN(Cc1ccc(F)c(F)c1)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435877643 SUZPZALPLKOZRC-UHFFFAOYSA-N 414.358 4.510 5 20 HJBD O=C(NCC1(c2ccccc2)CCC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435937593 RPSCIZRVOIIXNV-UHFFFAOYSA-N 400.453 4.672 5 20 HJBD CC(C)[C@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc2ccccc2[nH]1 437700405 KJQJTPZREIUNDV-FQEVSTJZSA-N 407.474 4.542 5 20 HJBD Cc1ccc(CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC(F)(F)F)cc1 441352502 YCXVHSPKHAQUQY-UHFFFAOYSA-N 420.391 4.560 5 20 HJBD Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(OC[C@H]2CCCO2)c1 443867650 JVJRIVUMEASJAT-CQSZACIVSA-N 424.375 4.732 5 20 HJBD CCOc1cc(-c2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)ccc1O 445427235 NUEXPFPFEVQHQD-UHFFFAOYSA-N 410.411 4.540 5 20 HJBD COc1ccc(-n2c(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)nc3ccccc32)cc1 460391677 VUKCTUCAFKYWMR-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD Cc1cc(C)cc(C[C@H](C)NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c1 460503784 NRNNMGCQUXYOHL-AWEZNQCLSA-N 410.392 4.514 5 20 HJBD CO[C@](C)(C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C1CC1 462120218 JOAKFNQUXNXCOY-FQEVSTJZSA-N 422.840 4.611 5 20 HJBD O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 462191662 VWSDNJNKTLMHJR-LPHOPBHVSA-N 404.532 4.565 5 20 HJBD O=C(c1ccccc1)C1CCN(c2ccc([N+](=O)[O-])c(OCc3ccccc3)n2)CC1 462429793 SJIRPLFOZSYZNV-UHFFFAOYSA-N 417.465 4.668 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N(CCc1cccc(F)c1)C1CCCC1 465091222 DOUNRUSLTZGZBO-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD CC[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 467218913 RQGSWRGOTXNRBM-LLVKDONJSA-N 422.816 4.674 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)[C@H]1CCN1Cc1ccccc1 469540779 VZQMBYGDNPMOJJ-JOCHJYFZSA-N 403.438 4.600 5 20 HJBD COc1c(C(=O)N2CCc3sccc3[C@@H]2c2cccs2)cccc1[N+](=O)[O-] 474393279 QFIXRWRFPAEIPH-QGZVFWFLSA-N 400.481 4.514 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@@H]1CCO[C@@H](c2ccccc2)C1 475208624 ZDNQKCJEEZQLBY-RHSMWYFYSA-N 409.364 4.655 5 20 HJBD C[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1c(F)cccc1Cl 477239207 LBOHLSKRDYDCOS-SECBINFHSA-N 420.746 4.819 5 20 HJBD CCn1nc(C)c([N+](=O)[O-])c1NCc1ccccc1-c1ccc(Cn2ccnc2)cc1 479985913 MPCCNKIGCVNMOL-UHFFFAOYSA-N 416.485 4.644 5 20 HJBD CC[C@@H](CN(CC)C(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1ccccc1 482244480 HXGKFLQCWMVBBD-KRWDZBQOSA-N 406.486 4.651 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)cnn1-c1ccc(Cl)cc1 482754835 KURKTOQAFUUQGZ-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CCN(CC)CCOc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 483502467 SOAUYTDJMJEAOC-UHFFFAOYSA-N 413.499 4.782 5 20 HJBD CC1CCN(c2ccc(C(=O)N3CCCc4cc(F)c(F)cc43)cc2[N+](=O)[O-])CC1 484303146 DNFSLMCOEWRHEX-UHFFFAOYSA-N 415.440 4.702 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCC(c2ccsc2)CC1 485969517 ZBYUHJVAEOPJGB-UHFFFAOYSA-N 421.327 4.531 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](c2ccccc2)C(C)(C)C1 489170635 LYWKHMNVCDDWAZ-IBGZPJMESA-N 414.527 4.599 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1csc(-c2ccccc2)n1 489224975 KBNWYLQYRUCSMQ-UHFFFAOYSA-N 413.524 4.803 5 20 HJBD C[C@@H](Cc1cccc(O)c1)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 491939412 PVVAQSLPSDXZGH-HNNXBMFYSA-N 408.479 4.813 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N1CCC(C(=O)N(Cc2ccccc2)C2CC2)CC1 492686231 YEQLVDUOLDHENH-IBGZPJMESA-N 421.541 4.867 5 20 HJBD COc1cccc([C@@H](C)NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 498316101 OAGHLNPTWBABHW-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD CCOc1ccc(Br)cc1CN(C(=O)c1ccc([N+](=O)[O-])cc1)C1CC1 504781963 JTRILNCIURRRMG-UHFFFAOYSA-N 419.275 4.561 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc(NC(=O)NC3CC3)cc2)cc1[N+](=O)[O-] 504906479 YRLKYAXYKQMVQM-LSDHHAIUSA-N 412.490 4.689 5 20 HJBD CCCN(C/C=C\c1ccccc1[N+](=O)[O-])Cc1nc(O)c2ccc(Cl)cc2n1 506116110 PSOBUEKMKUBERZ-ALCCZGGFSA-N 412.877 4.822 5 20 HJBD CC[C@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])c1ccc(Cl)s1 510762567 LAZMNFPMNDYASM-JTQLQIEISA-N 400.909 4.921 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(CC)[C@@H](C)c2cc3ccccc3o2)cc1OC 511502009 BBZMBHPTBOEMPF-AWEZNQCLSA-N 412.442 4.972 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2)n1 522451593 WLZSFNBTXJPUBP-UHFFFAOYSA-N 405.458 4.803 5 20 HJBD COc1cc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)ccc1[N+](=O)[O-] 524110537 BDIGYYLWFBOVLD-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD CCOc1ccccc1[C@H](CC)NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 524198693 ZWKOCKLRQANPHT-INIZCTEOSA-N 406.866 4.683 5 20 HJBD Cc1cc(C)n(-c2ccc(C(F)(F)F)cc2NC(=O)Cc2cccc([N+](=O)[O-])c2)n1 525657545 CXUQCHPRDYSYEL-UHFFFAOYSA-N 418.375 4.597 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@@H](C)c1ccccc1Br 533102545 AOFCZMBDSKRINY-NSHDSACASA-N 408.252 4.591 5 20 HJBD CC(C)(CO)[C@@H](CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 533330111 AHSPLNNEFHDVEQ-FQEVSTJZSA-N 411.527 4.610 5 20 HJBD O=C(Nc1cnn(-c2cnc3ccccc3n2)c1)c1cc2ccccc2c2cccnc12 534013144 AORAJIJIXGSKKW-UHFFFAOYSA-N 416.444 4.769 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cccc3c2CN(c2ccccn2)C3)cc1[N+](=O)[O-] 534599127 RESUEIUTYBMKQU-MRXNPFEDSA-N 417.469 4.701 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Oc2ccc(C(C)=O)cc2)cc1 534767845 TVMAOLIFUIWLDK-UHFFFAOYSA-N 406.394 4.851 5 20 HJBD C[C@@H](CC(=O)Nc1ccc(OC(F)(F)F)cc1)N[C@@H](C)c1cccc([N+](=O)[O-])c1 536807411 VBDHLWYZQPVLCO-STQMWFEESA-N 411.380 4.561 5 20 HJBD Cc1nc(-c2ccc(F)c(F)c2)sc1C(=O)N1CCc2ccc([N+](=O)[O-])cc21 537573905 LMFIPSYFIDDIQS-UHFFFAOYSA-N 401.394 4.508 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 541658967 SZDUOMQCDNOYHY-ADLFWFRXSA-N 418.490 4.593 5 20 HJBD COc1cccc([C@@H]2CCCN2C(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 542336666 IBKNAUJSCJBTFM-INIZCTEOSA-N 406.891 4.713 5 20 HJBD O=C(Nc1cccc(CNCc2ccc([N+](=O)[O-])cc2Cl)c1)c1cccc(F)c1 543396995 SEJODOKQZQLZOD-UHFFFAOYSA-N 413.836 4.929 5 20 HJBD C[C@H](C(=O)NCC1(c2cccc(C(F)(F)F)c2)CC1)c1ccc([N+](=O)[O-])cc1F 543464663 KHDKTQQFPMVWGJ-LBPRGKRZSA-N 410.367 4.704 5 20 HJBD CC[C@H](Oc1ccccc1Cl)c1nc(Cc2ccc([N+](=O)[O-])c(OC)c2)no1 546484789 FCTUADIZNGACQS-HNNXBMFYSA-N 403.822 4.761 5 20 HJBD O=[N+]([O-])c1ccc2oc(-c3nc(-c4cnc(Oc5ccccc5)cn4)no3)cc2c1 547225823 LFCIHVZXMPGBJP-UHFFFAOYSA-N 401.338 4.640 5 20 HJBD CCOc1ccc([C@@H](C)NCc2cc(Br)ccc2OC)cc1[N+](=O)[O-] 552905634 MZNLSJRWTKSJRJ-GFCCVEGCSA-N 409.280 4.615 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)Cc2ccccc2C(F)(F)F)cc([N+](=O)[O-])c1C 553779670 GPGWFZMXZBKGQA-GFCCVEGCSA-N 410.392 4.634 5 20 HJBD C[C@@H](NC(=O)N(C)CCc1cccc([N+](=O)[O-])c1)c1ccc(-c2ccncc2)cc1 555120397 OARIYOYHMMGOGV-QGZVFWFLSA-N 404.470 4.602 5 20 HJBD C[C@@H]1CSC[C@H]1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 557106233 JCQJUSBCUQQDQH-IAQYHMDHSA-N 408.932 4.881 5 20 HJBD CCOc1cc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)ccc1C 557174935 QSOXQMRBUZUWRU-UHFFFAOYSA-N 408.404 4.919 5 20 HJBD O=C(O)c1cc(NC[C@@H](c2ccccc2)N2CCc3sccc3C2)ccc1[N+](=O)[O-] 559143303 VFTAZTZZTJQWKB-FQEVSTJZSA-N 423.494 4.566 5 20 HJBD Cn1ccnc1[C@@H](NC1CCC(C)(c2ccccc2)CC1)c1cccc([N+](=O)[O-])c1 563691242 CTWCLVYMYLHDFW-HMXGKDEJSA-N 404.514 4.908 5 20 HJBD O=C(Cc1c(F)cc([N+](=O)[O-])cc1F)N1CCC(F)(c2cccc(Cl)c2)CC1 563770448 NHQIIYDQIHVTLR-UHFFFAOYSA-N 412.795 4.556 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NS(=O)(=O)c1c(C)cccc1[N+](=O)[O-] 564369355 IZMRFTTUTPGYFX-UHFFFAOYSA-N 412.467 4.591 5 20 HJBD CC(C)c1[nH]nc(C(=O)N2CCC(c3c(Cl)cccc3Cl)CC2)c1[N+](=O)[O-] 570983177 HZFHMFMTIYQQAK-UHFFFAOYSA-N 411.289 4.768 5 20 HJBD CC(=O)Nc1ccccc1CNc1ccc(C(=O)Nc2ccc(C)cc2)cc1[N+](=O)[O-] 571342665 NGMFTSUKPDFWOD-UHFFFAOYSA-N 418.453 4.726 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2c[nH]nc2-c2nccs2)cc1[N+](=O)[O-] 577582430 QKASGHJEVOJRAZ-UHFFFAOYSA-N 417.516 4.832 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1COCc1ccccc1 603695780 CTURKMMPEGGNRH-UHFFFAOYSA-N 405.454 4.976 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCc2c(Br)cccc21 609022574 HPHCVHGLAKKBGS-APPDUMDISA-N 421.316 4.642 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cc(C(N)=O)ccc2Cl)cc1[N+](=O)[O-] 609526618 JQNMFZJJJZIFQD-UHFFFAOYSA-N 421.906 4.738 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)c1ccccn1 609791641 IQPINSCIESVWAJ-UHFFFAOYSA-N 401.781 4.505 5 20 HJBD COc1cc(C(=O)N[C@H]2CC=CCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609869662 JKIAWXLEQFGTHL-HNNXBMFYSA-N 402.834 4.888 5 20 HJBD COc1cc(C(=O)N2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)cc([N+](=O)[O-])c1OC 610196410 ZMKGEJPDESVSEQ-GOSISDBHSA-N 412.486 4.887 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)[C@@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 610222269 OJQAYXGTWFMIBS-ZIAGYGMSSA-N 423.252 4.805 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1 610224567 OUKWBCISJBLMMX-UHFFFAOYSA-N 421.453 4.809 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1CCCN(C2CCCC2)CC1 611207985 MGEZYFKEEUTWBL-UHFFFAOYSA-N 417.575 4.720 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N3CCO[C@H](c4cc(F)c(Cl)cc4Cl)C3)c2c1 611707408 QJUNYMBTONRZGO-KRWDZBQOSA-N 423.231 4.562 5 20 HJBD Cc1nc(SCC(=O)O[C@@H](C)c2cccc([N+](=O)[O-])c2)c2c(C)c(C)sc2n1 729365189 KKUQCFJYFYLAKC-NSHDSACASA-N 417.512 4.921 5 20 HJBD O=C(CCCCOc1ccc(Br)cc1)Nc1cc([N+](=O)[O-])ccc1F 731163032 NCPAIDOBTOBHEB-UHFFFAOYSA-N 411.227 4.684 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Oc2ccc(F)cc2)cn1 735441087 RRLBDNYBZOSXAY-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ncc(-c3ccccc3)o2)cc1SC 741316054 KDUXWBBVIFYAFA-GFCCVEGCSA-N 414.439 4.898 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)c2cc([N+](=O)[O-])ccc2Br)s1 741579790 VVYUFTSFDBUVJV-SNVBAGLBSA-N 412.309 4.518 5 20 HJBD CCCCCSc1nc2ccc(NC(=O)c3n[nH]c(C)c3[N+](=O)[O-])cc2s1 741707807 UDRUKBIKOJKZSZ-UHFFFAOYSA-N 405.505 4.771 5 20 HJBD O=C(NCCOC1CCC1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 742816633 YRDZAVOPOHMPKW-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD O=C(Cc1csc(Cc2ccc(F)cc2)n1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746074036 TUTNFXLEEVYORM-UHFFFAOYSA-N 420.849 4.721 5 20 HJBD Cc1c(C(=O)Nc2cc(C(C)(C)C)nn2C(C)(C)C)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748630517 NBUBRTPGBOPEEK-UHFFFAOYSA-N 417.466 4.621 5 20 HJBD C[C@@H](OC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1)c1ccccc1[N+](=O)[O-] 749054164 JUZRPIHZOUXSOU-CYBMUJFWSA-N 415.833 4.925 5 20 HJBD CCC[C@H](C)c1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n[nH]1 749577467 XPWDIKCZZJQHLN-QMMMGPOBSA-N 415.675 4.890 5 20 HJBD CC(C)C(=O)Nc1ccc(C(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776131637 FRQMKEZNJSEIOF-OAQYLSRUSA-N 419.437 4.531 5 20 HJBD Cc1ccc(C(=O)C2CCN(Cn3cc(-c4cccc([N+](=O)[O-])c4)cn3)CC2)c(C)c1 777954168 CUNXWBLOSATBMK-UHFFFAOYSA-N 418.497 4.628 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778170159 QTIYQDWYVNMFBN-AWEZNQCLSA-N 420.412 4.689 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])c(F)cc1F)c1ncoc1-c1cccc(C(F)(F)F)c1 783862291 QGTCYDYBCAIMEG-UHFFFAOYSA-N 413.258 4.799 5 20 HJBD CC1(NC(=S)NCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)CC1 789234666 PKSXCMYSTPBRFH-UHFFFAOYSA-N 409.870 4.696 5 20 HJBD COc1ccc2cc(/C=C/C(=O)Nc3cc4c(cc3[N+](=O)[O-])OCCCO4)ccc2c1 790653758 QEGMSNVCJWIMLI-XBXARRHUSA-N 420.421 4.570 5 20 HJBD CCc1c(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cnn1-c1ccc(Cl)cc1 791031547 DUSDUJJDSXSMTK-UHFFFAOYSA-N 402.813 4.696 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)C(F)(F)c2ccc([N+](=O)[O-])cc2)c1C 792594515 UDOAIPYGQXZONO-UHFFFAOYSA-N 420.803 4.781 5 20 HJBD C[C@@H](C(=O)OCc1c(F)cc([N+](=O)[O-])cc1F)c1ccc(Br)s1 803374858 OWKHXNDXQPDFLA-SSDOTTSWSA-N 406.204 4.544 5 20 HJBD CC[C@@H](c1cccc(OC)c1)N(C)C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 808839899 BTXMFARCIMHRHX-INIZCTEOSA-N 422.279 4.981 5 20 HJBD Cc1ccc2snc(C(=O)O[C@H](c3cccnc3)c3ccccc3[N+](=O)[O-])c2c1 816005140 AYZLALROVXMGMG-HXUWFJFHSA-N 405.435 4.854 5 20 HJBD Cc1nc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)sc1Cc1ccccc1F 816891139 JOPYFPZMPOUAAB-UHFFFAOYSA-N 405.838 4.995 5 20 HJBD COc1c([N+](=O)[O-])cc(N[C@@H]2CCc3cc(C)ccc32)c([N+](=O)[O-])c1Br 919965005 QMSGNMXWSKUNFC-GFCCVEGCSA-N 422.235 4.682 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1OS(=O)(=O)c1cc(C(F)(F)F)ccc1Cl 921066331 NUMYTCHJPBBXHH-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](c1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116330339 XXJYJJOBSYSDEC-QHCPKHFHSA-N 417.465 4.607 5 20 HJBD CCOc1cc(C(=O)O[C@H](C)c2ccc(OC)c(Cl)c2)c([N+](=O)[O-])cc1OC 1122497584 ZCSIQPUHQYWVMH-LLVKDONJSA-N 409.822 4.582 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CN2Cc3ccc([N+](=O)[O-])cc3C2)c1 1254018073 CFHXBVVTZNMPLE-UHFFFAOYSA-N 406.486 4.738 5 20 HJBD COc1cc(CSCc2ccc(Cl)cn2)c([N+](=O)[O-])cc1OCC(F)F 1254352150 KNYQLWQBIJCWPB-UHFFFAOYSA-N 404.822 4.729 5 20 HJBD Cc1ccn(-c2cccc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)c(C)s3)c2)n1 1318201426 CHGCFQLZKVLWAQ-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)OCc3c(F)cccc3[N+](=O)[O-])n2)cc1 1322479898 NSHPBOGWUATDGW-UHFFFAOYSA-N 413.405 4.583 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(-c2ccc(Br)cc2Cl)o1 1322777140 FRRWIQXXXRMIEJ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD COc1cc(C(=O)Nc2cc(F)ccc2NC(=O)OC(C)(C)C)cc([N+](=O)[O-])c1C 1324543167 CNEHLDDJAOXWIY-UHFFFAOYSA-N 419.409 4.650 5 20 HJBD O=C(CCCSc1ccc(Br)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1330112555 BXIDRRJQWFDNAD-UHFFFAOYSA-N 421.316 4.772 5 20 HJBD CCC(=O)Nc1ccc(C2(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)CCC2)cc1 1787670974 PQAOYVHPEXAUFV-UHFFFAOYSA-N 423.494 4.967 5 20 HJBD Cc1ccc(Nc2nnc(S[C@@H](C)C(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1 4835641 GACUHARMLBBBCH-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H]2CCSc3ccc(Cl)cc32)cccc1[N+](=O)[O-] 29579222 SQLYSLRIDBYEQV-MLGOLLRUSA-N 405.907 4.710 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(C)C)c(Cl)c2)cc1OC 30512418 UJKBCUKXPRVDJD-UHFFFAOYSA-N 422.865 4.943 5 20 HJBD O=C(Nc1ccc(-c2nc3ccccc3[nH]2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32950525 XQYBHLKDOZOYNH-UHFFFAOYSA-N 424.420 4.576 5 20 HJBD C[C@H](CCc1ccco1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 53028430 LYSCAEZNCHONMS-HZPDHXFCSA-N 408.458 4.507 5 20 HJBD CCc1cc(Nc2ccc(NC(=O)C3CC3)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 108851995 SWDHGOXTAMMWIZ-UHFFFAOYSA-N 403.442 4.706 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@H]2c2nc3ccccc3s2)cccc1[N+](=O)[O-] 150114861 QCZAUFVYCYPHPK-SFHVURJKSA-N 410.499 4.679 5 20 HJBD COc1ccc([C@H]2CCCN2Cc2cc([N+](=O)[O-])ccc2OC(C)C)cc1OC 303306572 ZVICRMHCFHYVPR-LJQANCHMSA-N 400.475 4.736 5 20 HJBD O=C(C1CCC(C(F)(F)F)CC1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 435541145 WENJUUDZXCVVSI-UHFFFAOYSA-N 412.330 4.854 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1ccc2[nH]c3ccccc3c(=O)c2c1 435942734 YFIQQUMENMVJFZ-UHFFFAOYSA-N 411.486 4.735 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)NCCNc1ccc([N+](=O)[O-])cc1 438469218 LSBSQKQPZYGOIE-UHFFFAOYSA-N 402.520 4.778 5 20 HJBD O=C(c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)N1CCC([C@H]2CCCO2)CC1 439903486 QWLBFYLQPKWCKS-OAQYLSRUSA-N 412.511 4.777 5 20 HJBD CC1(C)[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])[C@@H]2CCCO[C@H]21 440621347 COAXNWJEIODUOF-CWFSZBLJSA-N 412.511 4.679 5 20 HJBD COc1cc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 444322814 VQYFVWHYNLMPPQ-ZDUSSCGKSA-N 410.405 4.563 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCn2c(cc3ccc(Cl)cc32)C1 446123220 VHKXGWPUJFSAPB-UHFFFAOYSA-N 405.241 4.904 5 20 HJBD CC[C@@H](C)NC(=O)c1ccc(NC(=O)c2c([N+](=O)[O-])cccc2C(F)(F)F)c(C)c1 448195328 BOYUHWYEHQPZCX-GFCCVEGCSA-N 423.391 4.703 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2CC[C@H]3CCC[C@H]3C2)cc1[N+](=O)[O-])c1ccccn1 460596306 BPEZHYLRRMZECU-MJQMVNBJSA-N 408.502 4.862 5 20 HJBD O=[N+]([O-])c1ccc(NC2CCN(Cc3ccc(Cl)cc3)CC2)cc1OC(F)F 462096350 ICSDYBLAXYXURG-UHFFFAOYSA-N 411.836 4.926 5 20 HJBD C[C@H](Sc1nc2ccccc2c(=O)n1Cc1cccnc1)c1ccccc1[N+](=O)[O-] 463255025 GKJNNPWWOPRHFL-HNNXBMFYSA-N 418.478 4.601 5 20 HJBD COc1ccc(CNC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C(F)(F)F)c1 463614339 SANZFYOTIZTJKM-UHFFFAOYSA-N 422.281 4.571 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(Br)cc2cccnc12 464393142 NDDZLVDFJAMCRP-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD COC[C@H]1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 466745269 VKCBTXGLMDXBJM-ZDUSSCGKSA-N 406.891 4.508 5 20 HJBD O=C(Nc1ccc(Cl)cc1-c1ccc(Cl)cc1)c1cnc(O)c([N+](=O)[O-])c1 473586006 HBTLHLNXKIOJJW-UHFFFAOYSA-N 404.209 4.922 5 20 HJBD CC(C)(CF)NC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 474762013 GNRJLPJXOTVJHI-PTNGSMBKSA-N 413.474 5.000 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCO[C@H](c2ccc(F)cc2Cl)C1 475648547 JGIHXZMSILMQAA-SFHVURJKSA-N 407.829 4.610 5 20 HJBD CCOc1cc(F)ccc1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 485896820 OTVAGOOMLXKUAK-UHFFFAOYSA-N 401.438 4.621 5 20 HJBD O=C(Nc1ncc(Cc2ccc(C(F)(F)F)cc2)s1)c1ccc([N+](=O)[O-])cc1 487628075 XNYGOIBVFAAMDB-UHFFFAOYSA-N 407.373 4.913 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cccc(NC(=O)c3cccs3)c2)cc1[N+](=O)[O-] 488050205 OAMSFXQIQSOVIU-AWEZNQCLSA-N 409.467 4.708 5 20 HJBD O=C(Nc1cc(F)c(OC(F)F)cc1F)c1cc2cc([N+](=O)[O-])ccc2s1 490250165 MZHAPLQRGGDTKX-UHFFFAOYSA-N 400.309 4.941 5 20 HJBD CCOc1cc(CNc2cc(Cl)ccc2C(=O)N2CCCCC2)ccc1[N+](=O)[O-] 492508454 FKQCTSRBLNZRBP-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD C[C@@H]1CCN([C@@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCS1 494106532 KNLCRNYOEQMXER-AUUYWEPGSA-N 419.934 4.755 5 20 HJBD CCCN(C(=O)c1cc(SC)ccc1[N+](=O)[O-])[C@@H](C)CC(=O)Nc1ccccc1 500695759 DVAXNMCLXFAJCQ-HNNXBMFYSA-N 415.515 4.586 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2cc(Cl)cc([N+](=O)[O-])c2)cc1OC 522877487 LUAXELXZPPBKJA-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD Cc1ccccc1[C@H]1C[C@@H](C)N(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])C1 523139356 PAPSXWZKWDAHJY-CVEARBPZSA-N 402.878 4.730 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc3c2CN(c2nccs2)C3)c1 535453159 XFBAJXUEMBFXAE-UHFFFAOYSA-N 412.496 4.546 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN(CCc3ccccc3)[C@H]3CCOC3)cs2)c1 535803469 PRRDTNDVYYDPEG-NRFANRHFSA-N 409.511 4.552 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC=C(C(F)(F)F)CC1 536035267 KBBDZENGXPCQGJ-UHFFFAOYSA-N 405.376 4.542 5 20 HJBD O=C(N[C@@H]1CCCOc2cc(Cl)ccc21)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537273018 HXDAAVCWYDFWFX-CQSZACIVSA-N 414.767 4.911 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccc(Oc3ccc(CO)cc3)cc2)c1 537319867 YMANKVGMJWSQGF-UHFFFAOYSA-N 422.437 4.595 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@@H]2C2CCOCC2)ccc1Oc1ccc(F)cc1 540769078 KKXJISJEFGPDNP-HXUWFJFHSA-N 400.450 4.917 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 541784268 FWISOGFWOWQVLI-UHFFFAOYSA-N 411.437 4.515 5 20 HJBD CCC(C)(C)OCc1ccccc1NS(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 541807609 OXOFGKYFICJDFX-UHFFFAOYSA-N 412.895 4.754 5 20 HJBD C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)o1 548033177 WAPDJMIQJFTGCS-NHYWBVRUSA-N 408.404 4.606 5 20 HJBD CC(C)CCOc1ccccc1CN[C@@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C 552045700 MFPDFNNMKIJCDJ-QFIPXVFZSA-N 408.502 4.632 5 20 HJBD O=C1CSc2cc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)ccc2N1 555034487 GSCJCFQXFFPFDG-UHFFFAOYSA-N 421.434 4.684 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 557500370 XZVLMYNZBQOSAN-SZVBFZGTSA-N 415.287 4.766 5 20 HJBD C[C@H](NCc1cc(I)cc([N+](=O)[O-])c1)c1cc2ccccc2o1 564389918 LZNFZQCNQSGPTA-NSHDSACASA-N 422.222 4.796 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cnc3c(c2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 567268222 QYSDTUZEUIPZSY-OAHLLOKOSA-N 417.469 4.689 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)NCCCNc2ccc(C)cc2[N+](=O)[O-])c1C1CC1 567457049 XHSBDZJOHOLYOZ-OAHLLOKOSA-N 414.510 4.572 5 20 HJBD Cc1nc2ccccc2cc1C(=O)Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1 577424354 BOQLEVWUUPNIMV-UHFFFAOYSA-N 411.417 4.935 5 20 HJBD CC(C)OCCCN(C)[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 577857969 VCOXNXDLJKBTAJ-HXUWFJFHSA-N 419.909 4.675 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(N(C(C)=O)[C@H]2C[C@H]2C)cc1 584490127 GZLPKKKCVUZTAL-YJYMSZOUSA-N 413.499 4.720 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N1CC(Cc2cccc(C(F)(F)F)c2)C1 590422252 AAALBNTXTDBWNV-UHFFFAOYSA-N 412.795 4.511 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](C)c2ccc(C)cc2C)cc1[N+](=O)[O-] 603568797 XAXCDANXTZJHPR-MRXNPFEDSA-N 402.516 4.673 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc3n[nH]cc3c2)cc1 603607491 XSSCZGDAVHPDKK-UHFFFAOYSA-N 404.382 4.524 5 20 HJBD O=C(COCC1CC1)Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1 603730951 LGBQMKSXUTVGNQ-UHFFFAOYSA-N 409.364 4.722 5 20 HJBD Cc1ccc(C(C)C)cc1O[C@@H](C)C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 603753507 HYSACHDULYLOQI-HNNXBMFYSA-N 414.458 4.594 5 20 HJBD COc1cc([C@@H](C)Nc2nc3sccn3c2[N+](=O)[O-])ccc1OC1CCCC1 603861224 XHLZZRGAHIPBSD-GFCCVEGCSA-N 402.476 4.807 5 20 HJBD COc1ccccc1OCC(=O)Nc1cccc(Nc2ccc([N+](=O)[O-])c(C)c2)c1 603871530 FEBVFYLTZGQJNC-UHFFFAOYSA-N 407.426 4.673 5 20 HJBD COCCOc1ccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 609755069 BNUWPNVIKZCGDQ-UHFFFAOYSA-N 408.410 4.665 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cs2)cc1 609972689 PPTLJURBAJWAKI-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=C(N[C@H]1CCC(F)(F)C1)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 611212633 QMAGAZFZZGGUPV-ZDUSSCGKSA-N 409.820 4.778 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(CN2CCCCCC2)CC1 611402441 DQECNDUCYBHYLL-UHFFFAOYSA-N 419.591 4.824 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOc2cc(OC)ccc21 611471510 HASNWKVBTUIVJQ-SFHVURJKSA-N 416.499 4.749 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N(Cc1ccccc1F)c1ccccc1F 729832886 RJGBKXWVQFTBHS-UHFFFAOYSA-N 413.336 4.628 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N(Cc1cscn1)c1ccccc1 731180679 SJEWANXHOSWBBO-UHFFFAOYSA-N 418.272 4.661 5 20 HJBD O=C(Oc1ccc(NC(=O)C2CCCCC2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 731999840 QDPHFLOFVQYKAD-UHFFFAOYSA-N 402.834 4.986 5 20 HJBD Cc1csc(SCc2ccccc2C(=O)N2CCc3c2cccc3[N+](=O)[O-])n1 737582771 TVQCUBWGHZETGD-UHFFFAOYSA-N 411.508 4.855 5 20 HJBD O=C(OCc1ncc(-c2ccc(Br)cc2)o1)c1ccc([N+](=O)[O-])c(F)c1 744942988 BDKBYSQAYBNNFP-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(Cc1csc(-c2ccc(F)c(F)c2)n1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746073879 UWLATXOKZZURQJ-UHFFFAOYSA-N 424.812 4.936 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1ccc(Cl)c(Cl)c1 747027080 ZWIJMARCCZOHLC-SNVBAGLBSA-N 412.225 4.615 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c3C)c2)oc1C 748627223 SVDLSQAYDCYHQW-UHFFFAOYSA-N 410.386 4.644 5 20 HJBD C[C@@H](NC1(CNC(=O)c2cccc(Cl)c2[N+](=O)[O-])CCCC1)c1ccccc1 749995481 AQBCTRKHLQXMMP-OAHLLOKOSA-N 401.894 4.642 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1NCc1ccc(COC2CCOCC2)cc1 751929212 YNABRXMIARMHTR-UHFFFAOYSA-N 421.291 4.665 5 20 HJBD C[C@H](C(=O)OCc1ncc(-c2cccc(Cl)c2)o1)c1ccc([N+](=O)[O-])cc1F 754263177 IEKYCPGDCREDAM-NSHDSACASA-N 404.781 4.889 5 20 HJBD COc1ccc(F)cc1-c1csc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)n1 764198456 YQHAMNYQSJJDKL-UHFFFAOYSA-N 407.810 4.772 5 20 HJBD O=[N+]([O-])c1c(N2CCC(O)(c3ccccc3C(F)(F)F)CC2)ccc2ncccc12 764913710 KJWIDFIJOQBJAX-UHFFFAOYSA-N 417.387 4.650 5 20 HJBD O=C(OCc1nc2cc(C(F)(F)F)ccc2o1)c1c[nH]c2cc([N+](=O)[O-])ccc12 767274705 OLDCDWSMTHMDOO-UHFFFAOYSA-N 405.288 4.593 5 20 HJBD O=C(OCC1(c2ccccc2)CCOCC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 774366220 DDVNXKGIZLWPCK-UHFFFAOYSA-N 424.478 4.624 5 20 HJBD C[C@@H](CCCc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 775921861 KKSNDIMETLCVIP-HOTGVXAUSA-N 409.442 4.908 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135219 RXTUGRMHDIGYHK-QHCPKHFHSA-N 401.422 4.737 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCCO1)c1cc([N+](=O)[O-])ccc1F 778204027 ULGBXCLUAADQOT-AWEZNQCLSA-N 408.813 4.588 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CN[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1 780391648 CVJJZTMCIVBOOT-GJZGRUSLSA-N 408.298 4.540 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 782630163 UBEXHFHWEUGXRE-HNNXBMFYSA-N 409.364 4.991 5 20 HJBD C[C@H](Sc1nnc(-c2ccc(Cl)cc2)o1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 808750505 LAAZCAPAYMEKIC-VIFPVBQESA-N 422.825 4.557 5 20 HJBD C[C@H]1C[C@H](N(C)C(=O)Nc2cccc([N+](=O)[O-])c2Cl)CCN1Cc1ccccc1 809919816 HNIHKWKPEYHHBD-DOTOQJQBSA-N 416.909 4.765 5 20 HJBD C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 809934678 ZMKRITLDHZPNKR-GFCCVEGCSA-N 406.273 4.675 5 20 HJBD O=C(N[C@H]1CC2(CCC2)Oc2ccccc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 815784983 QRQXLFGVTBOEEQ-INIZCTEOSA-N 406.360 4.790 5 20 HJBD C[C@H](NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)c1cccc(NC(=O)c2ccccc2)c1 915600080 BMIQBKZMFHEIQN-KSVUCXBSSA-N 415.449 4.738 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2cc3ccccc3o2)c([N+](=O)[O-])c1Br 917075690 SEVJHFYNWGMCMF-UHFFFAOYSA-N 422.191 4.632 5 20 HJBD Cc1sc(NC(=O)COc2ccc3c(c2)CCC3)nc1-c1cccc([N+](=O)[O-])c1 917792297 ZPPXRUVLHFIKKZ-UHFFFAOYSA-N 409.467 4.533 5 20 HJBD Cc1cc(Cl)c(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)c2cccnc12 1318741105 WVCFXZWPCLQZHI-UHFFFAOYSA-N 412.254 4.559 5 20 HJBD COc1ccc(CSCc2nnc([C@@H](C)Oc3ccccc3F)o2)cc1[N+](=O)[O-] 1322038131 XLAWMKGBKOXEBJ-GFCCVEGCSA-N 419.434 4.699 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(CCc2ccc(-c3ccccc3F)o2)o1 1322781262 XOIUVPSOUNFFCY-UHFFFAOYSA-N 409.373 4.741 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1ccccc1Cl 7625937 CPCBRNVLWXTVSN-JTQLQIEISA-N 404.831 4.647 5 20 HJBD O=C(Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)NC1CCCCCC1 7807628 SPKKYVOTDSVNEN-UHFFFAOYSA-N 418.497 4.829 5 20 HJBD CC(C)c1ccc(-c2nc(CN3CCN(c4ccc([N+](=O)[O-])nc4)CC3)cs2)cc1 26488914 RAZINZQEEUWUEV-UHFFFAOYSA-N 423.542 4.559 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)c1C(F)(F)F 46162315 GAQLHSBIEOXBIA-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD COc1ccccc1CN(C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)C1CC1 50333969 GLRLJJHRQGBHOG-UHFFFAOYSA-N 409.467 4.532 5 20 HJBD O=C(Nc1cccc(OCc2cn3ccccc3n2)c1)c1ccc([N+](=O)[O-])cc1Cl 111032642 YCUCYTSCPLEDLB-UHFFFAOYSA-N 422.828 4.727 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)c1ccc(SC)c([N+](=O)[O-])c1 195655546 YPWOGUPCXLMDGH-UHFFFAOYSA-N 400.378 4.987 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccc(Br)s3)o2)c([N+](=O)[O-])c1 237431892 BQPJBYCGWMJUMR-UHFFFAOYSA-N 423.288 4.977 5 20 HJBD COc1ccc(-c2ccc(CNCc3ccccc3OC(F)F)o2)c([N+](=O)[O-])c1 237435219 CTFHZTXBQHSQJE-UHFFFAOYSA-N 404.369 4.755 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccccn3)n2Cc2ccco2)c(Cl)c1 301702053 GLGACPMNXLJIDG-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD CCCOc1cc(NC(=O)c2cccc(C(C)=O)c2)c([N+](=O)[O-])cc1OCCC 428506082 GZRLSBIGKXFTJO-UHFFFAOYSA-N 400.431 4.627 5 20 HJBD C[C@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1 430450572 QQFGRCRRIKAREV-KRWDZBQOSA-N 415.449 4.567 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(-c2noc(C3CC3)n2)c1 433938238 CSHVESHJJGNLNQ-LBPRGKRZSA-N 410.455 4.642 5 20 HJBD O=[N+]([O-])c1ccc2oc(CN3CCO[C@H](c4ccc(Cl)c(Cl)c4)C3)nc2c1 434846772 SEGCEJWELIEPMJ-KRWDZBQOSA-N 408.241 4.616 5 20 HJBD CCN(C(=O)c1n[nH]c2ccc([N+](=O)[O-])cc12)[C@@H](C)Cc1ccccc1C(F)(F)F 435947606 MXVXYLWYBNYDAO-LBPRGKRZSA-N 420.391 4.583 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@H]2N[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)n1 436298988 DUTNFLJCEVLYCJ-VDHUWJSZSA-N 408.424 4.830 5 20 HJBD COc1ccc(CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])cc1 440422908 NWFLZQPEDKJEKP-UHFFFAOYSA-N 408.479 4.727 5 20 HJBD C[C@H](NCC(=O)N1c2ccccc2CCc2ccccc21)c1cccc([N+](=O)[O-])c1 440595157 GZUGVXDPKPJJKV-KRWDZBQOSA-N 401.466 4.709 5 20 HJBD Cc1ccc(C)c([C@@H]2CN(CCc3ncc(-c4cccc([N+](=O)[O-])c4)o3)[C@@H](C)CO2)c1 444195711 OKZZZYXBBBNPSQ-MBSDFSHPSA-N 421.497 4.871 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)c(Br)c2)c1 446446100 YGQNQFISHHVXLN-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CN(C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)c1nc2ccccc2n1C 461298788 FTDOYNQZPINLOL-UHFFFAOYSA-N 401.426 4.502 5 20 HJBD CC[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1nc(C(F)(F)F)cs1 465251531 HYZMUJFAHVCWCC-GFCCVEGCSA-N 401.410 4.615 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)c(F)cc1F 468212270 UQGFIXCBYSHDJR-UHFFFAOYSA-N 417.231 5.000 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N(Cc1cccc(C(F)(F)F)c1)Cc1ccccn1 468249795 KMCQRANNTSAOSX-UHFFFAOYSA-N 421.400 4.913 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1cccc(CS(=O)(=O)C(C)(C)C)c1 468586844 MFWBNEMLHZRPEN-LFIBNONCSA-N 402.516 4.824 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2-c2nc(C3CC3)no2)c1 471644892 WXQYABDXBNNYCF-UHFFFAOYSA-N 414.418 4.636 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN[C@H](CO)c3c(Cl)cccc3Cl)o2)c1 475642641 VDTXNXIIRPOBBA-QGZVFWFLSA-N 407.253 4.985 5 20 HJBD COc1c(C(=O)Nc2nc(-c3cc(C)c(F)cc3F)cs2)cccc1[N+](=O)[O-] 476228853 RYBAXUGUZBYZOA-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD COc1cccc([C@H](NCc2ccc([N+](=O)[O-])cc2F)c2cc(F)ccc2OC)c1 478251960 LBEUCCPERJRWJA-QFIPXVFZSA-N 414.408 4.769 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(COc2ccccc2)CC1 480734267 DLKAJSZZGFADQB-UHFFFAOYSA-N 400.500 4.638 5 20 HJBD CCOc1ccc([C@H]2CCCN2C(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])cc1 482042283 TVQGFLIHEIKHEE-QGZVFWFLSA-N 416.499 4.701 5 20 HJBD CCc1cccc2c(CCNC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c[nH]c12 485483562 WLYYWWJIEUXDFF-UHFFFAOYSA-N 406.486 4.555 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2C)n(-c2cccc(C(F)(F)F)c2)n1 486238540 XVHNMNDRKHLVBD-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C)o1 488787262 XAHGSEIKJUALHP-INIZCTEOSA-N 412.471 4.559 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1ccc([N+](=O)[O-])cc1Br 489635547 FZFUDQNOKIJEOX-HUUCEWRRSA-N 403.276 4.814 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C1CCN(Cc2ccccc2)CC1 499494858 HUFXVDRRGOSAQX-UHFFFAOYSA-N 409.511 4.546 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCO[C@H]1c1ccccc1 503418793 LDSJRWKLHLDXFZ-JXFKEZNVSA-N 400.500 4.605 5 20 HJBD C[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)cc1 507921947 PGHBJQMAVKHZTA-SECBINFHSA-N 417.181 4.867 5 20 HJBD O=[N+]([O-])c1cc(CN[C@H]2CCN(c3ccccn3)C2)ccc1Oc1ccccc1Cl 513534376 WHXOCIJKYJPSIM-KRWDZBQOSA-N 424.888 4.804 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1N1CCCCC1)c1ccc([N+](=O)[O-])cc1F 517785749 XUIDCAYFMRZWPN-UHFFFAOYSA-N 411.355 4.995 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cccc(C(F)(F)F)c3)cs2)c1 517875041 XCDFDSLHVHFHMB-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H]1C[C@@H]1c1cccc(Br)c1 518082994 GLXGIPAINFQSSJ-IUODEOHRSA-N 410.655 4.688 5 20 HJBD COc1ccc(CNC2(c3ccc(Br)cc3)CCCC2)cc1[N+](=O)[O-] 520979001 YMPRXOJIPMBWHW-UHFFFAOYSA-N 405.292 4.925 5 20 HJBD C[C@@H](Nc1ccc(-c2nc(Cc3ccccc3)no2)cc1[N+](=O)[O-])c1ccccn1 521019281 KTSSVWNUSLFVDJ-OAHLLOKOSA-N 401.426 4.804 5 20 HJBD Cc1nn(CCC(=O)Nc2ccc(-c3cc4ccccc4o3)cc2)c(C)c1[N+](=O)[O-] 523227308 KYAMTXJLKBKHDK-UHFFFAOYSA-N 404.426 4.850 5 20 HJBD CC(C)(NC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1cccs1 523963788 AXJINVZRSJCJLE-UHFFFAOYSA-N 401.410 4.529 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 524057862 KNEHPTPKCPKFTG-CQSZACIVSA-N 413.524 4.824 5 20 HJBD O=C(NCCCCCOC1CCCCC1)c1ccc([N+](=O)[O-])cc1Br 524144338 XOBQTQJBJJYFHH-UHFFFAOYSA-N 413.312 4.607 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 524264263 SUAZRHSPPOYXQI-UHFFFAOYSA-N 421.453 4.737 5 20 HJBD Cc1ccc(Sc2ccc(CNc3cccc(NC(=O)CO)c3)cc2[N+](=O)[O-])cc1 533209293 OQPBQKOQEUZEGW-UHFFFAOYSA-N 423.494 4.597 5 20 HJBD Cc1ccc(-n2nc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)cc2C)cc1 537250467 GBDYAHNNVJQVHO-UHFFFAOYSA-N 422.488 4.596 5 20 HJBD COCCCOC1CCN(Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)CC1 539820692 DGJMXRHBNJGTKF-UHFFFAOYSA-N 418.465 4.544 5 20 HJBD COc1ccc([C@H](OC)[C@H](C)NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1 540066412 PJLUBXBATQYEDE-VBKZILBWSA-N 413.499 4.593 5 20 HJBD C[C@H](N[C@H](C)c1cccc(OC(F)F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 540319730 ATTPALDAUWVCTG-MNOVXSKESA-N 413.808 4.527 5 20 HJBD Cc1ccc([C@@H](C)NCc2nc(-c3cc(Br)cs3)no2)cc1[N+](=O)[O-] 540477328 NFBJJBOKUTZVFQ-SNVBAGLBSA-N 423.292 4.628 5 20 HJBD CC(C)C[C@@H]1C[C@H](NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCO1 540762128 LLPJNGLTSLGAPN-WOJBJXKFSA-N 411.502 4.530 5 20 HJBD CC(C)(C)Oc1cc(N2CCc3c(ncn3CCc3ccccc3)C2)ccc1[N+](=O)[O-] 542282065 NAGXYUZFVIEOGV-UHFFFAOYSA-N 420.513 4.774 5 20 HJBD C[C@@H]1C[C@H](CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 544135196 YJGHDPCAXOBYNK-HZPDHXFCSA-N 400.500 4.681 5 20 HJBD CC(C)(C(=O)Nc1cccc2c1CN(c1ccccn1)C2)c1ccc([N+](=O)[O-])cc1F 547246959 XJSRFFPHUIEZFQ-UHFFFAOYSA-N 420.444 4.565 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 549121813 BUFRIHHAQBETRK-KRWDZBQOSA-N 413.371 4.612 5 20 HJBD CCc1cccc2c(CCNC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c[nH]c12 550598250 IQMUCANEBBUKJT-UHFFFAOYSA-N 405.376 4.630 5 20 HJBD O=[N+]([O-])c1cc2c(N(Cc3csc(Br)c3)C3CC3)ncnc2s1 553508259 YAXBDMCRAMQVEV-UHFFFAOYSA-N 411.306 4.593 5 20 HJBD Cc1nc(COc2cccc(C(=O)NC(C)(C)c3ccccc3[N+](=O)[O-])c2)cs1 557968521 JREGOLVSGNKMJX-UHFFFAOYSA-N 411.483 4.604 5 20 HJBD O=C(NC[C@H]1CCCO[C@H]1c1ccccc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 559204681 ZPHYQKRULLSHFT-KDOFPFPSSA-N 408.376 4.511 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CC[C@@H](CC4CC4)C3)cc2[N+](=O)[O-])n1 566522869 WEJTWSTTYZPOLB-AWEZNQCLSA-N 403.529 4.773 5 20 HJBD COc1ccc(NC(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)cc1[N+](=O)[O-] 578575179 NNJUCKAVQUSVSN-CQSZACIVSA-N 408.414 4.673 5 20 HJBD CC(C)CN1C(=O)c2ccccc2N[C@H]1c1ccc(Br)cc1[N+](=O)[O-] 602759603 NAKOSCIMZPSUBZ-QGZVFWFLSA-N 404.264 4.580 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC)cc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 603529448 BDHZKUACXZDXMA-UHFFFAOYSA-N 420.465 4.864 5 20 HJBD CCOc1ccc(NC(=O)c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)cc1F 604013544 VFRFWYVDUNVODP-UHFFFAOYSA-N 401.438 4.621 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(Cl)cc3)CCC2)cc1OC 608881750 FIAOITYJMJFDEU-UHFFFAOYSA-N 418.877 4.507 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2)c1 609148128 PGJYAYVEZHAZMM-UHFFFAOYSA-N 420.469 4.902 5 20 HJBD CC(C)[C@H](O)CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610200694 HSWZTGFJQAZXHM-QGZVFWFLSA-N 408.907 4.536 5 20 HJBD C[C@@H](C[C@H]1CCOC1)NC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 610741017 IYKDPNDVVHZDJY-UONOGXRCSA-N 404.850 4.585 5 20 HJBD COc1ccc(-c2cc(COc3c(Br)cccc3[N+](=O)[O-])on2)cc1 610898297 ISEDCPDUGWXCKN-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=C(c1nc(-c2ccccc2)n2c1CCCCC2)N1CCCc2c1cccc2[N+](=O)[O-] 611094106 PQFOUKYFHHDXHM-UHFFFAOYSA-N 416.481 4.778 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCc2ccc([C@@H](C)O)cc2)cc1[N+](=O)[O-] 726196306 ZXUBALWFGUMXSA-OAHLLOKOSA-N 402.516 4.716 5 20 HJBD CC(C)CO[C@@H]1C[C@H](OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1(C)C 739297640 QQVUCEKPXHMVDP-YADHBBJMSA-N 407.510 4.538 5 20 HJBD Cc1c(CN2CCC([C@@H]3CCCN3C(=O)OC(C)(C)C)CC2)cccc1[N+](=O)[O-] 742614647 KYWXMRDLVYESFN-FQEVSTJZSA-N 403.523 4.515 5 20 HJBD O=C(Nc1cccc(CC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 742827234 XEHXWLYHQNFPJQ-UHFFFAOYSA-N 407.392 4.942 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)c1ccco1 744031032 IKKAABXBZBLBEZ-UHFFFAOYSA-N 420.299 4.678 5 20 HJBD Cc1cccc(-c2nnc([C@H](C)OC(=O)c3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)c1 745568744 TUQPSELMHCBZMX-NSHDSACASA-N 421.331 4.890 5 20 HJBD CC[C@@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1cc(Cl)ccc1[N+](=O)[O-] 746669370 BZQQTXAUBBFWFX-CYBMUJFWSA-N 400.866 4.698 5 20 HJBD O=C(O[C@H](c1ccccc1)c1nc(-c2ccccc2)no1)c1cccc([N+](=O)[O-])c1 749060228 QCKWRCPRKWXUAJ-LJQANCHMSA-N 401.378 4.591 5 20 HJBD C[C@@H](NC(=O)c1cccc(Cl)c1[N+](=O)[O-])c1cccc(NC(=O)c2ccccc2)c1 749909737 RRACHIKTAQXWOI-CQSZACIVSA-N 423.856 4.992 5 20 HJBD O=C(OCc1cc(Cl)nc(Cl)c1)c1cc([N+](=O)[O-])c(Br)cc1F 751690400 KOOUPAUVBBWMRK-UHFFFAOYSA-N 424.009 4.555 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1Br)N1CC=C(c2ccc(F)cc2)CC1 754627352 BZSKLXYRZXSWGQ-UHFFFAOYSA-N 423.213 4.565 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2ccsc2)cc1[N+](=O)[O-] 757180769 WHIKUKJUUPZUAK-HXUWFJFHSA-N 411.483 4.732 5 20 HJBD O=C(/C=C\c1nc2ccccc2s1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760465150 OCBLHJXDPPBODW-KTKRTIGZSA-N 407.407 4.616 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764350643 OJARIOJTLAXDEO-CQSZACIVSA-N 417.893 4.744 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)C1CCC(C(F)(F)F)CC1 766711039 RSXMTUYAZDJHKI-UHFFFAOYSA-N 410.186 4.769 5 20 HJBD C[C@@H](OC(=O)C12C[C@H]3C[C@@H](CC(F)(C3)C1)C2)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767471969 WBKOEZMMDXNFMY-NZBQLKCUSA-N 415.421 4.558 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])[C@H]1C[C@H]1c1cccc(F)c1F 776139975 XDOUKMSKECGNKE-XGHQBKJUSA-N 410.376 4.704 5 20 HJBD CCOCCOc1c(Cl)cccc1NC1CCN(c2ccc([N+](=O)[O-])cc2)CC1 777652016 FGTHCKJYAONIGB-UHFFFAOYSA-N 419.909 4.744 5 20 HJBD C[C@@H](OC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1nc(C2CC2)cs1 781189071 IVEACSANTRZWLY-SECBINFHSA-N 411.277 4.538 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(Br)cnc1Cl 787949874 PYDVCZYJBRAOEI-UHFFFAOYSA-N 416.684 4.770 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1cc([N+](=O)[O-])c(N(C)C)cc1F 791221664 XZWNEVDHGGOMEP-GFCCVEGCSA-N 408.499 4.521 5 20 HJBD CCC(=O)c1ccc(N(CC)CC(=O)Nc2c(Cl)cccc2Cl)c([N+](=O)[O-])c1 795106442 KVMNFRLWJAOHJO-UHFFFAOYSA-N 424.284 4.959 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)[C@H](C)Cc1ccc(F)c(Br)c1 800150465 QKSOORPJQRZNLV-SECBINFHSA-N 413.218 4.761 5 20 HJBD CN(Cc1cc(Br)ccc1F)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809915883 TYVZJKLUJNLTCE-UHFFFAOYSA-N 416.634 4.814 5 20 HJBD Cc1ccc(NC(=O)C2CC2)cc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812914304 HYERWQNEMVWETN-UHFFFAOYSA-N 408.241 4.811 5 20 HJBD Cc1c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cccc1-c1ncco1 816798187 FXOSKMBNAKIWNG-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD COc1ccc(CSCC(=O)Oc2ccc(Cl)c(Cl)c2)cc1[N+](=O)[O-] 914709024 BJMUWBQPQGQNTI-UHFFFAOYSA-N 402.255 4.749 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c2nonc12)c1ccc(NC(=O)c2ccc(F)cc2)cc1 917391389 SZSLVIBPKUPESU-GFCCVEGCSA-N 421.388 4.696 5 20 HJBD Cc1ccc(OC(=O)c2cccc([N+](=O)[O-])c2Br)c2c1[C@@H](C)CC2=O 1116766858 YLGGOBOTAANBPG-JTQLQIEISA-N 404.216 4.575 5 20 HJBD O=C1N[C@H](c2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)Nc2cc(F)c(F)cc21 1119249471 ZMDSYCFEAWIVEW-QGZVFWFLSA-N 405.744 4.641 5 20 HJBD O=C(CCc1nc2ccccc2s1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 1253730651 IUCFBLCJZSBMGC-UHFFFAOYSA-N 409.423 4.536 5 20 HJBD CCn1c(S[C@H](C(=O)OC)c2ccc(F)cc2)nc2cc3ccccc3cc2c1=O 1318656948 RXAUPCMZQCNBET-FQEVSTJZSA-N 422.481 4.715 5 20 HJBD Cn1nc(C(C)(C)C)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 1324585183 WNNMDLWOKOWKKT-UHFFFAOYSA-N 402.520 4.913 5 20 HJBD CN(C)c1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2Cl)cs1 7181883 UFNJVJDNNHVGOU-UHFFFAOYSA-N 402.863 4.690 5 20 HJBD Cc1cc(-c2cc(C(=O)Nc3ccc(C)c([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 14207059 QLNCNCBVBUDXAK-UHFFFAOYSA-N 406.398 4.877 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@H](Cc2ccccc2)c2ccccc2)cc1OC 26905911 SDFZKBUKYGISBB-HXUWFJFHSA-N 420.465 4.716 5 20 HJBD Cc1ccc(C)c(-n2ccnc2SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 59017345 LWBZHWVSFZPQPY-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD COc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(C(F)(F)F)c1 64918128 DMMWBJNRRIUMCK-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD Cc1nc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cs1 237716940 LHQOAWOTOKPSAQ-UHFFFAOYSA-N 422.304 4.715 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@@H](c2ccccc2)C(C)C)cc1[N+](=O)[O-] 303544492 USDNUQWVTOSWBS-OAQYLSRUSA-N 402.516 4.692 5 20 HJBD Cc1nc(-c2ccc(NC(=O)COc3ccc([N+](=O)[O-])c4cccnc34)cc2)cs1 431581334 ZAHGXPJQUVMPNP-UHFFFAOYSA-N 420.450 4.592 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)N[C@@H]3CC(C)(C)c4ccc([N+](=O)[O-])cc43)ccc2NC1=O 433284533 GLNOSBWOJVNEBI-GBLZOACLSA-N 409.486 4.778 5 20 HJBD O=c1c2cc([N+](=O)[O-])ccc2nc2n1CC/C2=C\c1cc(Cl)cc(C(F)(F)F)c1 435907239 OMYBMWQHLIQDST-VZUCSPMQSA-N 421.762 4.921 5 20 HJBD CCCN(C(=O)c1cccc(OCc2csc(C)n2)c1)c1cccc([N+](=O)[O-])c1 441459773 SFZCMOAGDTWECM-UHFFFAOYSA-N 411.483 4.996 5 20 HJBD O=C(NCc1ccccc1OC1CCC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444019103 BHTHIAQVAIAXPO-UHFFFAOYSA-N 416.452 4.682 5 20 HJBD O=C(Nc1cccc(-c2nc(-c3ccc([N+](=O)[O-])s3)no2)c1)c1ccc(F)cc1 445475851 ZTYHYFQNHOCHTR-UHFFFAOYSA-N 410.386 4.765 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1 446893278 RYEWXEKLYFKWGS-JTQLQIEISA-N 422.272 4.681 5 20 HJBD CCCN(C(=O)c1cc([N+](=O)[O-])ccc1OC)[C@@H](c1ccc(F)cc1)c1cccnc1 460103413 FNIJHCALIQGFHZ-QFIPXVFZSA-N 423.444 4.779 5 20 HJBD CN(CCCCCc1cc(-c2ccc(F)cc2)n[nH]1)C(=O)Cc1cccc([N+](=O)[O-])c1 460848011 QOVGPTVHVCLQKM-UHFFFAOYSA-N 424.476 4.538 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCO[C@@H](c4ccccc4)C3)o2)c([N+](=O)[O-])c1 462636335 CLTPTIWISZKRFN-UZUQRXQVSA-N 408.454 4.873 5 20 HJBD Cc1ccc(NC(=O)C(=O)N(Cc2ccccc2)[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] 463877788 KGFDXDHOYKJEDN-SFHVURJKSA-N 417.465 4.632 5 20 HJBD O=C(c1cc2c(s1)CCCCC2)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464638464 MIQJTUJCTXATQJ-UHFFFAOYSA-N 400.500 4.609 5 20 HJBD CC(C)(C)OC(=O)Cc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 465293955 IXJWTUPHBPLZEQ-UHFFFAOYSA-N 412.467 4.946 5 20 HJBD O=C(Nc1cc(F)ccc1Br)c1ccc([N+](=O)[O-])cc1Br 466906373 LSWSSTRLIRRBOH-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)c(OC(F)F)cc1F 468198031 BHTZSCWWOLSTJG-MRVPVSSYSA-N 404.341 4.594 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F 471094705 HNBYFEGIKBBHIA-OLZOCXBDSA-N 410.392 4.934 5 20 HJBD CC[C@H](C)n1c(SCc2nc3ccc([N+](=O)[O-])cc3[nH]2)nnc1-c1ccccc1 473789697 SJAKGVDBZDTZSM-ZDUSSCGKSA-N 408.487 4.993 5 20 HJBD Cc1nc(C)c([C@H](C)N(C)C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)s1 475209082 OWAQHSBKXRLYBR-VIFPVBQESA-N 402.398 4.912 5 20 HJBD C[C@@H]1CCCN(c2ccc(C(=O)Nc3ncc(C(F)(F)F)s3)cc2[N+](=O)[O-])C1 475864258 NGJKTDCZKBPTDG-SNVBAGLBSA-N 414.409 4.559 5 20 HJBD COc1ccccc1[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1C)c1ccccc1 478605586 FFVGZCKESSVJSK-QFIPXVFZSA-N 405.454 4.501 5 20 HJBD CCCCOc1ccc(-c2nnc(COc3cc(C)c([N+](=O)[O-])cc3F)o2)cc1 478883139 MPRASFRMZPIIHW-UHFFFAOYSA-N 401.394 4.850 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc(OC)c1OC 478979198 FDYORZNJLMWDMA-UHFFFAOYSA-N 420.487 4.621 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])NCc1ccccc1COCc1ccccc1 480185770 LJZOCJBFMSSNAS-UHFFFAOYSA-N 422.506 4.720 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482957642 BGMYQRNDVSWVCW-UHFFFAOYSA-N 410.474 4.694 5 20 HJBD CCOCc1ccccc1CNC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 484399420 UJEHCMHUZSNKJC-UHFFFAOYSA-N 419.481 4.673 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2)c1[N+](=O)[O-] 485765696 GUSVVZAFKKKAHW-CQSZACIVSA-N 413.499 4.584 5 20 HJBD CNC(=O)c1ccc(N2CCCC[C@H]2c2ccccc2C(F)(F)F)c([N+](=O)[O-])c1 487210660 UVGXLIKIHHIPDR-INIZCTEOSA-N 407.392 4.705 5 20 HJBD O=[N+]([O-])c1ccc(CN(Cc2nc(-c3cccc(C(F)(F)F)c3)no2)C2CC2)cc1 490831517 YJJKQXRYTBLYKT-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Nc2cc3c(cc2Cl)OCCCO3)cc1[N+](=O)[O-] 493181120 NLZXNOVBMPYNLM-LBPRGKRZSA-N 405.838 4.601 5 20 HJBD C[C@@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cc(Cl)ccc1Cl 494005930 DXQJSYUERNCKLF-LLVKDONJSA-N 419.268 4.793 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(OC)c1)[C@@H](c1ccc(C)cc1)c1cccnc1 496517782 OCQWMRQJOPBWDO-QFIPXVFZSA-N 405.454 4.559 5 20 HJBD CCN(CC)CCCC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 498980109 QMMHYFJEGHREHG-UHFFFAOYSA-N 415.456 4.588 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 499030255 PNXNAOOHQWHRIT-UTKZUKDTSA-N 419.481 4.504 5 20 HJBD Nc1c(C(=O)Nc2ccc(Oc3ccnc4ccccc34)cc2)cc(F)cc1[N+](=O)[O-] 500494764 VZNSZSBNXPTTPH-UHFFFAOYSA-N 418.384 4.909 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(CCO)Cc1ccc(Cl)c(Cl)c1 502656858 PBHZJXDWEHOXMY-UHFFFAOYSA-N 412.273 4.545 5 20 HJBD Cc1nn(Cc2ncc(-c3ccccc3Br)o2)c2ccc([N+](=O)[O-])cc12 502852817 LWRFLFSRUILFPE-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD C[C@H]1CO[C@@H](c2ccccc2Cl)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 517649770 NBCACXBPJAMKOI-HXPMCKFVSA-N 400.818 4.597 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1cccc(C(=O)N(C)c2ccccc2)c1 521442046 OXHDMHMKZNLLRF-UHFFFAOYSA-N 403.438 4.741 5 20 HJBD Cc1ccccc1CN(Cc1ccccn1)C(=O)CSc1ccc([N+](=O)[O-])cc1 521449552 JVCYGDNQEALLJV-UHFFFAOYSA-N 407.495 4.619 5 20 HJBD Cc1nn(CCC(=O)N(Cc2ccccc2)c2ccc(Cl)cc2)c(C)c1[N+](=O)[O-] 523273644 TYXHRCGCPQDVPR-UHFFFAOYSA-N 412.877 4.685 5 20 HJBD CCOc1ccc(F)c(NC(=O)c2cc(Br)c(C)c([N+](=O)[O-])c2)c1F 534772573 QBXVWEXLFJMQAV-UHFFFAOYSA-N 415.190 4.595 5 20 HJBD CC(=O)Nc1cc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)ccc1Cl 535692088 VFYLMCUEEKGTDS-UHFFFAOYSA-N 402.863 4.942 5 20 HJBD COC[C@H](C)n1c(C)cc(C(=O)COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 536469297 YSQGOUBFAYDAGG-LBPRGKRZSA-N 414.380 4.501 5 20 HJBD O=C(N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 537141119 KAAFJGNPYGAPRT-GDBMZVCRSA-N 414.767 4.527 5 20 HJBD CN(C(=O)c1cccc(OC(F)F)c1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 538251747 MOFNBTIMVALLDP-UHFFFAOYSA-N 405.382 4.596 5 20 HJBD COc1ccc(Cl)cc1NC(=O)C[C@@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 540219692 HOMMYTZNHPKKMI-KGLIPLIRSA-N 405.882 4.633 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@H](n2cccn2)Cc2ccccc21 540455943 DSUBQHTYLVWWPF-GOSISDBHSA-N 422.510 4.736 5 20 HJBD CCc1nc(C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)co1 544343000 XQMSICWCPCIYKF-UHFFFAOYSA-N 400.460 4.572 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@@H](F)Cc3ccccc3)n2)c(Br)c1 544998354 ACBXDGZJGRXKJW-HNNXBMFYSA-N 406.211 4.584 5 20 HJBD O=C(Nc1cccc(-c2noc(-c3cc(F)cc([N+](=O)[O-])c3)n2)c1)c1ccccc1F 546273201 CPQWDAWGVFTDAX-UHFFFAOYSA-N 422.347 4.842 5 20 HJBD Cc1ccsc1CN(C)[C@@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 557194836 XHDHXSIAPMDUIW-ZDUSSCGKSA-N 416.528 4.547 5 20 HJBD COc1cc(C(=O)N[C@H]2CC[C@H](F)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 561670582 TVOGUSGKQFNQIO-KBPBESRZSA-N 408.813 4.670 5 20 HJBD Cc1nc2cccc(-c3noc(-c4cc([N+](=O)[O-])cc(Br)c4C)n3)c2[nH]1 578174021 BMFYAMPNCMUVFF-UHFFFAOYSA-N 414.219 4.567 5 20 HJBD CC[C@@H](C)c1ccc(NC(=O)Cc2noc([C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 589146642 XDVZEQXVIOCQRO-CABCVRRESA-N 408.458 4.824 5 20 HJBD O=C(NCCCCCN1CCCC1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 590507298 YIEWDBXMLFQAKL-UHFFFAOYSA-N 405.564 4.625 5 20 HJBD O=C(c1cc(F)c(F)cc1Cl)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613880 XWVXPUCPNDRZQA-UHFFFAOYSA-N 408.710 4.731 5 20 HJBD COc1ccc(C(=O)N2CCC(C(=O)c3ccc(CC(C)C)cc3)CC2)cc1[N+](=O)[O-] 603665582 PPFXJUOESXWTSL-UHFFFAOYSA-N 424.497 4.537 5 20 HJBD Cc1cc(N(C)C)ccc1NC(=O)c1ccc(N2C[C@H](C)C[C@@H](C)C2)c([N+](=O)[O-])c1 603899977 WWWHJBYARWWPMC-HZPDHXFCSA-N 410.518 4.704 5 20 HJBD Cc1cc(C(=O)NC2CCCCC2)ccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603969829 DERCXZCHYLLEKH-UHFFFAOYSA-N 421.453 4.964 5 20 HJBD C[C@@H]1C[C@H]1c1ccc(CNC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 603971321 HSAQSJSMTPCAGL-ZWNOBZJWSA-N 414.405 4.739 5 20 HJBD CCCCN1Cc2cccc(N[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)c2C1 608981341 PRYKTPBJEXWIEX-OAHLLOKOSA-N 407.474 4.934 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(SCc2cc([N+](=O)[O-])cc3c2OCOC3)o1 609018500 CQRIZDWCAAIKAG-KRWDZBQOSA-N 413.455 4.679 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 609875169 ZFYDFELBYUEUGX-MRXNPFEDSA-N 415.660 4.769 5 20 HJBD CN(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)[C@@H](c1cccc(F)c1)c1cnn(C)c1 609970381 ZFOSMLFHFCPXFG-FQEVSTJZSA-N 424.457 4.544 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)CC2)c(C)c1 610060163 FTLVTFUXFHLVMY-UHFFFAOYSA-N 408.498 4.611 5 20 HJBD O=C(Cc1coc2cc3c(cc12)CCC3)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 610210187 YHNLERSVLPGOAF-QFIPXVFZSA-N 408.479 4.646 5 20 HJBD C[S@@](=O)c1cccc(NCc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)c1 610910303 FBAYLSVXFDORTJ-MUUNZHRXSA-N 400.431 4.876 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(NC3C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C3)c12 612438330 OHNFWMZQEVUCRF-IUCAKERBSA-N 408.302 4.859 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 613234722 HRPMQTQKYVZCEY-GOSISDBHSA-N 420.431 4.883 5 20 HJBD CCn1c(SCC(=O)N(C)[C@H](C)CC(C)C)nc2cc3ccccc3cc2c1=O 613973208 PMYSGQPDGKQTFY-MRXNPFEDSA-N 411.571 4.555 5 20 HJBD CC[C@@H](C)Oc1cc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)ccn1 685333013 XVROMNTWQKGDSB-CQSZACIVSA-N 412.449 4.971 5 20 HJBD C[C@H](OC(=O)C12C[C@H]3C[C@@H](CC(Br)(C3)C1)C2)c1cccc([N+](=O)[O-])c1 728924266 TZSAQOMZIQBGMF-LUIVIUGWSA-N 408.292 4.933 5 20 HJBD O=[N+]([O-])c1ccc2nc(SCc3nc(O)c4cc(Cl)ccc4n3)sc2c1 728985821 UTOSYULQUQORLX-UHFFFAOYSA-N 404.860 4.799 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCC1 732178702 SFMOAQPWRABQGH-CQSZACIVSA-N 421.419 4.575 5 20 HJBD C[C@@H](Oc1ccccc1NC(=O)COc1ccc(C=O)cc1[N+](=O)[O-])c1ccccc1 734462327 CKHRVOXVGCTUBR-MRXNPFEDSA-N 420.421 4.565 5 20 HJBD CC(C)(C)OC(=O)N1CCC(N(Cc2ccc(Cl)cc2[N+](=O)[O-])C2CC2)CC1 734834963 FSSJDQCGJJGKRY-UHFFFAOYSA-N 409.914 4.612 5 20 HJBD CC(C)(C)OC(=O)NC(C)(C)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735272950 OCRKBRTYABLTRR-UHFFFAOYSA-N 415.446 4.629 5 20 HJBD O=C(c1cc(-c2ccncc2)nc2ccccc12)N1CCCc2ccc([N+](=O)[O-])cc21 736019230 RKBOGRBQTZDWFY-UHFFFAOYSA-N 410.433 4.798 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2cccc(CSC3CCCC3)c2)c([N+](=O)[O-])c1 740846456 IDKSVAQTVIXWPK-UHFFFAOYSA-N 414.483 4.601 5 20 HJBD O=C(c1ccc(C2SCCS2)cc1)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 741956278 DDJWWZYPDAUBAT-GOSISDBHSA-N 418.565 4.961 5 20 HJBD O=[N+]([O-])c1cn(CN2CCC[C@H]2c2ccc(Cl)c(Cl)c2)nc1-c1ccncc1 742146109 STYWCCQDJXCECM-KRWDZBQOSA-N 418.284 4.955 5 20 HJBD O=C(COC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2ccccc12 745564402 BITWYLMDKPJHQS-UHFFFAOYSA-N 418.327 4.562 5 20 HJBD Cc1c(Br)cc(C(=O)O[C@H](c2ncccn2)C2CCCC2)cc1[N+](=O)[O-] 753559532 OMPSQQGHYPDKKZ-INIZCTEOSA-N 420.263 4.544 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccc(Cl)cc2n1C 754335451 HEQHOMXNSJOALC-MNOVXSKESA-N 405.813 4.682 5 20 HJBD O=C(O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1F 761745483 HQVPSZQXAPZCDL-UHFFFAOYSA-N 404.419 4.719 5 20 HJBD CC(=O)Nc1nc(-c2nc(-c3ccc(Oc4ccc([N+](=O)[O-])cc4)cc3)no2)cs1 761857476 JJIZWWATLFLTSY-UHFFFAOYSA-N 423.410 4.519 5 20 HJBD CCC1(C(=O)O[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)CCCCC1 764666677 KIJIZKMXJWCQDC-LBPRGKRZSA-N 416.396 4.844 5 20 HJBD Cc1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)c1Br 769496853 RTPDDOMRTRYIJQ-UHFFFAOYSA-N 418.291 4.908 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(COC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 773156523 MYGGAYBZIGWNDQ-UHFFFAOYSA-N 406.822 4.952 5 20 HJBD Cc1ccc(-c2noc(CCC(=O)O[C@H](C)c3cc([N+](=O)[O-])ccc3C)n2)cc1F 778782899 YOMZFSOCCXHACO-CQSZACIVSA-N 413.405 4.638 5 20 HJBD Cc1cnc(COC(=O)c2ccc(Oc3ccccc3Cl)nc2)c(C)c1[N+](=O)[O-] 789431237 JBBUUYVCNDFWMB-UHFFFAOYSA-N 413.817 4.804 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C)c2F)cc1 790280026 SWWZVVWBHOSXRK-UHFFFAOYSA-N 401.438 4.557 5 20 HJBD Cc1onc(-c2cccc(Cl)c2)c1C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 795173486 NIMTYFZULGURFP-UHFFFAOYSA-N 406.225 4.795 5 20 HJBD CCN(CC)c1nc2sc(C(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cc2s1 800151347 MMAPMLFESUMKJM-UHFFFAOYSA-N 408.480 4.812 5 20 HJBD O=C(Nc1ccc(OC(=O)C2(C(F)F)CCCC2)cc1)c1cccc([N+](=O)[O-])c1 807952945 PUFIJCWLIKRZGH-UHFFFAOYSA-N 404.369 4.578 5 20 HJBD CN(Cc1cc(Cl)cc(Cl)c1[N+](=O)[O-])C1CCN(C(=O)OC(C)(C)C)CC1 809951454 CSZQPJNJDYMKGG-UHFFFAOYSA-N 418.321 4.733 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccc2c(c1)CCCCC2=O 810656320 DRXGVHHGJJWJHF-HSZRJFAPSA-N 416.433 4.845 5 20 HJBD Cc1ncccc1COC(=O)c1ccccc1C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 811032922 KZTCJRQIZUDBLX-UHFFFAOYSA-N 410.813 4.540 5 20 HJBD Cc1sc(NC(=O)COC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c(C)c1C 811373589 YKVSHTALMLABIT-UHFFFAOYSA-N 417.270 4.684 5 20 HJBD C[C@@H](CCNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(C)Cc1ccccc1 813035077 IZXOKUUDBKUBPY-ZDUSSCGKSA-N 410.301 4.542 5 20 HJBD CCNC(=O)Nc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1C 813235766 ZNPLUPMZJPBEID-UHFFFAOYSA-N 411.245 4.604 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F 815178098 ZQTKPFKHQBAPPT-UHFFFAOYSA-N 420.343 4.945 5 20 HJBD O=C(COC(=O)C1(c2cccc(Cl)c2)CCC1)Nc1ccc(Cl)cc1[N+](=O)[O-] 875261035 KQDNQCFEZSHWRT-UHFFFAOYSA-N 423.252 4.505 5 20 HJBD Cc1sc(NC(=O)c2nc(Cl)ccc2Cl)nc1-c1cccc([N+](=O)[O-])c1 917790663 JLPDJOGYGVZFRN-UHFFFAOYSA-N 409.254 4.981 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1COC(=O)Cc1sc(C)nc1-c1cccs1 918538633 OCWIIAWOZXKWNN-UHFFFAOYSA-N 418.496 4.773 5 20 HJBD CCCC(=O)Nc1ccc(C(=O)[C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1 919215277 YZHAHDSIGOVXSX-CYBMUJFWSA-N 424.409 4.508 5 20 HJBD O=C(c1cc(F)ccc1F)C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 919963940 VSNOMRXLJXUXGJ-UHFFFAOYSA-N 414.330 4.991 5 20 HJBD COc1ccc(C(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 1255833112 MJRIYPASSQEIBG-INIZCTEOSA-N 420.465 4.621 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1nc(-c2ccccc2)oc1C1CC1 1331365574 WINBXSDDVKJIED-UHFFFAOYSA-N 405.366 4.625 5 20 HJBD Cc1nc2ccccn2c1-c1nnc(-c2ccc(N3CCC(C)CC3)c([N+](=O)[O-])c2)o1 1331865607 SINPYZCJEDNHNG-UHFFFAOYSA-N 418.457 4.504 5 20 HJBD Cc1ccoc1C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 16416175 OGUKDFBSKZDCIK-SFHVURJKSA-N 414.801 4.686 5 20 HJBD CC(=O)Nc1ccc(Cl)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 50335881 VTIZRXIUQLRVAW-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD CCOC(=O)c1c(C2CC2)csc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 57790626 DAYXPBMKJSUZON-UHFFFAOYSA-N 406.485 4.685 5 20 HJBD Cc1sc(NC(=O)Cc2ccc([N+](=O)[O-])cc2)nc1-c1ccc(OC(F)F)cc1 57976710 OWBJDCGJOLTFSU-UHFFFAOYSA-N 419.409 4.809 5 20 HJBD CSCC(=O)Nc1ccc(Oc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])cc1 63254512 KPAYKSTVWDWCFU-UHFFFAOYSA-N 422.462 4.920 5 20 HJBD CCc1cc(Oc2ccc(NC(=O)CSC)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 63254548 IFPNMRHHFBQRRV-UHFFFAOYSA-N 424.482 4.708 5 20 HJBD COc1ccc(Cl)cc1C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 105353852 ODQIGJSXRIOOSP-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC[C@H]1CCCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 106150309 RNCJYKMLKSQBRD-SFHVURJKSA-N 418.497 4.639 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1cccc([N+](=O)[O-])c1Br 159042539 XGEFWCVWZXCMIA-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1cccc(C)c1NC(=O)CNCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 237635246 QMUBXDABVICWNU-UHFFFAOYSA-N 423.444 4.871 5 20 HJBD Cc1nc(Cc2nnc(Sc3ccc(C(F)(F)F)cc3[N+](=O)[O-])o2)cs1 301089252 MQCBSRKCJIWDGE-UHFFFAOYSA-N 402.379 4.504 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)c1ccc(Br)cc1C(F)(F)F 392141913 BKFWTDPCHMUXNR-UHFFFAOYSA-N 407.117 4.768 5 20 HJBD CCOc1cc(C(=O)N(C)C)ccc1NC(=O)c1cc2ccccc2c2cccnc12 427919435 WYPFNEIVGRQVBP-UHFFFAOYSA-N 413.477 4.741 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 429918716 DGMSIXTYEWLRKI-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD Cc1ccn(C2CCN(Cc3ccc(N(C)c4ccccc4)c([N+](=O)[O-])c3)CC2)n1 435052162 ZVONQCXAPCWVHR-UHFFFAOYSA-N 405.502 4.705 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)NCCc3ccc([N+](=O)[O-])cc3)ccc2C)c1 438676828 FJVAPEQKVIHQAB-UHFFFAOYSA-N 406.442 4.763 5 20 HJBD COc1ccc(OC)c(CCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440601624 UKWWUITXJOHCBX-UHFFFAOYSA-N 420.465 4.778 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1CCN(Cc2ccccc2)[C@H](C)C1 443957223 KTIOUUHZMHQNCJ-YLJYHZDGSA-N 410.518 4.728 5 20 HJBD Cc1ccc(C[C@H](C)NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)s1 444092331 DADXVIQKTXOAGV-NSHDSACASA-N 412.461 4.690 5 20 HJBD CCCN(CCc1cccs1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444330604 YEWHCZNAZNMBMZ-UHFFFAOYSA-N 408.498 4.977 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1cc(O)cc([N+](=O)[O-])c1 444435036 KRXBBWCDXLTLKB-AWEZNQCLSA-N 422.281 4.743 5 20 HJBD Cc1nc(NC(=O)[C@@H](C)Sc2ccc(Br)cc2[N+](=O)[O-])sc1C 447358483 ZAELZLWIQXMXEN-SECBINFHSA-N 416.322 4.550 5 20 HJBD COCC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 447850034 SYLTWYWJAIXJHH-UHFFFAOYSA-N 406.891 4.508 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2c3cc(Br)ccc3SC[C@@H]2C)c1 460164191 CQCQWISVSMUBOB-APPDUMDISA-N 421.316 4.879 5 20 HJBD O=C(N[C@@H]1c2ccccc2CC12CCOCC2)c1ccc2[nH]c3ccccc3c(=O)c2c1 461024225 FSIFQKFTGAJMPR-RUZDIDTESA-N 424.500 4.505 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(-c2cn3cccnc3n2)cc1 462438925 YIGLZAJKJFCVFY-QGZVFWFLSA-N 415.453 4.512 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccc(OCc2cscn2)c1 462489460 NRLSXKUVZXUIAX-INIZCTEOSA-N 411.483 4.838 5 20 HJBD CC(C)c1nn(C)c2sc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc12 463141582 NXAQVOCZXLVYMV-UHFFFAOYSA-N 400.504 4.717 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCc1csc(CCc2ccccc2)n1 463962584 FVQYGCNEYVYUED-UHFFFAOYSA-N 410.499 4.775 5 20 HJBD CCc1nc(C)c([C@@H](C)N(C)C(=O)NCCCCc2ccc([N+](=O)[O-])cc2)s1 465988735 LEKMZOFLRNYNLK-OAHLLOKOSA-N 404.536 4.647 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N2CCC(c3cccc(Br)c3)CC2)c1 466801158 LUYXRBRSBWBCEB-UHFFFAOYSA-N 403.276 4.686 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)nc2sccn12 468961762 OEEUOAPCAYNBGE-AWEZNQCLSA-N 414.878 4.689 5 20 HJBD CSc1ccc(C(=O)N2CCC(Cc3ccc(F)c(F)c3)CC2)cc1[N+](=O)[O-] 469380102 YLLGRFWQXXLWIR-UHFFFAOYSA-N 406.454 4.690 5 20 HJBD COc1cc(CNc2nc3ccccc3cc2[N+](=O)[O-])cc(Br)c1OC 473515647 ZMBRHGUTIZUPHQ-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])c1OC 474736960 MXDVZGIBSIEEOZ-CHWSQXEVSA-N 406.866 4.530 5 20 HJBD Cc1ccc(-n2c(SCc3ccc([N+](=O)[O-])cc3F)nnc2-c2ccncc2)cc1 476486401 QXQCMKDZPKEGKH-UHFFFAOYSA-N 421.457 4.977 5 20 HJBD O=C(N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1sc(Br)cc1[N+](=O)[O-] 478296473 XDDHZGBWSLGMKV-JQWIXIFHSA-N 413.268 4.624 5 20 HJBD O=C1CCC[C@@H]1[C@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 478510045 RZMZLEUYWGHZLH-WOJBJXKFSA-N 410.495 4.720 5 20 HJBD CC(=O)N(c1ccccc1)c1nc(COc2cc(C)c([N+](=O)[O-])cc2F)cs1 479172022 NRSUNAWYTNAWCO-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD CC[C@H](C(=O)N1CCC(N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)c1ccccc1 482133354 JSLWHNDKEJXIFH-AVRDEDQJSA-N 409.530 4.739 5 20 HJBD COc1cc([C@H](C)NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC(C)C 487804265 UHYRKTMDYRTLSO-HNNXBMFYSA-N 415.490 4.686 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2cc(C3CC3)nc3c2cnn3C(C)C)cc1[N+](=O)[O-] 488001421 UNFDCOOKVTZEPT-AWEZNQCLSA-N 407.474 4.597 5 20 HJBD CCn1c(SCc2cc(-c3ccccc3)on2)nnc1-c1ccc([N+](=O)[O-])cc1 489411829 QHWCAFKYAQQLPA-UHFFFAOYSA-N 407.455 4.821 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)CCc2ccc(Br)cc2)cc1[N+](=O)[O-] 493240153 VFYKFQPOSOOCBD-CQSZACIVSA-N 420.307 4.611 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)n1 494265481 POOXHCGNWZLPPD-UHFFFAOYSA-N 405.458 4.594 5 20 HJBD Cc1n[nH]c(C)c1[C@H]1CCCCCN1C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 501964145 NMQWNYUKLIMECZ-CRAIPNDOSA-N 402.520 4.559 5 20 HJBD CC(C)(C(=O)NCC1(c2ccc(C(F)(F)F)cc2)CC1)c1ccccc1[N+](=O)[O-] 504324545 KMKHMDHDNZLWGR-UHFFFAOYSA-N 406.404 4.739 5 20 HJBD O=C(c1ccccc1)N1CC[C@H](CSc2ccc(Br)cc2[N+](=O)[O-])C1 509062811 CEIHYHJWFZBQDD-ZDUSSCGKSA-N 421.316 4.612 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3n2C(C)C)C1 509899108 QWBRWBHCVNJKMP-MRXNPFEDSA-N 422.485 4.554 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ncc(Cc2ccccc2Cl)s1 510681444 LBJDPAWKKILJNT-UHFFFAOYSA-N 417.874 4.703 5 20 HJBD COc1cc(C(=O)Nc2ncc(Cc3cccc(C)c3Cl)s2)ccc1[N+](=O)[O-] 511370375 HKWJHNYELJJGIE-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD COc1ccc(CSCC(=O)N(Cc2ccc(C)s2)C(C)C)cc1[N+](=O)[O-] 512787704 KPHNJEQFVFMXRD-UHFFFAOYSA-N 408.545 4.644 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2cnc(C(C)(C)C)nc2)cc1[N+](=O)[O-])c1ccccn1 516398274 UFQHHYIAPDFVFP-AWEZNQCLSA-N 420.473 4.503 5 20 HJBD CC(=O)Nc1ccc(CNC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 522709594 QESNMRLZQKTOJS-UHFFFAOYSA-N 420.425 4.667 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 522923020 HNRHUEBDMNKSPL-LJQANCHMSA-N 410.861 4.924 5 20 HJBD CCO[C@@H](C)c1nc(CSc2nc3cc4ccccc4cc3c(=O)n2C)cs1 525625050 NHGPOUMHNYDBQL-ZDUSSCGKSA-N 411.552 4.933 5 20 HJBD COCCC1(CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)CCCC1 532125122 NGMMFNINQNOPPP-UHFFFAOYSA-N 411.502 4.534 5 20 HJBD O=C1NCc2ccc(NCc3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)cc21 532728180 DZHPPIVHATWODK-UHFFFAOYSA-N 409.829 4.896 5 20 HJBD Cc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cccc1C(=O)Nc1ccccc1 534960303 NFGCZPIRXCENHN-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD CC[C@@H](c1ccccc1)c1nnc(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)s1 535698026 FVNXWEXSWDSYCB-ZDUSSCGKSA-N 407.455 4.722 5 20 HJBD Cc1nc(CSc2ccc(C(=O)NCCc3cccc([N+](=O)[O-])c3)cc2)cs1 536241577 ZEFNNDZJWQULOO-UHFFFAOYSA-N 413.524 4.625 5 20 HJBD O=[N+]([O-])c1ccc(NCCc2nc(-c3ccncc3)cs2)nc1-c1ccccc1 536386789 UHEAERHBROGBQV-UHFFFAOYSA-N 403.467 4.830 5 20 HJBD Cc1c(NC(=O)CCN[C@@H](CC(F)(F)F)c2ccc(F)cc2)cccc1[N+](=O)[O-] 538179407 HZLBSYVIAWUPSV-INIZCTEOSA-N 413.371 4.654 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)NCc1ccc(Oc2cccnc2)c(F)c1 539844142 MLYNJLJWRBMBPR-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD COc1ccc(CNC(=O)Nc2ccc(COc3ccccc3)cc2)cc1[N+](=O)[O-] 540236183 YDZCXAGDCDONGH-UHFFFAOYSA-N 407.426 4.504 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1cccc(-c2ncc[nH]2)c1 543125025 KSPHLAYLQZSLQN-UHFFFAOYSA-N 410.499 4.663 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1c(F)cccc1N1CCCC1 544080985 HZBQIMRYYKQGFH-UHFFFAOYSA-N 409.870 4.718 5 20 HJBD CC(C)n1ncc2ccc(NC(=O)CSc3ccc(Cl)cc3[N+](=O)[O-])cc21 544097577 YRKLPDDTVBTMBD-UHFFFAOYSA-N 404.879 4.910 5 20 HJBD O=[N+]([O-])c1ccc2c(ccn2Cc2nc(Cc3c[nH]c4ccc(Cl)cc34)no2)c1 545748971 OTEPSDQVLNSBHJ-UHFFFAOYSA-N 407.817 4.706 5 20 HJBD COc1cccc(C2(CN[C@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)CCCC2)c1 552047028 YGSGUCYHOJWDEI-JOCHJYFZSA-N 420.513 4.528 5 20 HJBD C[C@@H](C(=O)N[C@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 553159279 MUGGTVAATFAPDU-BMLIUANNSA-N 409.339 4.739 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@H](c3ccccc3)[C@@H]3COCC[C@H]32)nc1-c1ccccc1 553264409 LKKIIAQSEBGZDR-QHAWAJNXSA-N 401.466 4.666 5 20 HJBD NC(=O)c1ccc(CSCc2ccc(Br)cc2Cl)c([N+](=O)[O-])c1 557203120 KNQSQRYCVZMUBR-UHFFFAOYSA-N 415.696 4.543 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1ccc([N+](=O)[O-])cc1Br 557980726 LGBUMJYUTJGWFY-MRXNPFEDSA-N 407.264 4.589 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(Br)cc2F)c1[N+](=O)[O-] 572561706 NMYDFFCGPTULHG-SECBINFHSA-N 413.268 4.709 5 20 HJBD CC(C)(COCc1ccccc1)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 572609129 BWCRMZOMBFBZSR-UHFFFAOYSA-N 406.442 4.544 5 20 HJBD C[C@H](C(=O)Nc1ccc(CC(=O)N(C)c2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 581522927 IYYMJAIIXGYLHK-KRWDZBQOSA-N 417.465 4.543 5 20 HJBD O=C(c1cc2cc(Br)sc2[nH]1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 590447292 XIKLSUXNYQBVTK-UHFFFAOYSA-N 420.288 4.705 5 20 HJBD O=C(CCc1c[nH]c2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613673 GJIFEFOLJGCXCR-UHFFFAOYSA-N 405.376 4.600 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(-c3ccccc3)cc2)no1 609476195 MJCIBWIHMPHBQC-OAHLLOKOSA-N 414.421 4.803 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])N(Cc1ccc(F)cc1)CC1CC1 609724889 VHCUEQUDGOJQJV-UHFFFAOYSA-N 406.841 4.595 5 20 HJBD O=[N+]([O-])c1cnc(Sc2ncc(-c3ccccc3)n2C[C@@H]2CCCO2)c(Cl)c1 609890936 IEWYBXZBUASKFZ-HNNXBMFYSA-N 416.890 4.837 5 20 HJBD COc1cc(C(F)(F)F)ncc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 616711245 NYCGRXFKRPJGJW-UHFFFAOYSA-N 415.393 4.770 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1NCc1ccccc1N1CCCC1 618583527 OHMFDHGSLVHLNS-UHFFFAOYSA-N 416.350 4.856 5 20 HJBD CCCOc1cc(NC(=O)c2ccc(C(C)=O)s2)c([N+](=O)[O-])cc1OCCC 619206755 WMSITMXIPXVFLI-UHFFFAOYSA-N 406.460 4.689 5 20 HJBD C[C@H](c1ccccc1)n1ccc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)n1 675388768 BYYNLHCIXCNNGE-GOSISDBHSA-N 422.488 4.599 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 729241445 ISSVMKZYODDMJN-KRWDZBQOSA-N 412.833 4.666 5 20 HJBD Cc1cn(-c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCC(C)CC1 730201162 FUWDCASHWWGLHF-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD COc1ccc(-c2nc(C)c([C@@H](C)NC(=O)/C=C\c3ccc([N+](=O)[O-])cc3)s2)cc1 733772431 NXALZNLYGYOAFL-CNTYAEFGSA-N 423.494 4.926 5 20 HJBD O=C(Cc1cc(Cl)ccc1Cl)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443631 PQAVJHMXOIAZJP-UHFFFAOYSA-N 407.209 4.843 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCCc1nc(-c2ccc(F)cc2)no1 735642605 APOFAGIPLRGZPC-UHFFFAOYSA-N 419.796 4.674 5 20 HJBD CC(C)C[C@H](C(=O)OC[C@@H]1CCCN1C(=O)c1ccccc1[N+](=O)[O-])c1ccccc1 741116757 WQEAKZIRCRAAEK-FPOVZHCZSA-N 424.497 4.573 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(Cl)c(Cl)c1 747021121 BBPPENQAKMRASL-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccncc1)c1ccc(F)cc1 748572300 MURBNRCDSLYXHO-JLTOFOAXSA-N 412.442 4.942 5 20 HJBD Cc1coc(-c2ccc(C)c(N[C@H](C)C(=O)Nc3cc([N+](=O)[O-])ccc3Cl)c2)n1 759644974 SGVRVQUNSUXHFY-CYBMUJFWSA-N 414.849 4.959 5 20 HJBD C[C@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(F)cc1F 760544075 ZGTWADLMBXWLAO-GFCCVEGCSA-N 402.353 4.765 5 20 HJBD COc1cc(C2SCCS2)ccc1OC(=O)c1cc(F)c([N+](=O)[O-])cc1F 763381703 HITFMPCORMXWJU-UHFFFAOYSA-N 413.423 4.579 5 20 HJBD Cc1ccc([C@@H](C)NCc2ccc(CCNC(=O)OC(C)(C)C)cc2)cc1[N+](=O)[O-] 765606937 JCGHGPYUSJQOGT-QGZVFWFLSA-N 413.518 4.821 5 20 HJBD C[C@@H](OC(=O)c1ccc(-c2ccco2)s1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 767482669 GWAHBXFJAZMTFE-LLVKDONJSA-N 411.395 4.884 5 20 HJBD COc1cccc(C(=O)Nc2ccc(CC[C@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 768021856 BDWBIOJEKCUSKE-FQEVSTJZSA-N 406.438 4.522 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1cc(Br)cc([N+](=O)[O-])c1 770471288 RMVYCDXRCJFTAB-UHFFFAOYSA-N 411.281 4.509 5 20 HJBD O=C(Nc1ccn(-c2ccc(Cl)cc2Cl)n1)c1cccc(Cl)c1[N+](=O)[O-] 772558993 JTYXYOLXTKKYAW-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD COc1ccc(Cl)cc1CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135647 HSQRUQGXWCVBOP-NRFANRHFSA-N 412.829 4.527 5 20 HJBD O=C(CCCOc1ccc(F)cc1)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776135822 SDJNAMGDCWFWFL-JOCHJYFZSA-N 410.401 4.621 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CSc2nnc(-c3ccco3)n2C2CCCCC2)cc1 776270914 GJSJBLIZRHUQBM-KRWDZBQOSA-N 414.487 4.777 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nc(-c3cccc(C(F)(F)F)c3)no2)C1 781609711 KLEDLPHPCZJJIH-OAHLLOKOSA-N 404.304 4.529 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1Cc2ccccc2-c2ccccc21 781624017 IPXOAISNLHSLRL-UHFFFAOYSA-N 403.394 4.649 5 20 HJBD Cc1cc(COC(=O)c2cccc([N+](=O)[O-])c2Br)c2ccccc2n1 781679157 XNDSINHFNHSMLF-UHFFFAOYSA-N 401.216 4.571 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)c1[N+](=O)[O-] 784054894 OJFZVZPXEPULTP-JTQLQIEISA-N 405.769 4.664 5 20 HJBD O=[N+]([O-])c1cc(Br)c(F)cc1OCc1csc(-c2ccccn2)n1 790293442 YXHPVGOAYHFFOI-UHFFFAOYSA-N 410.224 4.594 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)ccc1-c1ccncc1 794721915 SESHYHPDMXNDOO-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCOC(=O)/C(=C/c1cc(Cl)cc(C(F)(F)F)c1)c1ccc([N+](=O)[O-])cn1 794949647 OGXAUPUEAJRJHM-VGOFMYFVSA-N 400.740 4.766 5 20 HJBD O=C(CC1CCN(Cc2cc(Cl)cc(Cl)c2[N+](=O)[O-])CC1)NC1CCCC1 809936440 AEAFSUUPXRKLLF-UHFFFAOYSA-N 414.333 4.563 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1nc2ccccc2cc1Cl 811294073 FEHOEAYMWJMQNE-UHFFFAOYSA-N 409.785 4.808 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc1)C(C)C 813006122 YORHUELODDVYEB-UHFFFAOYSA-N 424.284 4.911 5 20 HJBD COC(=O)c1ccc2ccccc2c1OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 863918224 IKBNEVBAWSWOOP-ZDUSSCGKSA-N 411.435 4.621 5 20 HJBD Cc1c(C(=O)N(Cc2ccc3ccccc3c2)C2CC2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914484863 LJCULHCHELKWDX-UHFFFAOYSA-N 405.410 4.769 5 20 HJBD CC(C)(C)NC(=S)/C(=C(/O)c1cccc([N+](=O)[O-])c1)[n+]1ccc(C(C)(C)C)cc1 917613553 JBJQOPWMVMDZJY-UHFFFAOYSA-O 414.551 4.779 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 919652726 BZPFPGBXTQZYEN-YUMQZZPRSA-N 419.709 4.843 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc(-c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)o1 1322677873 LPCCCRNPANEZPJ-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD CCOC(=O)c1ccnc(Nc2ccc(OC)c(-c3ccc(OC)cc3)c2)c1[N+](=O)[O-] 1323050597 ABHUYPMHBOSPFD-UHFFFAOYSA-N 423.425 4.594 5 20 HJBD CC[C@@H](Nc1c([N+](=O)[O-])c(C)nn1C)c1nnc(CSc2ccc(Cl)cc2)o1 1345726391 GNWCOXCPQUPRHJ-CYBMUJFWSA-N 422.898 4.529 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)NC(c1ccccc1)c1ccccc1 6535325 HMDZEQTXHBALHQ-OAHLLOKOSA-N 410.857 4.921 5 20 HJBD CCn1c2ccccc2c2cc(NC(=O)COC(=O)c3ccccc3[N+](=O)[O-])ccc21 8091289 JRMZQCQWRDGTRJ-UHFFFAOYSA-N 417.421 4.518 5 20 HJBD CCOc1ccc(C(=O)Nc2nc(-c3ccc(F)cc3)c(C)s2)cc1[N+](=O)[O-] 19005522 YRVTUYIKXCHYKS-UHFFFAOYSA-N 401.419 4.817 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2ccc(Br)cc2)CC1 302986861 WLSRFNDUKXYAEN-LBPRGKRZSA-N 421.316 4.643 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccnn1-c1cccc(Cl)c1 303170460 IRJRSEIXICRNCR-LBPRGKRZSA-N 402.863 4.553 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2cc3ccccc3s2)cc1OC 410310242 WBRJSFVNJJVYLB-LBPRGKRZSA-N 400.456 4.708 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC(n2c(C)nc3ccccc32)CC1 432434824 DTAMCBBPVJJRBN-UHFFFAOYSA-N 407.474 4.739 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])Nc1ccc(OC(F)F)c(C(F)(F)F)c1 433214126 RTQRRJRUPBHFIE-UHFFFAOYSA-N 405.279 4.537 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)Cc3cccc([N+](=O)[O-])c3C)ccn2)c1C 438939849 UBNGRFCYLQIHLN-UHFFFAOYSA-N 405.454 4.566 5 20 HJBD Cc1cnn(-c2ccccc2)c1NC(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 439856368 SYGMJGFGQZXCSU-UHFFFAOYSA-N 419.485 4.578 5 20 HJBD O=C1CCCC[C@H]1[C@@H]1CCCN1C(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 440365268 HKWGYMYNZIXTAI-ICSRJNTNSA-N 407.470 4.702 5 20 HJBD O=C([C@H]1C[C@]12CCCc1ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 440634588 IVTIVURVABFRDV-NQIIRXRSSA-N 418.415 4.780 5 20 HJBD C[C@@H](CCc1cccn1C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441067123 TXFIDYFVBWDXHS-INIZCTEOSA-N 409.511 4.836 5 20 HJBD O=C(Nc1ccccc1OC[C@H]1CCCCO1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443864741 DDXDRKQHBJSFOV-CQSZACIVSA-N 424.375 4.814 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccc(Cl)c2ccccc12 462224098 LRCLIWKCRLOWQQ-GFCCVEGCSA-N 412.829 4.664 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cc(F)ccc1OC[C@H]1CCCO1 462240884 KGNIATUWOKEPCJ-GOSISDBHSA-N 404.438 4.691 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1cc(C2CC2)nc2ccc(F)cc12 463129782 JTKPQKXQNWYOFB-UHFFFAOYSA-N 402.385 4.960 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OC[C@H]1CCOC1 463200651 GUGUCSHCQDHFMR-NSHDSACASA-N 411.241 4.569 5 20 HJBD COc1ccc(CNc2cnc3onc(C)c3c2)cc1OCc1ccc([N+](=O)[O-])cc1 463248150 LVRUAKSIALNGOD-UHFFFAOYSA-N 420.425 4.639 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)NCC1(c2ccccc2Cl)CCOCC1 463951813 QXEZQKOGUGKHDC-UHFFFAOYSA-N 417.893 4.735 5 20 HJBD C[C@H]1C[C@H](c2ccccc2)CCN1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 466878058 PPMYECLEKJJSKC-PZJWPPBQSA-N 410.517 4.949 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N(Cc1cccs1)CC(F)(F)F 470787406 WOZINUFWFZTIET-UHFFFAOYSA-N 423.210 4.624 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N(Cc1cccnc1)Cc1ccco1 475206327 KPOLPEOPFYUUKM-UHFFFAOYSA-N 420.347 4.836 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N(C)Cc1cc(Br)ccc1F 475544288 AMPISEVQSWKOCZ-UHFFFAOYSA-N 410.243 4.777 5 20 HJBD Cc1ccccc1-c1noc(C)c1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 477118524 ZKAQRORFTCXGAV-UHFFFAOYSA-N 419.359 4.815 5 20 HJBD CCS(=O)(=O)c1c(Cl)cccc1/C=C/c1nc2cc([N+](=O)[O-])ccc2s1 478367279 GNCOABSLOMVPLE-RMKNXTFCSA-N 408.888 4.822 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCC(CCN2CCCC[C@@H]2C)CC1 478697926 NRLATVRSRUOYBV-KRWDZBQOSA-N 402.539 4.720 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(OC(F)(F)F)cc1 479794050 FKYHMRCTHNPEKV-ZDUSSCGKSA-N 423.391 4.929 5 20 HJBD CCc1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 482695278 GELOGVROJBKEFT-UHFFFAOYSA-N 405.241 4.902 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)c2cccc(SC)c2[N+](=O)[O-])cc1OC 485759318 YFACQHGHKMLBKE-ZDUSSCGKSA-N 404.488 4.605 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1cc(OC)c([N+](=O)[O-])cc1F 485956338 UEZQZUSUGSDUBU-UHFFFAOYSA-N 416.236 4.514 5 20 HJBD Cc1ccc([C@H](NC(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c2ccccn2)cc1 490381035 VYMXVWSZGMLAPD-NRFANRHFSA-N 404.470 4.622 5 20 HJBD Cc1ccc(NC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1C(F)(F)F 491901154 XWHLNJPJRYXCPV-UHFFFAOYSA-N 407.392 4.777 5 20 HJBD Cc1ccc(NC(=O)NC[C@@H]2CCCN(Cc3c(Cl)cccc3[N+](=O)[O-])C2)cc1 492418607 RWGRGDWEKKVVKU-INIZCTEOSA-N 416.909 4.590 5 20 HJBD CC(C)Oc1cc(F)ccc1NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 499349454 MKIDIOTZMNGVNU-UHFFFAOYSA-N 416.327 4.715 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 499510902 YGTIOTSYPAWTKP-QGZVFWFLSA-N 420.453 4.560 5 20 HJBD Cc1ccc(OC2CCN(C(=O)[C@@H](C)Sc3ccc([N+](=O)[O-])cc3)CC2)cc1C 501677745 HELGRTUYSIKTQY-QGZVFWFLSA-N 414.527 4.762 5 20 HJBD CCOc1cccc2cc([C@H](C)NC(=O)CSc3ccc([N+](=O)[O-])cc3)oc12 503180365 BQAUYLMOOAAPAX-ZDUSSCGKSA-N 400.456 4.709 5 20 HJBD C[C@@](NC(=O)c1ccc([N+](=O)[O-])cc1Br)(c1ccccc1)C(F)(F)F 504564240 TYRUNERNWLRDGV-OAHLLOKOSA-N 417.181 4.565 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)N[C@@H](c1ccccc1C(F)(F)F)C(F)(F)F 505402541 KHNVPXVCKFLPIF-HNNXBMFYSA-N 406.282 4.576 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(CC1CCCCC1)c1ccccn1 507966740 NAQWARZAOJJGLE-UHFFFAOYSA-N 422.529 4.820 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cn(C)nc2C(F)(F)F)cc1[N+](=O)[O-] 508313327 ABXCRVLQMPATJI-UHFFFAOYSA-N 416.425 4.738 5 20 HJBD Cc1sc(NC(=O)Cc2c[nH]c3cc(F)ccc23)nc1-c1cccc([N+](=O)[O-])c1 508950765 RHBYBSCXHXZCBB-UHFFFAOYSA-N 410.430 4.828 5 20 HJBD CC(C)N(C)Cc1ccccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509263404 LQVTVUFMWOELSW-UHFFFAOYSA-N 412.534 4.555 5 20 HJBD Cc1ccc(C(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1NC(=O)c1ccccc1 509955176 XGQUBCHWXSFNSH-UHFFFAOYSA-N 415.449 4.749 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])c1 517654491 UTHRTKBWEJLENC-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD C[C@@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 518404463 FKIYCYYBWXTXCP-AWEZNQCLSA-N 421.419 4.575 5 20 HJBD COc1cc(C(=O)Nc2cccc(-c3ccccc3OC)c2)c([N+](=O)[O-])cc1OC 520346120 KAPWNWJUURWACI-UHFFFAOYSA-N 408.410 4.540 5 20 HJBD O=C(Nc1nnc(SCc2ccc(Cl)cc2)s1)c1cc(F)cc([N+](=O)[O-])c1 521842739 OBZHRBKBGHFHPE-UHFFFAOYSA-N 424.866 4.783 5 20 HJBD Cc1cc(C(=O)Nc2ccc(Sc3nncs3)c(Cl)c2)ccc1[N+](=O)[O-] 524487852 KEAJWPFXJGEINA-UHFFFAOYSA-N 406.876 4.812 5 20 HJBD Cc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)cc1OCC1CCOCC1 524953759 GPJYMMDHAXKHCJ-UHFFFAOYSA-N 410.426 4.707 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Cl)c(C(=O)Nc2ccccc2)c1 525662117 ZFEUHRMSOBHIOL-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD CC(C)n1c([C@@H]2CCCN2Cc2cc([N+](=O)[O-])cc3c2OCOC3)nc2ccccc21 532717419 UZVAFANOMRVVQO-NRFANRHFSA-N 422.485 4.729 5 20 HJBD CN(C)CCC(=O)Nc1ccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1 532727997 CJNDSRUJVQAROL-UHFFFAOYSA-N 424.526 4.826 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)c1nc2ccccc2n1C(F)F 538812333 KJLRJCPAMJJEGB-ZDUSSCGKSA-N 402.401 4.756 5 20 HJBD COc1cc(CNc2ccccc2SC)c([N+](=O)[O-])cc1OCC(F)(F)F 539927485 SEQJLDQWXBDGHR-UHFFFAOYSA-N 402.394 4.879 5 20 HJBD Cn1cc(CNc2ccc3oc(C(F)(F)F)nc3c2)c(-c2ccc([N+](=O)[O-])cc2)n1 540807743 MRBRLECHZCJWJX-UHFFFAOYSA-N 417.347 4.767 5 20 HJBD C[C@H](C(=O)N1CCSC[C@@H]1c1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1F 541623378 OIGOGVXUZZQGEI-KPZWWZAWSA-N 408.882 4.808 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3ccncc3)no2)ccc1NCc1ccccc1Cl 544998632 QDFIWPNRIHPMPN-UHFFFAOYSA-N 421.844 4.896 5 20 HJBD COc1cc([C@H](C)NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)ccc1OC(C)C 545164869 HJQYVGCVMMEZGV-AWEZNQCLSA-N 418.465 4.685 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)c(C)c2c1 545218704 FXBKPCFVPBBHFC-UHFFFAOYSA-N 414.845 4.754 5 20 HJBD COc1cc(Cc2noc(COc3ccccc3-c3ccccc3)n2)ccc1[N+](=O)[O-] 546471205 QNSILBVVFASABV-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD CN(C)C(=O)c1ccc(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 551449382 UPEPFVPFTOCGGR-UHFFFAOYSA-N 416.890 4.686 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2cccc(-c3ccc(F)cc3Cl)n2)c1[N+](=O)[O-] 552760710 RHEVJHDCOYVSDY-UHFFFAOYSA-N 403.801 4.548 5 20 HJBD COc1ccc(CC(=O)Nc2nc(-c3cccc(Cl)c3)c(C)s2)cc1[N+](=O)[O-] 559892604 YHPITWZRMNYCLN-UHFFFAOYSA-N 417.874 4.870 5 20 HJBD COc1ccccc1C1(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)CCCC1 560527990 HLXJDARQUWQQAM-JOCHJYFZSA-N 420.513 4.528 5 20 HJBD O=C(Nc1ccc(Oc2nc3ccccc3s2)c(Cl)c1)c1c[nH]nc1[N+](=O)[O-] 561018163 VRWFLYORAIOIOL-UHFFFAOYSA-N 415.818 4.626 5 20 HJBD COCC(C)(C)N(C)C(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])c(OC)c1 578152849 HHUMXOMANZYEKM-UHFFFAOYSA-N 422.865 4.546 5 20 HJBD C[C@H](NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C(F)F 590644429 KHDDTARTMYPISA-NWDGAFQWSA-N 420.441 4.698 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2ccccc2OC)cc1 603604764 CGSVZUTXXULKCK-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD O=C(Nc1ccc(Oc2cnc3cc(F)c(F)cc3n2)cc1)c1cccc([N+](=O)[O-])c1 609890086 FPGJWOQODBCRMB-UHFFFAOYSA-N 422.347 4.861 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCc3sc(Br)cc3C2)cc1[N+](=O)[O-] 610932547 YHZCCSMLTRFPQN-LLVKDONJSA-N 424.320 4.556 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(CN(CCc3ccccc3)Cc3ccncc3)c2)cc1 728900138 FPHSKMKESKABHQ-UHFFFAOYSA-N 413.481 4.556 5 20 HJBD COc1ccccc1C/C(C)=C/C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 730161349 YNXFKQOQRGCAKQ-SDNWHVSQSA-N 409.467 4.854 5 20 HJBD O=C(OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@@H]1c1ccc2ccccc2c1 731268581 NFNOSNPQRFTMPW-WOJBJXKFSA-N 415.405 4.645 5 20 HJBD C[C@H](OC(=O)[C@H]1C[C@@H]1c1ccc2ccccc2c1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 731268619 NWFLJJDCZHJALP-BKTGTZMESA-N 422.412 4.561 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COC(=O)C[C@H](C)c1cccc(C(F)(F)F)c1 738746242 XPGMMNNLIVYBCQ-ZDUSSCGKSA-N 424.375 4.598 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)Oc1ccccc1C(F)(F)F 742272509 GFPVDRCEKUFMGW-UHFFFAOYSA-N 416.160 4.688 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Br)N1CC[C@@H](CSc2ccccc2)C1 743837516 LVFNHAFBXAYLDF-CYBMUJFWSA-N 421.316 4.612 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCOC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567972 XPYDCSWREJHOJK-UHFFFAOYSA-N 424.375 4.806 5 20 HJBD CCc1ccc(C(=O)O[C@H](C)C(=O)Nc2c(C)cc(C)cc2Cl)cc1[N+](=O)[O-] 747013264 WENRBGYPWPEZJA-CYBMUJFWSA-N 404.850 4.611 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)CCc1ccc(Br)cc1 748694200 NPCVLRFCUOPJAZ-UHFFFAOYSA-N 411.683 4.602 5 20 HJBD C[C@H](OC(=O)c1ccc(OC(C)(C)C)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750970148 KNKKMKCLQYBSFC-ZDUSSCGKSA-N 411.414 4.740 5 20 HJBD CCOc1ccc(N(CC)C(=O)c2cc(F)cc([N+](=O)[O-])c2Br)cc1 754981559 PHCGYQZAQSIDLN-UHFFFAOYSA-N 411.227 4.562 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1coc(-c2ccc(Cl)cc2)n1 759145627 INZUNPXNGBIWMH-UHFFFAOYSA-N 404.831 4.739 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc(Cl)cc2Cl)o1)c1cccc([N+](=O)[O-])c1 763406430 TUJJHDGJECOUQV-JTQLQIEISA-N 422.224 4.799 5 20 HJBD O=C(/C=C/c1ccc2[nH]ccc2c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 765794279 FFENVBLKELTQEG-SOFGYWHQSA-N 403.360 4.680 5 20 HJBD C[C@H](Cc1ccc(F)c(Br)c1)C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F 769657427 LMZADYAQXCRXAK-MRVPVSSYSA-N 417.181 4.592 5 20 HJBD O=C(c1ccc(F)cc1)[C@H]1CCCN(C(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)C1 770010202 ONWGSFUAGRMOFI-ZDUSSCGKSA-N 405.813 4.514 5 20 HJBD O=C(Nc1ccc(F)c([N+](=O)[O-])c1)c1coc(-c2ccc(Br)cc2F)n1 778664847 LLAPNYJWSBYTII-UHFFFAOYSA-N 424.157 4.543 5 20 HJBD O=C(Nc1ccc(Oc2cccnc2)cc1)c1cc([N+](=O)[O-])ccc1Br 808463345 BRINFMUBIWVVBX-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cnc1Br 813158327 ACMHWJFGLLDJSL-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 915806855 XMIBIHBDFWTTEQ-UHFFFAOYSA-N 412.452 4.996 5 20 HJBD CCc1nn(C)c(CC)c1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1116377797 OVAQXCXNVQUZIL-UHFFFAOYSA-N 402.882 4.656 5 20 HJBD Cc1cc(N2CCO[C@H](c3ccc(Cl)s3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 1318968637 CKURRERURMLTKH-HNNXBMFYSA-N 416.890 4.653 5 20 HJBD CSc1ccc([C@H](C)NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1 31690409 RXOYKTMCLJETFO-INIZCTEOSA-N 402.519 4.754 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 36714447 MTFGCZTVUUAGKU-OKILXGFUSA-N 415.877 4.619 5 20 HJBD CSc1ccccc1NC(=O)N[C@H](CC(=O)OC(C)C)c1ccccc1[N+](=O)[O-] 43318986 NUQUOTNDUXTVST-MRXNPFEDSA-N 417.487 4.521 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)Cc2ccccc2F)cc1[N+](=O)[O-])c1ccccn1 52956937 GLOWLMRTKWXORY-OAHLLOKOSA-N 408.433 4.574 5 20 HJBD COc1cccc(NC(=O)[C@@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)c1 56197499 HPBPRLYSGCYZPH-ZHRRBRCNSA-N 405.454 4.634 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2ccc(F)cc2F)s1 72024774 QHZFWVQNPMDYFT-FPYGCLRLSA-N 401.394 4.572 5 20 HJBD CCn1c(S[C@@H](C)C(=O)N(C)C2CCCCC2)nc2cc3ccccc3cc2c1=O 115161174 BNDMMLVQOAGLBU-INIZCTEOSA-N 423.582 4.841 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccccc2Cn2cccn2)s1 237960163 HRWQIMQPIYQFGP-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD O=[N+]([O-])c1cnc(Nc2ccc(Oc3ccc(Cl)nn3)cc2)c(Br)c1 301547926 PWWOXGQPQCETJQ-UHFFFAOYSA-N 422.626 4.732 5 20 HJBD COc1ccccc1-c1csc(C2CCN(c3nccc(C)c3[N+](=O)[O-])CC2)n1 302762467 YRILDIAUILTSRK-UHFFFAOYSA-N 410.499 4.814 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cc2ccccc2o1 302891654 UWIQBLFXNQVCNT-QHCPKHFHSA-N 402.406 4.626 5 20 HJBD O=C(NCc1ccccc1OC1CCCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 426046647 FHQIRHYABWXSQY-UHFFFAOYSA-N 409.486 4.790 5 20 HJBD CC(C)(C)CC[C@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)C(=O)O 426760957 WIBQBGKXMSFOMI-KRWDZBQOSA-N 404.438 4.895 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])[C@H](c1ccc(Cl)cc1)c1cnccn1 427452893 MGNMOTZCHSZNAN-GOSISDBHSA-N 417.252 4.553 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](C(F)(F)F)C1)Nc1cc([N+](=O)[O-])ccc1OC(F)F 438627627 RDHUCMSULKHJTF-VHSXEESVSA-N 411.327 4.686 5 20 HJBD O=[N+]([O-])c1cccc(Cc2nc(-c3cccc(Cn4cnc5ccccc54)c3)no2)c1 438729626 BPQHWZOWQDNHPV-UHFFFAOYSA-N 411.421 4.634 5 20 HJBD CCOC[C@H]1CCCN(C(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C1 440468285 TUELBHVIYHEKLN-INIZCTEOSA-N 400.500 4.635 5 20 HJBD Cc1cc(NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n([C@@H]2C[C@H]3CC[C@H]2C3)n1 444291482 QGRLHPCXZHWMCD-PONJGIIJSA-N 412.493 4.800 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4nccc5ccccc45)no3)cs2)c1 445510510 GITKIYUONROZLQ-UHFFFAOYSA-N 415.434 4.907 5 20 HJBD O=C(Nc1ccc(Br)cc1Cl)N1CCc2ccc([N+](=O)[O-])cc2C1 446932571 IUOZBMDOBQDSJW-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD O=C(c1ccnc(Oc2ccc(F)cc2F)c1)N1CCCc2c1cccc2[N+](=O)[O-] 448194584 VPMJRCWSWPZYBI-UHFFFAOYSA-N 411.364 4.653 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCCC[C@H]2C)cc1 462442380 SVAMAYFQFJLKIB-MJGOQNOKSA-N 423.513 4.817 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCC(C)CC2)cc1 462507084 UVODKYPWCFPMJS-IBGZPJMESA-N 423.513 4.674 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CC[C@@H](COCc3ccccc3)C2)c([N+](=O)[O-])c1 463079210 YEDGRHNTVHLNCK-HXUWFJFHSA-N 416.477 4.869 5 20 HJBD CCOc1cc(NC(=O)c2ccc3c(c2)CCCCC3=O)c([N+](=O)[O-])cc1OCC 463297177 JGEKAYPRDCDCCT-UHFFFAOYSA-N 412.442 4.554 5 20 HJBD COc1ccc(-c2noc([C@H](C)SCc3cc(OC)c(F)cc3[N+](=O)[O-])n2)cc1 464090908 GABQWHSTCJZVSK-NSHDSACASA-N 419.434 4.796 5 20 HJBD C[C@H](NC1CCN(Cc2coc(-c3ccccc3)n2)CC1)c1cccc([N+](=O)[O-])c1 467835184 ZKKYONKKUKEWTO-KRWDZBQOSA-N 406.486 4.565 5 20 HJBD O=C(NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1c(Cl)cccc1[N+](=O)[O-] 468063460 DJCCFLKZYJLZHA-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD COc1ccc2c(c1)CN(C(=O)Cc1ccc([N+](=O)[O-])cc1F)[C@@H](c1ccccc1)C2 477802633 TTZLURMTCYUDQM-HSZRJFAPSA-N 420.440 4.611 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1-c1ncco1 481863116 FAAYWFRFOUVBLY-GFCCVEGCSA-N 401.806 4.618 5 20 HJBD Cc1c([C@H](C)N[C@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cccc1[N+](=O)[O-] 482096401 QXMAAXJEDPUIOW-AEFFLSMTSA-N 410.518 4.571 5 20 HJBD CCOc1cc(C(=O)N(Cc2cccc(F)c2)C(C)(C)C)c([N+](=O)[O-])cc1OC 484305794 IWHJOZGMWRDOKB-UHFFFAOYSA-N 404.438 4.582 5 20 HJBD C[C@@H](c1ccc([S@](C)=O)cc1)N(C)c1ccc([N+](=O)[O-])c(-c2ccc(F)cc2)n1 485402333 IDHKAKGAZXULEE-MMEWPQADSA-N 413.474 4.731 5 20 HJBD CSc1cccc(C(=O)N[C@H](C)c2ccc(OCC(F)(F)F)cc2)c1[N+](=O)[O-] 486253162 MAGNAVBRMUEIRD-LLVKDONJSA-N 414.405 4.749 5 20 HJBD COc1cccc(C2=CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 496999208 FWICXVJOLJVGET-UHFFFAOYSA-N 421.478 4.656 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498153278 NUANSIHHCMJDOD-KRWDZBQOSA-N 424.320 4.628 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(OC[C@H]2CCCO2)c1 502715513 NHDYOJVHWJCULV-GOSISDBHSA-N 416.499 4.907 5 20 HJBD O=C(Nc1cccc2c1OCCC2=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 505029760 IQSDRAXTFOCFJF-UHFFFAOYSA-N 420.446 4.964 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1nccs1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 505047427 FLOFFAAFECQAQZ-KRWDZBQOSA-N 422.491 4.694 5 20 HJBD CCN(C/C=C/c1ccccc1[N+](=O)[O-])CC(=O)Nc1ccccc1C(F)(F)F 505571463 ZXJQHUULFWKDDD-VQHVLOKHSA-N 407.392 4.587 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3F)c(C)c2)s1 505932406 OQPUIFWLJWNVPB-UHFFFAOYSA-N 404.448 4.606 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(NC2CCOCC2)cc1 506183844 TVPFORHDFNURKO-UHFFFAOYSA-N 415.515 4.940 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCCC(F)(F)F)C(=O)Nc1ccc([N+](=O)[O-])cc1 507692390 LOECICMOASEWGK-LLVKDONJSA-N 415.343 4.504 5 20 HJBD CCn1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2Nc2cccc(C(F)(F)F)c2)cn1 510214424 XTBJHIDFTGVTEU-UHFFFAOYSA-N 419.363 4.826 5 20 HJBD COc1ccc([C@H](C)NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c(C)c1OC 513414641 OSDBIIPVHIYJRC-INIZCTEOSA-N 415.490 4.602 5 20 HJBD C[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Oc2cccnc2)cc1 514592731 CBOVGHSICWEDSR-OAHLLOKOSA-N 417.425 4.683 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N[C@H]1CCCc2nc(O)ccc21 522709809 ZKPJDPXAMWKFIP-FQEVSTJZSA-N 420.425 4.687 5 20 HJBD O=C(c1ccc(Br)cc1C(F)(F)F)N1CCc2ccc([N+](=O)[O-])cc21 537350215 AJCKZCNKPYUOIV-UHFFFAOYSA-N 415.165 4.579 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H](N2CCCC2)CC1 537418025 WBDHBFYUBNNSLP-KRWDZBQOSA-N 405.564 4.578 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)CC(=O)c1ccccc1 538568388 ORMNQXYVGJKDHN-OAHLLOKOSA-N 400.500 4.878 5 20 HJBD CC[C@@H](N[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C)c1ccccc1OC(F)F 539253890 IKDYLXYJEOUETG-UKRRQHHQSA-N 407.417 4.573 5 20 HJBD Cc1cnc([C@@H](C)CNC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)s1 541702305 GOPLBKROCIOWGG-AWEZNQCLSA-N 410.499 4.505 5 20 HJBD CC[C@@H](N[C@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1)c1c(C)noc1C 542564977 GYFJZXZCPHMBJD-GCJKJVERSA-N 422.485 4.929 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)C(=O)CSc1ccccc1[N+](=O)[O-] 543151763 XZPUVKMLSRNLTG-UHFFFAOYSA-N 400.431 4.961 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](Cc3ccccc3)c3cccs3)n2)c1 543454656 GXAXUEOPVYXAJS-SFHVURJKSA-N 406.467 4.780 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H]1CCCOc2c(F)cccc21 544059891 PAZUCLOVGNYOKI-AWEZNQCLSA-N 410.854 4.510 5 20 HJBD Cc1ccc(-c2noc(C(F)(F)c3ccc([N+](=O)[O-])cc3)n2)cc1Br 545794350 MFRQUDPAENKKKY-UHFFFAOYSA-N 410.174 4.856 5 20 HJBD CCOC(=O)c1cccc(N(CC)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c1 548030703 GXXZEYOZFGPPCA-UHFFFAOYSA-N 414.461 4.525 5 20 HJBD Cn1ccnc1[C@@H](NCCCc1ccc(Cl)cc1Cl)c1cccc([N+](=O)[O-])c1 556874206 YVWKRAQBLQHGEO-IBGZPJMESA-N 419.312 4.947 5 20 HJBD CN(C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)[C@@H]1CCCc2ccccc21 562367992 MNAJIQVZFIRIEG-GOSISDBHSA-N 407.392 4.833 5 20 HJBD COc1cccc(C(NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2cccc(OC)c2)c1 569785371 KNOIMSPADOBCER-MRXNPFEDSA-N 420.465 4.621 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(C2(NC(=O)c3ccccc3)CCC2)no1 579645155 SJICIIQZQXBTPD-KRWDZBQOSA-N 420.469 4.523 5 20 HJBD CCN(CC)CC(=O)Nc1cccc(N[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)c1 579991742 AZOWNFDBAVIWMJ-NRFANRHFSA-N 410.518 4.710 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(-c3nc4ccccc4n3C)c2)c1 581501156 JBUZREFEZUYEEF-UHFFFAOYSA-N 416.437 4.800 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2cccc(Br)c2)cs1 601905378 XGGSBFAKHWWUIV-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD CCOc1ccc([C@@H](NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c2ccccc2)cc1 604104565 WPLQJCFZQVWDGX-QHCPKHFHSA-N 415.449 4.994 5 20 HJBD Cn1c([C@@H]2CCCCN2C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)nc2ccccc21 604338702 RPMKBFALYSKFLA-SFHVURJKSA-N 404.426 4.595 5 20 HJBD O=[N+]([O-])c1ccc(-c2cnn(Cc3csc(COc4ccc(F)cc4)n3)c2)cc1 609151031 RNWJYBUAFHGUQZ-UHFFFAOYSA-N 410.430 4.681 5 20 HJBD COC[C@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccc(C(F)(F)F)c1 609817289 SMODXWOMQXJDED-HNNXBMFYSA-N 424.400 4.946 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 610165617 XXEGENNZEDJUIT-VGOFRKELSA-N 419.481 4.504 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CC[C@H]2[C@H](C(F)(F)F)[C@@H]21 611211274 HZURIFOZNCWJFD-RRQGHBQHSA-N 408.401 4.769 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])NCc1ccc(C)nc1N1CCCC1 611591332 IWABNSIRGHAVCC-GOSISDBHSA-N 400.548 4.559 5 20 HJBD C[C@@H](C(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 617753183 AYVJEAXTORAZNM-CVEARBPZSA-N 402.878 4.669 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccccc2)c1 619639086 XYLRYWDOWLZUEK-UHFFFAOYSA-N 408.414 4.503 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1nc(Cc2cccc(F)c2)oc1=S 727818803 NEPFPRBIQRDALZ-UHFFFAOYSA-N 416.478 4.712 5 20 HJBD O=[N+]([O-])c1cccc(-c2ncn(CN3CCC[C@H]3c3ccccc3C(F)(F)F)n2)c1 728049650 JLOXIAUWXARJGT-SFHVURJKSA-N 417.391 4.667 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccc(COc4ccccc4)cc3)no2)cc([N+](=O)[O-])c1 731803460 RVTMCKKMIQQTKY-UHFFFAOYSA-N 418.365 4.799 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(Cc1ccc(F)cc1)CC1CC1 734395629 BIJWPIAEYLSEAU-UHFFFAOYSA-N 407.239 4.549 5 20 HJBD Cc1cc(OCc2ccccc2)ccc1NCC(=O)Nc1cc([N+](=O)[O-])ccc1C 735308454 XKIGKOKWKARACO-UHFFFAOYSA-N 405.454 4.841 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCc2c1cccc2[N+](=O)[O-] 737580712 BXKFSPWKCIMQAN-UHFFFAOYSA-N 421.434 4.857 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1COc1ccc(-c2noc([C@H]3CCCO3)n2)cc1 746049815 CCCVCADAWDUVNV-QGZVFWFLSA-N 401.806 4.729 5 20 HJBD O=C(OCc1cc(Cl)ccc1[N+](=O)[O-])c1csc(Cc2ccc(F)cc2)n1 746073620 YIIAJWLRLPTTPQ-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD O=C(Nc1nnc(C2CCCCC2)s1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 747628885 JYTXSNRTQYZNGY-UHFFFAOYSA-N 415.500 4.870 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1ccc(Cl)c([N+](=O)[O-])c1 748198113 IYLMJQQEYMOMIF-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD CC1CCN(c2ccc([N+](=O)[O-])cc2C(=O)N(CCC(F)(F)F)CC2CC2)CC1 752486944 ZWNCIABKFJKYDB-UHFFFAOYSA-N 413.440 4.636 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CCC[C@H](COc2ccccc2Cl)C1 754388970 XGAGLHVNHBBXTC-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 755066052 FROJAYADHPXNFW-KBPBESRZSA-N 402.422 4.531 5 20 HJBD Cc1oc(-c2cccs2)nc1COC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762978099 CPMBHDUZNHTHCX-UHFFFAOYSA-N 404.419 4.780 5 20 HJBD O=C(Cc1cccs1)Nc1ccccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1 764231510 ARAQNPFNBQOWBP-UHFFFAOYSA-N 415.858 4.743 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N[C@H](c1cccc(F)c1)c1ccccn1 766295944 NTFSWSNSRQUPTD-GOSISDBHSA-N 400.797 4.693 5 20 HJBD CSc1cc2c(cc1NCc1csc(-c3cccc([N+](=O)[O-])c3)n1)OCCO2 770548991 BYZVEXORMYOBKV-UHFFFAOYSA-N 415.496 4.824 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCc3sccc3[C@@H]2c2cccs2)c([N+](=O)[O-])c1 774820932 KCPATFIIENNEHH-GOSISDBHSA-N 401.469 4.774 5 20 HJBD O=C(Nc1cc(F)c(N2CCCCC2)c(F)c1)c1c[nH]c2cc([N+](=O)[O-])ccc12 779130795 BKWKZAWCLZBZSV-UHFFFAOYSA-N 400.385 4.597 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1cccc([N+](=O)[O-])c1Br 781674747 KBVUVWOXMYHJHX-UHFFFAOYSA-N 417.215 4.678 5 20 HJBD CC(C)c1ccc(-c2nc([C@H](C)CN(C)C(=O)OC(C)(C)C)no2)cc1[N+](=O)[O-] 783947892 FHZNAJIKJYNPEB-CYBMUJFWSA-N 404.467 4.739 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1cc(-c2ccncc2)nc2ccccc12 785418619 ZEDQKFMKQVEEIJ-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)O[C@H]2CCOC(C)(C)C2)cc1 785912760 JPALTLNRXFSBSX-KRWDZBQOSA-N 401.415 4.510 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)Nc1cc([N+](=O)[O-])ccc1F 792523831 AJKAPKNCLYZKBT-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD CC(C)(C)c1ccc(NC(=O)C(=O)Nc2cccc(SC(F)F)c2)cc1[N+](=O)[O-] 793037619 YMVYPVCCYWYITB-UHFFFAOYSA-N 423.441 4.784 5 20 HJBD CCC(=O)c1ccc(Cl)c(NS(=O)(=O)c2cc([N+](=O)[O-])c(Cl)cc2C)c1 794594117 DTIUFZNGEBUKSO-UHFFFAOYSA-N 417.270 4.604 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(C)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 796255074 IZOJXOYVRZYBEN-SNVBAGLBSA-N 412.776 4.544 5 20 HJBD CO[C@H](COC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ccc(Cl)cc1 801220328 XYFZEXRJWWFVIC-JOCHJYFZSA-N 421.880 4.739 5 20 HJBD O=C(Cc1coc(-c2cccs2)n1)OCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811083288 IOZFSWBAHNSMEZ-UHFFFAOYSA-N 413.238 4.904 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccccn1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812833480 NTNMPSMBIPUVPL-QGZVFWFLSA-N 402.237 4.816 5 20 HJBD C[C@@H]1CCc2c(sc3nc(-c4ccc(Br)c([N+](=O)[O-])c4)nc(O)c23)C1 917503942 VNVQOTRHCVKUBV-MRVPVSSYSA-N 420.288 4.859 5 20 HJBD O=C(c1cc2ccccc2n1Cc1ccccc1F)N1Cc2ccc([N+](=O)[O-])cc2C1 1116136316 XJQFSIFTKWTIMG-UHFFFAOYSA-N 415.424 4.893 5 20 HJBD O=C(Cn1c(C2CCCCC2)nc2ccccc21)N1Cc2ccc([N+](=O)[O-])cc2C1 1320297861 VIQPENRSGRXWDB-UHFFFAOYSA-N 404.470 4.535 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@H]3CCCC[C@]32c2ccccc2)c(C)c([N+](=O)[O-])c1 1321884556 VLENBNBJHBBCIW-JPYJTQIMSA-N 423.469 4.623 5 20 HJBD Cc1sc2ncnc(SCCc3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2c1C 1328103084 YVBPJRDYVSPWHY-UHFFFAOYSA-N 413.484 4.601 5 20 HJBD CCOc1cc(C(=O)NC(c2ccccc2)c2ccccc2)c([N+](=O)[O-])cc1OC 7920982 ZVIGMTRLVUBMNV-UHFFFAOYSA-N 406.438 4.522 5 20 HJBD COc1cc(C(=O)Nc2ccc(Oc3ccc(C)cc3)cc2)c([N+](=O)[O-])cc1OC 10629526 FPGBTMVOWWRJOW-UHFFFAOYSA-N 408.410 4.965 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccccc1)c1ccccc1)c1ccc(Cl)c([N+](=O)[O-])c1 12484374 INOVSGVQATUXJS-IBGZPJMESA-N 410.813 4.785 5 20 HJBD COc1ccccc1[C@H](C)NC(=O)COc1ccc([N+](=O)[O-])cc1-c1cccs1 16325177 IPAFCOQQKLMXSF-AWEZNQCLSA-N 412.467 4.588 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2-n2cccn2)c1 18233146 XJTRUFTZVNYTEH-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD Cc1c(Cn2nc(-c3ccc(F)c(F)c3)c3ccccc3c2=O)cccc1[N+](=O)[O-] 60815838 ROYNMKFNAQKMMJ-UHFFFAOYSA-N 407.376 4.607 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1nc(-c2cccs2)cs1 64722502 SIHXNEHSSYMTSM-SECBINFHSA-N 409.876 4.839 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 65804937 BWUDWFWFHCVUSE-NSHDSACASA-N 408.252 4.557 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2cccc(CO[C@H]3CCC[C@@H](C)C3)c2)c1[N+](=O)[O-] 78775141 RNLSBDFFMOXBCO-PBHICJAKSA-N 400.479 4.618 5 20 HJBD CCCOc1ccc(Cl)cc1NC(=O)CSCc1ccc(OC)c([N+](=O)[O-])c1 108361404 SXEVEQDWCFVPJQ-UHFFFAOYSA-N 424.906 4.918 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(CNC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 112609863 YODRFFQBULMGJH-UHFFFAOYSA-N 424.844 4.822 5 20 HJBD Cc1ccc(Cl)c(O[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1 410316975 ACDRVVQTIGJYCD-SNVBAGLBSA-N 400.765 4.564 5 20 HJBD COc1ccccc1[C@H]1CCCN1C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426274977 DMCGIGXFIDVKPN-QGZVFWFLSA-N 423.391 4.669 5 20 HJBD C[C@H](CCc1ccc(O)cc1)NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 427040577 JXMAYBREFFSRJT-QGZVFWFLSA-N 420.465 4.631 5 20 HJBD CC(C)Oc1ccc([C@H](C)NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 429833513 YHFWOCCYLDJPJJ-LBPRGKRZSA-N 407.264 4.636 5 20 HJBD CN(C(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1nc2cccc(Cl)c2s1 436388639 LEYHDRPAGSUULS-UHFFFAOYSA-N 402.863 4.709 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437089747 CGHDJWMKHZQXTQ-ZWKOTPCHSA-N 404.388 4.908 5 20 HJBD CSc1ccc(-c2nsc(NC(=O)c3cc(SC)ccc3[N+](=O)[O-])n2)cc1 440469286 VIDXMZRBFUHZJO-UHFFFAOYSA-N 418.525 4.809 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1sc(Br)cc1[N+](=O)[O-] 445170951 DYVJMXYIJUSJRX-UHFFFAOYSA-N 416.322 4.787 5 20 HJBD CC(C)(c1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)Nc2cccc3ccccc23)no1 445345093 WQFMTWMQXZULMA-UHFFFAOYSA-N 416.437 4.638 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CCc3ncc(-c4ccc(Cl)cc4)o3)n2)s1 445479318 VKQAIMJVSCOVKY-UHFFFAOYSA-N 402.819 4.800 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)Nc2nccs2)cc1 462441348 XCDBMZLTOSZGMR-HNNXBMFYSA-N 424.482 4.511 5 20 HJBD O=C(O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2cc(Br)ccc2s1 463049157 QLTDBGQRBVJVQN-YRNVUSSQSA-N 419.256 4.982 5 20 HJBD CCC[C@H](Cc1ccccc1)NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 465936073 NPYMQJPGRDJDGR-LJQANCHMSA-N 411.502 4.559 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)s1 468174213 PJQSGPMBOYTUHF-RKDXNWHRSA-N 401.257 4.539 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(OCCF)c1 470119501 BVRFZRQBEXDPER-UHFFFAOYSA-N 418.368 4.693 5 20 HJBD COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 470369142 JNWIGRHISXNURS-CHWSQXEVSA-N 424.906 4.781 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCCC[C@H]1C(F)(F)F 482231616 ZJNXNPZRESEVMA-DGCLKSJQSA-N 408.804 4.502 5 20 HJBD COc1ccc(C(=O)N[C@H](C)c2ccc(OCc3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 485565613 FTOWOPZNTFMNMR-OAHLLOKOSA-N 424.428 4.813 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)cc1Cl 488284129 WRXGATYGVAASLC-UHFFFAOYSA-N 421.906 4.608 5 20 HJBD C[C@@H](NC(=O)c1cc2ccccc2c2cccnc12)c1noc(Cc2ccccc2)n1 489898174 HAVSYBXNMWTPSR-MRXNPFEDSA-N 408.461 4.853 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2F)c2ccccc2)c([N+](=O)[O-])cc1OC 500303021 KTROBWTWDRQEIB-UHFFFAOYSA-N 410.401 4.598 5 20 HJBD CC(=O)N(c1nc(CN(C)Cc2ccccc2[N+](=O)[O-])cs1)c1ccccc1F 501773918 GJNOASRFGSKKDX-UHFFFAOYSA-N 414.462 4.507 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1ccc(Cl)cc1[N+](=O)[O-] 502462900 IIXNETLZTGRKRB-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCCC[C@H]1c1nc(-c2ccccc2)no1 505339856 XOPIDGKQBBQYEH-KRWDZBQOSA-N 418.409 4.758 5 20 HJBD Cc1ccccc1[C@]1(F)CCN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 506331483 YQBBGJZWLGUSJN-VWLOTQADSA-N 418.443 4.840 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)[C@H]1CCCN(C2CCC2)C1 511291020 ULKYUHMCYZCXSV-SJORKVTESA-N 419.591 4.870 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3cc(F)c(N4CCOCC4)cc3F)o2)c1 513111341 CSAJGBWKSXZNMQ-UHFFFAOYSA-N 415.396 4.582 5 20 HJBD Cc1ccc(N[C@@H](c2ccc(F)cc2)c2cccc(S(C)(=O)=O)c2)c([N+](=O)[O-])c1 514188942 CUYCRGJWQHEMEJ-NRFANRHFSA-N 414.458 4.647 5 20 HJBD O=[N+]([O-])c1ccc(N2CCN([C@H](c3ccccc3)c3ccc(Cl)cc3)CC2)cn1 514593573 ZFWXSELHTONSBO-JOCHJYFZSA-N 408.889 4.555 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516342656 SLVUUCFZWWOPRL-LSBZLQRGSA-N 422.403 4.695 5 20 HJBD CNC(=O)c1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1Cl 516527197 MVEZWCNROVPTJT-UHFFFAOYSA-N 424.844 4.604 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)N[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 516673046 KWGVPSVXXJLXQF-WMLDXEAASA-N 408.285 4.642 5 20 HJBD CCN(C(=O)c1ccc(C)c([N+](=O)[O-])c1)[C@H](c1cccnc1)c1ccc(F)c(C)c1 519091491 FAKSYSSHTIUYBM-QFIPXVFZSA-N 407.445 4.997 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2ccccc2C(F)(F)F)cs1 522724250 PMKYXKKPZKJGGJ-UHFFFAOYSA-N 407.373 4.918 5 20 HJBD O=C(c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1)N1CCC[C@H]1C1CCCC1 522937693 UBURRRPXVLVJES-KRWDZBQOSA-N 423.300 4.882 5 20 HJBD CCCN(C(=O)COc1ccc([N+](=O)[O-])cc1)[C@@H](c1ccc(F)cc1)c1cccnc1 524834934 KSQVMUOUQOHQHT-QHCPKHFHSA-N 423.444 4.536 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)c1 525146009 ZAWGHDZFUAKMSQ-CQSZACIVSA-N 415.877 4.824 5 20 HJBD C[C@@H]1CCCN(C(=O)Cc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)[C@@H]1C 525549586 PBXCGDUSTIZFON-QZTJIDSGSA-N 418.497 4.637 5 20 HJBD COC(=O)c1cc(NC(=O)C[C@H](C)c2ccccc2C(F)(F)F)cc([N+](=O)[O-])c1 525585132 UNXLBWLOGLWMSA-NSHDSACASA-N 410.348 4.533 5 20 HJBD COCCc1nc2cc(NCc3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2o1 530763393 CNHUEVPYTRVTIW-UHFFFAOYSA-N 410.455 4.661 5 20 HJBD Cc1ccc(OCCC(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)c(Br)c1 531021799 DCQKJCSXEKMZFW-UHFFFAOYSA-N 407.264 4.690 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCC(CCc2ccc(O)cc2)CC1 533340272 ZCDUQQKZXVGPCA-UHFFFAOYSA-N 422.403 4.804 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cccc(O)c3F)cc2[N+](=O)[O-])n1 535660111 ZJKRUEZBQCJFRW-UHFFFAOYSA-N 405.432 4.608 5 20 HJBD O=C(N[C@H](c1cccc(Cl)c1)c1ccccn1)c1c[nH]c2cccc([N+](=O)[O-])c12 535694409 DTCSBBLADNTHOV-HXUWFJFHSA-N 406.829 4.644 5 20 HJBD COc1ccc(CCc2nnc(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)o2)cc1 538592855 RUIAQERTSVLHSN-UHFFFAOYSA-N 420.425 4.644 5 20 HJBD C[C@@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccccc1OC(F)F 540347614 LHQQQKQXGYHPGQ-CYBMUJFWSA-N 403.385 4.745 5 20 HJBD CC(C)(C)[C@@H](NCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(F)cc1F 540425473 QZZZSVCYEJZKSV-SFHVURJKSA-N 402.401 4.800 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CNC/C=C\c2ccccc2[N+](=O)[O-])cn1 540558123 VXRAEGZRHBEKLK-VURMDHGXSA-N 421.453 4.602 5 20 HJBD C[C@H]1C[C@@H](CCNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 541370222 ZAJQMOZKHCTROK-OALUTQOASA-N 420.513 4.742 5 20 HJBD COc1cc(CNc2ncc(C(C)C)s2)c([N+](=O)[O-])cc1OCC(F)(F)F 541818818 GMLOFXIAMZDEJF-UHFFFAOYSA-N 405.398 4.737 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1c1nc(-c3ccc([N+](=O)[O-])cc3)no1)O[C@H](C)C2 546258219 OKJRLUHVRKIVLL-PZPSRYQVSA-N 407.426 4.638 5 20 HJBD Cc1ccc(Oc2ccc(-c3noc(CCn4nc(C)c([N+](=O)[O-])c4C)n3)cc2)cc1 546396645 RWADGGCSHZQYOA-UHFFFAOYSA-N 419.441 4.802 5 20 HJBD CCS[C@H](c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1)C(C)C 547152432 YDJUJQYRPBGFQG-AWEZNQCLSA-N 400.298 4.781 5 20 HJBD COc1ccccc1NC(=O)c1ccc(NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1 578953750 IBSOIGWJFIBXRC-HNNXBMFYSA-N 419.437 4.598 5 20 HJBD CC[C@@H]1Oc2cc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)ccc2NC1=O 584542762 HAPFPWSGGOZBCF-DIFFPNOSSA-N 423.391 4.574 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 589273003 UIAXRJKRXFKBIT-LJQANCHMSA-N 408.285 4.889 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ncc(Cc2cc(F)cc(F)c2)s1 602231454 NTAAKSLWKJYXJY-UHFFFAOYSA-N 421.450 4.651 5 20 HJBD CN(C(=O)c1cc(C2CC2)nc2onc(CC(C)(C)C)c12)c1ccc([N+](=O)[O-])cc1 603733638 KYXHLUUFZXGPFU-UHFFFAOYSA-N 408.458 4.874 5 20 HJBD Cc1nc(C)c(-c2csc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)n2)s1 603770046 OWXFKJHKOPPSDM-UHFFFAOYSA-N 406.514 4.522 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN(Cc3ccccc3C(F)(F)F)C3CC3)n2)c1 603854013 RFYQYMKGETXYRN-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)[C@@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 604445404 WJSAFVXSRBZLBV-QWHCGFSZSA-N 402.834 4.981 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)cc1SC 609129355 QUXXLOXHMLUGBY-UHFFFAOYSA-N 412.471 4.721 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 609263157 KAUKTAXFFTZCKF-BEFAXECRSA-N 400.500 4.838 5 20 HJBD O=C(NCCCc1ccc(O)cc1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609827253 MPZOTZNEHUQEHB-UHFFFAOYSA-N 407.426 4.847 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(Cl)c2SCC(F)F)cc1[N+](=O)[O-] 609908462 BLQJENVVEZYERS-UHFFFAOYSA-N 416.833 4.795 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)[C@@H]1C[C@H]1c1c(F)cccc1Cl 610107064 QRXJRLRKVLFXDL-NXEZZACHSA-N 400.740 4.731 5 20 HJBD COc1cccc(Cl)c1C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1 610224990 UKXTZWSBESBOIF-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CC1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 611111368 DTPSCNZVOKVUGJ-UHFFFAOYSA-N 405.439 4.655 5 20 HJBD O=C(NCc1ncc(-c2ccccc2)[nH]1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202411 RYFIGEATWMQKSC-UHFFFAOYSA-N 422.510 4.950 5 20 HJBD CCOc1cc(CNc2ccc(NC(=O)C3CC3)cc2C(F)(F)F)ccc1[N+](=O)[O-] 617187456 ZZSKBLZBONPNNP-UHFFFAOYSA-N 423.391 4.973 5 20 HJBD Cc1ccc(C(=O)O)cc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 617712664 RVSPBGHNBKHXNF-UHFFFAOYSA-N 400.456 4.888 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cccc(NC(=O)C4CC4)c3)n2)cc1[N+](=O)[O-] 619729518 YXAZZFJWGXIVOR-UHFFFAOYSA-N 422.466 4.628 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@@H]1c1ccc(Cl)cc1Cl 730610655 LAANYCJUVWCEBY-CVEARBPZSA-N 424.236 4.615 5 20 HJBD CC(C)C[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1Cl)N[C@@H](C)c1ccccc1 732577990 DXOHIKKBDMOEDA-RDJZCZTQSA-N 403.910 4.744 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3ccc([N+](=O)[O-])cc3Br)cc2)co1 739499184 RRANAGXXBKKOQX-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1cc2c(cc1Br)OCCO2 742258274 ZTFHKZSTHQNWNY-UHFFFAOYSA-N 423.263 4.528 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)NC2(c3ccc(Cl)c(Cl)c3)CC2)cc1[N+](=O)[O-] 743835600 DUQNKHFPVDPHJN-UHFFFAOYSA-N 410.213 4.537 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 751109342 VLTJJNINYYAHPC-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1cccc(SC(=O)N(C)C)c1 752532220 QPOXIHNRENTNSN-CYBMUJFWSA-N 420.512 4.593 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 755409955 CRAQMFUGMIPCIL-GFCCVEGCSA-N 404.394 4.790 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(OCCc2ccccc2)CC1 769998502 PQFZMFUNIQLSND-UHFFFAOYSA-N 403.866 4.504 5 20 HJBD O=C(Nc1ccccc1CN1CCc2ccccc21)c1cc(F)c([N+](=O)[O-])cc1F 770073524 APWQQNJEGXOPCN-UHFFFAOYSA-N 409.392 4.688 5 20 HJBD Cc1oc(-c2ccccc2)nc1COC(=O)c1sc(Br)cc1[N+](=O)[O-] 773604403 QZWNXEYJFURQLZ-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD Cc1cccc(NC(=O)COC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1C 775091707 SQEJIJVTROXMAJ-UHFFFAOYSA-N 402.472 4.508 5 20 HJBD O=[N+]([O-])c1ccccc1/C=C/CN1CCC(O)(c2ccccc2C(F)(F)F)CC1 775121317 QXRVVURMZPJIDR-FNORWQNLSA-N 406.404 4.610 5 20 HJBD Cc1c(Cl)cccc1OCC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139488 WGKIRRMTERBOLA-NRFANRHFSA-N 412.829 4.663 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 778166295 NEUVLCOMIQIUDL-UONOGXRCSA-N 420.412 4.771 5 20 HJBD COc1cc(C(=O)N(C)Cc2cc([N+](=O)[O-])ccc2Cl)cc(OC)c1C(C)C 781127625 PFUIPRZVYJINFH-UHFFFAOYSA-N 406.866 4.661 5 20 HJBD Cc1nc2cc(NC(=O)c3cc(OCC(F)(F)F)ccc3[N+](=O)[O-])ccc2s1 781200915 BTBPIPVFLAGANK-UHFFFAOYSA-N 411.361 4.706 5 20 HJBD CC[C@@H](OC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)C(=O)c1ccc(OC)cc1 781260436 OMRBFUICOGIGRY-JOCHJYFZSA-N 415.445 4.508 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1C(=O)c1cccc([N+](=O)[O-])c1Br 782124963 ZCUZIUHXSXBEQK-IOASZLSFSA-N 403.276 4.764 5 20 HJBD O=C(c1cc(C(F)(F)F)cnc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 790928901 YWCOUWKFGKKLLL-HNNXBMFYSA-N 417.796 4.550 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cc(F)c(F)cc1Br 790959792 UGDPFGHBTWNMBI-UHFFFAOYSA-N 423.572 4.609 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](C)c2ccc(C(F)(F)F)nc2)cc1SC 803060514 DTYNDPACPZFLDY-VIFPVBQESA-N 416.377 4.657 5 20 HJBD O=C1/C(=C\c2ccc(-c3ccccc3[N+](=O)[O-])s2)NC(=S)N1C1CCCCC1 810212184 NJIHNORMYQNLKJ-FOWTUZBSSA-N 413.524 4.714 5 20 HJBD CCOc1ccc(C(=O)N2CCCCC2)cc1NCc1ccc(Cl)cc1[N+](=O)[O-] 864018311 ZVHWQCWJQSPOPT-UHFFFAOYSA-N 417.893 4.885 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccccc1C(=O)Nc1cccc(Cl)c1 912605769 QCTNOXPYAPVGIG-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCc2cnc(C)s2)cc1 918536962 BLNRTKIQHRFFOQ-UHFFFAOYSA-N 400.412 4.518 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCc1cc2ccccc2nc1Cl 920776742 DHYSDBYPMWXDQH-NSHDSACASA-N 421.236 4.961 5 20 HJBD O=C(COc1cc(Cl)ccc1[N+](=O)[O-])N[C@@H](c1ccccc1)c1cccs1 920993227 ZLIPKUCVKLLEEV-IBGZPJMESA-N 402.859 4.594 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2ccc(-c3cc4ccccc4o3)cc2)c([N+](=O)[O-])c1 1251737498 VBXOHPNQMUXIRD-UHFFFAOYSA-N 416.389 4.838 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N1C[C@@H]2CCCC[C@]21c1ccccc1 1319839977 MJCIRPVRXVUPPP-OFVILXPXSA-N 419.525 4.739 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2ccc(F)cc2n1 1321628962 NWZNDJIKJGQEPG-GFCCVEGCSA-N 422.372 4.559 5 20 HJBD Cc1ccc(-n2nc(C)c(Cc3nnc(-c4cccc([N+](=O)[O-])c4)o3)c2C)cc1Cl 1322661501 XPYPCUIJIDNZBJ-UHFFFAOYSA-N 423.860 5.000 5 20 HJBD Cc1ccc([C@@H](C)NCc2ccc(OC(F)F)cc2OC(F)F)cc1[N+](=O)[O-] 1337157467 NMLDLIPBMINEEZ-LLVKDONJSA-N 402.344 4.957 5 20 HJBD O=C(COC(=O)C(c1ccccc1)c1ccccc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 3402286 KUWZYIYEBGZFKL-UHFFFAOYSA-N 424.840 4.562 5 20 HJBD Cc1csc2nc(COC(=O)c3c4ccccc4cc4ccccc34)cc(=O)n12 5743812 JVKXGVVDZSAYEV-UHFFFAOYSA-N 400.459 4.728 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc(SC)c([N+](=O)[O-])c3)cs2)cc1 9622320 KOWMZMMKTDYLMU-UHFFFAOYSA-N 416.480 4.806 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)Nc2ccccc2)c1 25092434 RXZVREJOWJIPNP-SDNWHVSQSA-N 402.410 4.891 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCC[C@H]1c1nc2ccccc2s1 31389233 PUUUQRBRNRIOJU-SFHVURJKSA-N 422.510 4.782 5 20 HJBD CC(=O)c1ccc(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 52916553 ADDWCFNGHNTBOI-AWEZNQCLSA-N 404.426 4.618 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCC[C@@H]2c2ccccn2)cc1[N+](=O)[O-])c1ccccn1 55523963 QKEVTOFSFYZWOW-HRAATJIYSA-N 417.469 4.535 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)c1csc(-c2cc(Br)cs2)n1 58062136 SEYVJQDMKGVZNT-UHFFFAOYSA-N 410.274 4.795 5 20 HJBD CC(C)(NC(=O)Nc1cccc(NC(=O)c2cccs2)c1)c1ccccc1[N+](=O)[O-] 432692050 AQUYEWACVVGXGW-UHFFFAOYSA-N 424.482 4.965 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3cc(Cl)ccc3s2)cc1OC 435969714 FQYMYHLINRWVMV-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD CC(C)Oc1ccc(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)cc1 436093153 ZFBMBRGFLUANFB-UHFFFAOYSA-N 422.431 4.677 5 20 HJBD C[C@@H]1C[C@H](CCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCO1 441303723 QEVVUDFABRKCMO-HZPDHXFCSA-N 400.500 4.681 5 20 HJBD CC[C@H](C)[C@@](C)(O)CNC(=O)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 461590071 LNYDERMRYRWDKQ-RBZFPXEDSA-N 406.866 4.567 5 20 HJBD C[C@@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 462527239 QYXHDPMICOUYGW-NSHDSACASA-N 415.298 4.816 5 20 HJBD COc1ccccc1-c1noc([C@H](C)NCc2ccc(-c3cccc([N+](=O)[O-])c3)o2)n1 462745027 JVKNUKFBLWRUSD-AWEZNQCLSA-N 420.425 4.764 5 20 HJBD Cc1cc(Cl)ccc1OCCCNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463140302 KVMXHELKGDIUOZ-UHFFFAOYSA-N 416.783 4.774 5 20 HJBD CN(C(=O)C(C)(C)c1csc(-c2cc(F)ccc2F)n1)c1cccc([N+](=O)[O-])c1 464205802 IXEFXQSXSDHFCM-UHFFFAOYSA-N 417.437 4.937 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1nc(C)n(-c2c(Cl)cccc2Cl)n1 464724587 SPKZSEXBGYJZCL-UHFFFAOYSA-N 420.256 4.605 5 20 HJBD C[C@@H](NC(=O)CCCNc1ccc([N+](=O)[O-])cc1)c1ccc(-c2ccncc2)cc1 470194262 IYVSBBMAEGZNNI-QGZVFWFLSA-N 404.470 4.726 5 20 HJBD CSc1nc(C(C)(C)C)nc(C)c1C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 471912056 UAZNDJTZUUVBRY-GFCCVEGCSA-N 402.520 4.512 5 20 HJBD COC(=O)[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1cccs1 475159717 YYECFPXYPLYJCF-QGZVFWFLSA-N 406.847 4.574 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1noc(-c2ccccc2)n1 475207922 XRVZKGAFSWDLAA-SNVBAGLBSA-N 421.335 4.546 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2Cl)c1)c1ccc([N+](=O)[O-])cc1Cl 475768597 OCGHZFHBIKNQAQ-UHFFFAOYSA-N 411.632 4.993 5 20 HJBD C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@H]2C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)o1 486707609 NDEYGQDFJIIRSI-GCUJQHFUSA-N 408.376 4.750 5 20 HJBD COc1ccccc1SC[C@H](C)CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 488829419 OSEKMTXZNVDZBS-OAHLLOKOSA-N 417.531 4.566 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C 494673908 NBOILIYGLDNLLK-NSHDSACASA-N 415.446 4.665 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])c(C)c1)[C@H](c1cccnc1)c1ccc(F)cc1F 496576541 ZNPJHRUYEXYBFM-OAQYLSRUSA-N 411.408 4.828 5 20 HJBD COc1ccc(C2(CNC(=O)c3cccc([N+](=O)[O-])c3C)CCCCC2)cc1OC 510602979 XDOWQUFSPLPIMB-UHFFFAOYSA-N 412.486 4.552 5 20 HJBD COc1ccccc1-c1cc(NC(=O)c2cccc([N+](=O)[O-])c2)n(-c2ccccc2)n1 514574347 ZOVXNQVNIHLTLP-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD CNC(=O)c1ccc(N(C)[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)c([N+](=O)[O-])c1 514851904 BCYSUOYGDSXMIU-HNNXBMFYSA-N 424.526 4.892 5 20 HJBD Cc1ccc(Cn2nc(C)c(CCC(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c2C)cc1 518030119 QEDJNCZONCARHE-QGZVFWFLSA-N 420.513 4.575 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1-c1ccc(CN(C)C)cc1 520074004 BGELMGGRKURARF-UHFFFAOYSA-N 403.482 4.562 5 20 HJBD O=C(CCCc1nc(C2CC2)no1)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 523490773 BLSMAHHCHVGJQD-UHFFFAOYSA-N 408.414 4.609 5 20 HJBD Cc1c(NC(=O)CCN2CCC(c3c[nH]c4cc(F)ccc34)CC2)cccc1[N+](=O)[O-] 533423857 WZHSUPJVXWDMEF-UHFFFAOYSA-N 424.476 4.732 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 536089383 WZWIUGZJBKUFOF-LBPRGKRZSA-N 405.376 4.857 5 20 HJBD O=C(Nc1cccc2c1CCCN2C(=O)c1ccccc1[N+](=O)[O-])c1ccc(F)cc1 536468870 ZWHKIVSWYLBPLK-UHFFFAOYSA-N 419.412 4.579 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1cccs1)N1CCCC1 538649468 OZUQTSYLPINZCU-KRWDZBQOSA-N 419.572 4.724 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3ncccc3C(F)(F)F)n2)cc1[N+](=O)[O-] 538844252 IROYTNCFXRXXHJ-UHFFFAOYSA-N 408.361 4.693 5 20 HJBD CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 539036634 WVRVPDDOOVJFLS-JXFKEZNVSA-N 400.500 4.679 5 20 HJBD COc1ccc(NC(=O)N(Cc2cccc(Br)c2)C(C)C)cc1[N+](=O)[O-] 541102518 JNOOWKXCOHYYLA-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD C[C@]1(CCCO)CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 541711991 RUKMBNNZZOEYBQ-OAQYLSRUSA-N 400.500 4.513 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](C[C@@H]1CCOC1)c1ccccc1 543153788 NQQYIWYHLCGJJM-WMLDXEAASA-N 420.918 4.624 5 20 HJBD CN(CCC1CCOCC1)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544418167 RGLLIUAABVCDAX-UHFFFAOYSA-N 406.548 4.766 5 20 HJBD COc1ccc(C(=O)C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1Cl 546892816 YKGATUUTMWTJOV-UHFFFAOYSA-N 424.840 4.673 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)Cc1nnc(-c2c(Cl)cccc2Cl)o1 549168481 MPLSBNAJWJNLBN-UHFFFAOYSA-N 407.257 4.626 5 20 HJBD C[C@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)NCC[C@H](c1ccccc1)C(F)(F)F 551240252 GZVFMZHCPLDXCC-RDTXWAMCSA-N 409.408 4.638 5 20 HJBD Cc1nnc(CCNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)s1 558480280 QDISFIWJJCYQQY-UHFFFAOYSA-N 404.879 4.533 5 20 HJBD Cn1ccnc1[C@@H](NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1 559879000 WXCOEBNRXPISHQ-OALUTQOASA-N 418.419 4.744 5 20 HJBD CC[C@@H](C)N[C@@H](CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])c1ccco1 561356578 RYJMTISNMIYBIK-PWSUYJOCSA-N 422.354 4.778 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)C[C@@H](NC(=O)c1ccccc1)c1ccccc1 561986525 UQLDAXVJVKVLGB-HXUWFJFHSA-N 417.465 4.712 5 20 HJBD Cc1csc(Oc2ccc(NC(=O)Cc3cccc([N+](=O)[O-])c3C)cc2F)n1 565637346 RVYFBMUSXIHFST-UHFFFAOYSA-N 401.419 4.781 5 20 HJBD Cc1ccc(C)c(-n2ncc(N[C@@H](c3ccc([N+](=O)[O-])cc3)c3nccn3C)c2C)c1 581385866 URXJKBSMFPUMJT-QFIPXVFZSA-N 416.485 4.641 5 20 HJBD Cc1ccccc1[C@H](c1noc([C@@H](C)NC(=O)c2cccc([N+](=O)[O-])c2)n1)C(C)C 589156417 OXRMASFVAVEFTK-DNVCBOLYSA-N 408.458 4.565 5 20 HJBD COc1ccc(CSCC(=O)N(C)[C@H](C)c2cccc(Cl)c2)cc1[N+](=O)[O-] 603568665 BQXAYCGLNGHOFQ-CYBMUJFWSA-N 408.907 4.710 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N1Cc2ccccc2[C@@H](c2ccccc2)C1 603900630 WHXMADUHKWOBHW-HXUWFJFHSA-N 422.868 4.801 5 20 HJBD COc1cc([C@H](C)NC(=O)c2csc([N+](=O)[O-])c2)ccc1OCc1ccccc1 603986669 LPASNHMLMXJRON-AWEZNQCLSA-N 412.467 4.735 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 604492811 XTOOZUZDTOPLHV-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD CCC[C@@H](NC(=O)C(=O)Nc1cc([N+](=O)[O-])ccc1C)c1cccc(C(F)(F)F)c1 608865276 KFYOJYMYSCKIQO-MRXNPFEDSA-N 423.391 4.518 5 20 HJBD CC(C)N(C(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)c1ccc(Cl)c(Cl)c1 608941738 DDQNVYACGYOQAN-UHFFFAOYSA-N 411.241 4.728 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2ccccc2OC(F)F)cs1 609021907 XQMLLDFCQHWYMT-UHFFFAOYSA-N 421.381 4.581 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 609529461 KFAQREJQAKHWEK-UHFFFAOYSA-N 413.474 4.714 5 20 HJBD C[C@H](CCc1ccc2c(c1)OCO2)NCc1csc(-c2cccc([N+](=O)[O-])c2)n1 609598687 PYGJRNVNSONSCR-CQSZACIVSA-N 411.483 4.558 5 20 HJBD CC(C)(C(=O)NCc1ccc(Oc2cccnc2)c(F)c1)c1ccc([N+](=O)[O-])cc1 610042161 GZXDNWQEAOGTOF-UHFFFAOYSA-N 409.417 4.515 5 20 HJBD COc1cc(CN2CC=C(c3ccc(F)cc3)CC2)c([N+](=O)[O-])cc1OC(F)F 619502460 HRYQKLVNPVLQSD-UHFFFAOYSA-N 408.376 4.633 5 20 HJBD O=C(OCc1csc(COc2ccc(F)cc2)n1)c1cc(Cl)ccc1[N+](=O)[O-] 728921629 IRSRAEPMZCPUJB-UHFFFAOYSA-N 422.821 4.780 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1C[C@H]1c1ccc(Cl)cc1Cl 730610656 LAANYCJUVWCEBY-HOTGVXAUSA-N 424.236 4.615 5 20 HJBD Cc1ccc(C(=O)N2CCCN(C(=O)OC(C)(C)C)c3ccccc32)cc1[N+](=O)[O-] 741737848 AJAKFIQACYBCSE-UHFFFAOYSA-N 411.458 4.695 5 20 HJBD Cc1oc(-c2cccs2)nc1C(=O)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 741739694 DBNVJGJOAINCFT-SFHVURJKSA-N 401.469 4.508 5 20 HJBD O=[N+]([O-])c1cn(CN2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)nc1-c1ccncc1 742146110 STYWCCQDJXCECM-QGZVFWFLSA-N 418.284 4.955 5 20 HJBD C[C@@H](Sc1nnc(-c2ccc(Cl)cc2Cl)n1N)c1ccccc1[N+](=O)[O-] 742445326 QVBLNKPRMLKPLC-SECBINFHSA-N 410.286 4.727 5 20 HJBD CSc1ccc(C(=O)Oc2cccc(C(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 746780152 WBIWEPCQSKWWOA-UHFFFAOYSA-N 408.435 4.788 5 20 HJBD O=C(Nc1cccc(COC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)c1ccccc1 750425911 HOAAJNJVYNNUFP-UHFFFAOYSA-N 416.389 4.950 5 20 HJBD CC[C@@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)sc2C1 751094717 OEUUGZMPQIVUPR-SECBINFHSA-N 410.293 4.581 5 20 HJBD C[C@H](OC(=O)COc1ccc([N+](=O)[O-])c(F)c1)c1ncc(-c2ccc(Cl)cc2)o1 751699228 LEWHOWPDHQIOKT-NSHDSACASA-N 420.780 4.726 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2cc(F)c(N3CCCC3)c(F)c2)cc1[N+](=O)[O-] 752647445 WIRJINQRMQSEJB-CYBMUJFWSA-N 404.417 4.664 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])cc2)cc1 753350623 YVRKZODFEAXTGL-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F 755057261 VKUVPURNJZYHBA-HUUCEWRRSA-N 416.449 4.840 5 20 HJBD Cc1sc([C@H](C)NC(=O)c2ccc(N)c([N+](=O)[O-])c2)nc1-c1ccc(Cl)cc1 755800460 QZCLVGQYGNJXRA-JTQLQIEISA-N 416.890 4.753 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1cccc([N+](=O)[O-])c1Br 758209325 YSKKDWDZQAOOFH-CQSZACIVSA-N 407.264 4.637 5 20 HJBD O=C(CSc1nnc(-c2cccc3ccccc23)o1)Nc1ccc(F)c([N+](=O)[O-])c1 768396394 NSVRJXJYMYOYSZ-UHFFFAOYSA-N 424.413 4.668 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 770007402 GCNIIJASGAOZOL-YUMQZZPRSA-N 419.709 4.843 5 20 HJBD CCCC(=CC(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C)CCC 770657316 PSBFNEXYXOCDOX-UHFFFAOYSA-N 400.479 4.908 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)OCc1ccc(Br)c([N+](=O)[O-])c1 773382623 NLKLMNOJRYTASK-UHFFFAOYSA-N 423.244 4.739 5 20 HJBD COc1cc(C)c(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)cc1F 773960512 MPZPTJBPFCFICJ-JTQLQIEISA-N 415.343 4.509 5 20 HJBD Cc1c(NC(=O)c2cccc3c2CCCN3C(=O)OC(C)(C)C)cccc1[N+](=O)[O-] 778285678 DKOSCRFFQIUXLR-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CCC(CC)[C@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1cccs1 781610166 PQUMAGVILKTSKG-IBGZPJMESA-N 405.476 4.791 5 20 HJBD O=C(/C=C/c1ccc[nH]1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 783639345 PYLIJVKYIOOVFW-XBXARRHUSA-N 415.808 4.837 5 20 HJBD C[C@H](CC(=O)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1c[nH]c2ccccc12 787217895 SLPATQXUGQCZOF-CQSZACIVSA-N 405.410 4.968 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1OC 790539991 VGYAMDYYNCVYTK-GFCCVEGCSA-N 424.856 4.605 5 20 HJBD Cc1cnc(COC(=O)C(C)(C)c2csc(-c3cccs3)n2)c(C)c1[N+](=O)[O-] 799994752 PZGPJRNXPMUTKN-UHFFFAOYSA-N 417.512 4.813 5 20 HJBD CC(C)Oc1ccc(N(C)S(=O)(=O)c2cc([N+](=O)[O-])c(Cl)cc2Cl)cc1 809840207 SOSATAYKRRXZJZ-UHFFFAOYSA-N 419.286 4.514 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 812210897 NRPCQHDEKKRCFB-UHFFFAOYSA-N 405.838 4.726 5 20 HJBD CC1(c2cccc(CNc3ccc([N+](=O)[O-])c(-c4ccc(F)cc4)n3)c2)OCCO1 864018765 UQWDTLRCSUZMAJ-UHFFFAOYSA-N 409.417 4.628 5 20 HJBD O=C(COC(=O)[C@H](Sc1ccccc1)c1ccccc1)c1cccc([N+](=O)[O-])c1 864314512 NTVVFQKMQWUMKW-OAQYLSRUSA-N 407.447 4.854 5 20 HJBD O=C(Nc1cccc(C(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)c1)c1ccc(F)cc1 915139215 VDXFNZVVEJUQKR-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)C(=O)Nc1cccc(Cl)c1Cl 919515116 VUSCPEZFLLPNRP-VIFPVBQESA-N 422.224 4.567 5 20 HJBD C[C@@H](c1ccc2ccccc2c1)N(C1CC1)S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] 920259749 IPXPYVTWYGLWTQ-AWEZNQCLSA-N 414.458 4.801 5 20 HJBD COC(=O)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)c(C)c1 1318004631 WILZFCQJZNRTOQ-UHFFFAOYSA-N 419.437 4.554 5 20 HJBD C[C@@H](OC(=O)Cc1cccc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 5456147 VSFUUNCENNYJDN-CQSZACIVSA-N 403.394 4.645 5 20 HJBD COc1cc(CNc2nc3sccn3c2[N+](=O)[O-])ccc1OCc1ccccc1 8338487 PGYQHAAWGHCJJS-UHFFFAOYSA-N 410.455 4.504 5 20 HJBD CC(=O)COC(=O)c1c2c(nc3ccccc13)/C(=C/c1ccc([N+](=O)[O-])cc1)CCC2 20891897 MHWGQYBIDGINHN-GHRIWEEISA-N 416.433 4.766 5 20 HJBD COc1ccc([C@@H](NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)c2ccccc2)cc1 57896861 KWYJNFQLHMFAKX-DEOSSOPVSA-N 419.481 4.701 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)Nc3cc(-c4cccc([N+](=O)[O-])c4)n[nH]3)s2)o1 60297101 XLJWJCWMWMYXPC-UHFFFAOYSA-N 409.427 4.571 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCNC(=O)C(C)C)cc2[N+](=O)[O-])c1 60831363 JCMQORXBIXJETI-UHFFFAOYSA-N 413.518 4.681 5 20 HJBD CC(C)(C)c1ccc(C(=O)N(Cc2ccncc2)Cc2cccnc2)cc1[N+](=O)[O-] 98073612 SDMNYPQAILVZFP-UHFFFAOYSA-N 404.470 4.525 5 20 HJBD CCCCCOc1ccc([C@@H](C)NC(=O)c2cccc(C)c2[N+](=O)[O-])cc1OC 107902359 WMGVEKQWOXRKFD-MRXNPFEDSA-N 400.475 4.972 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccccc2)c2cc3ccccc3o2)c1 146310694 IZNXVQFADZTKLR-QFIPXVFZSA-N 402.406 4.869 5 20 HJBD CC[C@H](NCc1ccc(OC)c(OCc2ccc([N+](=O)[O-])cc2)c1)c1ccncc1 236959372 UTBZMHIDHICWCN-NRFANRHFSA-N 407.470 4.818 5 20 HJBD COc1ccc(CN[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)cc1[N+](=O)[O-] 237547744 SBZQTIRUSPVVNL-FQEVSTJZSA-N 420.444 4.623 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]2[C@H]2CCC[C@@H]23)cc1[N+](=O)[O-])c1ccccn1 302983699 KCFHWHVAAMVGAD-XHCSUQBYSA-N 420.513 4.717 5 20 HJBD CCCN(CCc1cccc(F)c1)C(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-] 303551418 JFXOJIRJIWUZNB-UHFFFAOYSA-N 406.479 4.559 5 20 HJBD CSc1cccc(C(=O)Nc2nnc(Cc3ccc(Cl)cc3)s2)c1[N+](=O)[O-] 426280048 XSWPNJMZJHISSI-UHFFFAOYSA-N 420.903 4.665 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1nc(-c2ccc(SC)cc2)cs1 427104719 QDJZIXGBFRMWMV-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD CN(C(=O)CNC(C)(C)c1ccccc1[N+](=O)[O-])C(c1ccccc1)c1ccccc1 429262965 WRVTYIKFODRARA-UHFFFAOYSA-N 417.509 4.668 5 20 HJBD Cc1ccc(-c2ccncc2)cc1NC(=O)COc1ccc([N+](=O)[O-])c2cccnc12 432491373 GHMXUANJQDDDHY-UHFFFAOYSA-N 414.421 4.531 5 20 HJBD CCOc1cc(C(=O)N2CC=C(c3ccc(Cl)cc3)CC2)c([N+](=O)[O-])cc1OC 434242850 BNCDAHUYLVPBAA-UHFFFAOYSA-N 416.861 4.585 5 20 HJBD O=C(NCC1(c2ccc(Cl)cc2)CC1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436366457 JVNUQJSXNBQYAT-UHFFFAOYSA-N 402.881 4.796 5 20 HJBD Cc1nc(NC(=O)c2ccc(Oc3ccc(C(F)(F)F)cn3)cc2)ccc1[N+](=O)[O-] 440415458 RBGIDDCPVDHFEP-UHFFFAOYSA-N 418.331 4.757 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N(Cc1ccsc1)c1cccnc1 440734037 SHORURKBWAJMCB-ZDUSSCGKSA-N 417.874 4.705 5 20 HJBD CCN(C(=O)c1sc(Br)cc1[N+](=O)[O-])c1ccc(Cl)cc1F 441433282 YQRBXWUVCUPSGS-UHFFFAOYSA-N 407.648 4.878 5 20 HJBD C[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 442827189 YRKMEXUHAUATKV-OAHLLOKOSA-N 407.495 4.911 5 20 HJBD Cn1c(O)nc2ccc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])cc21 443184927 NLCWRAJWZOPGRU-UHFFFAOYSA-N 420.450 4.591 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C(=O)N2CCC(C)CC2)cc1 462507085 UVODKYPWCFPMJS-LJQANCHMSA-N 423.513 4.674 5 20 HJBD CC(C)N(Cc1ccc(F)cc1)S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462514087 XZEOJMLAYWFQIL-UHFFFAOYSA-N 421.277 4.640 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](c1cccc(C)c1)c1ccccn1 462605328 WGSIIKDNHBOUHV-AUSIDOKSSA-N 403.482 4.773 5 20 HJBD CCSc1cccc(Br)c1NC(=O)c1cccc([N+](=O)[O-])c1OC 464709142 HGPXYVQTGHSIQR-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(F)c1Br 468277881 OUFYDIDIYJIAPE-QMMMGPOBSA-N 417.231 4.755 5 20 HJBD COc1ccc(Br)cc1CN(C)C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C 475639001 ORBJMLFHAYXJBM-UHFFFAOYSA-N 422.279 4.647 5 20 HJBD O=C(Nc1c(-c2ccco2)nc2ccccn12)c1ccc2[nH]c3ccccc3c(=O)c2c1 476441565 ZATRRSKZGNTIBA-UHFFFAOYSA-N 420.428 4.841 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC[C@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 478564798 YQOPZCMWCQMYOV-PXNSSMCTSA-N 416.481 4.620 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2cnc(C)o2)cc1 481551081 GCONNYAVNPVXSY-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2ccccn2)cc1 485162066 QWXJBMBVFVMQSE-UHFFFAOYSA-N 409.467 4.648 5 20 HJBD COC(=O)c1cc(NC(=O)c2oc3c(Cl)cccc3c2C)c(C)c([N+](=O)[O-])c1 485985254 WSPKNXOLJDNEAB-UHFFFAOYSA-N 402.790 4.650 5 20 HJBD O=C(Nc1cnccc1-c1ccccc1)c1sc(Br)cc1[N+](=O)[O-] 486086777 NJAOBMDLWPGHAD-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CCS[C@H]1CCC[C@@H]1NC(=O)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486179922 QNJLMJFFGOHLSO-ICSRJNTNSA-N 400.500 4.578 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-c2nc(C)co2)c1 489899078 JQDPHNIVBJOVGX-UHFFFAOYSA-N 413.455 4.549 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1c(F)cccc1Cl 489937921 ZQUHEMFFGWCGLD-NSHDSACASA-N 412.870 4.617 5 20 HJBD O=C([C@H]1C[C@@H]1c1cccc(Br)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492868886 DIUSYMWBOYXAGQ-MOPGFXCFSA-N 415.287 4.652 5 20 HJBD Cc1ccnc(-c2cccc(NC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)c2)n1 494114562 BDVILDAFIWTANX-UHFFFAOYSA-N 403.442 4.557 5 20 HJBD C[C@H]1CCc2nc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)sc2C1 496521035 WTTYVEMPHIVURV-JTQLQIEISA-N 400.485 4.552 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1ccc(NC(=O)c2ccc(F)cc2)cc1 497828748 PPOBSAQVWLXXMU-OAHLLOKOSA-N 421.428 4.786 5 20 HJBD CC(C)(C(=O)Nc1ccc(Oc2ccnc3ccccc23)cc1)n1cc([N+](=O)[O-])cn1 499253209 QXEZVAMYFYOOJG-UHFFFAOYSA-N 417.425 4.506 5 20 HJBD COc1cc(CN[C@H](C)c2ccccc2SC)c([N+](=O)[O-])cc1OCC(F)F 501555705 WGEYWJHWJGCIOL-GFCCVEGCSA-N 412.458 4.820 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)CCc2ccccc2)cc1 502061588 NXOYYEOIJXRJEV-UHFFFAOYSA-N 406.438 4.710 5 20 HJBD Cc1c(CC(=O)N[C@H](Cc2ccc(Cl)cc2)c2ccccn2)cccc1[N+](=O)[O-] 503878153 VOQQHKJSOUMPBB-HXUWFJFHSA-N 409.873 4.594 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(SCC)cc2)c([N+](=O)[O-])cc1OC 504710463 BSNYPWUCOOFHAD-CYBMUJFWSA-N 404.488 4.605 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)Cc1cc([N+](=O)[O-])cc2c1OCOC2 507168830 SIAIYUPKADUHNM-UHFFFAOYSA-N 421.291 4.634 5 20 HJBD O=C(Nc1cc(C(F)(F)F)ccc1Cl)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 512106413 RYXHEPDJBZQKIA-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD COc1ccc(-c2nc(COc3ccc([N+](=O)[O-])cc3Cl)cs2)c(OC)c1 514123394 UWHKEWUUICDIOE-UHFFFAOYSA-N 406.847 4.968 5 20 HJBD Cc1cc(NC(=O)c2cccc(OC3CCCC3)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 518372082 BEKYPKPADYGVHE-UHFFFAOYSA-N 406.442 4.663 5 20 HJBD CCOc1cc(NC(=O)[C@H](C)CSc2ccccc2)c([N+](=O)[O-])cc1OCC 521085968 GZUYVUSPCYWBAZ-CQSZACIVSA-N 404.488 4.759 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2cc(F)cc([N+](=O)[O-])c2)ccc1OC1CCCC1 521336493 IVEUKCZFYHLPNO-CYBMUJFWSA-N 402.422 4.555 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1N1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 523297291 LLAYLJADHZTIDE-ZDUSSCGKSA-N 402.813 4.821 5 20 HJBD C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 525131179 QAUOHMDBSPDTDX-CKEIUWERSA-N 422.403 4.943 5 20 HJBD CC(C)c1ccc(CCNC(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 525241593 IPUUOKQFECCHRI-UHFFFAOYSA-N 411.502 4.514 5 20 HJBD CCc1ccc([C@@H]2CCCCCN2C(=O)c2cc(OC)c(OC)c([N+](=O)[O-])c2)o1 525500733 UTTDAUIMZXHTEO-INIZCTEOSA-N 402.447 4.525 5 20 HJBD CCc1cccc(Oc2ccc(NC(=O)c3cc(OC)c(OC)c([N+](=O)[O-])c3)cn2)c1 525559725 GJPAZEBKGSEFGH-UHFFFAOYSA-N 423.425 4.614 5 20 HJBD CCN(C(=O)Cc1ccc([N+](=O)[O-])cc1Br)[C@H](C)c1cccc(OC)c1 530789371 BVYRQJMCJKCVPQ-CYBMUJFWSA-N 421.291 4.518 5 20 HJBD Cc1cc(NC(=O)c2cccc(-c3ccccn3)c2C)n(-c2ccc([N+](=O)[O-])cc2)n1 533669484 IBWNJIZDNGYXDK-UHFFFAOYSA-N 413.437 4.712 5 20 HJBD CC(C)c1c(C(=O)NCCc2cccc([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 535441227 JRAYUPJLWXSHQL-UHFFFAOYSA-N 412.877 4.530 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@@H](C)c1ccc(OCc2cccnc2)cc1 536706191 IWXRQAJRABBESS-SFHVURJKSA-N 419.481 4.985 5 20 HJBD O=C(Nc1ccc2nc(C3CC3)oc2c1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 537284764 NLMFMNMSHMNNBE-UHFFFAOYSA-N 400.394 4.928 5 20 HJBD CCCCn1cc(CNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c(C)n1 537999717 HLLSDXSDGZQFPD-UHFFFAOYSA-N 404.536 4.578 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCO[C@]4(CCSC4)C3)o2)c(Cl)c1 538424109 FPTVSQUYDSTCLO-BFUOFWGJSA-N 408.907 4.653 5 20 HJBD CC(C)n1c([C@H]2CCCN(c3ncnc4cccc([N+](=O)[O-])c34)C2)nc2ccccc21 543436260 GAQJXFQYPRFJEJ-INIZCTEOSA-N 416.485 4.853 5 20 HJBD CCC[C@H](N[C@H](CCO)c1ccccc1Br)c1cccc([N+](=O)[O-])c1 543777760 YZBPRFMAYMOELY-RBUKOAKNSA-N 407.308 4.912 5 20 HJBD Cc1ccc(-c2noc(Cn3cc([N+](=O)[O-])nc3C)n2)c(Sc2ccccc2)c1 546469078 SQBLOODWHYGSPP-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD O=C(Nc1ccc(Cl)cc1OC(F)F)c1ccc(Br)cc1[N+](=O)[O-] 546527027 WZNQXAWODQGAOX-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1 547046756 WHNKMDSFWYKGGN-VMPITWQZSA-N 402.204 4.586 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2oc(C3CC3)nc2c1 550600652 NKUVNSNAZOBHBQ-UHFFFAOYSA-N 416.231 4.937 5 20 HJBD Cc1ccc([C@H]2OCCC[C@@H]2CNC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1 556419933 PCUDKOOQTONHBM-DNVCBOLYSA-N 422.403 4.820 5 20 HJBD C[C@@H](C(=O)NCCc1csc(-c2ccc(Cl)cc2)n1)c1cccc([N+](=O)[O-])c1 564398578 VEBDLLIYOWCONL-CYBMUJFWSA-N 415.902 4.834 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2cccc(C(F)(F)F)c2)no1 576259486 FNIDNJHKXIVGDF-UHFFFAOYSA-N 413.739 4.939 5 20 HJBD O=C(NCCOc1ccc([N+](=O)[O-])cc1)N(Cc1cccs1)Cc1cccs1 609599185 BWSRROGFRQJQIE-UHFFFAOYSA-N 417.512 4.509 5 20 HJBD CS[C@@H]1CCC[C@H](NC(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)C1 609759147 DGAYIXCNTWZRSS-UONOGXRCSA-N 423.585 4.915 5 20 HJBD COc1ccc(C2(NCc3ccc(-c4ccccc4[N+](=O)[O-])o3)CCOCC2)cc1 610271097 MMVIUBUXOZMTSE-UHFFFAOYSA-N 408.454 4.659 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(COC(C)(C)C)cc1 610624725 ZGMFFSREUVAMCH-CYBMUJFWSA-N 406.866 4.969 5 20 HJBD C[C@H](Nc1ccc(C(=O)NC2(C)CCC(F)(F)CC2)cc1[N+](=O)[O-])c1ccccn1 612064253 BQXOIJIIYDBLSP-AWEZNQCLSA-N 418.444 4.861 5 20 HJBD C[C@H](C(=O)N1CCC[C@@H]1c1ccccc1Br)c1ccc([N+](=O)[O-])cc1F 612483955 GUNIURMYYCXBNY-KPZWWZAWSA-N 421.266 4.964 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN3CCC[C@@H](Cn4cnc5ccccc54)C3)co2)cc1 614235719 FZXURSQIBIXPIB-QGZVFWFLSA-N 417.469 4.512 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCC(=O)c2ccc(C(C)(C)C)cc2)cc1SC 728655910 GQZDVUHJPQRXRT-UHFFFAOYSA-N 417.483 4.663 5 20 HJBD O=C(OCc1nc(-c2cccc([N+](=O)[O-])c2)no1)C1(c2cccs2)CCCCC1 738704016 SVFYNQCJUZHQTN-UHFFFAOYSA-N 413.455 4.652 5 20 HJBD Cc1ccc(-c2cnc(COC(=O)[C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)cc1 744870316 VJELTXMFCJATBA-CYBMUJFWSA-N 416.817 4.722 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2[nH]1)c1nc(-c2ccc(Cl)cc2)no1 746068280 FGXAWJJSUDUBNW-SNVBAGLBSA-N 412.789 4.698 5 20 HJBD O=[N+]([O-])c1ccccc1CN(C(=S)NCCc1ccc(Cl)cc1Cl)C1CC1 746531956 FVWRDLYZSZGMCO-UHFFFAOYSA-N 424.353 4.983 5 20 HJBD COC(=O)c1ccc([C@@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(F)(F)F)cc1 747215749 ZRHCUHGYEWLVTM-MRXNPFEDSA-N 423.299 4.588 5 20 HJBD Cc1nn(C(F)F)c(C)c1CC(=O)Oc1ccc(Cc2ccccc2)cc1[N+](=O)[O-] 749064844 HKVUZUKQQWLODH-UHFFFAOYSA-N 415.396 4.542 5 20 HJBD O=C(c1cccc(Cl)c1[N+](=O)[O-])N1CCC(c2nc3ccccc3s2)CC1 749877142 XRQSNPDNEKQVST-UHFFFAOYSA-N 401.875 4.878 5 20 HJBD CC(C)=C(C)C(=O)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 750945311 XUUOJTNXFZKZMJ-SFHVURJKSA-N 402.834 4.828 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(CN(C)C(=O)OC(C)(C)C)c2)c1[N+](=O)[O-] 753104392 ULTWGUZRSNPENU-UHFFFAOYSA-N 417.437 4.662 5 20 HJBD C[C@@H](OC(=O)c1cc2cccc(Cl)c2o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753516594 NLPXRMKORZRAMO-SNVBAGLBSA-N 413.773 4.962 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NCC(=O)Nc1ccc([N+](=O)[O-])cc1C 753907898 FQZZYGRTRSMVRA-UHFFFAOYSA-N 414.462 4.609 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Cl)N1CCC[C@H](COc2ccccc2Cl)C1 754242881 KQIIITYIBUUXBA-ZDUSSCGKSA-N 409.269 4.833 5 20 HJBD C[C@@H](C(=O)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1)c1cccc([N+](=O)[O-])c1 763006445 FPXUOPKXYNTFNT-GFCCVEGCSA-N 403.822 4.703 5 20 HJBD C[C@@H](C(=O)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccc([N+](=O)[O-])cc1F 767139436 UJKJOQMLCQPHCR-OCCSQVGLSA-N 410.367 4.872 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)OCc1nc2cc(C(F)(F)F)ccc2o1 767276304 RNNPKWWMXNRJDQ-UHFFFAOYSA-N 412.345 4.590 5 20 HJBD COc1cc(CN2CCC[C@@H]2c2cc(C)on2)c([N+](=O)[O-])cc1OCc1ccccc1 768439046 YKTNXNDLJZFKJP-HXUWFJFHSA-N 423.469 4.816 5 20 HJBD CCOc1ccc([C@H](NC(=O)c2cc(F)c([N+](=O)[O-])cc2F)c2ccccc2)cc1 769480481 FWGZCPIVVBRRME-OAQYLSRUSA-N 412.392 4.791 5 20 HJBD O=C(Cc1cccc(OCc2cccnc2)c1)OCc1cc([N+](=O)[O-])ccc1Cl 770740279 XVAFIXFQWWADIX-UHFFFAOYSA-N 412.829 4.508 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cc(Cl)ccc1[N+](=O)[O-] 778006966 ZICWOAZOYRNRJA-NSHDSACASA-N 414.676 4.564 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1 778762574 BHKIMFGKBVGPNI-CYBMUJFWSA-N 415.833 4.844 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)C[C@H]1Sc2ccc(Cl)cc2NC1=O 778766246 FJYQAHLAOSXGPK-APPDUMDISA-N 420.874 4.664 5 20 HJBD COCCc1nc(C)c([C@@H](C)OC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)s1 781534274 YBISMNQCKBLTJG-CQSZACIVSA-N 422.506 4.553 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)[C@@H]1CC(c2ccccc2[N+](=O)[O-])=NO1 781685312 XIKLJABSKLEPQG-FQEVSTJZSA-N 413.499 4.677 5 20 HJBD C[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1noc(-c2ccccc2)n1 784643633 KGCFCIIWPOBKSB-GFCCVEGCSA-N 422.422 4.686 5 20 HJBD Cc1ccc([C@@H]2C[C@@H](C)N(C(=O)c3cccc([N+](=O)[O-])c3Br)C2)cc1 786218342 OPJJLLKROIZBHU-UKRRQHHQSA-N 403.276 4.684 5 20 HJBD O=C(Oc1ccccc1)N1CCC[C@@H](NCCCCc2ccc([N+](=O)[O-])cc2)CC1 788668696 XXKQUEUVHSDAHW-HXUWFJFHSA-N 411.502 4.561 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1CCC(=O)OCc1cccc([N+](=O)[O-])c1 790746098 MQFXZFPKTOYNRO-UHFFFAOYSA-N 400.431 4.618 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Cl)cc1Br)c1ccc([N+](=O)[O-])cn1 794948171 DSRBGWJLPLYKJB-QPEQYQDCSA-N 411.639 4.509 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(N2CCCC2)c(Cl)c1 801627585 SOLUHJCECZVREK-UHFFFAOYSA-N 402.882 4.555 5 20 HJBD Cc1cc(C)c(NC(=O)CCCOC(=O)c2cc([N+](=O)[O-])cc(C)c2F)c(C)c1 803461490 YBESCGYUUVIXSX-UHFFFAOYSA-N 402.422 4.543 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](O)c1ccc(C)cc1 804485322 PVYWUIMATKZARC-YWZLYKJASA-N 402.516 4.647 5 20 HJBD CC1CCN(c2ccc(CNCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])cn2)CC1 811474675 PTVCWNKQDFWGMR-UHFFFAOYSA-N 409.317 4.823 5 20 HJBD CO[C@H](c1ccc(F)cc1)[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813135090 ZKTGUEXQCQASJC-FVMDXXJSSA-N 401.221 4.547 5 20 HJBD CC(C)C[C@@H](NC(=O)OCc1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 813244344 XXUPCAOTKBPATN-MRXNPFEDSA-N 419.865 4.528 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])c(C)cc3Cl)o2)cc1 815404449 JSEBCSGESICBNH-GFCCVEGCSA-N 401.806 4.833 5 20 HJBD CCOc1ccc([C@H](C)N[C@H](C)c2ccc(N3CCCOC3=O)cc2)cc1[N+](=O)[O-] 815636172 QLOQDMGVCSWKQW-CVEARBPZSA-N 413.474 4.752 5 20 HJBD Cc1cc([N+](=O)[O-])c(F)c(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)c1F 854320145 SKJJEBHBVRQAKX-UHFFFAOYSA-N 414.393 4.810 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1CNc1ccc(OCc2noc(C3CC3)n2)cc1 864012226 YMSIKEKLQDKZOG-UHFFFAOYSA-N 400.822 4.700 5 20 HJBD COC(=O)c1cc(NCc2ccc(Cl)cc2[N+](=O)[O-])ccc1N1CCCCC1 864030483 LUXHPMSSHVLHED-UHFFFAOYSA-N 403.866 4.637 5 20 HJBD COc1cccc(CN(CC(C)C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1 914516422 OBXMPJNQBJDODT-UHFFFAOYSA-N 421.291 4.664 5 20 HJBD Cc1cc(-c2ccccc2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCOCC1 914732222 AYALEIQIRBVFNH-UHFFFAOYSA-N 417.465 4.659 5 20 HJBD O=Cc1ccc(OCC(=O)Nc2ccccc2SCc2ccccc2)c([N+](=O)[O-])c1 921249487 CTRTVPXCAQCXEH-UHFFFAOYSA-N 422.462 4.717 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 1327805757 CLGRGYGQBMFHHH-CYBMUJFWSA-N 409.373 4.989 5 20 HJBD CCNc1ccc(C(=O)Nc2ccc(-c3cn4ccsc4n3)cc2)cc1[N+](=O)[O-] 8280160 LORAWGRWXIJJAJ-UHFFFAOYSA-N 407.455 4.655 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)NC12CC3CC(CC(C3)C1)C2 10083142 LVHAYESFRUASNQ-ABROSTTOSA-N 417.556 4.770 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3ccc(SC)cc3)cs2)cc1[N+](=O)[O-] 11422575 SLJMRBWWDBGBDO-UHFFFAOYSA-N 401.469 4.701 5 20 HJBD C[C@@H](OC(=O)c1sc2cc(F)ccc2c1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 11443924 PVVZIXZEQFZAGQ-SECBINFHSA-N 422.821 4.786 5 20 HJBD COCCCn1c(Sc2ccccc2[N+](=O)[O-])nnc1-c1ccccc1Cl 25600099 GDSUWJBDISNLIA-UHFFFAOYSA-N 404.879 4.694 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3cc([N+](=O)[O-])ccc3C)cn2c1 42391108 GBIIGTIWZKNQFK-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 58355218 FNNMFKBAQYYBIS-UHFFFAOYSA-N 415.449 4.628 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 58952575 DPFRHQGIPHOCIQ-HNNXBMFYSA-N 401.894 4.553 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)c1 65869353 NRPXIOAGGDRQLA-UHFFFAOYSA-N 417.469 4.556 5 20 HJBD COc1cc([C@H](C)NC(=O)CSc2ccc([N+](=O)[O-])cc2)ccc1OCC(C)C 106085369 SLWVXVQSGDEEIB-HNNXBMFYSA-N 418.515 4.608 5 20 HJBD O=[N+]([O-])c1cc(Br)ccc1NCc1cccc(OCc2ccccn2)c1 108080397 QHFRTGJXUMKJDO-UHFFFAOYSA-N 414.259 4.943 5 20 HJBD Cc1n[nH]c(-c2ccc(NC(=O)c3ccc(Nc4ccccc4)c([N+](=O)[O-])c3)cc2)n1 109598463 HFBDRENYPMLSTQ-UHFFFAOYSA-N 414.425 4.684 5 20 HJBD Cc1c(NC(=O)c2cc(Br)sc2Br)cccc1[N+](=O)[O-] 227266153 CJJCCAWKXCLRJE-UHFFFAOYSA-N 420.082 4.742 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Oc1ccc(Oc2ccccn2)cc1 247748577 QYKHIGWIXKNTLC-UHFFFAOYSA-N 422.440 4.948 5 20 HJBD C[C@@H](Nc1ccc(C(=O)c2ccccc2)cc1[N+](=O)[O-])c1ccc2c(c1)CCC(=O)N2 301098916 NMQBRSRYRWERLR-OAHLLOKOSA-N 415.449 4.884 5 20 HJBD COc1ccc(Nc2c([N+](=O)[O-])c(C)nn2C)cc1OCc1ccc2ccccc2n1 301300216 ULMXAEUQBVSDRV-UHFFFAOYSA-N 419.441 4.516 5 20 HJBD O=[N+]([O-])c1ccc(N2CCO[C@@H](c3ccc(C(F)(F)F)cc3)C2)c2ccncc12 302175151 MTHSOFAAKPFAIG-LJQANCHMSA-N 403.360 4.740 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1CNc1ccc([N+](=O)[O-])cc1Br 302932258 HCPJECFFUKEKBP-UHFFFAOYSA-N 401.264 4.772 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 303858628 WEEFFLNUTSMOSI-KRWDZBQOSA-N 424.423 4.810 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(C(C)(C)c2ccccc2[N+](=O)[O-])n1 426463694 WQCGHFCGDQGQHE-KRWDZBQOSA-N 408.458 4.575 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)Nc1ccc(-c2nc3ccccc3s2)cn1 427119266 HLJZDLJTUVUCJN-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD Cc1noc(C[C@H](N[C@H]2CCC[C@@H]2Cc2ccccc2[N+](=O)[O-])c2ccccc2)n1 429462891 RZHIPQPOHNYOHM-GIVPXCGWSA-N 406.486 4.571 5 20 HJBD C[C@H]1C[C@@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 430660844 FTIJVFNJZYOFDU-YJBOKZPZSA-N 409.511 4.592 5 20 HJBD COc1cccc(C(=O)N[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c1[N+](=O)[O-] 436029702 SNCDKORKEOWOTA-HOCLYGCPSA-N 408.376 4.688 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)ccc1OCC(=O)C1CCC1 446060020 GSIOHEDPZPSUFE-UHFFFAOYSA-N 423.252 4.902 5 20 HJBD O=C(Nc1nc2ccc(Cl)cc2s1)c1sc(Br)cc1[N+](=O)[O-] 447177423 QHNQOVIKFZDGBX-UHFFFAOYSA-N 418.681 4.934 5 20 HJBD COc1cc(C(=O)Nc2ccccc2CN(C)c2ccccc2)cc([N+](=O)[O-])c1OC 447696612 BKZBWJLQRPSMNQ-UHFFFAOYSA-N 421.453 4.501 5 20 HJBD O=[N+]([O-])c1ccc(N(Cc2cccnc2)Cc2ccco2)nc1OCc1ccccc1 462514360 UKOUCHCLNABDKL-UHFFFAOYSA-N 416.437 4.764 5 20 HJBD COc1cc(CNc2cc(Cl)ccc2C(=O)N2CCCCC2)c([N+](=O)[O-])cc1F 464083102 RRRUIPGNGCZWFX-UHFFFAOYSA-N 421.856 4.634 5 20 HJBD O=C(N[C@H]1CCCc2sc(-c3ccc(F)cc3)nc21)c1csc([N+](=O)[O-])c1 464220786 ICTPYKQRLSXTOO-ZDUSSCGKSA-N 403.460 4.726 5 20 HJBD Cc1onc(-c2c(F)cccc2Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 466277407 YZWCAADKOARAIT-UHFFFAOYSA-N 424.215 4.934 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)NC(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 470245337 AAVXUWSIFLNSGY-KRWDZBQOSA-N 416.474 4.528 5 20 HJBD Cc1ccccc1Cc1nnc(CSc2ccc(Br)cc2[N+](=O)[O-])o1 471248326 AUQARBAHUXTKPW-UHFFFAOYSA-N 420.288 4.932 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1F)Nc1cc(C(F)(F)F)ccc1N1CCCC1 477496659 YBFPIIXUPPFQKO-UHFFFAOYSA-N 411.355 4.534 5 20 HJBD CN(C)C(=O)Nc1ccc(CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 479060449 VQJRBOORFCAPHC-UHFFFAOYSA-N 410.499 4.707 5 20 HJBD CCOc1cc(Br)ccc1NC(=O)c1cc(SC)ccc1[N+](=O)[O-] 479215440 WRYPKNUGJZFDBI-UHFFFAOYSA-N 411.277 4.730 5 20 HJBD CCC(=O)c1ccc(NCc2cc(OC)c(OC)cc2Br)c([N+](=O)[O-])c1 479975563 NDLDYMKYBMFUSA-UHFFFAOYSA-N 423.263 4.579 5 20 HJBD Cc1ccc(C)c(S(=O)(=O)Nc2ccccc2CCC(F)(F)F)c1[N+](=O)[O-] 480208612 JQKKFKHTBISZHQ-UHFFFAOYSA-N 402.394 4.507 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])cc1-c1ncco1 481863117 FAAYWFRFOUVBLY-LBPRGKRZSA-N 401.806 4.618 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Cl)cc1Cl 484552878 QPBMBISYIQUKDK-UHFFFAOYSA-N 401.271 4.892 5 20 HJBD O=C(O)CCSCc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1 485805052 JYNALNHAZBKAQC-UHFFFAOYSA-N 416.480 4.770 5 20 HJBD O=C(N[C@H]1CCC[C@@H](c2ccccc2)C1)c1sc(Br)cc1[N+](=O)[O-] 486091216 PGMKEXGSASKBPT-OLZOCXBDSA-N 409.305 4.875 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2F)nc1)c1cc([N+](=O)[O-])ccc1OC(F)F 488870066 BSOZVSZFGUXOPZ-UHFFFAOYSA-N 419.315 4.775 5 20 HJBD CC1(C)CN(C(=O)Cc2cccc([N+](=O)[O-])c2)C[C@H](c2cccc(C(F)(F)F)c2)O1 497887881 ARNMYUHVVYOGIO-GOSISDBHSA-N 422.403 4.535 5 20 HJBD COCC1(CCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCC1 501858056 XWCBGFQZYZJRQF-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD COc1cc(CN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)c([N+](=O)[O-])cc1OC(F)F 503372769 VVQPVYKWFXEQIH-JEOXALJRSA-N 412.820 4.883 5 20 HJBD COc1cc(CN(C)[C@H](C)c2ccc(F)c(F)c2)c([N+](=O)[O-])cc1OC(F)F 506688157 HLNWZISKXGIZTC-SNVBAGLBSA-N 402.344 4.676 5 20 HJBD O=C(Cc1cc(Cl)c2c(c1)OCCO2)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 517645390 MRCUJWLAXUIYPZ-UHFFFAOYSA-N 416.861 4.538 5 20 HJBD C[C@@H](c1cccs1)N(Cc1ccccc1)C[C@H](O)COc1cccc([N+](=O)[O-])c1 521816605 ZUHJJZUXWNCNLA-PXNSSMCTSA-N 412.511 4.659 5 20 HJBD O=C(Nc1nc(-c2ccc(Br)cc2)cs1)c1cc(F)cc([N+](=O)[O-])c1 521842435 BRPPVDGLFYHILW-UHFFFAOYSA-N 422.235 4.872 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)n1 522731311 HAMQRHHDTYOLCD-UHFFFAOYSA-N 417.874 4.870 5 20 HJBD CC(C)c1ccc(CCCNC(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)cc1 523889003 BKXYYNNLICCGOS-UHFFFAOYSA-N 409.530 4.684 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C[S@](=O)C(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 524193418 SEQDQBGQXKJWBD-SUMNFNSASA-N 403.504 4.525 5 20 HJBD Cc1c(NC(=O)[C@H](C)N[C@@H](Cc2ccccc2)c2ccccc2F)cccc1[N+](=O)[O-] 525403330 KSZFUFHEKVVUPW-JTSKRJEESA-N 421.472 4.943 5 20 HJBD COc1cc(C(=O)N(Cc2ccccc2)Cc2ccccc2F)cc([N+](=O)[O-])c1OC 525579587 AVUKSKPHAQFWSL-UHFFFAOYSA-N 424.428 4.594 5 20 HJBD Cc1ccc(-c2nc(C)c([C@H](C)NC(=O)NCc3ccc([N+](=O)[O-])cc3)s2)cc1 532060776 OVKKNJIBXHSJHH-HNNXBMFYSA-N 410.499 4.896 5 20 HJBD COc1ccc(CSCC(=O)Nc2cnc(-c3ccccc3)s2)cc1[N+](=O)[O-] 534348788 PBNTYRZKBOCVRD-UHFFFAOYSA-N 415.496 4.599 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc(C(=O)N(C)c3ccccc3)cc2)cc1[N+](=O)[O-] 535295894 YIWLOEUSIUODAO-KRWDZBQOSA-N 417.465 4.671 5 20 HJBD Cc1cc(C(=O)Nc2ccc(OC(F)(F)F)cc2Br)cc([N+](=O)[O-])c1 536091900 QFWPYOFFUJABAQ-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCC[C@@H](c2nc3ccccc3s2)C1 536164393 AFEPGDZADRBLKH-CQSZACIVSA-N 420.494 4.635 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)N(C)Cc3ccccc3[N+](=O)[O-])c2)CC1 536720428 APINVVZZFWBSQI-UHFFFAOYSA-N 410.518 4.533 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)CC(=O)N(C)Cc2cccc(Cl)c2)cc1[N+](=O)[O-] 536909326 JKWNSPFFVGGJHF-CVEARBPZSA-N 403.910 4.644 5 20 HJBD CC[C@H](Nc1ccc(C)c(NC(=O)CN2CCCCC2)c1)c1cccc([N+](=O)[O-])c1 538635145 VALWUDSDBUJRLN-NRFANRHFSA-N 410.518 4.891 5 20 HJBD O=C(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)Nc1ccc(Cl)cc1C(F)(F)F 538657233 FPFCSYFEKHIMIT-UHFFFAOYSA-N 424.766 4.769 5 20 HJBD O=C(N[C@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1ccc(Cl)cc1[N+](=O)[O-] 538699641 GUTJYEIQQBMBFW-NSHDSACASA-N 414.676 4.564 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Oc1ccccc1)N1CCC(Cc2cccnc2)CC1 538919464 IDNZRMFGURGAHM-UHFFFAOYSA-N 417.465 4.877 5 20 HJBD Cc1c([C@H](C)Nc2ccc(NC(=O)CN3CCCCCC3)cc2)cccc1[N+](=O)[O-] 539638274 NFDPXVJPGZRCQL-SFHVURJKSA-N 410.518 4.891 5 20 HJBD COc1cc(C(=O)Nc2ccccc2NCc2ccccc2C)cc([N+](=O)[O-])c1OC 543011080 KTZWTZCODQGXEF-UHFFFAOYSA-N 421.453 4.785 5 20 HJBD Cc1nc2ccc(Br)cc2c(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1 543116009 QSFJJZIPEPDOHU-UHFFFAOYSA-N 400.232 4.775 5 20 HJBD COc1cc(Cc2noc([C@H]3C[C@@H]3c3ccc(C(F)(F)F)cc3)n2)ccc1[N+](=O)[O-] 545760031 LUIWOUYOQJLUMF-CABCVRRESA-N 419.359 4.867 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(F)cc2F)C1 548643183 OFPLRFQBXCDVEE-SFHVURJKSA-N 422.453 4.587 5 20 HJBD Cc1cccnc1S[C@@H](C)C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1 553498854 WAFOJAVUJQANIS-LBPRGKRZSA-N 400.485 4.541 5 20 HJBD CC(C)(C(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1ccccc1[N+](=O)[O-] 556203454 OOALULZMNKDBQP-MUUNZHRXSA-N 402.516 4.558 5 20 HJBD CC(C)(NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 559669650 GTYBPBUFGOBYSR-UHFFFAOYSA-N 401.894 4.516 5 20 HJBD COc1ccccc1C(=O)Nc1ccc(Oc2ncnc3sc([N+](=O)[O-])cc23)cc1 564479905 GGLTXXHCRWOAPR-UHFFFAOYSA-N 422.422 4.653 5 20 HJBD COc1ccc(CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@H](C)C2CC2)c(OC)c1 566942614 LLWKZWDAQFOLIR-CQSZACIVSA-N 423.469 4.534 5 20 HJBD C[C@H](C(=O)N(C)Cc1ccc(Br)cc1Cl)c1cccc([N+](=O)[O-])c1 577318982 WKDJRHZNGZQOHG-NSHDSACASA-N 411.683 4.773 5 20 HJBD COc1ccc(-c2csc(NC(=O)[C@@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1F 578418455 FCIRXZUNDRNJNJ-NSHDSACASA-N 401.419 4.608 5 20 HJBD O=c1c2ccccc2n(Cc2nc(-c3ccnc(C(F)(F)F)c3)no2)c2ccccc12 580483054 UJZFSBKNRZSMID-UHFFFAOYSA-N 422.366 4.667 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@@H]3c3ccccc3Br)ncnc2s1 587994921 HASIMPGUIWCZIV-CYBMUJFWSA-N 405.277 4.704 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1 589373223 IMXQSLWRZZPUTI-UHFFFAOYSA-N 410.405 4.805 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@@H](C)c2ccc(F)cc2)c([N+](=O)[O-])cc1OCC 603650947 PDZLOSXYKLRDLK-ZDUSSCGKSA-N 405.426 4.756 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(CN3CCCC[C@H]3C)cc2)c([N+](=O)[O-])c1 603857595 CTIUKQWZYHUBHM-GOSISDBHSA-N 424.545 4.720 5 20 HJBD CCOCc1cccc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1 608818679 BUABFOZYKNRTOW-UHFFFAOYSA-N 405.454 4.996 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3CCO[C@H](c4ccccc4)C3)c([N+](=O)[O-])c2)cc1 608972545 VDFVKUVGAYNXJX-QHCPKHFHSA-N 417.465 4.733 5 20 HJBD CCOC(=O)c1cc(-c2nc(-c3c(Cl)cccc3Cl)no2)cc([N+](=O)[O-])c1 609474212 RDVOEGLXZWTFED-UHFFFAOYSA-N 408.197 4.795 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1 609553343 PFMGSPYACHFBDR-UHFFFAOYSA-N 412.421 4.635 5 20 HJBD Cc1cc(C)c(NC(=O)COc2ccc([N+](=O)[O-])cc2Br)c(Cl)c1 609962034 VSCRXIGMSFZOOB-UHFFFAOYSA-N 413.655 4.645 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2c(F)cccc2F)cs1)c1ccc([N+](=O)[O-])cc1 610040082 HDMXVVUWOAGBGL-UHFFFAOYSA-N 403.410 4.913 5 20 HJBD O=C(NCc1ccccc1-n1ccnc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202012 XWZAUWDKKPHFFB-UHFFFAOYSA-N 422.510 4.745 5 20 HJBD CC(C)N1CCC(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 611204321 ZOBVNJWKELFAKJ-UHFFFAOYSA-N 405.564 4.574 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCC2)cc1Cl 725735098 ZODYXFXWXLVYEP-UHFFFAOYSA-N 410.257 4.763 5 20 HJBD O=C(Nc1ccc(OC(=O)c2coc3ccccc23)cc1)c1cccc([N+](=O)[O-])c1 733215117 RYKBMFHICFMYHA-UHFFFAOYSA-N 402.362 4.813 5 20 HJBD O=C(Nc1cccc(C[S@](=O)c2ccccc2)c1)c1ccc(Cl)c([N+](=O)[O-])c1 734405483 OCTISLPAQMSDEW-NDEPHWFRSA-N 414.870 4.808 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1OCC1CCOCC1 741205601 WTZPAVIEXJFQQR-UHFFFAOYSA-N 404.850 4.614 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1N1CCOC1=O)c1ccc(F)c(Br)c1 742406414 YHFVYMUTRYNYKR-SNVBAGLBSA-N 424.226 4.626 5 20 HJBD CCOc1cc(N2CCC(OC(=O)/C=C(/C)c3ccccc3)CC2)ccc1[N+](=O)[O-] 744203962 KLGDQGKKRIWAAJ-ICFOKQHNSA-N 410.470 4.609 5 20 HJBD COc1ccc(-c2csc(CNC(=O)c3cc(Cl)ccc3[N+](=O)[O-])c2)cc1 746882346 GSZFDGBYEKWFDI-UHFFFAOYSA-N 402.859 4.915 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1 747347368 YTPWLLLLVHVMCF-UHFFFAOYSA-N 401.419 4.687 5 20 HJBD O=C(Nc1ccc2ncccc2c1)c1cc(Br)cc([N+](=O)[O-])c1Cl 749577204 VYVAPFMSXRZMIJ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3cc(F)c(F)cc3[N+](=O)[O-])sc2C)cc1 750561560 AZXWWOTZWHUNJW-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD Cc1cc(F)cc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)c1[N+](=O)[O-] 752557786 KDOODCKQTHAYTR-HNNXBMFYSA-N 422.412 4.893 5 20 HJBD CC(C)CCn1ncc(NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1C(C)C 753316580 MBGLLPFHLMTJGO-UHFFFAOYSA-N 407.902 4.936 5 20 HJBD CC(C)CC(C)(C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 753508520 LXHMFSITVXMNMP-LLVKDONJSA-N 404.385 4.556 5 20 HJBD C[C@@H]1OCC[C@H]1S[C@@H](C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 754719331 JMZJGMGCTKZXGK-JBBXEZCESA-N 406.891 4.839 5 20 HJBD COc1cc([N+](=O)[O-])ccc1-c1nc(-c2cccc(NC(=O)OC(C)(C)C)c2)no1 754886018 UCBASRSUEKXCDR-UHFFFAOYSA-N 412.402 4.667 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)c1cc([N+](=O)[O-])ccc1Br 759947336 XEHMIVHIKCQKOJ-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD C[C@@H](C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1cccc(C(=O)c2ccccc2)c1 761552867 NKWHJMQGBXNQOJ-CYBMUJFWSA-N 410.376 4.846 5 20 HJBD Cc1ccc(-c2noc(-c3csc(COc4ccc(F)cc4)n3)n2)cc1[N+](=O)[O-] 761639155 OQWMHVFVBDPCCF-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cnc(Cl)c(Br)c1 769989929 VTGPZAOCAJRBIQ-QMMMGPOBSA-N 416.684 4.525 5 20 HJBD C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1cc2ccccc2c2cccnc12 772321647 BRMASVVTTILOIG-WMZOPIPTSA-N 419.525 4.906 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC1CCC(N2CCCCC2)CC1 775492670 PSAROEGDVAZVQH-UHFFFAOYSA-N 405.564 4.622 5 20 HJBD CSc1cccc(C(=O)OCCCC(=O)Nc2c(C)cccc2C)c1[N+](=O)[O-] 777074113 HXMHRMVIPBMEAX-UHFFFAOYSA-N 402.472 4.509 5 20 HJBD O=C(Nc1ccc2nc(C3CCC3)[nH]c2c1)c1cccc([N+](=O)[O-])c1Br 782170456 VBBZFKMSIJSRLS-UHFFFAOYSA-N 415.247 4.753 5 20 HJBD C[C@@H](Nc1ccc(OC(F)F)c(C(F)(F)F)c1)C(=O)Nc1ccccc1[N+](=O)[O-] 794891353 GAUQRYDCIKAZTP-SECBINFHSA-N 419.306 4.654 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1F 796444385 OVBNQHMTYZPAEO-CYBMUJFWSA-N 413.405 4.674 5 20 HJBD C[C@@H](C(=O)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1c(F)cccc1F 811219712 URCPEGUHNSNREI-LLVKDONJSA-N 404.394 4.843 5 20 HJBD O=C1c2ccccc2N[C@H](c2cc(Cl)cc(Cl)c2[N+](=O)[O-])N1C[C@@H]1CCCO1 811637777 KUVFGENZTIWXSA-SGTLLEGYSA-N 422.268 4.647 5 20 HJBD O=C(NC[C@@H]1CCCO[C@H]1c1ccccc1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812930067 HOACIUBQKCTZHM-UGSOOPFHSA-N 409.269 4.799 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])n1 813058961 QHJVOJIQYWDJLI-NSHDSACASA-N 405.241 4.960 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NCc1ccccc1COCc1ccccc1 915600338 OOCIEPUSCWQNAM-QINSGFPZSA-N 402.450 4.641 5 20 HJBD O=C(OCC[C@H]1CCCCN1C(=O)c1cc2ccccc2o1)c1cccc([N+](=O)[O-])c1 918637824 MFTQOFLGTLETLK-GOSISDBHSA-N 422.437 4.583 5 20 HJBD Cc1cc(C)n(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3N3CCCCC3)cc2)n1 920441091 FLUXMTDZPQETNI-UHFFFAOYSA-N 419.485 4.640 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)[C@H]1CCN(c2ccc(F)cc2)C1=O 920570116 QLULPROADSOVDO-NRFANRHFSA-N 414.436 4.623 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)OCC(=O)c1ccc2ccccc2c1 920780373 YVBDGPRWDPUNIR-CYBMUJFWSA-N 413.813 4.595 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ccc(F)cc1OC(F)F 1321272681 DYPGQKWGEDEUCC-VIFPVBQESA-N 419.197 4.949 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(cc2[N+](=O)[O-])OCCO3)o1 1322206124 UOFRCOSINIDVIP-ZDUSSCGKSA-N 419.393 4.709 5 20 HJBD Cc1ccc(Nc2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)s2)cc1 10317379 YULOKOXYPKMKEX-LBPRGKRZSA-N 415.500 4.618 5 20 HJBD CSc1ccc(C(=O)Nc2cc(C(F)(F)F)ccc2-n2cccn2)cc1[N+](=O)[O-] 15640666 IXECXUHWPLWCAT-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CN(C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1CCCCC1 17356995 OGEHFWYKCIVUEX-UHFFFAOYSA-N 418.497 4.781 5 20 HJBD Cc1cccc(-c2nnc(S[C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)n2C)c1 17539795 XVLHVRMUDDNNQE-CYBMUJFWSA-N 422.470 4.602 5 20 HJBD Cc1cc([N+](=O)[O-])cc(S(=O)(=O)Nc2ccc(-c3cnc(C4CC4)o3)cc2)c1C 26548356 ULPODXCLMOJLEA-UHFFFAOYSA-N 413.455 4.545 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)cc2)c1 55754447 XERRZXOTNHUTBV-CQSZACIVSA-N 413.499 4.584 5 20 HJBD Cc1ccc(C(=O)N(C)C)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 58311290 PWRKCJNSBKAWPX-UHFFFAOYSA-N 418.453 4.601 5 20 HJBD O=C(c1cc2cccc([N+](=O)[O-])c2[nH]1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 58409714 GAACGBMKRDILCP-UHFFFAOYSA-N 424.844 4.891 5 20 HJBD Cc1ccccc1-c1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[nH]n1 65318108 CALBICSZBNJYRF-UHFFFAOYSA-N 405.439 4.669 5 20 HJBD O=C(Nc1ccc(Oc2ccc(F)cc2)cn1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 78505854 HKORJQBEPZBSNM-UHFFFAOYSA-N 422.416 4.774 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCc3ccccc32)cc1)c1ccc(Cl)cc1[N+](=O)[O-] 109669793 PEHNEGGJPBUJCF-UHFFFAOYSA-N 421.840 4.703 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(=O)c1cc(C)cc([N+](=O)[O-])c1 195690620 FILAHMRHIVCIDZ-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD CC(C)[C@H](Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1nc(-c2ccncc2)no1 301813016 FLUPFLNOZJSWSN-HNNXBMFYSA-N 407.352 4.868 5 20 HJBD Cc1cc(N2CCC[C@H]2CC(=O)c2cccs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 302014360 BLBPMNVENFXLLQ-KRWDZBQOSA-N 408.483 4.664 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2ccccc2[N+](=O)[O-])ccc1OCCC(C)C 410154852 PVHWGCPBSLUTMF-MRXNPFEDSA-N 415.490 4.589 5 20 HJBD C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@@H]1CCOC1 410233889 MELSDUSKWJVABR-TZMCWYRMSA-N 406.891 4.554 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N1CCC(=Cc2ccccc2F)CC1 431476905 KCRPWRVSWIECBV-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD COc1ccc(-c2ncc(C(=O)Nc3c(C)ccc([N+](=O)[O-])c3C)s2)cc1OC 435614389 MPIKLGOODSHJHK-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC 437695150 IPEVPYYESHSFIZ-UHFFFAOYSA-N 406.442 4.719 5 20 HJBD COc1cc(C(=O)N(C)c2ccc(C(F)(F)F)cc2Cl)cc([N+](=O)[O-])c1C 439124176 OJODNRPURDGRNF-UHFFFAOYSA-N 402.756 4.861 5 20 HJBD CC[C@@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1nc(C(F)(F)F)cs1 443969828 SDLRVXKZBRHVFQ-SNVBAGLBSA-N 402.398 4.960 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC[C@@H](Cc2ccccc2)C1 444079007 WRVDCBVKAVSMNS-INIZCTEOSA-N 418.443 4.664 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)N1CC=C(c2ccccc2F)C1 444315987 ZGPASKUSMFGVOT-UHFFFAOYSA-N 420.390 4.638 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cc(Cl)ccc3OC3CCCC3)[nH]c2c1 446827282 NIYWSJHHEVTLRW-UHFFFAOYSA-N 400.866 4.736 5 20 HJBD CCC[C@@H](C)N(C(=O)c1cc(F)cc([N+](=O)[O-])c1)c1nc(C)c(C(=O)OCC)s1 460111785 UUCCHYJFRMDECZ-LLVKDONJSA-N 423.466 4.511 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])N[C@@H]1CC[C@@H]2CCC[C@H]2C1 460547606 NBETZQZFXSAUEI-SGMGOOAPSA-N 402.438 4.791 5 20 HJBD CCN(CC)CCS[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 461545708 OXJOCCLNUGNFQD-HXUWFJFHSA-N 401.532 4.658 5 20 HJBD COc1ccc(Br)c(CNc2ccc([N+](=O)[O-])c(OC(F)F)c2)c1 462387858 MCYHMSBHHPYNMT-UHFFFAOYSA-N 403.179 4.579 5 20 HJBD COc1cc(CN(C)C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1SC 463575315 LUBOKZYZVKDKTE-UHFFFAOYSA-N 414.405 4.616 5 20 HJBD O=C([C@H](OC1CCCC1)c1ccccc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464665888 RRVXWRKCEJRRES-HSZRJFAPSA-N 424.497 4.665 5 20 HJBD COc1cccc(OC)c1Oc1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)cn1 467843151 GXLLDRXYDCGGDS-OAHLLOKOSA-N 409.442 4.650 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C(=O)NCCc1ccccc1[N+](=O)[O-] 469410066 MSRFAJNAFZDXHM-MRXNPFEDSA-N 424.526 4.972 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CCCCC[C@H]2c2ncon2)cc1[N+](=O)[O-] 469817250 DSDPXYJNUWMJGN-INIZCTEOSA-N 418.519 4.874 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC(C(=O)c2cc(F)ccc2F)CC1 470176883 NSPFJENJLSLGQO-RVDMUPIBSA-N 400.425 4.871 5 20 HJBD CC1(c2ccc(Cl)cc2)CCN(C(=O)c2ccc([N+](=O)[O-])c3cccnc23)CC1 470684412 UMLWGENQQDQULO-UHFFFAOYSA-N 409.873 4.990 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC(c3cccc(Cl)c3)CC2)cc1SC 478284361 QRDFXOGUWLBAKW-UHFFFAOYSA-N 420.918 4.999 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1nc(-c2ccccc2)c(NC(C)=O)s1 478623332 SHPIEKXQVCJSRT-UHFFFAOYSA-N 412.471 4.688 5 20 HJBD CC(C)(C)Oc1cc(N2CCC(C(=O)Nc3cccc(F)c3)CC2)ccc1[N+](=O)[O-] 484837481 RRPCWRIYQSKMLZ-UHFFFAOYSA-N 415.465 4.766 5 20 HJBD C[C@@H]1CCN(C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@@H]1n1ccnc1 488086664 VJZOTKAUGBAHFJ-IERDGZPVSA-N 422.510 4.666 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-c2nccs2)cc1 488326628 RDUQDRJXMYQAKK-UHFFFAOYSA-N 415.496 4.709 5 20 HJBD COc1cc(CNc2cccc(OC(F)(F)F)c2)c([N+](=O)[O-])cc1OCC(F)F 490543869 LIPWREKLUABELK-UHFFFAOYSA-N 422.306 4.758 5 20 HJBD O=C(Nc1cc(Cl)cc(Br)c1O)c1ccc([N+](=O)[O-])c2cccnc12 498647351 XHMZPKKFTSPVEV-UHFFFAOYSA-N 422.622 4.517 5 20 HJBD Cc1cc(CNC(=O)[C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])c2[nH]c(C)c(C)c2c1 499446179 SNXJYXPLYHVBLL-AWEZNQCLSA-N 415.877 4.738 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CC=C(c2cccc3ccccc23)C1 501608929 AKYUEFCKODDYRC-UHFFFAOYSA-N 413.477 4.888 5 20 HJBD O=C(N[C@@H]1CCC[C@H]1OC(F)F)c1ccccc1Sc1ccccc1[N+](=O)[O-] 501785608 PSWKQIWVEBACFM-UKRRQHHQSA-N 408.426 4.636 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1ccc(-c2cnc(C3CC3)o2)cc1 502018778 UOSXEVZXTXSRMK-UHFFFAOYSA-N 419.846 4.581 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](n2cccn2)Cc2ccccc21 503393274 GQWGGNVYBBBWEU-SFHVURJKSA-N 422.510 4.738 5 20 HJBD O=C(Nc1cccc(CNc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1)c1ccccn1 511731509 YUIKJYRVSGFMHF-UHFFFAOYSA-N 416.359 4.873 5 20 HJBD O=C(Nc1nc(-c2c(F)cccc2F)cs1)c1cc2cc([N+](=O)[O-])ccc2o1 515157698 WMLCCWIIYVJICE-UHFFFAOYSA-N 401.350 4.995 5 20 HJBD Cc1ccc(OCCCC(=O)Nc2ccc(CNc3ccc([N+](=O)[O-])cn3)cc2)cc1 515550160 BEKQAINSRJWEPH-UHFFFAOYSA-N 420.469 4.708 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)Cc1csc([N+](=O)[O-])c1 515780571 ONJUOWCWGLMOSP-GFCCVEGCSA-N 415.437 4.914 5 20 HJBD COc1ccc([C@@H](NC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 517771345 PCKFPBIFJFRVCO-QRQCRPRQSA-N 423.444 4.892 5 20 HJBD COc1ccc(C2(NC(=O)c3ccc(NCc4ccccc4)c([N+](=O)[O-])c3)CC2)cc1 521881684 PCRVBCVDZJCCHO-UHFFFAOYSA-N 417.465 4.635 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N(CCc1ccccc1)Cc1cccnc1 524232761 JBCPBJCZPYVCBS-UHFFFAOYSA-N 401.422 4.621 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(CSC3CCCC3)c2)cc1[N+](=O)[O-] 525381435 VXDPZPFGDAFFQB-UHFFFAOYSA-N 400.500 4.960 5 20 HJBD Cc1cc(OC(C)C)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Br 531509723 CPCSDSDWSLEBHD-UHFFFAOYSA-N 407.264 4.634 5 20 HJBD COc1ccc(C[C@H](C)CN(C)[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 534176043 CARFNGUYJFOXSK-HOTGVXAUSA-N 410.474 4.525 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(C)n1[C@@H]1CCC[C@@H](C)C1 536090321 KHHKBFHKSXXGIH-CZUORRHYSA-N 417.535 4.675 5 20 HJBD CC[C@@H](N[C@@H](C)c1cc2c(cc1Br)OCCO2)c1cccc([N+](=O)[O-])c1 536877930 PIYICIQNGWLHMF-YVEFUNNKSA-N 421.291 4.930 5 20 HJBD O=C(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)Nc1ccccc1-c1ccccc1 537046314 CJGPFCMQLFVNRH-UHFFFAOYSA-N 406.829 4.904 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c2cccnc12)Nc1ccccc1Nc1ccccc1 538607192 WSOFOKRZWHNJCD-UHFFFAOYSA-N 414.421 4.904 5 20 HJBD CC1=NN(c2ccc(C(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)cc2)CC1 539355198 LSCYJPSBEKQNIX-UHFFFAOYSA-N 421.482 4.581 5 20 HJBD CCC[C@@H](Nc1cccc(C(=O)NCc2ccccn2)c1)c1cccc([N+](=O)[O-])c1 539651240 ZOWRFXNGWDWQNU-JOCHJYFZSA-N 404.470 4.873 5 20 HJBD Cc1ccc([C@H](C)NCc2nc(-c3cc(Br)cs3)no2)cc1[N+](=O)[O-] 540477324 NFBJJBOKUTZVFQ-JTQLQIEISA-N 423.292 4.628 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])N[C@H](c1ccccc1)c1nccs1 541284299 BAIVFQJPIJEALH-QGZVFWFLSA-N 419.915 4.703 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3nc(-c4cccc([N+](=O)[O-])c4)no3)s2)cc1 546228305 VEFHYTXMUXCTED-UHFFFAOYSA-N 422.422 4.634 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc([C@H]4CCOc5ccccc54)no3)cs2)cc1 547100845 BQAAGXQRXNRLAY-HNNXBMFYSA-N 406.423 4.683 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cccc(Cn3ccnc3C(C)C)c2)c1 549300838 QERKMPZGVNCQDB-UHFFFAOYSA-N 408.458 4.614 5 20 HJBD O=C(Nc1ccc(C(F)(F)F)cc1Cl)N1CCOc2ccc([N+](=O)[O-])cc2C1 554976429 DDBREPBOFROXIK-UHFFFAOYSA-N 415.755 4.694 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(Cl)cc1OCC(F)F 558302321 SLZBNJOMGRBBHW-UHFFFAOYSA-N 402.806 4.623 5 20 HJBD COc1ccc(-c2nnc(SCc3cc([N+](=O)[O-])ccc3C(F)(F)F)o2)cc1 558815855 BCHRKOJOANKWGG-UHFFFAOYSA-N 411.361 4.965 5 20 HJBD CCOc1ccc(CNC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1Cl 560735824 UMPKTIRJGPIMSS-UHFFFAOYSA-N 406.869 4.663 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N1CCC(c2c[nH]c3ccc(F)cc23)CC1 561717580 PNZLFIQPXTWWDI-UHFFFAOYSA-N 420.444 4.576 5 20 HJBD Cc1ncccc1CC(=O)N(CC(C)C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 576499995 TVJVZIUAICHGBD-UHFFFAOYSA-N 410.499 4.653 5 20 HJBD COc1cc(CN2CCC(F)(F)[C@@H](C)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 583174815 KJXPNMMZJALIKY-HNNXBMFYSA-N 406.429 4.660 5 20 HJBD O=C(c1ccccc1)c1ccc(NCc2cccc(N3CCCC3=O)c2)c([N+](=O)[O-])c1 603855586 HVGPJJHLIYLJTR-UHFFFAOYSA-N 415.449 4.565 5 20 HJBD Cc1[nH]c2ccccc2c1C1CCN(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)CC1 603869849 QUUJFBRZENFNKK-UHFFFAOYSA-N 417.469 4.814 5 20 HJBD COc1ccc(C[C@H](NCc2ccc(OC)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 603872777 LHCHPJUQLPCVHT-QFIPXVFZSA-N 422.481 4.694 5 20 HJBD Cc1oncc1C(=O)Nc1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 603936964 XCUYYMFKROQTBR-UHFFFAOYSA-N 422.422 4.611 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 604501404 JKSGTKKUBKWPLV-LBPRGKRZSA-N 417.263 4.600 5 20 HJBD CO[C@H](C)c1nc(CNC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cs1 611211927 UBZFWINRVHWVRD-GFCCVEGCSA-N 421.544 4.723 5 20 HJBD COc1cccc(CC2CCN(C(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)CC2)c1 612217927 JZFKAUWABCIFKN-UHFFFAOYSA-N 422.403 4.717 5 20 HJBD CCOc1ccccc1Nc1nnc(SCC(=O)c2ccc([N+](=O)[O-])cc2)s1 619787768 PTUPGNLEONUCBY-UHFFFAOYSA-N 416.484 4.564 5 20 HJBD CCNc1ccc(C(=O)Nc2ccccc2NC(=O)OC(C)(C)C)cc1[N+](=O)[O-] 730965215 OZHJDVDPMSQDPM-UHFFFAOYSA-N 400.435 4.626 5 20 HJBD Cc1ccc(NCCCNC(=O)Nc2cccc(N3CCCCC3)c2)c([N+](=O)[O-])c1 740442874 FACXJPNTISYGGW-UHFFFAOYSA-N 411.506 4.517 5 20 HJBD O=C(OCC1CCN(c2ccc([N+](=O)[O-])cn2)CC1)c1sccc1-c1ccccc1 750434542 UMTGVKWQZJCWKZ-UHFFFAOYSA-N 423.494 4.792 5 20 HJBD CC(C)N(C)c1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754966543 JIEXYOLUDVXGEQ-UHFFFAOYSA-N 410.243 4.593 5 20 HJBD C[C@H](C(=O)O[C@H](C)C(=O)Nc1cccc2ccccc12)c1ccc([N+](=O)[O-])cc1F 755069346 ZNFCKHQHPHJOCY-UONOGXRCSA-N 410.401 4.561 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1 760027007 HGHQOPFELUTDAY-INIZCTEOSA-N 408.861 4.526 5 20 HJBD O=C1[C@H](SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCCN1Cc1ccccc1 760253984 JVNNGIMAYCGWDQ-HXUWFJFHSA-N 423.494 4.674 5 20 HJBD COc1ccccc1-c1nc(-c2nc(Cc3cccc([N+](=O)[O-])c3C)no2)cs1 762317761 ATPRQJNSCYOAOX-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@@H](C)OC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F 762988264 IJVJAWCPPBENMW-CQSZACIVSA-N 416.449 4.705 5 20 HJBD Cc1cccc([C@H](O)CN(Cc2ccccc2)C(=O)Nc2ccc([N+](=O)[O-])cc2)c1 767841559 UJRMNTCREFCRHB-JOCHJYFZSA-N 405.454 4.671 5 20 HJBD CN(Cc1c(Br)cccc1[N+](=O)[O-])C[C@@H]1CCCO[C@H]1c1ccccc1 769214292 YTXOVSHVPAHGKL-JXFKEZNVSA-N 419.319 4.957 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccccn1 770005122 ZKXCCRVKLIUXPO-IBGZPJMESA-N 412.833 4.563 5 20 HJBD CC(C)(C)OC(=O)Nc1ccccc1Cc1nc(-c2ccc([N+](=O)[O-])s2)no1 771216123 JTKDLLAOTTXJCS-UHFFFAOYSA-N 402.432 4.644 5 20 HJBD Cc1ncc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)s1 771979500 OGLUZYRPRVABLC-UHFFFAOYSA-N 422.304 4.715 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1/C=C(\Cl)c1nc(O)c2ccc(C(F)(F)F)cc2n1 772798371 VBQPQTPVCHQZGB-SDQBBNPISA-N 411.723 4.705 5 20 HJBD Cc1ncc([N+](=O)[O-])n1CC(=O)N1c2ccccc2Sc2ccc(Cl)cc21 776840904 ONWTXWCLYFIYNO-UHFFFAOYSA-N 400.847 4.583 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1CCCCC[C@@H]1/C=C\c1ccccc1 782006828 RGHLMQOTMRMGHO-KTZABMDBSA-N 423.469 4.920 5 20 HJBD O=C(CCCc1ccsc1)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1 783358044 WVPOUMVNMLMMCU-UHFFFAOYSA-N 410.451 4.837 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1ccc(C2CCC2)cc1 792523162 NHPUWNJWQHCDJW-UHFFFAOYSA-N 420.469 4.963 5 20 HJBD O=C(Oc1ccc2c(-c3ccc([N+](=O)[O-])cc3)cc(=O)oc2c1)[C@H]1CCC(F)(F)C1 796524953 SKQUDRKVEFIEHG-ZDUSSCGKSA-N 415.348 4.709 5 20 HJBD C[C@H](OC(=O)c1ccccc1OCc1cn2ccccc2n1)c1cccc([N+](=O)[O-])c1 805601900 MVJGKKDEJDAZNH-INIZCTEOSA-N 417.421 4.740 5 20 HJBD CC(C)(C)Oc1ccc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])nc1 816005211 CZRSVRDLKBQPIO-FQEVSTJZSA-N 407.426 4.509 5 20 HJBD C[C@@H]1CC(=O)c2c(OC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc(F)c21 836706537 LISGFSWQPOGVPU-CQSZACIVSA-N 415.420 4.589 5 20 HJBD CCc1cccc2c(C3CCN(c4cc5c(cc4[N+](=O)[O-])CCC(=O)N5)CC3)c[nH]c12 917522936 JIYJSZWCYWRDNA-UHFFFAOYSA-N 418.497 4.907 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(CCSc3ccc(Br)cc3)o2)c1 1257652812 VGFXWCXUFJCAIY-UHFFFAOYSA-N 406.261 4.742 5 20 HJBD Cc1cc(-c2nnc(-c3ccc([N+](=O)[O-])cc3Br)o2)c2ccccc2n1 1257736799 BVFNNUNDIQUZDJ-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cnn(C2CCCCC2)c1 1320649572 PNDJWDJCRPGDTM-NRFANRHFSA-N 406.442 4.638 5 20 HJBD Cc1oc(-c2ccccc2)nc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17714541 UBFYPBDSXOZLTJ-CYBMUJFWSA-N 420.381 4.526 5 20 HJBD Cc1ccc(C(=O)Nc2ccc(C)c([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1F 31744080 DGNBKTCPBZTVFT-UHFFFAOYSA-N 407.401 4.855 5 20 HJBD O=C(Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1)c1ccc(Cl)cc1[N+](=O)[O-] 47917800 BTIVSAKLYDSVGD-UHFFFAOYSA-N 419.828 4.953 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1NC(=O)c1ccccc1 55466966 WRPZWHMWQSTHDY-INIZCTEOSA-N 403.438 4.647 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1OC[C@@H]1CCCCO1 56349038 DGWRCGIBWYGMHA-WBVHZDCISA-N 416.499 4.662 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1)c1ccc(COc2ccc3ccccc3c2)o1 59785978 GFAOADGGQDDMSR-UHFFFAOYSA-N 402.406 4.850 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3F)c12 71971471 PUAQWBGVRNWTRV-UHFFFAOYSA-N 411.437 4.672 5 20 HJBD CC(C)N(C)c1ccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1F 108719564 IBMWVUMAACIRIZ-GFCCVEGCSA-N 408.861 4.671 5 20 HJBD Cc1cc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n(-c2ccc(F)cc2)n1 215709791 SCFQXQAUVOBGON-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD O=[N+]([O-])c1cc(F)c(Sc2nnc(-c3ccccc3)n2Cc2ccco2)c(F)c1 301757754 AHFHJUWELHWXBN-UHFFFAOYSA-N 414.393 4.924 5 20 HJBD CCn1c([C@@H]2CCCN2C(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)nc2ccccc21 303087393 BXBLTKGEZRZEHS-YWZLYKJASA-N 424.526 4.809 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)C2CCCCC2)c1 427467160 ORBSQJDKMUULKG-UHFFFAOYSA-N 411.458 4.521 5 20 HJBD CC(C)(NC(=O)Cc1csc(-c2ccccc2Cl)n1)c1ccc([N+](=O)[O-])cc1 430527982 LOKMKONRQJENCY-UHFFFAOYSA-N 415.902 4.966 5 20 HJBD COc1cccc(C(=O)Nc2nc(C)c(Cc3ccccc3Cl)s2)c1[N+](=O)[O-] 435548031 AWODZTJATBRJHI-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD O=C(N[C@H]1CCC[C@H](C(F)(F)F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435938056 QJKFDCVNZHPATC-JQWIXIFHSA-N 424.369 4.810 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1cccc(Cl)c1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 437201548 KQJWMQPZDWEIIK-PKOBYXMFSA-N 406.844 4.760 5 20 HJBD CCCN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1)[C@@H](C)c1ccc(Cl)c(Cl)c1 437383276 IAOWUNFJPHMGFX-LBPRGKRZSA-N 424.284 4.840 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CN2CCC[C@@H]2c2ncc[nH]2)cc1 437985136 LBLIWMBPBQRPCD-OAQYLSRUSA-N 414.469 4.508 5 20 HJBD CC(C)CCO[C@@H]1CCCC[C@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 438024439 QRLBJBYVACALIE-DHIUTWEWSA-N 406.526 4.785 5 20 HJBD C[C@@H](c1nc(O)c2c3c(sc2n1)C[C@H](C)CC3)N1CCc2ccc([N+](=O)[O-])cc2C1 438523316 LRZXBVJWJBONQP-OLZOCXBDSA-N 424.526 4.549 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 441212100 SGFNUWHWNVODCY-INIZCTEOSA-N 415.837 4.502 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)Nc2cccc(CCC(F)(F)F)c2)c(Cl)c1 441769083 OCFNTUYALMBTSY-UHFFFAOYSA-N 408.785 4.544 5 20 HJBD Cc1ccc(-c2csc(NC(=O)[C@@H]3SCCc4ccccc43)n2)cc1[N+](=O)[O-] 443842188 PLXPQYNKZNMQRJ-GOSISDBHSA-N 411.508 4.996 5 20 HJBD CC(C)COc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cn1 444035001 WECSCIPWMCMASM-UHFFFAOYSA-N 405.429 4.503 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(Cc3cccs3)o2)c(C(F)(F)F)c1 448097287 NQLZTDLKENPGMO-UHFFFAOYSA-N 401.391 4.941 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])CC[C@H]1c1ccccc1 460646153 CDTBVKQRQYYVLT-RHSMWYFYSA-N 422.403 4.802 5 20 HJBD O=C(N[C@H]1c2ccccc2CC12CCOCC2)c1ccc2[nH]c3ccccc3c(=O)c2c1 461024227 FSIFQKFTGAJMPR-VWLOTQADSA-N 424.500 4.505 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(-n3nc(C)cc3C)nc2)no1 462514674 ALXXWHHDFQTBGP-KRWDZBQOSA-N 418.457 4.579 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCN([C@H](C)c2ccsc2)CC1 463086194 OFCVXBQWSNSBAQ-OAHLLOKOSA-N 419.572 4.676 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Br)cc(C(F)(F)F)c2)c1 464146809 XOLDYORPAZCGAM-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)COc2cccc([N+](=O)[O-])c2)c1 466629476 SPLGYYUKQOUARA-UHFFFAOYSA-N 411.845 4.564 5 20 HJBD C[C@]1(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CCO[C@@H]1C1CC1 466848740 YIWTVLAYIMMPPT-IFMALSPDSA-N 412.511 4.681 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cc2ccccc2o1 468299371 SQMCVYHGECFAAO-CYBMUJFWSA-N 400.456 4.571 5 20 HJBD Cc1nn(C)c(C(=O)Nc2cccc(Sc3ccc(Cl)cc3)c2)c1[N+](=O)[O-] 471491613 LDWBPDRJJUODGN-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD COc1ccc(OC)c([C@@H]2C[C@@H]2C(=O)N(c2cccc([N+](=O)[O-])c2)C2CCCC2)c1 472802105 JAVYGQJCOHHWPD-FPOVZHCZSA-N 410.470 4.691 5 20 HJBD Cc1c(NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cccc1NC(=O)N(C)C 475337272 ZEDYEVFAWZTMAX-UHFFFAOYSA-N 411.487 4.732 5 20 HJBD COc1cc(CN[C@H](c2ccccc2)c2nc3ccccc3n2C)c([N+](=O)[O-])cc1F 481433093 LTOJBIGXSNSOTH-JOCHJYFZSA-N 420.444 4.508 5 20 HJBD CC(C)c1c(NC(=O)Cc2ccc([N+](=O)[O-])cc2F)cnn1-c1ccc(Cl)cc1 482742064 OBQZYOPOXGRZGJ-UHFFFAOYSA-N 416.840 4.878 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)S(=O)(=O)Cc1coc(-c2ccc(Cl)cc2)n1 485290186 RLOGHKJKWYBVLP-ZDUSSCGKSA-N 420.874 4.888 5 20 HJBD O=C(Cn1cc([N+](=O)[O-])c(=O)c2ccccc21)c1ccc(Oc2ccccc2)cc1 487865537 AKIGLOBRKPKALD-UHFFFAOYSA-N 400.390 4.585 5 20 HJBD Cc1ccc(-c2nnc(SCC(=O)Nc3ccc(Cl)cc3[N+](=O)[O-])o2)c(C)c1 488828946 PVVHIARTGAYUKH-UHFFFAOYSA-N 418.862 4.646 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccc(C(F)(F)F)c2)cs1 491431684 GLLCYZSFWGJUDE-UHFFFAOYSA-N 423.372 4.998 5 20 HJBD CC(C)(NCc1cn(-c2cccc(Cl)c2Cl)nn1)c1ccccc1[N+](=O)[O-] 492414096 ABBGNBRXYJXHCQ-UHFFFAOYSA-N 406.273 4.507 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1)c1cccs1 498271378 UNQAODPDVXPAIE-UHFFFAOYSA-N 418.434 4.709 5 20 HJBD COc1cc(C(=O)N[C@@H](C)CC(C)(C)c2ccccc2)c([N+](=O)[O-])cc1OC(F)F 502294914 QNQDUMHTXZPQTD-ZDUSSCGKSA-N 422.428 4.691 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccccc2N2CCCC2)cc1[N+](=O)[O-] 509679483 IXXVOJUBFSDPGN-UHFFFAOYSA-N 404.264 4.518 5 20 HJBD CC(=O)c1ccc(N[C@H]2CCN(Cc3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])c1 511659740 WPGKLFUOXBBUEI-KRWDZBQOSA-N 407.392 4.503 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccc(OC(F)F)cc1 515361870 GZCLSFMZSCMOLP-WDEREUQCSA-N 413.808 4.527 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N(Cc2ccccc2)Cc2ccccc2)c(Cl)c1 515734944 WRORMWLPDVBKHK-UHFFFAOYSA-N 416.886 4.639 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)Nc1ccc([N+](=O)[O-])cc1F 520141907 NWYUJRGPUJXWEF-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD COc1cc(CNCc2ccc([C@@H]3C[C@@H]3C)o2)c([N+](=O)[O-])cc1OCC(F)(F)F 520955640 AIBYRJHPUBJYHE-SMDDNHRTSA-N 414.380 4.551 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCc2c(ccc(Cl)c2Cl)C1 522417201 NGRYEUCXVJYMOE-UHFFFAOYSA-N 420.296 4.700 5 20 HJBD CN(C)c1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Cl)c(C(F)(F)F)c1 522743755 IQQKPELUDOIKHK-UHFFFAOYSA-N 401.772 4.514 5 20 HJBD Cc1nnc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)o1 532104113 MXMPAQQMUHQJOI-SNVBAGLBSA-N 418.862 4.582 5 20 HJBD O=C(NCCc1cscn1)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 532151797 GWWKLKCIEFXHLC-UHFFFAOYSA-N 419.915 4.828 5 20 HJBD Cc1cc(N2CCCC[C@H]2C)ccc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535513104 DVMUYWNYKJQBID-MRXNPFEDSA-N 406.486 4.945 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(F)c(C)c2)C2CC2)cc1SC 536282739 YUXZUSCQBXFPKT-LJQANCHMSA-N 404.463 4.654 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc([C@H]3C[C@H]3c3ccc(F)cc3)n2)c(Br)c1 538313560 MTLFZANTEPKOTQ-GJZGRUSLSA-N 418.222 4.741 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC(C)C 545511641 NMDUPUWDFHXAPZ-UHFFFAOYSA-N 401.463 4.833 5 20 HJBD Cc1c(Cc2noc(-c3ccc(OCc4ccccn4)cc3)n2)cccc1[N+](=O)[O-] 545786077 QOUVVNSOSWOJSS-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD COc1cc(Cc2noc(CCSc3ccccc3Cl)n2)ccc1[N+](=O)[O-] 546494180 FRYSYOYQBAKMRN-UHFFFAOYSA-N 405.863 4.565 5 20 HJBD CCN(CCC1CC1)C(=O)c1ccccc1C(=O)c1ccc(SC)c([N+](=O)[O-])c1 546913604 XTZSUBLTXCEUOH-UHFFFAOYSA-N 412.511 4.810 5 20 HJBD COc1c(NCc2ccc(C(C)C)c([N+](=O)[O-])c2)cc(Cl)cc1C(=O)N(C)C 551228162 RNBWYACTACDSMS-UHFFFAOYSA-N 405.882 4.694 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4c[nH]c5cccc(Cl)c45)n3)c2c1 556308548 PUSYJEJENOTXLA-UHFFFAOYSA-N 407.817 4.775 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2ccccc2[N+](=O)[O-])c(-c2nc(C3CC3)no2)c1 558348231 GGHQOAUAMFIYDU-UHFFFAOYSA-N 421.457 4.537 5 20 HJBD COc1cc(C)c(Br)cc1-c1nc(Cc2ccc([N+](=O)[O-])c(C)c2)no1 575093217 KJTFJSWLVDVKFQ-UHFFFAOYSA-N 418.247 4.624 5 20 HJBD C[C@H](Oc1ccccc1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)C(F)(F)F 584745529 MQNZWPSGHMHXQU-NSHDSACASA-N 408.336 4.587 5 20 HJBD Cc1noc2nc(C3CC3)cc(C(=O)Nc3nc(-c4cccc([N+](=O)[O-])c4)cs3)c12 590781218 NLWRAJXAYHAMFU-UHFFFAOYSA-N 421.438 4.693 5 20 HJBD C[C@@H](Sc1nncc2ccccc12)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 603460492 RFGPOVOYJQGBOQ-SNVBAGLBSA-N 422.388 4.676 5 20 HJBD COc1ccc(CNCc2cn(Cc3ccccc3)c3ccccc23)cc1[N+](=O)[O-] 609122336 LCNFYSYJFNOKTK-UHFFFAOYSA-N 401.466 4.896 5 20 HJBD COc1cc(C(=O)N[C@@H]2CC=CCC2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 609869663 JKIAWXLEQFGTHL-OAHLLOKOSA-N 402.834 4.888 5 20 HJBD O=C(CSCc1ccc(Br)cc1)Nc1ccc(Cl)cc1[N+](=O)[O-] 619608629 AZTNUXAFGXBWSD-UHFFFAOYSA-N 415.696 4.883 5 20 HJBD CC(C)(Cc1ccc(Br)cc1)NC(=S)Nc1ccc([N+](=O)[O-])cc1 727288597 DTFCIMQFSDVOKN-UHFFFAOYSA-N 408.321 4.665 5 20 HJBD CCSc1ccc([C@@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Br)cc1 728835245 LIHBSZWFIFSTOG-LLVKDONJSA-N 409.305 4.960 5 20 HJBD Cc1ccc(-c2nc(NC(=O)c3cc([N+](=O)[O-])ccc3N(C)C)sc2C)s1 728837637 QALHBEWXTLVMSV-UHFFFAOYSA-N 402.501 4.715 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)CCCc1nc(-c2ccc(F)cc2)no1 735802826 HTOFCKCJLUTZOV-UHFFFAOYSA-N 418.812 4.707 5 20 HJBD CCc1ccc([C@H](C)CC(=O)OCC2CCN(c3ncccc3[N+](=O)[O-])CC2)cc1 739173567 RKTMYQOCQMQRTH-QGZVFWFLSA-N 411.502 4.506 5 20 HJBD CCCCc1nc(-c2cccc(OC(=O)Cn3ccc4cc([N+](=O)[O-])ccc43)c2)no1 743638025 UTKPOFYTDHHZIF-UHFFFAOYSA-N 420.425 4.548 5 20 HJBD CC(C)(C)c1ncc(/C=C\C(=O)N2CCS[C@H]2c2ccc([N+](=O)[O-])cc2)s1 744368502 ZBIQRLAEEWSQHV-JJEJIETFSA-N 403.529 4.636 5 20 HJBD C[C@@H](OC(=O)[C@H](C)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745301947 IODCGOBKDCRCPV-VXGBXAGGSA-N 401.806 4.706 5 20 HJBD Cc1ccc(N2CCN([C@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)[C@@H](C)C2)cc1C 747969982 PPAPZLGZCBSSJZ-ZWKOTPCHSA-N 421.501 4.533 5 20 HJBD C[C@H]1CN(C(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])Cc2ccccc21 750803156 HRKZASVYNFMXSW-ZDUSSCGKSA-N 407.392 4.562 5 20 HJBD Cc1ccc(CCCC(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)s1 750974612 RNBKFYZEZVTZDV-ZDUSSCGKSA-N 401.444 4.642 5 20 HJBD COc1c(Cl)cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1Cl 751191479 NRTMEXIRORSMTL-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD O=C(N[C@@H]1CCC[C@H](c2ccccc2)C1)c1cc([N+](=O)[O-])ccc1Br 754664680 YDNMQNBUCBZHQF-LSDHHAIUSA-N 403.276 4.814 5 20 HJBD COc1ccccc1[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccccn1 755760897 WBJILNUTHOWINP-QKKBWIMNSA-N 410.401 4.574 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](CC(=O)O)Cc2ccccc2)cc1[N+](=O)[O-] 756382050 YIJGTNPXXPAUCM-HXUWFJFHSA-N 419.481 4.538 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 756994516 XPFJPEVPCKZWHK-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1cc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)ccc1Cl 759283083 RURXNXHWTKSNGE-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD C[C@@H](C(=O)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1)c1cccc([N+](=O)[O-])c1 761706654 WMYGTYBYSNWTHO-CQSZACIVSA-N 408.385 4.695 5 20 HJBD O=C(CSc1nnc(CCC2CCCCC2)o1)Nc1cc([N+](=O)[O-])ccc1Cl 768356506 SBTJUVBKGYHFHV-UHFFFAOYSA-N 424.910 4.875 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 770006472 BJPJGGDNUIXHCZ-SFHVURJKSA-N 424.284 4.879 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)N1CCC(OCc2ccc(F)cc2)CC1 770017305 BJBSKNAWURHXSL-UHFFFAOYSA-N 407.829 4.600 5 20 HJBD O=C(Cc1coc2cc(F)ccc12)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776137923 OMCRHRIFEXVRQN-JOCHJYFZSA-N 406.369 4.750 5 20 HJBD O=C(N1CC=C(c2c[nH]c3cc(F)ccc23)CC1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778238143 WPKVASIJWNRJKY-UHFFFAOYSA-N 415.371 4.623 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1ncsc1-c1ccccc1 782543009 ZTJVNTBVXKDYMA-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD CO[C@@H]1Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC3CCCC3)cc2C1 784222314 HNMFCUFVYLBNLI-GOSISDBHSA-N 412.511 4.995 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(Cc3ccc(Cl)nc3C(F)(F)F)CC2)cc1 787251916 HREHEVYHOICLNR-UHFFFAOYSA-N 415.799 4.705 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cn1 798676723 TUTONBZTUQUHRK-QMMMGPOBSA-N 408.254 4.945 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(C(F)(F)F)cc1 798784803 GPQPVULISVOPID-NSHDSACASA-N 421.331 4.511 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)s1 813472906 DFHZILFVRDYJRR-UHFFFAOYSA-N 419.503 4.693 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NCc2ccc(Cl)cc2[N+](=O)[O-])C1 864003425 ACWKZQWRBHXTAO-GASCZTMLSA-N 401.894 4.978 5 20 HJBD CN(C)c1ccc([C@H](CNc2ccc([N+](=O)[O-])nc2)c2c[nH]c3ccccc23)cc1 912728987 IHCJLZGHDBEDJN-FQEVSTJZSA-N 401.470 4.781 5 20 HJBD Nc1ccc(C(=O)Nc2ccccc2SC[C@@H]2CC2(Cl)Cl)cc1[N+](=O)[O-] 918641476 HGXFWWSOFSZDOJ-NSHDSACASA-N 412.298 4.715 5 20 HJBD C[C@H](Nc1ccc(C(=O)O[C@H](C)COc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 920124367 LVDFMJQNZYKMSZ-SJORKVTESA-N 421.453 4.787 5 20 HJBD Cc1ccc([C@@H](NC(=O)COc2cc(Cl)ccc2[N+](=O)[O-])c2cccs2)cc1 920993199 WZBJHCSGDPOZBG-HXUWFJFHSA-N 416.886 4.903 5 20 HJBD CC(C)(C)OC(=O)NCc1ccccc1CNc1ccc([N+](=O)[O-])c2cnccc12 1338221585 OBQKBSKGFKIZOX-UHFFFAOYSA-N 408.458 4.780 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CC[C@H]2OCCC[C@H]2C1 1342374773 MXUATTGEFHPLME-QFBILLFUSA-N 404.532 4.518 5 20 HJBD COc1cc2c(cc1OC)[C@H](c1ccccc1)N(Cc1cccc([N+](=O)[O-])c1)CC2 15297296 UZRGBDQDZBNRMY-DEOSSOPVSA-N 404.466 4.760 5 20 HJBD Cc1cc(C)cc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c1 26580906 LNEJEZXKQUWRPL-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD Cc1ccc2nc(COc3ccccc3C(=O)Nc3cccc([N+](=O)[O-])c3C)cn2c1 42391716 IVZOWBSKNUZRDX-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccnc(Oc2ccccc2)c1 46659941 ILSOCEQXUKRVIK-HNNXBMFYSA-N 409.467 4.579 5 20 HJBD Cc1c(NC(=O)N[C@@H](C)c2cccc(OCc3ccccn3)c2)cccc1[N+](=O)[O-] 47346064 RCHZIRXATLZWHG-INIZCTEOSA-N 406.442 4.760 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@@H](C)C2)cc1NC(=O)c1cccc([N+](=O)[O-])c1C 55593160 BXDDFIOBXFLAQE-GASCZTMLSA-N 409.486 4.582 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)NCc2cc3ccccc3[nH]2)cc1 58899816 DQGZDLHLUUJLFU-UHFFFAOYSA-N 417.421 4.807 5 20 HJBD O=C(Nc1ccccc1OC(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 64898051 NRIHTJPFVXLVDY-UHFFFAOYSA-N 409.345 4.869 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1cccc(NC(=O)Nc2ccccc2)c1 105559108 NPZCTQLBGHUROP-UHFFFAOYSA-N 419.441 4.680 5 20 HJBD Cc1c(C(=O)Nc2ccc3[nH]c(Cc4ccccc4F)nc3c2)cccc1[N+](=O)[O-] 109017424 WVRYXSLWYNKMAT-UHFFFAOYSA-N 404.401 4.762 5 20 HJBD O=C(c1cccc(-n2nccc2-c2ccccc2)c1)N1CCc2ccc([N+](=O)[O-])cc21 109037828 XMODRTUNRLOCSX-UHFFFAOYSA-N 410.433 4.650 5 20 HJBD CC[C@H](C)Sc1ccc(NC(=O)CCn2cc([N+](=O)[O-])cn2)c(C(F)(F)F)c1 109735234 GZSDHOUEWXFPPV-NSHDSACASA-N 416.425 4.730 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNC3(c4cccc(F)c4)CCOCC3)cs2)c1 237751052 OEKNOMPZZGKDNN-UHFFFAOYSA-N 413.474 4.653 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(c2ccc([N+](=O)[O-])c3cnccc23)CC1 301289423 PPYCDSKWWZVLGU-UHFFFAOYSA-N 415.371 4.660 5 20 HJBD CCc1ccc([C@@H](NCCc2nnc(C(C)C)s2)c2ccc([N+](=O)[O-])cc2)o1 426306926 MRWDVHBPXGCSBO-IBGZPJMESA-N 400.504 4.647 5 20 HJBD O=C(O)[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1cccc(Cl)c1 426687416 KDXMRIXBCYEOIL-INIZCTEOSA-N 414.845 4.541 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](Cc1nc(C)no1)c1ccccc1 429462659 RLWGKZNSMVYFKK-QZTJIDSGSA-N 412.515 4.731 5 20 HJBD CCOC(=O)c1cnc(/C(C)=C\c2ccc(Oc3cccc([N+](=O)[O-])c3)cc2)nc1O 432707639 NUPKAWXKZYYCSY-KAMYIIQDSA-N 421.409 4.620 5 20 HJBD CN(C)CCc1ccccc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 438568754 QNOSMRANDWEVLD-UHFFFAOYSA-N 418.497 4.563 5 20 HJBD CCN(C(=O)Cc1cccc([N+](=O)[O-])c1C)[C@H](c1cccnc1)c1ccc(F)c(C)c1 439082320 BXIVGLYINZUXQT-DEOSSOPVSA-N 421.472 4.926 5 20 HJBD O=C(Nc1cccc(CCC(F)(F)F)c1)c1ccn(-c2ccc([N+](=O)[O-])cc2)n1 440694462 QTMZYAIDUXXQOI-UHFFFAOYSA-N 404.348 4.528 5 20 HJBD O=C(N[C@@H]1C[C@@H]1c1c(F)cccc1Cl)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444078492 WMDHIJUWSXLXDJ-KBXCAEBGSA-N 424.834 4.899 5 20 HJBD C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444104771 ZBNNAYAVPUMRPO-ZBFHGGJFSA-N 418.443 4.978 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2C)CC1 446486191 TVCRRPHOURMMEQ-UHFFFAOYSA-N 407.474 4.684 5 20 HJBD CCCCCn1cc(CNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])c(C)n1 447679573 DPWMADLWTCSJAC-UHFFFAOYSA-N 421.370 4.951 5 20 HJBD CC(C)N(C(=O)c1cc(Br)cc([N+](=O)[O-])c1)c1cnc2ccccc2c1 448459490 NXAWVASIIRTAND-UHFFFAOYSA-N 414.259 4.961 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)C1 461306212 ZKHMWLJHTXMACF-MZNJEOGPSA-N 414.480 4.904 5 20 HJBD COc1cc(C)c(Br)cc1NC(=O)c1c(C)cc(C)c([N+](=O)[O-])c1C 462593835 ONLKBFNSYSPNHX-UHFFFAOYSA-N 407.264 4.852 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2NCc2ccc(Cl)cc2)c1[N+](=O)[O-] 462844462 JNKOBLUAVLCYDP-UHFFFAOYSA-N 413.865 4.959 5 20 HJBD CCC(CC)(CNC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1 464694048 WHUCPNLVCOIWNR-UHFFFAOYSA-N 409.530 4.685 5 20 HJBD COc1cc([C@@H](C)NC(=O)NCc2ccc([N+](=O)[O-])cc2Cl)ccc1OC(C)C 466578809 UPXYOYAHCOQPAP-CYBMUJFWSA-N 421.881 4.604 5 20 HJBD COC(=O)c1ccc(C(C)C)c(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1 468200855 VJXVYUNEVYLSCF-UHFFFAOYSA-N 421.247 4.520 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Cl)cc2Br)c1 478942546 IGMIPLZEDNWHOT-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)C(=O)c1cc(OC)c(C)c([N+](=O)[O-])c1 479793340 PWVPXZNSQXOIBM-UHFFFAOYSA-N 405.454 4.636 5 20 HJBD CCc1c(NC(=O)c2cc(OC)c(C)c([N+](=O)[O-])c2)cnn1-c1ccc(Cl)cc1 482720751 JRDYREYRFFMYRW-UHFFFAOYSA-N 414.849 4.566 5 20 HJBD CCOc1ccc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])cc1F 485762550 JJLBYVRVMRQPRO-UHFFFAOYSA-N 410.401 4.964 5 20 HJBD CCc1nc(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)sc1C 486012860 DARFCFLPHNEHMQ-UHFFFAOYSA-N 407.561 4.643 5 20 HJBD O=C(NCCC(F)(F)F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 490268119 CIHROJVJCCKPSB-UHFFFAOYSA-N 401.772 4.543 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1OC(F)F)N1CCC[C@@H](c2ccc(F)cc2)CC1 490707789 GWTQPJIAZFLVDH-CYBMUJFWSA-N 408.376 4.745 5 20 HJBD C[C@H](NC(=O)c1cn(C)nc1-c1ccc([N+](=O)[O-])cc1)c1cc(Cl)ccc1Cl 494771141 DXQJSYUERNCKLF-NSHDSACASA-N 419.268 4.793 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@@H](c2ccccc2Cl)C(C)C)cc1[N+](=O)[O-] 502816578 ZCHUDKZVMNRUSQ-LJQANCHMSA-N 405.882 4.796 5 20 HJBD CCOc1ccc([C@@H](C)NC[C@H]2CCCN(Cc3cccs3)C2)cc1[N+](=O)[O-] 504893065 BFIXALKHCMHNQR-IAGOWNOFSA-N 403.548 4.618 5 20 HJBD C[C@@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2)c1ccccc1Oc1ccccc1 506201690 WJCVEBCXHNHQAQ-OAHLLOKOSA-N 420.421 4.649 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CC2)cc1 509626229 QEHBKEVMDYEWGQ-UHFFFAOYSA-N 421.497 4.523 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1CCSc2c(F)cccc21 509813474 GTTQIKJKTHOOID-GOSISDBHSA-N 403.479 4.891 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)C1(c2cccc(Br)c2)CCCC1 517669213 NRPBRMLJCDOIGB-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD O=C(NC[C@H]1CCOc2ccccc21)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 518705944 IRJLXBBFDUYXEP-LJQANCHMSA-N 417.465 4.503 5 20 HJBD Cc1cc(F)cc2c1N(C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])CCC2 518730132 RVEIIMFHQRYTOK-UHFFFAOYSA-N 412.339 4.576 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522746729 QGHXJZBSQBVZIE-FQEVSTJZSA-N 424.888 4.860 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccn(-c2cccc(C(F)(F)F)c2)n1 523103580 WWNOLQBTIGQPIT-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(=O)c1cc(C)ccc1[N+](=O)[O-] 525629545 DSDGWAKBVUZIJF-UHFFFAOYSA-N 424.284 4.701 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCN(Cc2ccccc2)c2ccccc21 530577918 KNVUHTAIIMHLFM-UHFFFAOYSA-N 405.429 4.791 5 20 HJBD COc1ccc(CN[C@@H](C)c2ncc(C)s2)cc1OCc1ccc([N+](=O)[O-])cc1 531170751 YIANEDHATKGJFH-HNNXBMFYSA-N 413.499 4.798 5 20 HJBD COCCC1(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCC1 531609461 LXESPEOZFLPULQ-UHFFFAOYSA-N 420.513 4.744 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 536245343 SBLSNJGKJHCVJA-UHFFFAOYSA-N 402.248 4.637 5 20 HJBD CN(C(=O)c1cc(F)c(Cl)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537146058 NGRWACQAJFOHLE-UHFFFAOYSA-N 409.801 4.927 5 20 HJBD Cc1c(C[S@@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C 537150918 ZANHNVCGRPBUFM-HHHXNRCGSA-N 406.529 4.535 5 20 HJBD CC[C@H](Oc1cccc(C)c1)C(=O)N(C)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151678 ABRCKRPPAFYGEN-IBGZPJMESA-N 411.483 4.847 5 20 HJBD C[C@H](NC(=O)N1CCC[C@@H](Oc2ccccc2Cl)C1)c1ccccc1[N+](=O)[O-] 538144857 LBTOREURRZZEPH-LSDHHAIUSA-N 403.866 4.562 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccccc2Cl)C1 539373873 WTKPTOGVNJOHBM-SFHVURJKSA-N 420.918 4.962 5 20 HJBD CC(=O)c1oc2ccccc2c1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)c([N+](=O)[O-])c1 539377694 PGLQOONQJYDHEZ-UONOGXRCSA-N 409.442 4.530 5 20 HJBD Cc1cc(NC(=O)CCCc2nc(-c3ccc(Cl)cc3)no2)ccc1[N+](=O)[O-] 539651283 YBRRTELCIXIKHO-UHFFFAOYSA-N 400.822 4.568 5 20 HJBD C[C@@H](C(=O)NCc1ccc(CN2CCCCCC2)cc1)c1ccc([N+](=O)[O-])cc1F 543552960 JKIYJGOOIJOCHH-QGZVFWFLSA-N 413.493 4.530 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(C(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1)[C@H]2C 543792088 IEYRHCUAIXFCMB-SOUVJXGZSA-N 400.500 4.618 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 543922899 WVMVKZYRADMEEO-QGZVFWFLSA-N 422.403 4.753 5 20 HJBD CCOc1cc(NC(=O)c2ccc(F)c(Cl)c2F)c([N+](=O)[O-])cc1OCC 544816706 YVGFNJJYFDVOMT-UHFFFAOYSA-N 400.765 4.576 5 20 HJBD O=C(Nc1ccccc1-c1noc(-c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)n1)C1CC1 546287800 OKEMUTXFNUIBDI-UHFFFAOYSA-N 418.331 4.679 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNc1ccc2c(c1)N(CC(F)F)C(=O)CS2 551269361 NIYHMEZZYMQOJR-NTUHNPAUSA-N 419.453 4.814 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc(OC(F)F)cc1F 551675492 FHIICQBRZYROLR-UHFFFAOYSA-N 419.153 4.659 5 20 HJBD O=C(c1ccc(C(F)(F)F)cc1[N+](=O)[O-])N1CC[C@H](Oc2ccc(Cl)cc2)C1 563562806 ZGBYDJSHKUMRAH-AWEZNQCLSA-N 414.767 4.561 5 20 HJBD CC(C)(C)c1nc(Cc2noc(C(C)(C)c3ccc([N+](=O)[O-])cc3F)n2)cs1 575051233 JKGVCBNXTQBJFG-UHFFFAOYSA-N 404.467 4.788 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)NCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)cc1F 590174669 CTEWCZPYVDSCMA-LLVKDONJSA-N 424.354 4.962 5 20 HJBD CCCOc1cc(NC(=O)c2cncc3ccccc23)c([N+](=O)[O-])cc1OCCC 603952081 XZAPFWCQPNEARG-UHFFFAOYSA-N 409.442 4.973 5 20 HJBD CC(C)[C@@H](Oc1ccccc1Br)C(=O)Nc1ccc([N+](=O)[O-])cc1F 608870909 MYSCPXYZENYDQB-MRXNPFEDSA-N 411.227 4.539 5 20 HJBD COc1ccc(CC(=O)N[C@H](c2ccc(Cl)cc2)c2cccs2)cc1[N+](=O)[O-] 609011448 ZWKQHCQTQBEJAR-HXUWFJFHSA-N 416.886 4.767 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC(c2nc(-c3ccccc3)cs2)CC1 609521825 PCEWHQABKWHHTI-UHFFFAOYSA-N 411.458 4.877 5 20 HJBD O=C(N[C@@H](Cc1ccccc1)c1cccs1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 609726479 LLUWLIBCNAMDPP-IBGZPJMESA-N 421.522 4.970 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccnn1[C@@H]1CCCc2ccccc21 609784799 CODSGBAXNQFSNP-LJQANCHMSA-N 410.861 4.552 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1CCc2sc(Br)cc2C1 610936254 ZAFRENAZLRRSRB-UHFFFAOYSA-N 410.293 4.626 5 20 HJBD C[C@@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)N1CCCCC1 726607085 OUMOQLIUVXKNSG-AWEZNQCLSA-N 422.323 4.581 5 20 HJBD COc1ccc(CN(C(=O)c2ccc([N+](=O)[O-])cc2)c2ccc3nc(C)[nH]c3c2)cc1 726878144 DZGGUOLKBBBYIR-UHFFFAOYSA-N 416.437 4.635 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cn1 727472247 ZPUQSRIRXFEEGJ-UHFFFAOYSA-N 407.814 4.634 5 20 HJBD CC(C)(NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc(F)cc1 728500941 ZZXVWMIJSYOQCQ-UHFFFAOYSA-N 416.396 4.896 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)OCc2cc([N+](=O)[O-])ccc2Cl)cc1 734780576 LKQQLETVMVXKTR-UHFFFAOYSA-N 420.849 4.881 5 20 HJBD Cc1cc(O[C@@H](C)C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)ccc1Cl 735442104 AJFIGEYPWSPADM-ZDUSSCGKSA-N 416.817 4.722 5 20 HJBD O=C(OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1)c1csc(-c2ccccc2)n1 735444649 ZUTKZANHNLOYBP-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD C[C@H](c1nc2ccccc2s1)N1CCN(Cc2cc([N+](=O)[O-])ccc2Cl)CC1 735492634 PSMKPUFWIODMCX-CQSZACIVSA-N 416.934 4.737 5 20 HJBD CCc1ocnc1C(=O)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 739490175 SRXBCHHEBHLPRK-UHFFFAOYSA-N 401.806 4.630 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CNC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c1 749643527 CIQNWGIMUSAJEE-QPPBQGQZSA-N 401.466 4.531 5 20 HJBD Cc1ccc(CNC(=O)c2ccccc2NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 750170977 AIWTZWVGXUVKQP-UHFFFAOYSA-N 423.856 4.739 5 20 HJBD C[C@H](C(=O)Nc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 754232691 BDSCBMRBKSKUIU-LBPRGKRZSA-N 403.410 4.823 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N1CCCCC[C@@H]1C[C@H](O)c1ccccc1 759457115 FRIKRCAUVCKZOT-XXBNENTESA-N 421.497 4.514 5 20 HJBD O=C(CCSc1ccc(F)cc1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760477570 NHOYOFYYXCFOTJ-UHFFFAOYSA-N 402.403 4.615 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(-n2cnc3ccccc32)nc1 764187744 NPPLAPOCUBYQNE-UHFFFAOYSA-N 419.466 4.693 5 20 HJBD CC(C)(C)c1ncc(COC(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 774533884 VGSUFQYTMPIVRA-UHFFFAOYSA-N 406.507 4.652 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)OC(=O)CCc1nnc(-c2ccc(Cl)cc2)o1 778821108 XOZPYMCKIJFXOI-ZDUSSCGKSA-N 415.833 4.844 5 20 HJBD O=C(OCc1cccc(SC(F)(F)F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 781243137 HYNIDSOQTLIOIP-UHFFFAOYSA-N 424.356 4.818 5 20 HJBD Cc1ccc(C[C@H]2CCCN2C(=O)c2cccc([N+](=O)[O-])c2Br)cc1 782122258 FXBLPVAXQIBFBE-OAHLLOKOSA-N 403.276 4.513 5 20 HJBD CCCN(C(=O)c1ccc([N+](=O)[O-])c(Cl)c1)[C@@H](C)CC(=O)Nc1ccccc1 800240212 XGOIFSUWLQXQHQ-AWEZNQCLSA-N 403.866 4.518 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cc(-c2ccco2)nc2ccccc12 801089314 JGWQNCHMORLPJO-UHFFFAOYSA-N 401.422 4.718 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)N(C)C(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1 801912420 QHLGIWJNMMKHDB-AWEZNQCLSA-N 423.444 4.825 5 20 HJBD C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nccn1-c1ccccc1 803072604 VCMJDUUNXFNENQ-SFHVURJKSA-N 423.472 4.645 5 20 HJBD Cc1nc([C@@H](C)NC(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)n[nH]1 804814385 TVCDFFQUMSIZNK-CQSZACIVSA-N 410.477 4.851 5 20 HJBD CNC(=O)Oc1cccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])c1 817022476 OJFHLZIKHJQRNY-UHFFFAOYSA-N 423.450 4.717 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CNc1cccc(OCC(F)(F)F)c1 864028979 RHTWFVRZJFUXNZ-UHFFFAOYSA-N 405.170 4.911 5 20 HJBD CCN(C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)[C@H](C)c1cccc(C(F)(F)F)c1 915115564 LUYWTLVLRXASGW-CYBMUJFWSA-N 420.391 4.573 5 20 HJBD CCOc1ccc(-c2nc(CC(=O)OCc3cc(F)ccc3[N+](=O)[O-])cs2)cc1 919067571 JPDZSGLLFJYMFQ-UHFFFAOYSA-N 416.430 4.542 5 20 HJBD CCCOc1ncccc1CNCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1318366823 FZAHXHKLSYJMCY-UHFFFAOYSA-N 401.850 4.982 5 20 HJBD O=C(O[C@@H]1CCCC[C@H]1C(F)(F)F)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 1343428589 GARIMCJUCDUIRX-TZMCWYRMSA-N 400.378 4.996 5 20 HJBD C[C@@H](Sc1ncc(-c2ccccc2)n1C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 5186021 DVCIXBSMSIWHGP-CYBMUJFWSA-N 407.455 4.899 5 20 HJBD Cc1nc(SCC(=O)Nc2cc([N+](=O)[O-])ccc2Cl)c2c(C)c(C)sc2n1 9812425 KPBUJGCELVAFEM-UHFFFAOYSA-N 422.919 4.909 5 20 HJBD COc1ccc(OC(=O)COc2cc(Cl)c(Cl)cc2Cl)c([N+](=O)[O-])c1 14785573 OTFNGCHXGXDLGL-UHFFFAOYSA-N 406.605 4.548 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)SC2=Nc3ccccc3CS2)c([N+](=O)[O-])c1 22022471 LKSXEVCCTRRKLY-LLVKDONJSA-N 403.485 4.598 5 20 HJBD Cc1cccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])c(O)c3)s2)c1Cl 26039818 IZHSTVPKSSUGII-UHFFFAOYSA-N 403.847 4.562 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])N[C@@H](c1ccc(Cl)cc1)c1cccs1 41135737 LKOWFIYCHWEYJW-IBGZPJMESA-N 402.859 4.594 5 20 HJBD C[C@@H](Cc1ccsc1)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 54140687 MEBUTAKHLBSGLF-LSDHHAIUSA-N 410.499 4.585 5 20 HJBD COc1ccc(C)cc1NC(=O)[C@H](N[C@@H](C)c1cccc([N+](=O)[O-])c1)c1ccccc1 56197343 HTQGZYNZTKAHDZ-GAJHUEQPSA-N 419.481 4.942 5 20 HJBD Cc1sc2nc(SCc3c(F)cccc3[N+](=O)[O-])n(C(C)C)c(=O)c2c1C 78412220 PMJCBSBHKKALCA-UHFFFAOYSA-N 407.492 4.995 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(CCc1ccc(F)cc1)C1CCCC1 97263313 NIHXGSXWSRJMBA-UHFFFAOYSA-N 422.460 4.547 5 20 HJBD O=C(Nc1ccn(-c2cccc(C(F)(F)F)c2)n1)c1cc(Cl)ccc1[N+](=O)[O-] 112989608 GKTWKBKRDWZWNJ-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CN(Cc1csc(Br)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 140717214 NVBCZWMYBJLTMX-UHFFFAOYSA-N 404.673 4.736 5 20 HJBD CC(C)Sc1ccc([C@@H](C)Nc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1 301939427 SYTVBJTZUTUVIW-LLVKDONJSA-N 400.547 4.733 5 20 HJBD CC(C)S(=O)(=O)Cc1cccc(NC(=O)c2cc3ccccc3c3cccnc23)c1 303438031 GTKQCZYQBODUAV-UHFFFAOYSA-N 418.518 4.964 5 20 HJBD O=C(O)[C@@H](CCNCc1ccc(-c2ccccc2[N+](=O)[O-])s1)Cc1ccccc1 426642067 DZRGLHHYNIGINA-KRWDZBQOSA-N 410.495 4.747 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(F)c2)C(C)(C)C)cc1SC 427885394 LVXYVULOLBKQJA-SFHVURJKSA-N 406.479 4.982 5 20 HJBD COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 430227884 QMFHTTUMRHIGDK-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD O=C(c1cc(-c2ccc3c(c2)CCO3)no1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 434149053 XKSABMVRZGUSKA-UHFFFAOYSA-N 419.437 4.774 5 20 HJBD COCc1c(C(=O)Nc2ccc3cc([N+](=O)[O-])ccc3n2)sc2cccc(F)c12 440620511 DIVTUCZKHKCLGG-UHFFFAOYSA-N 411.414 4.896 5 20 HJBD CC(=O)c1ccc(OCc2nnc(-c3c(Cl)cccc3Cl)o2)c([N+](=O)[O-])c1 440814992 GIAJHAVFKLVISF-UHFFFAOYSA-N 408.197 4.733 5 20 HJBD CC[C@@H](NC(=O)N[C@H](C)c1ccc(Br)s1)c1cccc([N+](=O)[O-])c1 443313254 ITMFJGATRYKRPM-ZWNOBZJWSA-N 412.309 4.930 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](c3ccc(Br)cc3)C3CCC3)[nH]c2c1 444671004 HJKHUAZAJRNWMA-IBGZPJMESA-N 415.291 4.865 5 20 HJBD O=C(Cc1noc(/C=C/c2cccc([N+](=O)[O-])c2)n1)Nc1ccc(Cl)cc1Cl 445370971 JYNAGRBDIJVSAT-QPJJXVBHSA-N 419.224 4.636 5 20 HJBD Cc1ncc(-c2ccc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Br)cc2)o1 445521718 XOYVFZFOANXXAD-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD CCOc1ccc([C@@H](C)NC(=O)CCCNc2ccc([N+](=O)[O-])c3cccnc23)cc1 446843182 KPMLOVNCTNWENV-MRXNPFEDSA-N 422.485 4.611 5 20 HJBD C[C@@H](Nc1cc(Br)cc(C(=O)N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 448030257 RYQFGLSAAHHTPN-CYBMUJFWSA-N 418.291 4.766 5 20 HJBD O=C(C[C@@H](c1ccccc1)C(F)(F)F)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 460357352 RZEXOWWZCUUIFG-FUHWJXTLSA-N 410.417 4.905 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CCSc3ncccc32)cc1 460366458 ZSIPFZKUGCAIDN-UHFFFAOYSA-N 423.450 4.543 5 20 HJBD COc1cc(N2CCO[C@H](c3cc(F)c(Cl)cc3Cl)C2)ccc1[N+](=O)[O-] 462829110 XAPYXMNZOFUSPN-KRWDZBQOSA-N 401.221 4.627 5 20 HJBD COC(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccc(-c3ccccc3)cc2)cc([N+](=O)[O-])c1 464365077 KKADZODUDJSOFU-INIZCTEOSA-N 418.449 4.882 5 20 HJBD Cc1cc(Br)cc(Cl)c1C(=O)NCc1ccc([N+](=O)[O-])cc1Cl 466291467 WMLSVRPOKFWMLN-UHFFFAOYSA-N 418.074 4.903 5 20 HJBD Cc1ccc([C@@H](OC[C@@H](O)COc2c(F)cccc2[N+](=O)[O-])c2ccccc2)cc1 466998821 RURGDWXCVDUCPH-KNQAVFIVSA-N 411.429 4.588 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1S(C)(=O)=O)c1cc(F)c(Cl)cc1Cl 468308711 LPGXKFSEKBGMOG-MRVPVSSYSA-N 407.250 4.617 5 20 HJBD CCOc1cc(C(=O)N[C@H]2CCCC[C@H]2Cc2ccccc2)c([N+](=O)[O-])cc1OC 476744907 XTGSFIRCACANNH-HKUYNNGSSA-N 412.486 4.533 5 20 HJBD O=C(N[C@H]1CC[C@@H](c2ccccc2F)C1)c1ccc([N+](=O)[O-])cc1Br 482903127 PJZTXGMZDKMALQ-NEPJUHHUSA-N 407.239 4.563 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@@H](c2cccc(F)c2)C1 485792820 XEXRNULBOOFNTO-LJQANCHMSA-N 418.490 4.696 5 20 HJBD CCOc1cc(C(=O)Nc2cc(Cl)c(Cl)cc2OC)c([N+](=O)[O-])cc1OC 485925061 RBVGFFBGEAWHCQ-UHFFFAOYSA-N 415.229 4.570 5 20 HJBD C[C@H](NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)cc1 487920125 RZJYZZGKTFWIQJ-ZDUSSCGKSA-N 418.291 4.793 5 20 HJBD O=C1Nc2cc(Cl)ccc2[C@@H]1C1CCN(Cc2c(Cl)cccc2[N+](=O)[O-])CC1 493896594 HNZSFOLCLSELLD-IBGZPJMESA-N 420.296 4.850 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)c1ccc(C(=O)c2nccn2C)cc1[N+](=O)[O-] 498838665 PKDKULLAIWRMPD-CQSZACIVSA-N 404.426 4.503 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCCC23CCOCC3)ccc1Oc1ccc(F)cc1 500426075 YOVFCPMCEQXJTP-OAQYLSRUSA-N 400.450 4.965 5 20 HJBD C[C@@H]1CCC[C@@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1cccc([N+](=O)[O-])c1 508291537 YXFMWTIEEDFZQG-ZIAGYGMSSA-N 415.877 4.904 5 20 HJBD COc1ccc(CNc2ccc3oc(O)nc3c2)cc1OCc1ccc([N+](=O)[O-])cc1 512959574 BFTCSRVMSYDSFU-UHFFFAOYSA-N 421.409 4.641 5 20 HJBD O=C(Nc1ccc([C@@H]2CCOC2)cc1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 517049884 HESUXCIAGGGSAQ-CQSZACIVSA-N 420.415 4.716 5 20 HJBD CSc1cccc(C(=O)Nc2ccccc2O[C@H](C)C(F)(F)F)c1[N+](=O)[O-] 521110983 ITASSLIZYIYHAE-SNVBAGLBSA-N 400.378 4.899 5 20 HJBD Cc1cccc([N+](=O)[O-])c1S(=O)(=O)N(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 521773243 RZNXGQBEFNSHSA-NSHDSACASA-N 403.287 4.592 5 20 HJBD Cc1cccc(OC(F)F)c1NC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 523958391 VOEKVNVCCMPFJI-UHFFFAOYSA-N 400.765 4.566 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)C(=O)NCc1ccc(F)cc1 524225089 VXHNNFWWFUJZAI-OAHLLOKOSA-N 409.417 4.643 5 20 HJBD C[C@H](N[C@H]1CCN(c2ncccc2F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 531992137 HROFSKKSRDPDHC-GJZGRUSLSA-N 412.490 4.787 5 20 HJBD CN(CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)Cc1ccccc1Br 533224039 SNUBGVMRGCRVCV-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD COCCn1ccc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)cc21 540417549 GONCRFLOLKOWOJ-UHFFFAOYSA-N 413.499 4.949 5 20 HJBD Cc1c(NC(=O)N2CCO[C@H](c3ccc(C(F)(F)F)cc3)C2)cccc1[N+](=O)[O-] 541129104 HPISGNPGJDUZPW-KRWDZBQOSA-N 409.364 4.527 5 20 HJBD CS[C@@H](CNC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1)C(C)(C)C 541279697 ZGNZGDGNVDVGJK-KUHUBIRLSA-N 416.547 4.670 5 20 HJBD COc1cc(CN[C@H](C)c2cc(C)sc2C)c([N+](=O)[O-])cc1OCC(F)F 542509229 QIQSAAQMXKXMRZ-LLVKDONJSA-N 400.447 4.776 5 20 HJBD C[S@@](=O)c1cccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)c1 543481751 ZKCIDKAZDFLXLU-MUUNZHRXSA-N 418.540 4.867 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)c1noc(/C=C\c2cccc([N+](=O)[O-])c2)n1 545809336 FBTLPWZSDOUQGX-VTGFLYEISA-N 416.231 4.647 5 20 HJBD COCC[C@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Cl)c(Cl)c1 551722062 UANFQLOBDUJBSS-HNNXBMFYSA-N 409.273 4.645 5 20 HJBD CC(C)n1cc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)cn1 555955803 JWRDUIJOWCNVMI-UHFFFAOYSA-N 413.865 4.890 5 20 HJBD Cc1c(Cl)cccc1N1CCCN(C(=O)c2cc3cc([N+](=O)[O-])ccc3o2)CC1 560131686 LMVDSJIDEWAIFA-UHFFFAOYSA-N 413.861 4.655 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@H](Oc2ccc(Cl)cc2)C1 563983620 RFOBHHKTQNXKOJ-SFHVURJKSA-N 418.880 4.628 5 20 HJBD COc1cccnc1N(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 578937804 MMDHHNBUTUKLQL-UHFFFAOYSA-N 401.488 4.557 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)NC(C)(C)c2ccccc2[N+](=O)[O-])c1 579988027 RFEZMPNGESQYAN-UHFFFAOYSA-N 411.502 4.522 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@@H](c1ccc(C)cc1)c1cccnc1 609577319 RUZMSJGIXJWRSR-QFIPXVFZSA-N 404.470 4.592 5 20 HJBD Cc1nn(C)c2sc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc12 609761348 CPWRGPRNYMAMFZ-UHFFFAOYSA-N 408.439 4.896 5 20 HJBD COc1ccc([C@H](NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)c2ccccc2)cc1 611195218 WCSRUXSVPOWJRT-JOCHJYFZSA-N 401.422 4.604 5 20 HJBD COc1cc(COc2ccc(Cl)c3cccnc23)c([N+](=O)[O-])cc1OC(F)F 619263219 NDSOWJLJQFWCOX-UHFFFAOYSA-N 410.760 4.985 5 20 HJBD Cc1ccc(C)c(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)c1 727586211 PSYYXJCHGVZUCF-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc(C2CC2)n(-c2ccccc2Cl)n1 727711559 KGGNBHNSVHMFTK-UHFFFAOYSA-N 418.240 4.612 5 20 HJBD O=C(CCCc1nc(-c2ccc(Cl)cc2)no1)OCc1c(F)cccc1[N+](=O)[O-] 728993055 BUKJACALAQQZAL-UHFFFAOYSA-N 419.796 4.503 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)nn2)cc1)c1cc(Cl)ccc1[N+](=O)[O-] 733244874 BUNQZNUKLWMXCC-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cc(F)c(F)c(F)c2)c(-c2cccs2)c1 742271216 JPXGUFYZYYWCCR-UHFFFAOYSA-N 415.370 4.508 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 743789212 KSANVYGLYYERBB-UHFFFAOYSA-N 421.409 4.505 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745070683 WPSPUUVOHLVUJE-WMLDXEAASA-N 418.877 4.633 5 20 HJBD Cc1nc(SCC(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])c2c(C)c(C)sc2n1 749056216 NDEGIHYZIHLATJ-NSHDSACASA-N 417.512 4.921 5 20 HJBD CSc1ccc(Cl)cc1NC(=O)c1cccc([N+](=O)[O-])c1Br 758150832 HTFXCIZFTMAMDY-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD Cc1noc(C)c1-c1ccc(OC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cc1 759913378 XWRCFRAMEFISTO-UHFFFAOYSA-N 404.325 4.687 5 20 HJBD Cc1ccc(-c2noc(-c3ccc(NC(=O)OC(C)(C)C)cc3O)n2)cc1[N+](=O)[O-] 761638806 MVJRFRBNEGBDLX-UHFFFAOYSA-N 412.402 4.673 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@@H](C)C[C@H](O)c2ccco2)cc1[N+](=O)[O-] 761876816 ZDSHQKDGZRHQBY-YOEHRIQHSA-N 406.504 4.568 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)c1ccc(O[C@@H](C)c3ccccc3)nc1)CC2 773989381 MCFALKCCUDZIBB-INIZCTEOSA-N 403.438 4.641 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)OCc1ccc([N+](=O)[O-])cc1Cl 778093004 IQYRHLOAPLSDFO-UHFFFAOYSA-N 404.781 4.718 5 20 HJBD O=[N+]([O-])c1ccc2nc(N[C@H](CCO)c3ccccc3Br)sc2c1 781679010 VYWJGDBQEWZUAS-CYBMUJFWSA-N 408.277 4.503 5 20 HJBD C[C@@H](c1ccccc1Br)N(C)CCC(=O)Nc1cc([N+](=O)[O-])ccc1F 782479673 FIAGODIVQFEDHM-LBPRGKRZSA-N 424.270 4.518 5 20 HJBD C[C@@H](NC(=O)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1)c1cccc([N+](=O)[O-])c1 784221279 DLHJQKRYLOBNFS-CZUORRHYSA-N 407.392 4.874 5 20 HJBD COc1ccc(C(=O)CCCCCC(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1 789843475 JNFCHIMUBWMGLB-UHFFFAOYSA-N 410.470 4.634 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1Oc1cc(F)cc(F)c1 791392732 YTUWKUTYKXYXAG-UHFFFAOYSA-N 403.316 4.639 5 20 HJBD Cc1ccc([C@H](NC(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c2ccccn2)cc1 792130174 TUUGQVLPDQNPRN-FQEVSTJZSA-N 410.861 4.540 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(-n2cnc3ccccc32)cc1 798542794 HRODSADLFXINIV-UHFFFAOYSA-N 402.410 4.585 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1cccc(Br)c1Cl 801754300 CSKQTIJFBZYZLC-UHFFFAOYSA-N 422.037 4.984 5 20 HJBD Cc1c(Cc2noc([C@H]3C[C@H](C)CCN3C(=O)OC(C)(C)C)n2)cccc1[N+](=O)[O-] 809435814 PUVOIXYYZUAAQE-CXAGYDPISA-N 416.478 4.585 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 810319024 VHHMMTSWEVXYAB-LLVKDONJSA-N 415.837 4.743 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 912697777 JZISUZHVWNTFMC-UHFFFAOYSA-N 417.381 4.607 5 20 HJBD Nc1c(-c2nnc(-c3cn(-c4ccccc4)nc3-c3ccccc3)o2)cccc1[N+](=O)[O-] 1117182658 BWWCKLLOVZUFFM-UHFFFAOYSA-N 424.420 4.747 5 20 HJBD Cc1cc(-c2noc(CCc3nc(-c4cc(C)c([N+](=O)[O-])cc4C)no3)n2)ccc1F 1254859543 JNGVRCMUEFQZRA-UHFFFAOYSA-N 423.404 4.544 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)o1 1324425954 XUCCOEHWXHZKCL-IAQYHMDHSA-N 418.799 4.501 5 20 HJBD C[C@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(=O)N[C@@H](C)c1ccc(F)cc1 6027994 XYSYUUSBGDABBU-QWRGUYRKSA-N 405.476 4.702 5 20 HJBD O=C(O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)c1ccccc1 6065540 BYPOJMKSZPTGLK-IBGZPJMESA-N 410.813 4.785 5 20 HJBD CCn1c(S[C@H](C)C(=O)N[C@@H]2CCCC[C@@H]2C)nc2cc3ccccc3cc2c1=O 7577891 ZAWPLTZMBLSDFU-RZQQEMMASA-N 423.582 4.745 5 20 HJBD Cc1ccc(C(=O)[C@H](C)OC(=O)Cn2c3ccccc3c(=O)c3ccccc32)cc1C 10722773 PWQVIMFSJPPRBR-SFHVURJKSA-N 413.473 4.586 5 20 HJBD O=c1c2c(-c3ccccc3)csc2nc2n1CC/C2=C\c1ccc(O)c([N+](=O)[O-])c1 16387983 WAQHPSNJHCJTCJ-XNTDXEJSSA-N 417.446 4.683 5 20 HJBD C[C@@H](NC(=O)Nc1cccc([N+](=O)[O-])c1)c1cccc(NC(=O)c2ccccc2)c1 45731762 FHOFJZYUZXLZCH-OAHLLOKOSA-N 404.426 4.730 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2csc([N+](=O)[O-])c2)cc1)c1ccccc1 55721795 FWPGCLORDQERCI-UHFFFAOYSA-N 409.467 4.504 5 20 HJBD CCCN(C(=O)C(=O)Nc1cccc([N+](=O)[O-])c1C)[C@H](C)c1cc2ccccc2o1 146469574 CPFUPOUUSPXIAF-OAHLLOKOSA-N 409.442 4.588 5 20 HJBD COc1cc(/C=C\c2nc3cc([N+](=O)[O-])ccc3s2)c(Br)cc1O 227334973 OBIQKYDRRDWTSL-DJWKRKHSSA-N 407.245 4.852 5 20 HJBD CC1(NC(=O)OC(C)(C)C)CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 302483924 HKVRXWGJZJGBSP-UHFFFAOYSA-N 412.490 4.540 5 20 HJBD CCN1CC[C@H](N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)C[C@H]1C 426848967 BDJIEMWEONTBTK-APWZRJJASA-N 413.543 4.691 5 20 HJBD C[C@@H](Oc1ccc([N+](=O)[O-])c(CO)c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 431881688 KRDKBWHCGMMEEE-SECBINFHSA-N 410.213 4.584 5 20 HJBD COc1cc(C(=O)N[C@H](c2cccc(Cl)c2)C2CCCC2)c([N+](=O)[O-])cc1OC 434335322 MTUFQPJQLDDFSH-FQEVSTJZSA-N 418.877 4.927 5 20 HJBD CN(Cc1ccccc1Cl)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435837473 TVDDTUASARJKTQ-UHFFFAOYSA-N 412.823 4.885 5 20 HJBD Cc1ccc(CCN(C[C@@H]2CCCO2)C(=O)Nc2c(C)ccc([N+](=O)[O-])c2C)cc1 443962795 LKZQVUQAOOAOSE-FQEVSTJZSA-N 411.502 4.776 5 20 HJBD C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444183068 MDXAZRSTJDRPLG-PSLXWICFSA-N 400.453 4.874 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2ccc(Cl)c3ccccc23)c([N+](=O)[O-])cc1OC 462211555 NOEPLWVRPFSPOO-LBPRGKRZSA-N 414.845 4.910 5 20 HJBD CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463671334 VOIDDKPAYSACOR-QLJPJBMISA-N 416.783 4.773 5 20 HJBD Cc1c(C(=O)NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)cccc1[N+](=O)[O-] 466269242 IRZBBKIUCZWNPK-INIZCTEOSA-N 407.495 4.562 5 20 HJBD CCc1ccc([C@H](N[C@H]2CCOc3ccc(OC)cc32)c2ccc([N+](=O)[O-])cc2)o1 468046106 AGLNKMMFHYKCHA-NZQKXSOJSA-N 408.454 4.962 5 20 HJBD CCc1ccc(C(=O)N(C[C@H]2CCCO2)c2nc3ccccc3s2)cc1[N+](=O)[O-] 471287157 IIBDWUSVVFDURG-MRXNPFEDSA-N 411.483 4.593 5 20 HJBD CCc1cc(-c2nc(-c3ccc(Oc4cccc([N+](=O)[O-])c4)cc3)no2)cc(O)n1 471466619 HAZGIPCKCIFJBQ-UHFFFAOYSA-N 404.382 4.767 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3cccc(CO)c3)o2)c(Br)c1 475124384 ILFXKRYDMFMEPB-UHFFFAOYSA-N 403.232 4.722 5 20 HJBD COc1ccc(CSCC(=O)Nc2cc(-c3cccnc3)ccc2C)cc1[N+](=O)[O-] 477547076 FBGSFJYTWNURFB-UHFFFAOYSA-N 423.494 4.846 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NCc1nc(-c2cccc([N+](=O)[O-])c2)no1 481019116 STUJCYKWSYGLON-UHFFFAOYSA-N 409.446 4.550 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCC[C@@]2(C)Cc2ccccc2)cc1SC 484230513 XPGVYZCDTCGVPD-NRFANRHFSA-N 400.500 4.563 5 20 HJBD CCS[C@H](C)c1noc(CN(C)C(=O)c2cc3ccccc3c3cccnc23)n1 484912733 UANNFDKSTZJEQC-CQSZACIVSA-N 406.511 4.857 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 486726697 WFRPPOLPOMUDOZ-MRXNPFEDSA-N 424.526 4.557 5 20 HJBD COc1cc(Cl)c(Cl)cc1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 487492194 OTKZJGOFAQZGAZ-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD O=C(Nc1cccc(OCc2cccnc2)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494258340 ZHWJQXCUDYEKKF-UHFFFAOYSA-N 418.453 4.765 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NC(=O)c2cccc([N+](=O)[O-])c2)cc1OC 499212960 OPADLXAHBGKADP-NRFANRHFSA-N 420.465 4.634 5 20 HJBD CCOc1cc(NC(=O)c2ccc(Cl)c3cccnc23)c([N+](=O)[O-])cc1OCC 502798719 MWJLWFGUGNWWAQ-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CCCc3cccc(F)c32)cc1[N+](=O)[O-])c1ccccn1 508804796 WDCFIKYTLJZTNP-HNNXBMFYSA-N 420.444 4.895 5 20 HJBD CCCN(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)[C@H]1CCc2ccccc2C1 509987289 QEMGFXBJUUINOZ-QFIPXVFZSA-N 421.541 4.607 5 20 HJBD CCOc1cc(NC(=O)N(Cc2ccccc2F)C(C)C)c([N+](=O)[O-])cc1OCC 510048544 GORFHDGKKQBKPZ-UHFFFAOYSA-N 419.453 4.974 5 20 HJBD CC(C)[C@@H](C)N(CC(F)(F)F)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 510864298 DMCHNGBKVZEJGJ-SNVBAGLBSA-N 416.318 4.585 5 20 HJBD O=[N+]([O-])c1ccccc1CSCc1nc(-c2ccc(Br)s2)no1 512243036 OAMUSQCSLFPNAY-UHFFFAOYSA-N 412.290 4.902 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccccc1C(=O)N[C@H](C)c1ccccc1 514497684 WVFXRCIMPUXFNV-MRXNPFEDSA-N 405.454 4.707 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1[N+](=O)[O-])Nc1ccc(OC(F)F)cc1 517912608 ZLUHFGNJOLIVBB-UHFFFAOYSA-N 414.364 4.881 5 20 HJBD CC[C@@H](C)Sc1ccc(NC(=O)c2cn(-c3cccc([N+](=O)[O-])c3)nn2)c(C)c1 520229905 XEJHTUUYMQSIFU-CQSZACIVSA-N 411.487 4.627 5 20 HJBD CCCCN(Cc1ccccc1Cl)C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1 525030588 FLCXEMHPUXKOFJ-UHFFFAOYSA-N 406.866 4.708 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1 525044989 NKWQDAVDJFCRCS-UHFFFAOYSA-N 408.458 4.506 5 20 HJBD CCN(c1ccccc1)c1ccc(CNC(=O)Cc2ccc(OC)c([N+](=O)[O-])c2)cc1 525672989 XRSKAGKWBDIWDQ-UHFFFAOYSA-N 419.481 4.620 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)NCc1cccc(COCc2ccco2)c1 531014732 XPZLXHBSOXIJTH-UHFFFAOYSA-N 409.442 4.843 5 20 HJBD O=[N+]([O-])c1cc(CNCCc2c[nH]c3ncccc23)ccc1Oc1ccc(F)cc1 533562472 KGAQYFIUBUAQSD-UHFFFAOYSA-N 406.417 4.735 5 20 HJBD O=C(Nc1ccc(OCc2ccccn2)c(Cl)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 534223373 BQOBAIXYCIXCLS-UHFFFAOYSA-N 422.828 4.956 5 20 HJBD COc1cc(CN[C@H](C)c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OC(F)F 539377479 NYFTUUKXUGVCGB-SECBINFHSA-N 404.772 4.848 5 20 HJBD CCCN(Cc1c(F)cccc1Cl)C(=O)NCc1cc([N+](=O)[O-])ccc1OC 544170199 ROYMNGZLKHGBJK-UHFFFAOYSA-N 409.845 4.518 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(Cc3ccc(OC(F)(F)F)cc3)no2)cc1 545605966 GQNQJRORCPDOJT-UHFFFAOYSA-N 411.361 4.760 5 20 HJBD Cc1csc(Oc2ccc(NC(=O)CCNc3ccccc3[N+](=O)[O-])cc2F)n1 547730776 UIXARIPASAUFME-UHFFFAOYSA-N 416.434 4.732 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@H]3c3nc(C)no3)cc2[N+](=O)[O-])cc1 553753761 AMYWOFVEIVNKQT-KRWDZBQOSA-N 424.482 4.723 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 559187034 VAVIGGDPRYCXEG-SJORKVTESA-N 400.784 4.887 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)c1cc(Cl)cc(F)c1Br 560118699 CNJAOPOQHTVSKJ-UHFFFAOYSA-N 422.037 4.733 5 20 HJBD CCCCc1ccc(NC(=O)Cc2noc([C@H](C)c3cccc([N+](=O)[O-])c3)n2)cc1 567649524 XFQQDTAHSXJVFW-OAHLLOKOSA-N 408.458 4.653 5 20 HJBD Cc1nc(CN(C(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c2ccc(F)cc2)cs1 573344236 PHIWBXNADNKGRZ-UHFFFAOYSA-N 424.457 4.756 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3ccc(C(F)(F)F)n3)cc2)c1 576556026 FTCGURMZJCHIGT-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD CC(C)[C@H](NC(=O)c1ccccc1)c1noc(-c2cc3cc([N+](=O)[O-])ccc3o2)n1 584556213 SIAQCPXTOMPVTQ-SFHVURJKSA-N 406.398 4.518 5 20 HJBD Cc1cc(C)nc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 585711179 KXRGKFOWFBAPFC-UHFFFAOYSA-N 411.849 4.519 5 20 HJBD O=C(NC/C=C\c1cccnc1)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 590396761 AXVSIHLYLVPZDD-XQRVVYSFSA-N 422.872 4.699 5 20 HJBD Cc1cc(-c2cc(C(=O)N(C)Cc3ccccc3[N+](=O)[O-])c3c(C)noc3n2)c(C)o1 603569144 WXNNMSGYSZKNNW-UHFFFAOYSA-N 420.425 4.588 5 20 HJBD COc1ccc(NC(=O)[C@H](N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)cc1 604044609 XVJDUOVGPDKZCA-OPAMFIHVSA-N 405.454 4.634 5 20 HJBD COc1cc(CN[C@H]2CCC[C@H](SC)C2)ccc1OCc1ccc([N+](=O)[O-])cc1 609771194 LDYAIWYGJVCBEK-ICSRJNTNSA-N 416.543 4.946 5 20 HJBD C[C@H](NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1ccc(OCC(F)(F)F)cc1 609855807 GKWLJISOPHMQPV-JTQLQIEISA-N 402.756 4.680 5 20 HJBD Cc1ccc(-n2ncc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])c2C2CC2)cc1Cl 609973811 LVWQAGOJFRANIS-UHFFFAOYSA-N 422.872 4.823 5 20 HJBD CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 609981707 VYEDJQRQFGMHNI-ABSDTBQOSA-N 410.518 4.695 5 20 HJBD CN(Cc1ccc(C(F)(F)F)cc1)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 610931016 FEOBMVCCVDBRIK-UHFFFAOYSA-N 404.392 4.646 5 20 HJBD Cc1cc(C)c(NC(=O)c2ccc(N[C@@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1O 613032486 OEANQQNMAMEPJK-HNNXBMFYSA-N 406.442 4.738 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1c(Cl)cccc1Cl 726028435 DJHYGSVNOWOJCS-SNVBAGLBSA-N 412.225 4.615 5 20 HJBD C[C@@H](Oc1ccc(F)cc1Br)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727710273 MNCIOPJJUSSKAS-MRVPVSSYSA-N 417.618 4.556 5 20 HJBD C[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2)s1)c1ccc2c(c1)CC(=O)N2C 728301941 PVTLNNAXEUUGPH-AWEZNQCLSA-N 407.495 4.693 5 20 HJBD CC(C)CCSc1ccc(C(=O)OCc2nnsc2Cl)cc1[N+](=O)[O-] 729471937 XJQUTUKYYRONPX-UHFFFAOYSA-N 401.897 4.595 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCC([C@H](O)c4ccc(Cl)cc4)CC3)sc2c1 731479339 AHVJTGXCWQMECS-GOSISDBHSA-N 403.891 4.808 5 20 HJBD O=C1[C@H](Nc2ccc(OCc3ccccc3F)cc2)CCN1c1cccc([N+](=O)[O-])c1 731496686 RVFPZOZDLUUVQB-JOCHJYFZSA-N 421.428 4.530 5 20 HJBD Cc1ncc2c(n1)CCC[C@H]2NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 734797923 FDLRBBDXASOLFF-HXUWFJFHSA-N 420.494 4.652 5 20 HJBD CC(C)CO[C@H]1C[C@H](OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C1(C)C 739287805 HMKOMMTYNOCTPI-IRXDYDNUSA-N 404.488 4.715 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)[C@H](C)Oc1cccc(Br)c1 741935409 ZBYWBKMCZOIIPT-JTQLQIEISA-N 413.655 4.725 5 20 HJBD C[C@H](OC(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12)c1nc(-c2ccc(Cl)cc2)no1 746063041 ISCUFMYCZBISCJ-JTQLQIEISA-N 412.789 4.698 5 20 HJBD O=C(Nc1cccc(OCCn2cncn2)c1)c1c2ccccc2cc2ccccc12 746515745 UEDVVODEVDKVEX-UHFFFAOYSA-N 408.461 4.916 5 20 HJBD Cc1ccccc1C(C)(C)CNC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754636981 UPJPEFGVBCHEFP-UHFFFAOYSA-N 409.255 4.512 5 20 HJBD O=[N+]([O-])c1ccc(OCc2nc(CCCOc3cccc4ccccc34)no2)cc1F 761945982 BPONXTHXEMONEN-UHFFFAOYSA-N 423.400 4.861 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N(CC(C)C)C[C@H](O)c1ccc(F)cc1 766241243 LLRGDPGXRLAVJD-FQEVSTJZSA-N 403.454 4.574 5 20 HJBD COc1ccccc1[C@H](C)CC(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140229 TVCKXGJIOMYBBL-WAIKUNEKSA-N 406.438 4.825 5 20 HJBD CCC(CC)n1nc(C(=O)O[C@@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1C 776349973 FQTVMZSTMXZSSA-NRFANRHFSA-N 408.458 4.802 5 20 HJBD CN(C[C@H](O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCSc2ccc(Br)cc21 776581538 DZDMPHAHNORJCM-SJORKVTESA-N 423.332 4.560 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)c2c1N(C(=O)c1ccccc1[N+](=O)[O-])CCC2 777779162 HGKBHFAISFFNLQ-UHFFFAOYSA-N 411.458 4.843 5 20 HJBD CC(C)(C)SCCCC(=O)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791173387 SXEKQOIGYFTANT-UHFFFAOYSA-N 415.353 4.554 5 20 HJBD C[C@@H](Nc1cc(Br)ccc1[N+](=O)[O-])c1ccc(Br)cn1 795259349 XFIGFELDOBTQKB-MRVPVSSYSA-N 401.058 4.688 5 20 HJBD Cc1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)nc1-c1ccccc1 798236839 FYQRLHRDWWMBEV-UHFFFAOYSA-N 417.469 4.603 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@@H](c2ccccn2)C1 799380371 TYPBIEBBICSPIK-MRXNPFEDSA-N 405.479 4.771 5 20 HJBD COc1ccc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)ccc2c1 803454122 GTULNIBMAVUWCY-UHFFFAOYSA-N 401.216 4.771 5 20 HJBD CCC(CC)(Cc1nc2ccccc2s1)c1nc(CCC(C)(C)[N+](=O)[O-])no1 812813706 AUNWVMQJHKCCQX-UHFFFAOYSA-N 402.520 4.968 5 20 HJBD CSc1ccc(C(=O)O[C@@H](c2nc3ccccc3o2)C(F)(F)F)cc1[N+](=O)[O-] 816062614 STWCDMWBNCQLCF-AWEZNQCLSA-N 412.345 4.918 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)c1 816892988 XIEQYBQYJMQVCL-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c12 915827736 GHDDSOLJVIDLRT-UHFFFAOYSA-N 413.453 4.918 5 20 HJBD O=[N+]([O-])c1ccc2c(cnn2CN2CCC(c3nc4cc(Cl)ccc4o3)CC2)c1 921050750 BGCWWXMLEWBTML-UHFFFAOYSA-N 411.849 4.576 5 20 HJBD CCc1ccc([C@@H](Nc2ccc3c(c2)CN(C(C)=O)C3)c2ccc([N+](=O)[O-])cc2)o1 1320084590 BWYSMBCEIVVGFG-QHCPKHFHSA-N 405.454 4.814 5 20 HJBD C[C@@H](Oc1cccc(Cl)c1)c1nnc(-c2cnn(-c3ccc([N+](=O)[O-])cc3)c2)o1 1322677870 LPCCCRNPANEZPJ-GFCCVEGCSA-N 411.805 4.624 5 20 HJBD COc1ccc(Br)cc1NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl 1324196237 ZULDIOATPBDFOP-UHFFFAOYSA-N 400.616 4.663 5 20 HJBD CC[S@](=O)[C@H]1CCC[C@@H](NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)C1 1331105317 HJTFGQFZQCKWKA-WBQUBVHPSA-N 410.923 4.678 5 20 HJBD O=C(/C=C/c1ccccc1[N+](=O)[O-])NC1(c2ccc(NC(=O)CC3CC3)cc2)CCC1 1787827854 OYEKDAOITPBRQL-MDWZMJQESA-N 419.481 4.542 5 20 HJBD C[C@@H](OC(=O)c1c(Cl)ccc(Cl)c1Cl)C(=O)Nc1cccc([N+](=O)[O-])c1 3458218 WGNUQIGUGCMAPJ-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1ccc(-c2nc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)sc2C)cc1 6902325 REFOTKBNNGLNMY-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CCOc1ccc(CCNC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1OCC 8330833 SHTLZROZNJQLLZ-UHFFFAOYSA-N 414.483 4.579 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](c2ccc(Cl)cc2)C(C)C)c([N+](=O)[O-])c1 11103488 IXUHPMFGYXNZER-YJYMSZOUSA-N 405.882 4.571 5 20 HJBD CCn1c(S[C@H](C)C(=O)N2C[C@@H](C)C[C@@H](C)C2)nc2cc3ccccc3cc2c1=O 24891404 JQHYCMILFMOOBZ-IXDOHACOSA-N 423.582 4.555 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCc2[nH]c3ccc(Cl)cc3c2C1 47345188 ZGHLUNISNZXNDK-UHFFFAOYSA-N 405.241 4.973 5 20 HJBD COc1cc([C@@H](C)NC(=O)c2ccccc2[N+](=O)[O-])ccc1OCc1ccccc1 55701625 OEQYWDVGUWJLAJ-MRXNPFEDSA-N 406.438 4.673 5 20 HJBD CCOc1cc(NC(=O)N2CCC[C@@H]2c2cccc(F)c2)c([N+](=O)[O-])cc1OCC 56573899 RXYYYXPLAOGGBS-QGZVFWFLSA-N 417.437 4.900 5 20 HJBD COCCOc1ccccc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 57273333 QXJMZELTDNRCHJ-UHFFFAOYSA-N 407.426 4.616 5 20 HJBD COc1ccc(Nc2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])cc1NC(C)=O 58621275 CGKCBBINALYMRW-UHFFFAOYSA-N 403.744 4.978 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(Oc3cccc(F)c3)nc2)c([N+](=O)[O-])c1 109838794 QXJUSBTXNDTDQR-UHFFFAOYSA-N 424.432 4.672 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3nc4ccccc4s3)cn2)cc1[N+](=O)[O-] 216525205 XJMJISPOTZIONN-UHFFFAOYSA-N 406.423 4.527 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCc3ccc(-n4cccn4)cc3)o2)c(Cl)c1 237819433 OINFSJKHKRFZIX-UHFFFAOYSA-N 408.845 4.984 5 20 HJBD Cc1cnc(Sc2nnc(-c3ccccc3C)n2Cc2ccco2)c([N+](=O)[O-])c1 301468800 ZNHGXLSCBWACTI-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 301932319 MNLVDPAHXKOALI-LLVKDONJSA-N 423.541 4.665 5 20 HJBD COc1ccc([C@H](NC(=O)Cc2ccc([N+](=O)[O-])cc2)c2ccccc2Cl)cc1 409662536 ZXPUXLDVPVTECU-QFIPXVFZSA-N 410.857 4.705 5 20 HJBD O=C(c1ccc([N+](=O)[O-])s1)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 410094910 LZXBMAZCCNAJHO-UHFFFAOYSA-N 402.369 4.776 5 20 HJBD Cc1c(CS(=O)(=O)Cc2ccc(Oc3ccccc3)c(F)c2)cccc1[N+](=O)[O-] 433461922 WWRHINDTGQIKJU-UHFFFAOYSA-N 415.442 4.950 5 20 HJBD Cc1nn(C)c(C)c1[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Sc1cccs1 435449557 SSFKUGPGOWFXCZ-NSHDSACASA-N 416.528 4.900 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N(C)c2nc3cccc(Cl)c3s2)cc1OC 436399117 HUBBTFJFXRAJAX-UHFFFAOYSA-N 421.862 4.542 5 20 HJBD O=C(Nc1ccccc1C(=O)N1[C@H]2CCC[C@H]1CC2)c1ccc([N+](=O)[O-])cc1Cl 439010009 PYPIWLFOZJZXOP-KBPBESRZSA-N 413.861 4.658 5 20 HJBD O=C(Nc1cccc(CCN2CCCC2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444283250 CIPWPOFVQBAODA-UHFFFAOYSA-N 411.505 4.572 5 20 HJBD CCCN(Cc1ccc(F)cc1)C(=O)c1sc(Br)cc1[N+](=O)[O-] 445260642 MDNKOGWPQIIIQU-UHFFFAOYSA-N 401.257 4.610 5 20 HJBD CCc1ccc(-c2nc(CC(=O)Nc3c(Cl)cccc3Cl)no2)cc1[N+](=O)[O-] 445379703 UAKYFUDIOYLREA-UHFFFAOYSA-N 421.240 4.695 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 446483683 DGLJAQFQORJPRA-QGZVFWFLSA-N 410.257 4.815 5 20 HJBD Cc1ccc(CNC2(c3cccc(OC(F)(F)F)c3)CCOCC2)cc1[N+](=O)[O-] 446829954 NCJNHDSHRYAYCA-UHFFFAOYSA-N 410.392 4.597 5 20 HJBD CCN(C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@H](C)c1cc2ccccc2o1 446907193 CHYDOTMZJPHTOR-CYBMUJFWSA-N 407.430 4.510 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)[C@H](C)C2)cc1SC 460647055 FYFOORPHHJQKLJ-GDBMZVCRSA-N 400.500 4.591 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CNCc1ccc([N+](=O)[O-])cc1Cl 466461438 CZQCLVKBEQHFGP-UHFFFAOYSA-N 405.285 4.994 5 20 HJBD Cc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2C)ccc1I 467381876 LXKRKYQBEBZIHG-CYBMUJFWSA-N 410.255 4.667 5 20 HJBD CC[C@H](Cc1ccccc1Br)NC(=O)c1c(Cl)cccc1[N+](=O)[O-] 470959622 LXUKYBAZVZJHPA-GFCCVEGCSA-N 411.683 4.762 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CC[C@@H](c2ccc(C)cc2C)C1 471325130 ZGGRBIQELLXSAX-QGZVFWFLSA-N 414.527 4.580 5 20 HJBD CSc1nc(C(C)(C)C)nc(C)c1C(=O)Nc1ccc2cc([N+](=O)[O-])ccc2n1 471896694 FLYKADHVFBSNJJ-UHFFFAOYSA-N 411.487 4.513 5 20 HJBD CN(C)C(=O)C[C@H]1CN(c2nc3ccccc3cc2[N+](=O)[O-])c2ccc(Cl)cc21 473516720 DFKXDFCRRBEGHP-AWEZNQCLSA-N 410.861 4.510 5 20 HJBD CCn1c([C@H]2CCCN2C(=O)Nc2cc(C)c([N+](=O)[O-])cc2C)nc2ccccc21 475641224 TWJWGBCXLRSPBT-LJQANCHMSA-N 407.474 4.950 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CC[C@H](c3ccccc3)C2)cc1[N+](=O)[O-])c1ccccn1 476141511 IJRAKAIEVQIFLB-XLIONFOSSA-N 416.481 4.793 5 20 HJBD O=C(c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)N1CC[C@@H](c2ccc(F)cc2)C1 478209951 PTZXHQQKQPPYMX-CQSZACIVSA-N 422.406 4.728 5 20 HJBD O=C(Nc1cc(-c2ccc(OC(F)F)cc2)no1)c1ccc(Cl)cc1[N+](=O)[O-] 478525410 BDXHXLVHOLEFDR-UHFFFAOYSA-N 409.732 4.757 5 20 HJBD CC1(C)CN(C(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CC[C@@H]1C(F)(F)F 482063186 UIZPZZMAIPPSDW-INIZCTEOSA-N 420.406 4.871 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2c(Cl)cccc2Cl)cc1SC 482434463 JDVBVSPJUGGHBO-UHFFFAOYSA-N 415.298 4.904 5 20 HJBD C[C@H](NC1CCN(Cc2ccc(Br)cc2)CC1)c1cccc([N+](=O)[O-])c1 484385071 KPUZITFWLHUUKY-HNNXBMFYSA-N 418.335 4.673 5 20 HJBD O=C(N[C@H]1C[C@@H]1c1cccc(F)c1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 485943949 VXGAIRJBNLLIQP-CTNGQTDRSA-N 406.413 4.599 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2c(Cl)cccc2s1 486173482 BKIOJURDQLSMAA-UHFFFAOYSA-N 423.903 4.849 5 20 HJBD CSc1cccc(C(=O)Nc2cccc(Cl)c2Br)c1[N+](=O)[O-] 486828439 VEJNECFDWXUIGR-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD O=C(c1cc2cc(Br)ccc2[nH]1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 497573164 OPFDJRXRRNXAQA-UHFFFAOYSA-N 414.259 4.643 5 20 HJBD Cc1cccc([C@H](NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)c2ccccn2)c1 498631915 VIXKATHQJRTGGD-IERDGZPVSA-N 407.495 4.685 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F 498660731 MLBGNYLWIHFNJK-JTQLQIEISA-N 420.309 4.993 5 20 HJBD CCNC(=O)c1ccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)cc1 501118915 SCUJFXRFAWOFDK-UHFFFAOYSA-N 415.515 4.735 5 20 HJBD CC1(C)C[C@H](N[C@H](Cn2ccccc2=O)c2ccccc2)c2cc([N+](=O)[O-])ccc21 503901147 HOZUUWALLYBZHM-FCHUYYIVSA-N 403.482 4.510 5 20 HJBD Cc1ccc(Cc2nc(C(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)cc1 508102350 LXCWMFYOQRHUOK-UHFFFAOYSA-N 407.495 4.755 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(Cc1ccccc1Cl)c1ccc(F)cc1 508172760 OXTHGZFVIBZYBE-UHFFFAOYSA-N 416.840 4.516 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@@H]1CCCO1)c1cc2cc([N+](=O)[O-])ccc2o1 509800291 XZOPRCLXVCNCGH-HNNXBMFYSA-N 416.817 4.805 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])Cc1nc(O)c2c(-c3ccccc3)csc2n1 511096211 NZYLLZGXCHIUGN-UHFFFAOYSA-N 420.494 4.647 5 20 HJBD Cc1c(C(=O)N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)cccc1[N+](=O)[O-] 518043182 KPUDSPQGOUQTAO-QGZVFWFLSA-N 413.232 4.563 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2Cc3ccccc3C2)cc1[N+](=O)[O-] 518495411 RJOIMOUVJQRVOI-UHFFFAOYSA-N 418.474 4.704 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 519903679 SLVDFCFJCDZEMF-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1oc2ccccc2c1CSc1ncccn1 520125765 WREROLQRJRZHQB-UHFFFAOYSA-N 406.423 4.676 5 20 HJBD Cc1ccccc1CN(Cc1ccccc1)Cc1cc([N+](=O)[O-])cc2c1OCOC2 521193971 ZYEYIKXIHGCROY-UHFFFAOYSA-N 404.466 4.972 5 20 HJBD CC(C)(C)C(=O)c1ccsc1NC(=O)c1ccc([N+](=O)[O-])cc1Br 524660234 KCBZTFWYHRIMNQ-UHFFFAOYSA-N 411.277 4.900 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cc(-c2cccs2)nc2c1c(C)nn2C 531256624 LJASSAQEFJQDJG-UHFFFAOYSA-N 421.482 4.783 5 20 HJBD O=C(NCCc1cccc([N+](=O)[O-])c1)[C@H]1CC=CC[C@@H]1c1nc2ccccc2s1 534772191 SZIQHGGHXNXONY-ROUUACIJSA-N 407.495 4.613 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 538408404 ZRZQQUHCXFIOGI-ROZPXGAXSA-N 402.441 4.704 5 20 HJBD O=C(Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1)c1cc(F)cc([N+](=O)[O-])c1 539892380 ARGUJKYEIRLOLG-UHFFFAOYSA-N 418.253 4.517 5 20 HJBD O=C(N[C@@H](c1ccc(OC(F)(F)F)cc1)C(F)(F)F)c1ccccc1[N+](=O)[O-] 542040860 CIQBZADKWUROSZ-ZDUSSCGKSA-N 408.254 4.527 5 20 HJBD O=C(Nc1cccc2ccc(O)cc12)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 543034615 SJZIDDPHRVYTDD-UHFFFAOYSA-N 406.316 4.647 5 20 HJBD Cc1ccc(NC(=O)C(C)C)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543153120 AYXYRQMSHVEGFU-UHFFFAOYSA-N 421.906 4.882 5 20 HJBD CN(CCc1nccs1)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 544418225 LDHIOGAGJMNKKU-UHFFFAOYSA-N 405.545 4.648 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(-c2coc(-c3ccccc3Cl)n2)n1 545672733 AFGAHAJQNVJZHX-UHFFFAOYSA-N 411.805 4.608 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3ccc(C)cc3)s2)c1 549202538 WYYXIEGJBMDFHG-UHFFFAOYSA-N 411.483 4.910 5 20 HJBD C[C@@H]1CN(C(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 553722796 SXYRATAYPLFFEP-LPMFXHHGSA-N 422.403 4.706 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)cc1OC 555286505 RDDNUSRUPFPLRK-NSHDSACASA-N 412.364 4.512 5 20 HJBD Cc1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 573516613 DVGYDDBGTGJXHU-JFIYKMOQSA-N 423.513 4.764 5 20 HJBD COCCC1(C)CN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)C1 573532885 YHMXDXQPSUYRHV-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD CC[C@H](NC(=O)N(C)CCc1ccccc1[N+](=O)[O-])c1ccccc1OC(F)F 574592928 MQLNYXGZMUAIJP-INIZCTEOSA-N 407.417 4.531 5 20 HJBD COc1ccc(-c2nc(Cc3c[nH]c4ccc([N+](=O)[O-])cc34)no2)c(OCC2CC2)c1 577917457 CQSCHWKHMDAKET-UHFFFAOYSA-N 420.425 4.514 5 20 HJBD CCOCc1nc([C@@H](C)N[C@H](C)c2ccc(-c3ccccc3[N+](=O)[O-])s2)no1 579770377 KXMRVVWGJSOBJR-CHWSQXEVSA-N 402.476 4.655 5 20 HJBD C[C@H](Cc1cccc(O)c1)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 580975710 FLSLASJFMLQVAA-OAHLLOKOSA-N 407.426 4.845 5 20 HJBD O=C(Nc1cccc(Oc2ccnc3ccccc23)c1)c1ccc([N+](=O)[O-])c(O)c1 583556964 KKKAPCPQFUWZNS-UHFFFAOYSA-N 401.378 4.893 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1 603739558 BVNJCKAWGAPESQ-UHFFFAOYSA-N 408.410 4.665 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3cc(F)cc([N+](=O)[O-])c3)CC2)cc1 609441777 WIZBNCDTWDDWTH-UHFFFAOYSA-N 400.450 4.618 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc2c1CCN(Cc1cccc([N+](=O)[O-])c1)C2 609464286 ATLWGNROIPZAOP-UHFFFAOYSA-N 402.454 4.797 5 20 HJBD CSc1ccc(C(=O)Nc2nc(Sc3ccccc3)ns2)cc1[N+](=O)[O-] 609520949 KMKOAPQQSSHGPQ-UHFFFAOYSA-N 404.498 4.572 5 20 HJBD Cc1cc(C)cc(-c2nnc(NC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)s2)c1 609648265 SYQRPKJETMPGPC-UHFFFAOYSA-N 423.498 4.583 5 20 HJBD CCC(=O)N[C@@H](C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccccc1 609762699 PNHRXZHTQSNLQN-JOCHJYFZSA-N 419.437 4.593 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(COC3CCCC3)cc2)cc1OC 610054773 XXUOGBRWXAAQGQ-UHFFFAOYSA-N 414.458 4.714 5 20 HJBD CCN(Cc1cc(Br)ccc1F)C(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 617697339 DQZQXMUWAASSCM-GFCCVEGCSA-N 409.255 4.649 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3ccccc3o2)C1 619638190 PZLLBULFEBTLLO-CQSZACIVSA-N 410.430 4.546 5 20 HJBD O=C(OCc1c(F)cccc1[N+](=O)[O-])c1ccc2c(c1)C[C@@H](c1ccccc1)OC2=O 728990773 RTNKUQHKYUGXKH-NRFANRHFSA-N 421.380 4.545 5 20 HJBD COCCSc1ccc([C@@H](C)NC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)cc1 729036947 LBPPCLQRCBCYQI-GFCCVEGCSA-N 409.895 4.869 5 20 HJBD Cc1cc(N[C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)ccc1OCC(F)(F)F 738426814 WUVPVDUIMJGRTI-ZDUSSCGKSA-N 411.380 4.592 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)ccc1Oc1cccnc1 748633010 HCHSONUGNMLHFW-UHFFFAOYSA-N 422.397 4.868 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2ccc(SC(C)(C)C)cc2)cc1[N+](=O)[O-] 752136016 LGCPNLUCUTZSBN-AWEZNQCLSA-N 415.515 4.610 5 20 HJBD CC[C@H](C(=O)OCc1nc2cc([N+](=O)[O-])ccc2o1)c1cccc(C(F)(F)F)c1 752574287 PQBUBISQQVOPPS-AWEZNQCLSA-N 408.332 4.992 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)Nc1ccc(Br)c([N+](=O)[O-])c1 755371538 XWRJUOBMEWNRMJ-UHFFFAOYSA-N 408.233 4.635 5 20 HJBD C[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ccc(-c2ccncc2)cc1 756965309 LRSDOAWHAWLCLC-AWEZNQCLSA-N 410.861 4.871 5 20 HJBD O=C(Nn1cnc2ccccc21)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl 763430458 NOUQORSVBACXLB-UHFFFAOYSA-N 421.844 4.594 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3cccnc3Oc3cccc(F)c3)CC2)cc1 764921740 SFTZNFRWLWJHQJ-UHFFFAOYSA-N 422.460 4.680 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)[C@@H]1CCC[C@@H](C(F)(F)F)C1 773399810 CPEGZBSLHVEAAQ-GHMZBOCLSA-N 410.186 4.769 5 20 HJBD CC(C)([C@@H](OC(=O)[C@@H](F)c1ccccc1)c1ccc(Br)cc1)[N+](=O)[O-] 774366680 LHQQHSGRSRCYEL-HOTGVXAUSA-N 410.239 4.800 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(N2CCOC2=O)c1 776805777 HDMBYPDVPRORER-UHFFFAOYSA-N 415.471 4.696 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)C[C@H](O)c1ccc(OCc2ccccc2)cc1 780847999 FYJBTAJJYAOTRT-QHCPKHFHSA-N 420.465 4.853 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NCCC(=O)Nc2cc([N+](=O)[O-])ccc2F)cc1 782529186 HFOVXCUQENQVHW-CQSZACIVSA-N 405.495 4.914 5 20 HJBD Cc1ccc(N(C)[C@H]2CCCN([C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)C2)cc1 784374974 PAKLAZXVDLYMAE-UWJYYQICSA-N 421.501 4.615 5 20 HJBD CC(C)c1ccc(C(=O)N2CCCCC[C@@H]2C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 784440478 QLSTTYJZZZRABM-YLJYHZDGSA-N 400.475 4.820 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc3cccc(Br)c3n2)c1F 790846338 CQRUNSBXMPMAEU-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CCn1cc([C@H](O)CN(Cc2ccccc2)Cc2ccc(C(C)C)c([N+](=O)[O-])c2)cn1 792189443 NZUZPBAQECUKKU-XMMPIXPASA-N 422.529 4.670 5 20 HJBD COc1ccc(Cl)cc1NC(=S)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 792668448 ILVPBFYTTZRPEA-UHFFFAOYSA-N 400.287 4.739 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(Cl)cc1Oc1ncnc2c1cnn2-c1ccccc1 795250264 ZLCWAQVADLOJLY-UHFFFAOYSA-N 402.197 4.823 5 20 HJBD O=C(O[C@@H]1CCCC[C@@H]1n1ccc([N+](=O)[O-])n1)[C@H](F)c1ccc(C(F)(F)F)cc1 802110584 YSRKHQPOFMLDCY-SQWLQELKSA-N 415.343 4.548 5 20 HJBD O=[N+]([O-])c1ccc(Br)c(NNCn2ccc(=S)c3ccccc32)c1 802740676 HEHWHTCLNOOQFF-UHFFFAOYSA-N 405.277 4.616 5 20 HJBD O=C(OCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1cc(-c2ccccc2)no1 811215882 DSBSBGMXDDVWON-UHFFFAOYSA-N 407.407 4.730 5 20 HJBD CC(C)(O)c1cnc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)s1 811616096 ZGRLFELAKKCVDA-UHFFFAOYSA-N 415.496 4.682 5 20 HJBD C[C@H](NC(=O)c1cc2ccccc2cc1NC(=O)C1CC1)c1cccc([N+](=O)[O-])c1 918137174 WYYAEUNQLSERRX-AWEZNQCLSA-N 403.438 4.588 5 20 HJBD O=C(OCc1cc(F)ccc1[N+](=O)[O-])c1cc(-c2ccncc2)nc2ccccc12 921146698 AWVVUSVNJAKUBA-UHFFFAOYSA-N 403.369 4.701 5 20 HJBD NC(=O)Nc1cc(NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)ccc1F 1117721411 QABSXINOZVHFMQ-UHFFFAOYSA-N 404.785 4.750 5 20 HJBD Cc1csc(CCCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)n1 1322324548 OSTPUGSWBCFTRP-UHFFFAOYSA-N 413.524 4.874 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CNCc2cccc3c2OCCO3)o1 1335499396 FHLKOSBJEJGMKH-UHFFFAOYSA-N 400.818 4.569 5 20 HJBD Cc1ccccc1-c1nnc(SCc2ccc([N+](=O)[O-])cc2Br)n1C 1338303078 UVUCUQWYXCBAJO-UHFFFAOYSA-N 419.304 4.754 5 20 HJBD Cc1noc([C@H]2CCCN(Cc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)C2)n1 1341539956 OERPVCGRLRDHEV-ZDUSSCGKSA-N 402.838 4.579 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Oc3ccc(OC)cc3[N+](=O)[O-])c12 1342535615 BJTHYHFEUCQCQD-UHFFFAOYSA-N 423.381 4.571 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)O[C@@H](C(=O)Nc1ccccc1)c1ccccc1 12490649 LZEBLARGSPDKQN-FOYQMUPJSA-N 402.406 4.531 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC(C(=O)c3ccc(C)cc3C)CC2)c1 24455034 UOHJRWPWLHEUPX-UHFFFAOYSA-N 412.511 4.669 5 20 HJBD CCc1cc(Sc2nnc(-c3cccs3)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 54389565 RMYAEWODRALZNM-UHFFFAOYSA-N 411.468 4.877 5 20 HJBD Cc1ccc(NC(=O)c2ccccc2)cc1NC(=O)CCOc1ccccc1[N+](=O)[O-] 55400435 KHBRYMPOZQRGBR-UHFFFAOYSA-N 419.437 4.563 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NCc1cccc(COc2ccccc2)c1 57260319 KIYYOADQOFMYBU-UHFFFAOYSA-N 405.454 4.515 5 20 HJBD Cc1cccc(C)c1OCCC(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 59253174 XXYLGPYTJGAVBB-UHFFFAOYSA-N 410.392 4.572 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3ccccc3C(F)(F)F)cc2)c(C)c1[N+](=O)[O-] 60943476 SUGVWDZRGQFKMH-UHFFFAOYSA-N 418.375 4.728 5 20 HJBD O=[N+]([O-])c1c(NCc2ccc(N3CCc4ccccc4C3)nc2)ccc2ncccc12 108088016 GIFHLPRYDVTADA-UHFFFAOYSA-N 411.465 4.713 5 20 HJBD Cc1cccc(OCCCCC(=O)Nc2cc(C)nn2-c2ccc([N+](=O)[O-])cc2)c1 108956037 LXHAYTHAVFVGHS-UHFFFAOYSA-N 408.458 4.585 5 20 HJBD Cc1cnn([C@H]2CCCc3ccccc32)c1NC(=O)c1ccc(Cl)c([N+](=O)[O-])c1 109851963 KNLUKIZUELTUNO-SFHVURJKSA-N 410.861 4.931 5 20 HJBD Cc1sc(NC(=O)c2cc3c(C)nn(C)c3s2)nc1-c1cccc([N+](=O)[O-])c1 195704148 CDADOABPPRDERQ-UHFFFAOYSA-N 413.484 4.536 5 20 HJBD CCN1CCC(n2nccc2NCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)CC1 236833965 NQNDLKBDJXDJPK-UHFFFAOYSA-N 411.531 4.789 5 20 HJBD COc1cc(CNc2ccc([N+](=O)[O-])c3cccnc23)cc(Br)c1OC 301130565 RAVNGZWFXNZEGK-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD C[C@@H](Nc1ccc(Nc2sc(S(C)(=O)=O)cc2[N+](=O)[O-])cc1)c1ccccc1 302140061 FCAGWCCSODNTSV-CYBMUJFWSA-N 417.512 4.977 5 20 HJBD CC(C)n1c(Sc2c(Cl)cc([N+](=O)[O-])cc2Cl)nnc1[C@@H]1CCCNC1 302277031 JDJWTCBIBCVFOU-SNVBAGLBSA-N 416.334 4.692 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCSC[C@H]3c3ccc(Cl)cc3)o2)cc1 303712523 ZIHLUSXWDPJINI-KRWDZBQOSA-N 416.890 4.588 5 20 HJBD C[C@@H](NC(=O)[C@@H](Oc1ccc(F)c(F)c1)c1ccccc1)c1cccc([N+](=O)[O-])c1 409821640 NCUGEPAXOKENGE-SZNDQCEHSA-N 412.392 4.871 5 20 HJBD CC1(c2ccccc2)CC(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)C1 410075596 TULCAORVFPKWIC-UHFFFAOYSA-N 400.453 4.671 5 20 HJBD O=C(Nc1ccc(Cl)c(N2CCNC2=O)c1)c1cc2ccccc2c2cccnc12 410154222 IQLJDHJCPKAYAZ-UHFFFAOYSA-N 416.868 4.823 5 20 HJBD C[C@H](c1cc(F)ccc1F)N(C)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426269095 VLQBWPMSMMHCGN-SNVBAGLBSA-N 417.334 4.794 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3c(-c4ccccn4)noc3C)n2)cc1[N+](=O)[O-] 428507540 QTZCZRPUEJXWNU-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1C(F)(F)F 429962341 HZYUNZNDGYRKAE-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD C[C@H](c1cc2ccccc2o1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 431771997 PIFOLYCYFZMLGE-LLVKDONJSA-N 403.232 4.937 5 20 HJBD O=C(N[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435938059 QJKFDCVNZHPATC-ZYHUDNBSSA-N 424.369 4.810 5 20 HJBD COCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H](C)c1ccccc1 436255717 WXNIBNYODQXZFN-QGZVFWFLSA-N 400.478 4.531 5 20 HJBD C[C@H](CN(C)C(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12)c1ccccc1 436354213 BFVHGFUNZVGPFF-CQSZACIVSA-N 406.432 4.835 5 20 HJBD Cc1ccc([C@@H]2CSCCN2C(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1 443876001 KOAKEVDWXNIPAU-KRWDZBQOSA-N 410.417 4.852 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccc(C(F)(F)F)cc1)c1ccc(O)c([N+](=O)[O-])c1 444442208 VTUZHICIIZKABI-LBPRGKRZSA-N 422.281 4.743 5 20 HJBD CN(C(=O)CN[C@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1)c1ccc([N+](=O)[O-])cc1 445983947 UURBRCFOUKAIEJ-OALUTQOASA-N 421.419 4.502 5 20 HJBD COc1cccc2c1CC[C@H]2Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447678223 YRSASUABLPLYIH-LLVKDONJSA-N 403.307 4.752 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1OCCc1nc(-c2ccccc2Br)no1 460138481 INXNBVKUSRRLBS-UHFFFAOYSA-N 424.638 4.682 5 20 HJBD Cc1c(CNc2ccc(NC(=O)[C@@H]3CCCO3)cc2C(F)(F)F)cccc1[N+](=O)[O-] 462238124 VLJOJILIOUFUTH-SFHVURJKSA-N 423.391 4.652 5 20 HJBD C[C@H](c1ccccc1Cl)N(C1CC1)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 462537409 VYZAUXKRXXRVIQ-LLVKDONJSA-N 415.298 4.816 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 463157018 WRXSTIFEHSDQSG-UHFFFAOYSA-N 409.364 4.913 5 20 HJBD CC1CCC(OC2CCN(C(=O)NCc3ccc([N+](=O)[O-])cc3Cl)CC2)CC1 467216124 JXOXFMXJUPAVBR-UHFFFAOYSA-N 409.914 4.518 5 20 HJBD COc1cc(C(=O)Nc2cccc(C(F)(F)F)c2C)c([N+](=O)[O-])cc1OC(F)F 471861238 ZZJBYNNGBLDONX-UHFFFAOYSA-N 420.290 4.784 5 20 HJBD CC(C)(C)OCc1cc(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)no1 472302683 CWNCHKCYJJHYBH-UHFFFAOYSA-N 409.442 4.745 5 20 HJBD O=C(Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1)c1ccc(Cl)cc1Br 473460437 JMOLXEGWGSAVEE-UHFFFAOYSA-N 421.638 4.653 5 20 HJBD COc1c(C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2C(C)C)cccc1[N+](=O)[O-] 477024365 ZYZYGYRYQJBQBB-UHFFFAOYSA-N 414.849 4.818 5 20 HJBD O=C1CCC[C@@H]1[C@@H]1CCCN1C(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 478510043 RZMZLEUYWGHZLH-UXHICEINSA-N 410.495 4.720 5 20 HJBD C[C@H](N[C@H](c1ccccc1)c1nccs1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 479922507 WMVWOFXHXIIOCD-SUMWQHHRSA-N 407.455 4.542 5 20 HJBD COC(=O)CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2occ(C)c2c1 480059478 UVCCTXPSWXMOCV-UHFFFAOYSA-N 414.439 4.557 5 20 HJBD O=C1CCc2cc(N[C@H](Cc3ccccc3[N+](=O)[O-])C3CC3)ccc2N1CC1CC1 480674655 YFSNFTSQPZWZHW-OAQYLSRUSA-N 405.498 4.717 5 20 HJBD O=C1CCCN1Cc1cccc(CNc2ccc([N+](=O)[O-])c(-c3ccc(F)cc3)n2)c1 485396211 UBBIFXSSPHQMDO-UHFFFAOYSA-N 420.444 4.530 5 20 HJBD Cc1cc2n(n1)[C@H](C(F)(F)F)CCN2C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 485484157 ZZVDXCWFQOWFSO-HNNXBMFYSA-N 410.396 4.551 5 20 HJBD C[C@@H]1CN(c2ncnc3sc([N+](=O)[O-])cc23)C[C@H](c2cccc(C(F)(F)F)c2)O1 488707813 FMMZYGRMXRDDSI-QMTHXVAHSA-N 424.404 4.585 5 20 HJBD CCNC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 489620163 QSHVLXYNCQALFT-UHFFFAOYSA-N 416.503 4.797 5 20 HJBD CN(C(=O)c1ccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1)C1CCCCC1 492220353 VMQVSGLNLGVHQO-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD Cc1ccc(C)c(-n2ncc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)c2C)c1 498634030 OAXZSIRCOXGGLC-UHFFFAOYSA-N 401.426 4.506 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](c2cccc(C)c2)c2ccccn2)cc1SC 499361550 XWHMGQPUURHBHE-NRFANRHFSA-N 423.494 4.548 5 20 HJBD C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)[C@@H](C)c1ccccc1C(F)(F)F 499427425 JLBKPFZGPYUPEG-OLZOCXBDSA-N 419.403 4.946 5 20 HJBD O=C(Nc1nc2c(F)c(F)ccc2s1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 499976798 SYHVTUGQIWPNFJ-UHFFFAOYSA-N 404.398 4.679 5 20 HJBD CC1(c2cccc(Br)c2)CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 508425948 NCSCMRMKGZSPGJ-UHFFFAOYSA-N 409.305 4.613 5 20 HJBD COc1ccc(N(C(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])C(C)C)cc1F 509804600 DNOCZDYLQSRACF-GFCCVEGCSA-N 410.829 4.605 5 20 HJBD Cc1cc(NS(=O)(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1Oc1cccnc1 511700956 MVVTVUVAVBFTDD-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD CCOc1ccc(-c2nc(C)c(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)s2)cc1 514901680 KCHAYBBTOLALNI-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD CCc1ccc([C@@H](NC(=O)COc2ccc(C)cc2[N+](=O)[O-])c2cccs2)cc1 515423873 CJCLIGYTOMHXMI-JOCHJYFZSA-N 410.495 4.812 5 20 HJBD CC(C)N(Cc1cc(Br)ccc1F)C(=O)Nc1cccc([N+](=O)[O-])c1 520153333 BTKNWVWGTKKNCZ-UHFFFAOYSA-N 410.243 4.939 5 20 HJBD O=C(CC1CCCCC1)Nc1cccc2c1CCCN2C(=O)c1ccc([N+](=O)[O-])o1 521341420 XSCXOPORTSIZGN-UHFFFAOYSA-N 411.458 4.690 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)c3c(Cl)cccc3[N+](=O)[O-])ccc21 521955477 HWTXQYPSZMURCC-UHFFFAOYSA-N 414.893 4.797 5 20 HJBD O=C(CSc1cccc(Br)c1)Nc1ccc([N+](=O)[O-])cc1Cl 524420996 BLVMRKKJABUPRP-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CCOc1cc(NC(=O)[C@@H](C)Oc2ccc(SC)cc2)c([N+](=O)[O-])cc1OCC 524822509 WXFLBTUYJUPBMN-CYBMUJFWSA-N 420.487 4.520 5 20 HJBD COc1cc(CNC[C@]2(C)CCCS2)ccc1OCc1ccc([N+](=O)[O-])cc1 531818224 DOMOFZGLCITDDY-NRFANRHFSA-N 402.516 4.558 5 20 HJBD CCCN(CCC)C(=O)c1ccc(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cc1 534624897 ZBIDCHLYEPHBAC-UHFFFAOYSA-N 422.485 4.520 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1 535679875 KZOSQRZDRYMTAF-AUUYWEPGSA-N 420.872 4.510 5 20 HJBD C[C@H](CC(=O)Nc1cccc([N+](=O)[O-])c1)N[C@H](C)c1nc(-c2ccccc2)cs1 536855448 ABPSFDGPUYOYKI-HUUCEWRRSA-N 410.499 4.786 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1sc(-c2ccc(F)c(F)c2)nc1C 539776493 XGSOBKABCYYYMG-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD CCc1ccc([C@@H](NCCc2nc3c(F)cccc3n2C)c2ccc([N+](=O)[O-])cc2)o1 541564246 GMAUBDFNGMPZBZ-QFIPXVFZSA-N 422.460 4.698 5 20 HJBD CC[C@@H](CC(F)(F)F)NC(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 545462616 RYEKNSVUGBRUIG-NSHDSACASA-N 419.450 4.972 5 20 HJBD CSc1ccc(-c2nc(Cc3ccc(-n4nc(C)cc4C)cc3)no2)cc1[N+](=O)[O-] 545538900 PHQXQNGXVWNBPB-UHFFFAOYSA-N 421.482 4.760 5 20 HJBD COCCCOc1cc(C)ccc1-c1noc(/C=C/c2ccc(F)cc2[N+](=O)[O-])n1 546470123 CHTAGERNSQUKOF-RMKNXTFCSA-N 413.405 4.678 5 20 HJBD COCCC[C@H](CNC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Cl)cc1 552582091 WTMRGEXAYFPODA-GDBMZVCRSA-N 422.884 4.817 5 20 HJBD C[C@H](C(=O)Nc1cccc(C[S@](=O)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 555312049 CCKCQBJBILDNHO-NOVUIFNWSA-N 422.506 4.786 5 20 HJBD CC(C)(c1nc(Cc2coc(-c3cccs3)n2)no1)c1ccc([N+](=O)[O-])cc1F 558289660 LGIVQHAOIOQSRI-UHFFFAOYSA-N 414.418 4.750 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 561139222 YHWOUZGTFMIIJG-AGILITTLSA-N 422.528 4.949 5 20 HJBD Cc1ccc(C(=O)N2CCC(Nc3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 564559960 VVGGIBYWZQTXIA-UHFFFAOYSA-N 407.392 4.639 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N[C@H](C)c3ncccc3F)cc2[N+](=O)[O-])n1 590538924 PIXJMCKGFGCFSA-LLVKDONJSA-N 418.475 4.536 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1nc(-c2ccc(Cl)s2)cs1 603946434 FVIKUBQPBZPALS-UHFFFAOYSA-N 409.876 4.841 5 20 HJBD CC(C)n1ncc2cc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)ccc21 604993068 PDCGHNUPQCMOSM-UHFFFAOYSA-N 410.477 4.724 5 20 HJBD C[C@@H](NC(=O)N(CCc1ccccn1)Cc1ccc(F)cc1)c1cccc([N+](=O)[O-])c1 608893187 SHSVWCGQQJWRRG-QGZVFWFLSA-N 422.460 4.644 5 20 HJBD COc1cc(/C=C(\CC(=O)O)c2nc3cc(Cl)ccc3s2)cc([N+](=O)[O-])c1O 609322764 KIFQTACGEQYJFC-ONNFQVAWSA-N 420.830 4.587 5 20 HJBD Cc1c(C(=O)Nc2ccc([N+](=O)[O-])cc2F)nnn1-c1ccc(-c2ccccc2)cc1 609408530 CPAXJPBAAUYEDA-UHFFFAOYSA-N 417.400 4.542 5 20 HJBD COc1ccc(CNCc2csc(COc3ccc(Cl)cc3)n2)cc1[N+](=O)[O-] 609598691 QVXBWNWDPVXWFN-UHFFFAOYSA-N 419.890 4.582 5 20 HJBD CC(C)(C(=O)Nc1nc(-c2ccc3c(c2)CCO3)cs1)c1ccc([N+](=O)[O-])cc1 610038567 IUUPHDBUCWTRRO-UHFFFAOYSA-N 409.467 4.570 5 20 HJBD CCOc1ccc2c(c1)[C@@H](NC(=O)c1cc([N+](=O)[O-])ccc1SC(C)C)CCO2 614101012 JFOXHJCSWDAFDV-SFHVURJKSA-N 416.499 4.748 5 20 HJBD O=C1Nc2ccccc2[C@]12CCN(Cc1ccc(-c3ccc([N+](=O)[O-])cc3Cl)o1)C2 614660631 YELVWVMFLIJNDW-JOCHJYFZSA-N 423.856 4.604 5 20 HJBD Cc1cc(N2C[C@@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)c(Br)cc1[N+](=O)[O-] 616059958 FSMWQLZTNNNAQO-OCAPTIKFSA-N 421.135 4.843 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC3(CC2)OCc2ccccc23)nc1-c1ccc(F)cc1 619527389 UTSWJQYWZLCKAF-UHFFFAOYSA-N 405.429 4.822 5 20 HJBD Cc1ccc(-n2nc(C(C)C)cc2NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cc1 739662185 WXIUERMQGPVVIV-UHFFFAOYSA-N 423.429 4.681 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)COc2ccc([N+](=O)[O-])c(F)c2)cc1 741641554 YVAFBSYUPSHSGF-HNNXBMFYSA-N 424.428 4.666 5 20 HJBD C[C@H](OC(=O)c1ccccc1C(F)(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 744483632 MIKMQHLVDSGRAV-JTQLQIEISA-N 407.304 4.582 5 20 HJBD CC[C@@H](C)Oc1cc(/C=C\c2ccnc(-c3cccc([N+](=O)[O-])c3)[n+]2[O-])ccc1OC 749452780 NUXYWNKSDHYKPT-SIJIIQCFSA-N 421.453 4.647 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])N1CCC(c2nc(C(C)(C)C)cs2)CC1 750067199 MOFRFDLNGWXKOQ-AWEZNQCLSA-N 416.547 4.555 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCCO1)c1cccc(Cl)c1[N+](=O)[O-] 750181040 QDPFKLGNQSDBOV-GFCCVEGCSA-N 411.241 4.712 5 20 HJBD O=C(N[C@@H](COc1ccccc1F)c1ccccc1)c1cccc(Cl)c1[N+](=O)[O-] 750184536 LCTZJTNHUJOERN-SFHVURJKSA-N 414.820 4.937 5 20 HJBD O=C(N[C@@H]1CSc2ccccc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 750880809 XPJPSKDZESGBEX-LLVKDONJSA-N 413.680 4.588 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC[C@H]1c1cc(F)cc(Br)c1 767155045 WIHABQUTLOLZCE-INIZCTEOSA-N 411.202 4.613 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN3CCC(O)(c4ccccc4)CC3)o2)c(Cl)c1 768527547 YYRZZMAVKHBLHD-UHFFFAOYSA-N 412.873 4.992 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1cc(-c2ccccc2)no1 776136027 UVOGTGBEBBKPTM-NRFANRHFSA-N 401.378 4.591 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1Cl 781543223 KNZDJXAUKBCVOB-UHFFFAOYSA-N 417.727 4.747 5 20 HJBD CCCNc1ccc(Cl)cc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 782009956 BQTNVWXKPHVLDO-UHFFFAOYSA-N 406.826 4.550 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(c1ccsc1)C1CCCCC1 785492367 FVZHIHYRFPZGSJ-UHFFFAOYSA-N 403.460 4.863 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nnc([C@H]3CCCc4ccccc43)s2)c1F 791033526 DMRTYMJEPGHZKT-HNNXBMFYSA-N 412.446 4.614 5 20 HJBD Nc1ccc([N+](=O)[O-])c(NCc2ccc(NC(=O)CCCOc3ccccc3)cc2)c1 800846405 KLAMAIDJTATGEI-UHFFFAOYSA-N 420.469 4.587 5 20 HJBD CON(CC(C)(C)C)C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 804585421 LRVBHVNQISITSD-UHFFFAOYSA-N 419.865 4.550 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1C[C@H](c2ccccc2)[C@H]2COCC[C@H]21 813250303 LYTSGHOTTIJIJK-JFIYKMOQSA-N 421.280 4.546 5 20 HJBD C[C@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)[C@@H]1OCCc2sccc21 815249875 KHUXKIMNBKFLHO-QFYYESIMSA-N 415.389 4.668 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 914454384 ZMTZADXWIVDXDD-LLVKDONJSA-N 411.336 4.663 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 914743464 ADANFEQBYFCQBX-BCIKPAMSSA-N 410.314 4.634 5 20 HJBD Cc1cc(C(=O)O[C@@H](C(=O)Nc2ccccc2C(F)(F)F)C(C)C)ccc1[N+](=O)[O-] 915369464 BRIFLXTUGFHCIN-QGZVFWFLSA-N 424.375 4.742 5 20 HJBD CCCCCCn1nc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c2ccccc2c1=O 1333055495 KQLFVCVAYJCKPM-UHFFFAOYSA-N 419.441 4.602 5 20 HJBD O=C(Nc1nnc(SCc2ccc(Cl)cc2)s1)c1cccc([N+](=O)[O-])c1 6334777 DLEQRSODFXPFLV-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])cc([N+](=O)[O-])c2C)cc1 11516704 SARHYWNWCKWBLT-UHFFFAOYSA-N 423.381 4.865 5 20 HJBD Cc1c(NC(=O)c2cnn(-c3cccc(C(F)(F)F)c3)c2C)cccc1[N+](=O)[O-] 29602233 FIPRDWDAJUZBRX-UHFFFAOYSA-N 404.348 4.668 5 20 HJBD CCOc1cc(NC(=O)COc2ccc(C(C)C)cc2)c([N+](=O)[O-])cc1OCC 61141153 DYBQXPYPJLWCSD-UHFFFAOYSA-N 402.447 4.533 5 20 HJBD CC(C)(CNc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(Cl)c1 63739432 KVRYUGDOSGKMIJ-UHFFFAOYSA-N 401.894 4.874 5 20 HJBD Cc1ccccc1OCCCN(C)C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64933738 MOEAPDDGKOPYNS-UHFFFAOYSA-N 411.483 4.568 5 20 HJBD C[C@H](Sc1nc(-c2ccco2)nc2ccccc12)C(=O)Nc1ccccc1[N+](=O)[O-] 71817026 NOARQBIDZNJDDQ-ZDUSSCGKSA-N 420.450 4.917 5 20 HJBD COc1cc2c(cc1NC(=O)c1n[nH]c3ccc([N+](=O)[O-])cc13)oc1ccccc12 71885476 WNVRZRBUNWSMJO-UHFFFAOYSA-N 402.366 4.631 5 20 HJBD O=C(OCc1ccccc1)c1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 78557390 BLKSEQYDSNAVAT-UHFFFAOYSA-N 410.813 4.858 5 20 HJBD O=C(Nc1ccc(C(=O)N2CCCCC2)c(Cl)c1)c1ccc([N+](=O)[O-])cc1Cl 78607089 OTWIBDIOQMATBT-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CCN(CC(=O)Nc1ccc([N+](=O)[O-])cc1Br)[C@@H](C)c1ccc(F)cc1 108128959 RMKIEPRNYMKXII-LBPRGKRZSA-N 424.270 4.518 5 20 HJBD Cc1nc2ccccc2c(-c2ccccc2)c1CC(=O)NCc1cccc([N+](=O)[O-])c1 195716017 XQTHERKYTJSYDI-UHFFFAOYSA-N 411.461 4.977 5 20 HJBD CCCN(C(=O)c1ccc(OC)c([N+](=O)[O-])c1)[C@H](C)c1ccccc1Br 302992792 SEOSPEHPHVJBJS-CYBMUJFWSA-N 421.291 4.979 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@H]1C[C@@H]1c1cccc(C(F)(F)F)c1 303747392 AVHRMMCAQDEPLM-MOPGFXCFSA-N 406.404 4.856 5 20 HJBD Cc1cnc(C2CCN(Cc3csc(-c4cccc([N+](=O)[O-])c4)n3)CC2)s1 433027936 ACHFPBJWVRZMMY-UHFFFAOYSA-N 400.529 4.863 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCOC[C@H](C2CCC2)C1 433165416 BYTOUESXVVCIGX-QGZVFWFLSA-N 411.458 4.668 5 20 HJBD CCCN(C(=O)c1cccc(OC)c1[N+](=O)[O-])[C@@H](c1ccc(F)cc1)c1cccnc1 435870370 FKWBHQZSLIILET-NRFANRHFSA-N 423.444 4.779 5 20 HJBD CN(C)c1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c(C(F)(F)F)c1 437377252 YVJNTGTYOWGBLZ-UHFFFAOYSA-N 422.407 4.876 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nnc(C(C)C)n2c1 438499849 HAPYOXBCBPLKOZ-UHFFFAOYSA-N 413.503 4.905 5 20 HJBD CC(C)n1cnnc1-c1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 439646138 NCWWTKHHEXAZQG-UHFFFAOYSA-N 407.455 4.901 5 20 HJBD C[C@H](N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Br)s1 444770489 ZHRPEXGGXCPOCR-VHSXEESVSA-N 423.292 4.881 5 20 HJBD CC(C)N(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1cnc2ccccc2c1 448456935 NQKCQDHHVNUHSF-UHFFFAOYSA-N 418.497 4.801 5 20 HJBD O=C(COc1c(Cl)cccc1Cl)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 460354655 ALWMCURTBBUZQM-KRWDZBQOSA-N 413.282 4.555 5 20 HJBD COc1cc(CNc2nccc3sccc23)c([N+](=O)[O-])cc1OCC(F)(F)F 460657805 OGJKSPQFQSGJGF-UHFFFAOYSA-N 413.377 4.766 5 20 HJBD C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 461307412 IYAGBBLVLGGAOY-PIGZYNQJSA-N 407.239 4.720 5 20 HJBD Cc1ccc(CN(Cc2cccc(Br)c2)C2CCOCC2)cc1[N+](=O)[O-] 467354783 MWHIYEYDMYNDEF-UHFFFAOYSA-N 419.319 4.847 5 20 HJBD COC(=O)c1ccccc1-c1ccccc1C(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 468894949 IHVDJMCUPNETBX-OAHLLOKOSA-N 404.422 4.539 5 20 HJBD Cc1c(COC(C)(C)C)cccc1NC(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 474778782 YYEXMTBXESHOJT-UHFFFAOYSA-N 408.458 4.656 5 20 HJBD CCOc1cc(C(=O)N(C)C2c3ccccc3-c3ccccc32)c([N+](=O)[O-])cc1OC 477556533 ZGCNGQGZCGSUMD-UHFFFAOYSA-N 418.449 4.844 5 20 HJBD CCC[C@H](N[C@@H](c1cc(OC)cc(OC)c1)c1nccn1C)c1cccc([N+](=O)[O-])c1 480341993 KSUCATZTVOIMFK-VXKWHMMOSA-N 424.501 4.566 5 20 HJBD O=[N+]([O-])c1cccc(F)c1CSc1nnc(-c2ccccn2)n1-c1ccccc1 481337821 JMLSRSZGDCTDNV-UHFFFAOYSA-N 407.430 4.669 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(C)Cc2csc3ccccc23)cc1SC 484718789 ANHHQVSWRSCIEL-UHFFFAOYSA-N 402.497 4.812 5 20 HJBD COc1ccccc1N(C(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(C)C 484905127 FFIWTQHFULKRTO-UHFFFAOYSA-N 400.478 4.605 5 20 HJBD CSc1cccc(C(=O)Nc2ccn(-c3cccc(C(F)(F)F)c3)n2)c1[N+](=O)[O-] 485518107 SWZRHXBOCBETNY-UHFFFAOYSA-N 422.388 4.774 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)[C@@H](C)c2cc3ccccc3o2)c(C)c([N+](=O)[O-])c1 486857493 KMSJGASRWJQKGB-ZDUSSCGKSA-N 411.414 4.661 5 20 HJBD C[C@@H](NC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1nc2ccccc2n1C(F)F 488154826 VLFUZNUZBMWZKR-GFCCVEGCSA-N 417.416 4.635 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc2c(c([N+](=O)[O-])c1)OCO2)c1ccccc1 489418175 IUVARACYPNVCJT-AWEZNQCLSA-N 406.394 4.716 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N(CC(F)F)c1ccc(F)cc1 490319717 CQKJRMSPTYLBJY-UHFFFAOYSA-N 410.395 4.592 5 20 HJBD O=C(c1ccc(COc2ccc(F)cc2)o1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 490397838 LJVCQOXEWICDKI-UHFFFAOYSA-N 410.401 4.711 5 20 HJBD C[C@@H](NC(=O)N[C@@H](c1c[nH]c2ccccc12)C(F)(F)F)c1ccccc1[N+](=O)[O-] 490768766 LVTPNXBYTFXDAS-DIFFPNOSSA-N 406.364 4.740 5 20 HJBD O=C(c1cccc(Oc2ccncc2)c1)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 498149643 OVZBGPFQESQZHA-NRFANRHFSA-N 407.451 4.670 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)cc([N+](=O)[O-])c1 498498237 YDIDBNYGRGAXQG-LBPRGKRZSA-N 424.375 4.624 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1)N[C@H](c1ccc(F)cc1)c1ccccc1Cl 502336195 CUKCYEOXUIJPOB-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD Cn1ccnc1Sc1ccc(F)cc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 502452500 WWTWEOADGMEDKR-UHFFFAOYSA-N 406.826 4.524 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@](C)(c2ccccc2)C(F)(F)F)cc1SC 504594328 VZKNDPDHTNNULD-QGZVFWFLSA-N 414.405 4.533 5 20 HJBD CCOc1cc(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])cc1OC 504704110 SVKLQWILUJOPMC-AWEZNQCLSA-N 418.515 4.994 5 20 HJBD COCCSc1ccc([C@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1 504722164 TVJNWNMNNCEHST-GJZGRUSLSA-N 420.556 4.691 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccc(OCC(F)(F)F)c(Cl)c1 504931010 RNWOCKJGZIVRCM-UHFFFAOYSA-N 417.771 4.853 5 20 HJBD CN(CCNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)Cc1ccccc1 509544070 PRDFYENIYOXNNT-UHFFFAOYSA-N 421.522 4.608 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN[C@H]3CCC[C@H]3Oc3cc(F)cc(F)c3)co2)cc1 513737081 UFIFPZAZUJMQLC-VQTJNVASSA-N 415.396 4.618 5 20 HJBD CC(C)(C)Cc1nc2cc(NC(=O)c3ccc(-n4ccnc4)c([N+](=O)[O-])c3)ccc2o1 517490475 HPFSYVYCYAJIRS-UHFFFAOYSA-N 419.441 4.763 5 20 HJBD C[C@H](CC(=O)Nc1n[nH]c(-c2cccc([N+](=O)[O-])c2)n1)c1cccc(C(F)(F)F)c1 523408155 IDCHVNXIYMUBKR-LLVKDONJSA-N 419.363 4.531 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)C1 524130774 YMGTZKHTQGVMRL-ZIAGYGMSSA-N 405.401 4.915 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC(c2c(C)[nH]c3ccccc23)CC1 532925370 WPWLMXKQVMKPPF-UHFFFAOYSA-N 406.486 4.511 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)Nc2ccccc2-c2nc3ccccc3[nH]2)c1 537328354 AKSXYQKWFFYZQF-UHFFFAOYSA-N 416.437 4.854 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)c1ccc(Br)cn1 537429270 UGHOOGYKVOHZFW-UHFFFAOYSA-N 424.320 4.921 5 20 HJBD CCN(CC(=O)Nc1c(C)cccc1C)Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 537635738 SBFSTRXWPAWIEX-UHFFFAOYSA-N 424.526 4.796 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(C(=O)N2CCC(C)CC2)cc1 537707587 DVWCGSVPXHOJCQ-UHFFFAOYSA-N 409.486 4.644 5 20 HJBD CC(C)[C@@H]1C[C@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)CCO1 537864323 VSAZPGRYAKOZHU-OFNKIYASSA-N 420.513 4.741 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(N4CCCCC4)cc3)no2)cc1 540856957 VHBRRZNYNGSVCK-UHFFFAOYSA-N 400.385 4.775 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccc(F)cc1OCC(F)F 541272019 DOSAPJKAOSAFLK-UHFFFAOYSA-N 420.796 4.762 5 20 HJBD CN(CCc1ccncc1)Cc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 541761756 XMOVTSIQJXOZCS-UHFFFAOYSA-N 416.275 4.687 5 20 HJBD O=[N+]([O-])c1ccc(CNc2cccc(CS(=O)(=O)c3ccccc3)c2)c(Cl)c1 542006393 ZHCQQRJKUIKWAB-UHFFFAOYSA-N 416.886 4.834 5 20 HJBD CCCc1noc2nc(C3CC3)cc(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)c12 544907652 ZGQVUKRVRYXMID-UHFFFAOYSA-N 405.414 4.602 5 20 HJBD Cc1cc(-c2nc3ccc(F)cc3n2C(C)C)ccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] 548943834 RENKICBXUSZBKH-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD CC(C)(C(=O)N1CCC(c2c[nH]c3ccc(F)cc23)CC1)c1ccc([N+](=O)[O-])cc1 564029102 FMZYTUBMYBEREO-UHFFFAOYSA-N 409.461 4.899 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@H](C)c1nc2ccccc2n1C(F)F 564447879 YCVODNPNERZWQJ-LLVKDONJSA-N 403.389 4.785 5 20 HJBD COc1ccc(C)cc1CNCc1ccc(OCc2ccc([N+](=O)[O-])cc2)c(OC)c1 603557179 YLNLLLKALKSDKX-UHFFFAOYSA-N 422.481 4.789 5 20 HJBD O=C(Nc1ccc(-c2cn3ccsc3n2)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 603727128 DNURYBSAUJXQFS-UHFFFAOYSA-N 404.407 4.970 5 20 HJBD CCN(Cc1ccncc1)C(=O)c1ccc(Sc2nc(C)cs2)c([N+](=O)[O-])c1 603924438 VGYLZFGRBNTRBV-UHFFFAOYSA-N 414.512 4.568 5 20 HJBD Cn1ncc2c(O)nc(/C=C\c3ccc(Oc4ccccc4Cl)c([N+](=O)[O-])c3)nc21 603942059 BVXIWTXNGXQCHU-CLFYSBASSA-N 423.816 4.593 5 20 HJBD C[C@H](NC(=O)NCc1ccc(OCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1 604131158 XMUSMJDTSPPLDL-KRWDZBQOSA-N 405.454 4.734 5 20 HJBD CCCCN([C@H](C)c1ccc(C)o1)S(=O)(=O)c1c(Cl)cccc1[N+](=O)[O-] 604494376 OKKQQLQAQWETKB-CYBMUJFWSA-N 400.884 4.702 5 20 HJBD COc1cc2nc(C)sc2cc1N[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 608858731 MATNGVGVOZPCOE-SNVBAGLBSA-N 411.443 4.745 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1Nc1cccc(C(F)(F)F)c1)[C@@H]1CCCOC1 609019518 PJFMWEGQYKJBCN-GFCCVEGCSA-N 409.364 4.722 5 20 HJBD O=C(c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)N1CC[C@H](Nc2ccccc2)C1 609113451 MCQKZBXKGIPUMY-NRFANRHFSA-N 416.481 4.534 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])CC2 609305111 YMUJRCFPVUOZHU-HNNXBMFYSA-N 410.417 4.818 5 20 HJBD O=C(CNc1ccccc1[N+](=O)[O-])Nc1cccc(Cl)c1SCC(F)F 609912254 BHXNRRQHXHFKGP-UHFFFAOYSA-N 401.822 4.656 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CCCCC[C@H]2c2ccc(C)o2)cc1OC 609984453 GAOGBSDCCGYVTG-INIZCTEOSA-N 402.447 4.661 5 20 HJBD CCc1ccc(C(=O)N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610434022 RLOWMMFBGVZJCQ-ITGUQSILSA-N 412.330 4.797 5 20 HJBD Cc1cc(N2CCN(C)CC2)ccc1NC(=O)c1cc2ccccc2c2cccnc12 643117037 LLSCZIINWJZGNS-UHFFFAOYSA-N 410.521 4.701 5 20 HJBD COc1ccccc1[C@@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1Br 729956175 WKZPONGIZAOOSU-KRWDZBQOSA-N 419.275 4.733 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 731416684 VCVRDYFUQFIMJD-UHFFFAOYSA-N 401.469 4.836 5 20 HJBD O=C(Nc1sccc1C(=O)Nc1cccc([N+](=O)[O-])c1)c1ccccc1Cl 733123259 DTCVRVMFUXXNOE-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)CCc1nc(-c2ccc(Cl)cc2)no1 735644634 PMYUVTWDJSPRHT-UHFFFAOYSA-N 422.224 4.798 5 20 HJBD CC(=O)Nc1ccc(S[C@H](C)C(=O)Nc2cc([N+](=O)[O-])c(Cl)cc2C)cc1 735820335 BPYIHOSXKZFZKH-LLVKDONJSA-N 407.879 4.634 5 20 HJBD O=C(Nc1ccc(NC[C@@H]2CCCO2)c(F)c1)Nc1ccc(Cl)c([N+](=O)[O-])c1 740431776 XTXIDIKLFFTEJW-ZDUSSCGKSA-N 408.817 4.622 5 20 HJBD CC[C@@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1cccc(OC)c1 741134331 SGAQSHIHIFKOMZ-HSZRJFAPSA-N 401.462 4.858 5 20 HJBD O=C(Cc1ccc(NCc2cc(F)ccc2[N+](=O)[O-])cc1)N1CCCc2ccccc21 742261272 XSOPKJNEUZSNEV-UHFFFAOYSA-N 419.456 4.868 5 20 HJBD CCc1ccc(-c2ccc(C(=O)OCC(=O)Nc3c(C)cccc3[N+](=O)[O-])o2)cc1 745595343 RAHBJNRULKAMES-UHFFFAOYSA-N 408.410 4.521 5 20 HJBD C[C@H](OC(=O)CSc1ccc([N+](=O)[O-])cc1)c1nnc(-c2cccc(Cl)c2)o1 745952432 SNUVEANKJQDDQY-NSHDSACASA-N 419.846 4.695 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c2cc(Br)ccc2n1 751072822 QAZLFEZWGSHGKC-UHFFFAOYSA-N 422.185 4.744 5 20 HJBD COc1cccc([C@H](OC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c2ccncc2)c1 754465729 QPWPLVUEAZLJDS-LHSJRXKWSA-N 410.401 4.574 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1nc2ccccc2n1C(F)F 766219894 CTTSWDQGOCIBDS-LLVKDONJSA-N 412.352 4.806 5 20 HJBD O=C(N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cc(F)c([N+](=O)[O-])cc1F 767991087 NMOAXEVSBULIKT-SFHVURJKSA-N 417.799 4.635 5 20 HJBD O=C(Nc1ccc(SC(F)F)nc1)c1csc(-c2cccc([N+](=O)[O-])c2)n1 771276535 ZCYFNNAMIZTELN-UHFFFAOYSA-N 408.411 4.680 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1nc(Cl)ccc1Cl 776139559 SOTFBZXUOAKKCC-QGZVFWFLSA-N 404.209 4.638 5 20 HJBD CC(C)(C)C[C@H](NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br)C(F)(F)F 778458275 VNJHAYUGQBKYOD-JTQLQIEISA-N 415.181 4.593 5 20 HJBD C[C@H](OC(=O)C1C[C@H](C)C[C@@H](C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 780233542 FNCWYFFUGVJVFL-UTUOFQBUSA-N 416.396 4.556 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1F)N1CCC(c2ccccc2Br)CC1 784261608 MHUYNQOACGDKIK-UHFFFAOYSA-N 407.239 4.516 5 20 HJBD Cc1ccc(-n2c(SCc3ccc([N+](=O)[O-])c(F)c3)nnc2N2CCCC2)cc1 787491228 MPQGBFBQNMNXID-UHFFFAOYSA-N 413.478 4.516 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2nc(-c3ccc(-n4cccn4)cc3)cs2)c1F 790506598 WAYCCMNDWISSRX-UHFFFAOYSA-N 423.429 4.604 5 20 HJBD COc1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)c(C)cc1C 794368177 WWRKCFSOQWNYLT-UHFFFAOYSA-N 413.655 4.888 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(OC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])c1 796859621 GAPCPGXSOFPOSB-UHFFFAOYSA-N 414.458 4.503 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(NC(=O)OC)c1C 798052510 JHJQGQXVBKVYEM-UHFFFAOYSA-N 403.460 4.836 5 20 HJBD O=c1oc2ccc([N+](=O)[O-])cc2n1CCCSCc1cc(Cl)nc(Cl)c1 808359582 CQAUQYJKALDGFE-UHFFFAOYSA-N 414.270 4.528 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCCC2=O)cc1 815119221 QXTDSXWWZRQWOY-CQSZACIVSA-N 421.419 4.981 5 20 HJBD C[C@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCOC2=O)cc1 815124239 ZIWCIPZNQHSPAJ-ZDUSSCGKSA-N 423.391 4.811 5 20 HJBD O=C(Nc1ccc(-n2cnc3ccccc32)nc1)c1cc2cc([N+](=O)[O-])ccc2s1 914455733 WJOITVIXCFPLCI-UHFFFAOYSA-N 415.434 4.796 5 20 HJBD Cc1ccc(-c2nc(C)c(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)s2)o1 915191324 HUROUKFKRVHSPO-UHFFFAOYSA-N 405.863 4.854 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1COC(=O)[C@@H](C)Sc1ccc([N+](=O)[O-])cc1 917366682 YZKBNLRASOIBET-MRXNPFEDSA-N 411.483 4.621 5 20 HJBD O=C(O[C@H](C(=O)c1c[nH]c2ccccc12)c1ccccc1)c1ccc([N+](=O)[O-])cc1F 919569224 PBYFYHPJHLPOSC-QFIPXVFZSA-N 418.380 4.996 5 20 HJBD COc1ccccc1COC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 919679242 RUTAHAPBVCFHIY-HNNXBMFYSA-N 407.426 4.529 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3)oc2c1)N1Cc2ccc([N+](=O)[O-])cc2C1 1116255543 CJYGBZZHCBPUJP-UHFFFAOYSA-N 414.421 4.875 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C[C@@H](O)c2cc(Cl)cc(Cl)c2)n1 1320751797 DVLSVVNBSOWCGM-MRXNPFEDSA-N 408.241 4.845 5 20 HJBD CC(C)OCc1nc([C@@H](C)NCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)no1 1324208760 QUTMDNFUZXGSPA-GFCCVEGCSA-N 420.853 4.667 5 20 HJBD O=C(Nc1ccccc1SC(F)F)C1CCN(c2ccccc2[N+](=O)[O-])CC1 14603255 PCFUTENJTSXWHS-UHFFFAOYSA-N 407.442 4.765 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CCC(c2nc3cc(Cl)ccc3o2)CC1 47671821 KTGAANOZMMYRIK-UHFFFAOYSA-N 400.822 4.801 5 20 HJBD O=C(Nc1ccc2c(c1)oc1ccccc12)N1CCN(c2cccc([N+](=O)[O-])c2)CC1 48327959 HFFXPHJMRRPKQT-UHFFFAOYSA-N 416.437 4.848 5 20 HJBD O=C(Nc1ccc(N2CCOCC2)c(F)c1)c1cc2ccccc2c2cccnc12 110762167 NIXJKHDOSLIXGD-UHFFFAOYSA-N 401.441 4.616 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2cc([N+](=O)[O-])ccc2Br)c1 133316272 LXGCYIYWNHUBAC-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H](c2ccc(Cl)cc2)c2ccsc2)c1 147834229 NNOJYKXDICZLFA-SFHVURJKSA-N 402.859 4.838 5 20 HJBD CN(Cc1ccc([S@](C)=O)cc1)c1cc(Cl)c(C(F)(F)F)cc1[N+](=O)[O-] 301594738 ROYKRGYZYADAMJ-SANMLTNESA-N 406.813 4.641 5 20 HJBD COc1ccccc1[C@@H](NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1)c1ccccc1 303338456 XYCIGYRKXVZDMS-QHCPKHFHSA-N 417.465 4.697 5 20 HJBD Cc1ccc(Sc2ccc(CN[C@@H]3CCC[C@@]3(C)C(=O)O)cc2[N+](=O)[O-])cc1 426745688 HQIYHTRZXDEMME-TZIWHRDSSA-N 400.500 4.787 5 20 HJBD CCCOc1ccc(C(F)(F)F)cc1NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433852892 OUHNNOVCNUYYBK-UHFFFAOYSA-N 400.357 4.501 5 20 HJBD Cc1cc(C)n(-c2ccccc2NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)n1 436113138 XYNOZXXGUADKDJ-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD CC[C@@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1ncc(-c2ccccc2)[nH]1 436147553 NJAOWZWMZCMPIE-HXUWFJFHSA-N 422.488 4.953 5 20 HJBD O=C(NCc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1ccccc1[N+](=O)[O-] 437024476 VPZRKFSKDMFFSJ-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD O=C(N[C@@H]1CCSc2c(F)cccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 438014287 BZPKLTHXOAJTHC-QGZVFWFLSA-N 401.463 4.645 5 20 HJBD Cc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1OC(F)F 444034350 TVFBLRDKPNCVSQ-UHFFFAOYSA-N 412.367 4.983 5 20 HJBD C[C@H]1Cc2cc(F)ccc2[C@H](C)N1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 444292595 RYCDVAAVOMRTOC-GJZGRUSLSA-N 400.453 4.968 5 20 HJBD O=C(C[C@@H]1CCCCO1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 446176555 XHJMLBDMEKOSKC-HNNXBMFYSA-N 422.840 4.755 5 20 HJBD Cc1cnc([C@H](NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C2CC2)s1 460322747 ABHDXRHMOPANHA-LJQANCHMSA-N 408.483 4.984 5 20 HJBD CC(C)CN(C(=O)c1cc(C(=O)N(CC(C)C)C(C)C)cc([N+](=O)[O-])c1)C(C)C 460657338 NPNOGIVVRXUIBQ-UHFFFAOYSA-N 405.539 4.608 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)n2)cc1 463966940 IQKZLVKNFLHWKG-CQSZACIVSA-N 409.446 4.707 5 20 HJBD Cc1c(F)cccc1NC(=O)c1ccccc1C(=O)c1ccc(N(C)C)c([N+](=O)[O-])c1 465271648 DAGBPHRYXHFVJH-UHFFFAOYSA-N 421.428 4.592 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CNC1CCN(Cc2ccc(F)c(F)c2)CC1 468656043 QVIOVDFOWJAKLA-LFIBNONCSA-N 401.457 4.531 5 20 HJBD CCc1cc(N(C)[C@H](C)c2ccc([S@@](C)=O)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 474176219 YDKLVJQAGTXLTB-DHMKHTPVSA-N 424.526 4.549 5 20 HJBD O=C(c1cc(-c2ccc(F)cc2)on1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 474635317 ASCSHMYNYQPWAL-UHFFFAOYSA-N 423.322 4.594 5 20 HJBD O=C1CCCC(=O)N1c1cccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)c1 475347294 QXMITQJTIIKDDZ-UHFFFAOYSA-N 405.410 4.510 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O 476129948 BLLOLXLPFLDZJC-FUHWJXTLSA-N 424.284 4.640 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)N(C)Cc1ccccc1[N+](=O)[O-] 478883977 KCQHONOIDAHIFU-UHFFFAOYSA-N 419.359 4.849 5 20 HJBD C[C@H](NC[C@@H]1CCN(CC(F)(F)F)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 481339621 QSTDNPBVJCYKEU-KBPBESRZSA-N 413.465 4.858 5 20 HJBD Cc1ccc(NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)cc1-c1ncco1 481897764 UOCDPRPMSHLRTP-UHFFFAOYSA-N 416.231 4.502 5 20 HJBD O=C(NCc1ccccc1[N+](=O)[O-])N[C@H](c1cccc(C(F)(F)F)c1)C(F)(F)F 482347716 DXKZMQJUFGAKAF-CQSZACIVSA-N 421.297 4.716 5 20 HJBD COc1ccccc1OC[C@H](C)CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 482817910 NRKXOSNAOUBERW-OAHLLOKOSA-N 413.499 4.532 5 20 HJBD CCOc1cc(C(=O)Nc2nc3c(Cl)cccc3s2)c([N+](=O)[O-])cc1OC 486180804 BDSULGAFWJWNAU-UHFFFAOYSA-N 407.835 4.518 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 488282502 RIPGVASBDAWZCS-QGZVFWFLSA-N 412.471 4.687 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](C[C@H](C)O)c1ccccc1 490586307 MJOVDHZYHBCMEC-FUHWJXTLSA-N 416.543 4.627 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C(=O)N3CCC(C)CC3)cc2)cc1[N+](=O)[O-] 493237246 MBWSBQQABMUTIN-QGZVFWFLSA-N 424.501 4.658 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccn(Cc2ccccc2)c1=O 503422991 ZURASEOMZWECPK-UHFFFAOYSA-N 423.494 4.559 5 20 HJBD CCOc1ccc([C@@H](C)N[C@H](C)c2ccc(NC(=O)NC3CC3)cc2)cc1[N+](=O)[O-] 504906478 YRLKYAXYKQMVQM-HUUCEWRRSA-N 412.490 4.689 5 20 HJBD Cc1nc(COc2ccc(F)cc2)sc1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 505019378 UAUVBQYRKBMESQ-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD O=C(c1ccc(Cl)cc1NCc1c(F)cc([N+](=O)[O-])cc1F)N1CCCCC1 506259203 OCNVVVWHFVOYTQ-UHFFFAOYSA-N 409.820 4.765 5 20 HJBD Cc1cc(Cc2noc(-c3ccc(OCc4ccncc4)cc3)n2)ccc1[N+](=O)[O-] 516123868 RRPATFVLCYXSHU-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD C[C@@H](Cc1ccsc1)NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 516529815 KNIZNLDFSCSDJV-JTQLQIEISA-N 404.435 4.515 5 20 HJBD C[C@@H](Nc1cccc(COCCc2ccccc2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1 518909559 LDBKNDDGTMDCDP-GOSISDBHSA-N 419.481 4.793 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])N[C@@H]1CCCc2nc(-c3ccc(F)cc3)sc21 520566453 WHEZWBQLBXIQRV-MRXNPFEDSA-N 411.458 4.594 5 20 HJBD O=C(Nc1cnn(CC(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 522820601 LIBOXLLFUWRJJD-UHFFFAOYSA-N 422.388 4.757 5 20 HJBD CN(c1ccccc1)c1ccc(CN[C@@H](CCCO)c2ccccc2)cc1[N+](=O)[O-] 524883291 ZGLBIMNXMZREAU-QFIPXVFZSA-N 405.498 4.966 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H](CO)c3ccc(C(F)(F)F)cc3)o2)cc1 535369655 MUVYXJDVEKWPTA-GOSISDBHSA-N 406.360 4.697 5 20 HJBD COC(=O)c1cc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3SC(C)C)ccc2o1 537097685 YNKPMONVTLEHMY-UHFFFAOYSA-N 414.439 4.880 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 538045469 MQIUENGUKQHJSM-MAUKXSAKSA-N 407.829 4.656 5 20 HJBD COc1ccc(-c2nc(C)c(C(=O)Nc3ccc([N+](=O)[O-])c(C)c3)s2)cc1OC 538552345 ZXQDJFOCZKVJKE-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN[C@@H](CC(F)(F)F)c3ccc(F)cc3)n2)c1 539276224 LUAGIESPBHRKLO-HNNXBMFYSA-N 410.327 4.567 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)c1ccccc1[N+](=O)[O-] 540389965 AGKZJEMHQMEUHH-SGTLLEGYSA-N 413.865 4.732 5 20 HJBD C[C@H](NCc1ccc(C(N)=O)cc1[N+](=O)[O-])c1ccc(-c2ccc(Cl)cc2)s1 543556632 BGMVVLLJLXUNEG-LBPRGKRZSA-N 415.902 4.926 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544412393 IMAIHJUZJXBYOY-NSHDSACASA-N 407.829 4.868 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(-c4cc(-c5cccc(F)c5)no4)n3)c2c1 545143456 WEHJVADBGDTIQB-UHFFFAOYSA-N 405.345 4.511 5 20 HJBD Cc1ccc(-c2nc(-c3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)cs2)o1 545781026 HMXZJNRTIRUQFC-UHFFFAOYSA-N 407.411 4.742 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc2nc(C(F)F)sc2c1 553659317 PZWSXOWAHQJHSJ-UHFFFAOYSA-N 406.414 4.973 5 20 HJBD C[C@H](C(=O)N[C@H](c1ccc(F)c(Cl)c1)C(F)(F)F)c1cccc([N+](=O)[O-])c1 555871305 LZQDKNVJKGPANR-BJOHPYRUSA-N 404.747 4.911 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NC1(c2cccc(C(F)(F)F)c2)CC1 555980942 YKAAPKJPICIUTM-GFCCVEGCSA-N 410.417 4.900 5 20 HJBD C[C@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1c[nH]c2cc([N+](=O)[O-])ccc12 558008035 YJMFHPTUBRWDMT-GFCCVEGCSA-N 405.376 4.798 5 20 HJBD Cc1cc(C(=O)N[C@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)ccc1[N+](=O)[O-] 560812288 AAOBOESCUBOZBR-IBGZPJMESA-N 422.403 4.820 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2cc(O)nc3ccccc23)no1 567519058 LBRZMMFHBKWSIL-JTQLQIEISA-N 412.789 4.692 5 20 HJBD CC(C)c1scnc1NC(=O)c1ccc(N2C[C@@H](C)C[C@H](C)C2)c([N+](=O)[O-])c1 589126587 UZQZNWHZRJYUQM-KBPBESRZSA-N 402.520 4.909 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C1CC1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 603940579 NIAMMTHMHPCLLF-GFCCVEGCSA-N 414.405 4.528 5 20 HJBD CCOc1cc2c(cc1CNCc1ccc(-c3ccccc3[N+](=O)[O-])o1)O[C@H](C)C2 608835680 KOJUBJJBGIDUSI-OAHLLOKOSA-N 408.454 4.867 5 20 HJBD Cc1cc(C)c2c(c1)N(C(=O)c1ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc1)CCC2 608948560 WFKBGCCDGRYIEU-UHFFFAOYSA-N 418.497 4.666 5 20 HJBD C[C@@H](NC(=O)NCc1cccc([N+](=O)[O-])c1)c1ccc(OCc2ccc(F)cc2)cc1 609139473 MOAQLUVABQRJBH-MRXNPFEDSA-N 423.444 4.873 5 20 HJBD COc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)c(Cl)c1 609371195 NOBIUKLOYXTHNB-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)c1cc([N+](=O)[O-])cc2c1OCCO2)c1ccccc1 609652243 FWYHHIDJRBREHD-HNNXBMFYSA-N 420.421 4.758 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)NCCc1ccc(OCc2ccccc2)cc1 609784540 ZJUGQBUBZDMZCA-UHFFFAOYSA-N 424.884 4.729 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N(CC(F)(F)F)c1ccccc1 609786136 DSDLMHBKTNNKTF-UHFFFAOYSA-N 422.281 4.745 5 20 HJBD CC(C)Oc1ccc(-c2nc(C(=O)N3CCc4c3cccc4[N+](=O)[O-])cs2)cc1 609972698 IVISFGDGZGXWGE-UHFFFAOYSA-N 409.467 4.708 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(F)(F)F)c(C)c2)c1 609976358 JGWRTRCLDBXXBO-UHFFFAOYSA-N 400.378 4.819 5 20 HJBD CCSCc1cccc(NC(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)c1 610178815 GNSQHFPXAQCEDZ-UHFFFAOYSA-N 424.526 4.962 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)cc2)nn1 611201445 UFBAMNHGCQVJOQ-UHFFFAOYSA-N 419.441 4.682 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC[C@@H](n2ccnc2)C1 612539163 YZHLAJSYACEAIU-GOSISDBHSA-N 414.531 4.551 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3nc4cc(C(F)(F)F)ccc4s3)no2)cc1O 618398732 PYTRRVVOOXUCIO-UHFFFAOYSA-N 422.344 4.570 5 20 HJBD CCN1CCc2ccc(NC(=O)c3cc(F)cc4c(=O)c5cc(F)ccc5[nH]c34)cc21 681988297 IFKNGRDSQLJRTD-UHFFFAOYSA-N 419.431 4.594 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1ccc(Br)cc1F 732473854 XMRXVFXJSUMALJ-UHFFFAOYSA-N 424.270 4.617 5 20 HJBD COc1ccc(C(=O)O[C@H](Cc2ccc(Cl)cc2)C(F)(F)F)cc1[N+](=O)[O-] 733947529 WLWZXVSGXFDWKO-OAHLLOKOSA-N 403.740 4.587 5 20 HJBD COc1ccc(NC(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1NC(=O)C1CCCCC1 734779750 JOKGXHPFBZFJLM-NTEUORMPSA-N 423.469 4.774 5 20 HJBD O=C(OCc1nc2ccccc2c2ccccc12)c1cc(N2CCOC2=O)ccc1F 745133445 DKIWTHHAWGYXLQ-UHFFFAOYSA-N 416.408 4.841 5 20 HJBD C[C@@H](C(=O)OCc1cc(Cl)ccc1[N+](=O)[O-])c1ccc(Br)s1 746079034 KTOQUDYCIDQUGR-MRVPVSSYSA-N 404.669 4.919 5 20 HJBD Cc1ccc(N2C(=O)/C(=C/c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)cc1C 747977131 JQONKCQLUKSDQN-PDGQHHTCSA-N 419.462 4.734 5 20 HJBD C[C@H](NC(=O)Cn1ncc2ccc([N+](=O)[O-])cc21)c1ccccc1Oc1ccccc1 751231635 UVBHWNYPKLNOAN-INIZCTEOSA-N 416.437 4.614 5 20 HJBD COc1cc(CN[C@@H]2CCOc3c2ccc(Cl)c3Cl)c([N+](=O)[O-])cc1OC 752975917 CMBUDSDRTCUDGG-CYBMUJFWSA-N 413.257 4.532 5 20 HJBD Cc1cc(Br)ccc1OC(=O)Cn1c2ccccc2c(=O)c2ccccc21 755244498 VQVVHBVINDHJJI-UHFFFAOYSA-N 422.278 4.831 5 20 HJBD C[C@@H](OC(=O)[C@@H]1CCCC(C)(C)C1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 756267264 YCIJREUEOYINPF-VXGBXAGGSA-N 416.396 4.700 5 20 HJBD O=C(Cc1c[nH]c2ccc(Cl)cc12)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760523332 ZLIPYJYTCSTVBX-UHFFFAOYSA-N 411.801 4.670 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccc(-c5cc[nH]n5)cc4)no3)cs2)cc1 761598561 UBIXZZUOJNJNDJ-UHFFFAOYSA-N 416.422 4.825 5 20 HJBD CCN(CC)C(=O)CCCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 761857832 RXVAYOGDYKIOOD-UHFFFAOYSA-N 424.457 4.628 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])c(Cl)c1)C1CCCCC1 764201210 LWXYJGTVGTVFKL-UHFFFAOYSA-N 415.877 4.905 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 767210139 QXVAQRNOBSJZMK-CQSZACIVSA-N 421.375 4.564 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)cc1 771848097 SDHWOHVPJYDHTB-JTQLQIEISA-N 416.450 4.647 5 20 HJBD CCN1C/C(=C/c2ccc([N+](=O)[O-])c(Cl)c2)c2nc3ccccc3c(C(=O)O)c2C1 774122254 VTSYEYOBBCQSTR-ZROIWOOFSA-N 423.856 4.871 5 20 HJBD Cc1cc(NC2CCN(c3ccc([N+](=O)[O-])cc3)CC2)ccc1OC1CCOCC1 777698947 YSAYBWXWKTZGQP-UHFFFAOYSA-N 411.502 4.542 5 20 HJBD O=C(N[C@@H](c1ccc(F)cc1)c1cccs1)C(F)(F)c1ccc([N+](=O)[O-])cc1 777905238 CGKCCLNALLUWMU-KRWDZBQOSA-N 406.385 4.793 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCCc2cn(C(C)C)nc21 781051457 BVJKTHNEUBFOCB-QGZVFWFLSA-N 402.520 4.680 5 20 HJBD C[C@@H](NC(=O)OC(C)(C)C)c1ccc(OCc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])cc1 789610889 MYSGCVREEKUVFV-CYBMUJFWSA-N 417.418 4.668 5 20 HJBD Cc1cc(C(=O)N(C)CCc2ccccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 803409442 ZVLBQLHBFFBFHI-UHFFFAOYSA-N 413.474 4.565 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1nc2ccccc2cc1Br 808422363 NFOHKFYERQGYAZ-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1ccc(CNCc2cccc3c2CCCN3C(=O)OC(C)(C)C)cc1[N+](=O)[O-] 808432635 UNBBDJAACHBQCR-UHFFFAOYSA-N 411.502 4.881 5 20 HJBD CC(C)(c1ccc(Cl)cc1)c1noc([C@@H]2CC(c3ccccc3[N+](=O)[O-])=NO2)n1 809520234 CWMUTHAZPKZWAO-KRWDZBQOSA-N 412.833 4.823 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@@H]2CCCSC2)cc1)c1cc([N+](=O)[O-])ccc1Cl 810533293 LHWXFRGJCHTXDL-GFCCVEGCSA-N 420.874 4.549 5 20 HJBD O=C(NC[C@@H](c1ccccc1)C(F)(F)F)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813196479 XCNUXMSMKJIXAO-LBPRGKRZSA-N 407.175 4.978 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 815353197 TXZJOTFRFGXKTG-CYBMUJFWSA-N 410.430 4.644 5 20 HJBD O=C(Nc1csnc1-c1ccccc1)c1cccc([N+](=O)[O-])c1Br 821627913 SZNVCUZFQVXVEM-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1ccc(Nc2nn(CN(C)Cc3ccccc3[N+](=O)[O-])c(=S)s2)cc1 914783186 LRXIOJUHNGMRFI-UHFFFAOYSA-N 401.517 4.724 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)O[C@@H](C)c1ccc(OC(F)F)cc1 920778848 QISMAEITKBOZAR-QWRGUYRKSA-N 415.776 4.921 5 20 HJBD COc1cc(COC(=O)c2cc(C)cc([N+](=O)[O-])c2)cc(Cl)c1OC(F)F 920839567 VAEZXAMTXJYGGL-UHFFFAOYSA-N 401.749 4.524 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1-c1nnc(-c2cccc(OC(F)F)c2)o1 1322595973 DZHSTYDMSQVEDN-UHFFFAOYSA-N 401.247 4.932 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN[C@@H]2CCO[C@@]3(CCSC3)C2)o1 1324095490 XGWVAYZLRFONPT-KUHUBIRLSA-N 408.907 4.653 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1-c1nc([C@H](NC(=O)OC(C)(C)C)c2ccccc2)no1 1332019966 RBCNLPVGBCPLOA-GOSISDBHSA-N 424.457 4.876 5 20 HJBD O=[N+]([O-])c1ccc(-n2ccc(-c3nnc(COc4ccc5ccccc5c4)o3)n2)cc1 1349936064 ZMHBOPMJHCGKLJ-UHFFFAOYSA-N 413.393 4.563 5 20 HJBD CCCN1CCC(NC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CC1 8157436 QWVVROBLXATLDQ-UHFFFAOYSA-N 417.893 4.645 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](C)c3cc4ccccc4o3)cc2)c(C)c1[N+](=O)[O-] 8240332 GJZQIPNQUKTZPA-AWEZNQCLSA-N 418.453 4.694 5 20 HJBD Cc1csc(NC(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 15007257 FMHPSIIIRCJPGJ-UHFFFAOYSA-N 402.863 4.878 5 20 HJBD CN(Cc1ccc(Br)s1)Cn1c(=S)oc2ccc([N+](=O)[O-])cc21 23853289 GFLNEMNGZWVLGH-UHFFFAOYSA-N 414.306 4.785 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H](c2nc3ccccc3s2)C1 25278159 JTXWVNHYYOGRMP-MRXNPFEDSA-N 424.526 4.803 5 20 HJBD Cc1c(NC(=O)[C@@H](C)OC(=O)c2cc(F)c(Cl)cc2Cl)cccc1[N+](=O)[O-] 26840012 LXBWQJAZMDMBNQ-SECBINFHSA-N 415.204 4.533 5 20 HJBD CC1CCN([C@H](CNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c2cccs2)CC1 27573579 HUNNLNCLTMISNB-QGZVFWFLSA-N 407.923 4.513 5 20 HJBD COc1cc(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])ccc1OC1CCCC1 48281955 BHXXOJMWUFYWCK-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD COc1cc(OC)c(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1Cl 50288534 ODHSKNSJZAWAHB-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2cccc([N+](=O)[O-])c2C)c1C 56530650 CTWXYMHWVXIGQL-UHFFFAOYSA-N 407.474 4.678 5 20 HJBD Cc1ccc(NC(=O)NCc2ccc(Cn3cnc4ccccc43)cc2)cc1[N+](=O)[O-] 61733380 YBBJDWDXLSYROP-UHFFFAOYSA-N 415.453 4.623 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]2[C@H]2CCC[C@H]23)cc1[N+](=O)[O-])c1ccccn1 302983696 KCFHWHVAAMVGAD-ATPXLSSOSA-N 420.513 4.717 5 20 HJBD CCOc1cc(CN(C)C(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1OC(F)F 429922240 HIYXVBLEIQNRDI-UHFFFAOYSA-N 422.428 4.531 5 20 HJBD COc1ccc(-c2nc(COc3c(Br)cccc3[N+](=O)[O-])co2)cc1 431221225 TTXVSOGGFNBNNU-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccccc2-c2cc(F)c(F)c(F)c2)c1[N+](=O)[O-] 434016968 KXKBKFIAQTXONV-UHFFFAOYSA-N 404.348 4.778 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 435934489 JKBSMVRQPQDFEV-UHFFFAOYSA-N 408.461 4.736 5 20 HJBD CC(C)Oc1ccccc1CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 436065580 JFBYIAGMOJHZNC-UHFFFAOYSA-N 422.431 4.677 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)F)cc1NCc1ccnc(Oc2ccc(F)cc2)c1 436401646 QQXNMTUCDCUIQR-UHFFFAOYSA-N 408.311 4.947 5 20 HJBD O=C(Nc1cc(Br)ccc1F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437753950 PPDAVXHCQRRJRH-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD C[C@@H](CCc1cccn1C)NC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 438487862 FVMKMOGNVBMGMR-INIZCTEOSA-N 408.458 4.868 5 20 HJBD O=[N+]([O-])c1cnc(N[C@@H](c2ccc3c(c2)OCCO3)c2ccccc2Cl)s1 443515031 IXIFFKUKYTTZSQ-KRWDZBQOSA-N 403.847 4.677 5 20 HJBD Cc1cc(Cl)cc([N+](=O)[O-])c1C(=O)N[C@H](C)c1nc(-c2ccncc2)cs1 446038534 VOTNREDYHRSUSA-LLVKDONJSA-N 402.863 4.566 5 20 HJBD CCOCc1ccccc1CNc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-] 447678500 KOGUYQQABAAFQA-UHFFFAOYSA-N 405.323 4.793 5 20 HJBD O=C(c1ccccc1)c1ccc(NCCc2nc(C(F)(F)F)cs2)c([N+](=O)[O-])c1 463054906 SOKDWWSPOGKTBU-UHFFFAOYSA-N 421.400 4.956 5 20 HJBD Cc1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])o2)cc1NC(=O)CCN(C)C 466448899 JJLKMYFYRMKDAX-UHFFFAOYSA-N 422.485 4.666 5 20 HJBD C[C@@](NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])(C1CC1)C(F)(F)F 471129807 QTPKOZPSBBQHHU-CYBMUJFWSA-N 416.343 4.553 5 20 HJBD O=C(c1cc(F)cc([N+](=O)[O-])c1)N1CCSC[C@H]1c1cccc(C(F)(F)F)c1 472295099 NPLPYNHOMVQUFW-INIZCTEOSA-N 414.380 4.683 5 20 HJBD O=[N+]([O-])c1cc2ccccc2nc1Sc1nnc(COc2ccc(Cl)cc2)o1 473508539 BOIAPMDPOLBSJH-UHFFFAOYSA-N 414.830 4.910 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)cc2Cl)C1)c1ccc(Cl)cc1[N+](=O)[O-] 475082484 JDOGNZQSSBZLJT-GFCCVEGCSA-N 414.676 4.564 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N[C@H](C[C@@H]1CCOC1)c1ccccc1 475190887 MIICUNVQUFCRHG-SUMWQHHRSA-N 423.391 4.903 5 20 HJBD C[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(Br)cn1 475508178 MKORVADDNYPUBE-LLVKDONJSA-N 419.304 4.727 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485611683 WVABDRKIONNRGW-XPNTWCBSSA-N 416.481 4.579 5 20 HJBD CSc1cccc(C(=O)Nc2cc(CC3CC3)nn2-c2ccccc2)c1[N+](=O)[O-] 486414528 ZTFGICBZHNICQL-UHFFFAOYSA-N 408.483 4.707 5 20 HJBD CC(C)(C(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1)c1ccc(-c2ccccc2)cc1 487073190 PEABWMXFCHBSNP-UHFFFAOYSA-N 418.497 4.600 5 20 HJBD CCN(C(=O)c1cc(NC(=O)c2cccc([N+](=O)[O-])c2C)ccc1Cl)C(C)C 487696998 ZSYVTMREGXNAFQ-UHFFFAOYSA-N 403.866 4.679 5 20 HJBD COc1cc(CN2CCC[C@H]2c2ccccc2OC)c([N+](=O)[O-])cc1OCC(F)F 491181804 GOFHWZQSIMAHFH-INIZCTEOSA-N 422.428 4.593 5 20 HJBD CCOc1cc(CSc2nnc(-c3cccc(Cl)c3)n2C)ccc1[N+](=O)[O-] 492207499 GCCBDIDHWAUNIP-UHFFFAOYSA-N 404.879 4.735 5 20 HJBD Cc1cccc(-c2nc(CC(=O)N(Cc3cccc([N+](=O)[O-])c3)C3CC3)cs2)c1 492769078 JWUFIUIIXLJSTF-UHFFFAOYSA-N 407.495 4.760 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1Cl)c1ccccn1 492930934 RKKSPEZYHAXBBN-AWEZNQCLSA-N 410.861 4.746 5 20 HJBD CCCN(CCC)C(=O)c1cccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1 493155533 OIHLYEMFQHQEDZ-UHFFFAOYSA-N 423.513 4.662 5 20 HJBD CCN(CC)CCN(Cc1cccs1)C(=O)N[C@H](C)c1ccc(C)c([N+](=O)[O-])c1 493232881 DBSRRPAIRHOCIH-QGZVFWFLSA-N 418.563 4.579 5 20 HJBD Cc1csc(C2(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)CCCC2)n1 496244625 KFBZAJSGDCZORG-UHFFFAOYSA-N 417.534 4.505 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(OC)c([N+](=O)[O-])c2)ccc1OCCC(C)C 501417006 GFVBALMZHRILET-HNNXBMFYSA-N 416.474 4.528 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)NCC1(c2ccc(F)cc2)CCC1 503865376 WBUJGCGUSZJMTB-UHFFFAOYSA-N 414.480 4.532 5 20 HJBD CCOc1cc(C(=O)N(CC2CCCCC2)c2ccccn2)c([N+](=O)[O-])cc1OC 507956872 SIGIGOMRCJVBHY-UHFFFAOYSA-N 413.474 4.624 5 20 HJBD CCOc1ccc(-c2nc(NC(=O)c3ccc([N+](=O)[O-])c(OC)c3)sc2C)cc1 511458611 DVNIXGDZCHRRIC-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCCC(=O)NC[C@H]1CCCN(Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)C1 512408465 HKTSYWYSCRBBDC-OAHLLOKOSA-N 419.909 4.637 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CN3CC[C@@H](n4ncc5ccccc54)C3)cs2)c1 513391494 YLNPMQDGJMLCKO-LJQANCHMSA-N 405.483 4.515 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(F)cc1OC1CCOCC1 513490484 NRDKYGJZQGPMAT-UHFFFAOYSA-N 409.801 4.589 5 20 HJBD COc1cc(Br)c(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1OC 516298294 GUGLJCUSNGMFPC-UHFFFAOYSA-N 423.307 4.785 5 20 HJBD O=C1CCCN1c1cccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 518762200 FBBSLMQNLCQBPW-UHFFFAOYSA-N 407.495 4.740 5 20 HJBD O=C(Nc1cc(F)c(F)cc1F)c1cc([N+](=O)[O-])ccc1NCc1ccccc1 521536834 CIHILSGFTDMGOR-UHFFFAOYSA-N 401.344 4.877 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)NCCCCc1ccc([N+](=O)[O-])cc1 523500310 OVIZNRYKBLRGMS-UHFFFAOYSA-N 417.918 4.930 5 20 HJBD O=C(NC1(c2ccc(F)cc2)CCCC1)c1ccc([N+](=O)[O-])cc1Br 523508750 RCHCTHFYJWTWBX-UHFFFAOYSA-N 407.239 4.696 5 20 HJBD COCCN(C(=O)[C@H]1CCC[C@H](C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 525529573 GZIYNDSYRJMGDX-HOCLYGCPSA-N 403.504 4.524 5 20 HJBD COc1ccccc1C[C@@H](N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)C 531368900 GWXCDHRTOZYYMS-HNAYVOBHSA-N 410.474 4.571 5 20 HJBD Cc1c(CNCc2ccc(Oc3ccc([N+](=O)[O-])cc3Cl)c(F)c2)cnn1C 535943587 MRVVUIHGJJBBDM-UHFFFAOYSA-N 404.829 4.511 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CNC1(c2cccc(C(F)(F)F)c2)CCC1 538261857 YBNWUUSTHOEERU-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD CC1CCN(CCc2cccc(NC(=O)Cc3c[nH]c4ccc([N+](=O)[O-])cc34)c2)CC1 538794294 RTWOBFYBAXTFLT-UHFFFAOYSA-N 420.513 4.532 5 20 HJBD C[C@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1ccc([N+](=O)[O-])cc1 539653340 CAMYSMBIVWJBJR-AWEZNQCLSA-N 415.291 4.970 5 20 HJBD CCc1ccc([C@H](NCc2ccnc(N3CCCC3)c2)c2ccc([N+](=O)[O-])cc2)o1 543409326 QJVZKLLALDTBHE-HSZRJFAPSA-N 406.486 4.625 5 20 HJBD C[C@H]1C[C@@H](CCNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CCO1 544135194 YJGHDPCAXOBYNK-HOTGVXAUSA-N 400.500 4.681 5 20 HJBD Cc1c(Cc2noc(CCc3ncc(-c4ccc(Cl)cc4)o3)n2)cccc1[N+](=O)[O-] 545787282 XFSVPGLYASNRMR-UHFFFAOYSA-N 424.844 4.971 5 20 HJBD O=C(NCCOc1cccc2ccccc12)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549529481 WWMYVYQBZSZNIX-UHFFFAOYSA-N 404.344 4.576 5 20 HJBD CC(C)[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc2c(c1)OCCO2 555421244 WPUWNDKEBXTSPO-LJQANCHMSA-N 410.392 4.872 5 20 HJBD COc1ccccc1[C@@H]1CC[C@@H](C)CN1C(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F 563987194 XOEGFGYRTBGALO-SIFCLUCFSA-N 400.450 4.846 5 20 HJBD O=C(Nc1cncc(Cl)c1Br)c1c([N+](=O)[O-])cccc1C(F)(F)F 573402440 HBGSWCCVTXIHDF-UHFFFAOYSA-N 424.560 4.677 5 20 HJBD CCc1nocc1CN(C)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 576656397 QSFGYWYGGRFVDJ-UHFFFAOYSA-N 417.469 4.630 5 20 HJBD CC(C)n1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)n1 580851406 VCABHRJZKSRGSL-UHFFFAOYSA-N 419.279 4.685 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)c1nc2ccccc2cc1Br 588962606 BSKKZAXDESPRJQ-UHFFFAOYSA-N 423.226 4.706 5 20 HJBD O=C(NCc1ccncc1)c1ccc(Sc2nc3ccccc3s2)c([N+](=O)[O-])c1 603464454 GSPDLCJRXNUVMQ-UHFFFAOYSA-N 422.491 4.681 5 20 HJBD O=[N+]([O-])c1c(NC2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)nc2sccn12 603580153 FRABKYKRGKSVIT-BQBZGAKWSA-N 402.320 4.625 5 20 HJBD C[C@H](c1cccc(C(F)(F)F)c1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 603737258 GBROVFYJXGADKI-CYBMUJFWSA-N 418.375 4.633 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CN3CCC[C@H](c4cc5ccccc5[nH]4)C3)n2)c1 603889909 DUJHINBJXXILMT-KRWDZBQOSA-N 403.442 4.506 5 20 HJBD CN(CCCc1cc(-c2cccc(F)c2)n[nH]1)c1ccc([N+](=O)[O-])c2cnccc12 603942622 SLDNADXRSBLGJV-UHFFFAOYSA-N 405.433 4.741 5 20 HJBD COc1cc(C(=O)Nc2cccnc2Oc2cccc(C)c2C)c([N+](=O)[O-])cc1OC 604004478 YMDPOHZEZUTNSM-UHFFFAOYSA-N 423.425 4.668 5 20 HJBD CCCN1Cc2cccc(NC(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)c2C1 608949616 NNGHGYPDSXCOPN-UHFFFAOYSA-N 408.483 4.696 5 20 HJBD C[C@H](NC(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 608984335 XSBSWOMIEFITQP-SGTLLEGYSA-N 424.284 4.746 5 20 HJBD Cc1ccccc1COc1c(C)cc(CN(C)C(=O)c2cc([N+](=O)[O-])c[nH]2)cc1C 609666840 FDLBITMEGQMDBW-UHFFFAOYSA-N 407.470 4.699 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCC[C@@H](c2ncc[nH]2)C1 609781534 NILCWZXATCPIIO-OAHLLOKOSA-N 407.430 4.522 5 20 HJBD O=C(c1cccnc1SCc1ccc(F)cc1)N1CCc2c1cccc2[N+](=O)[O-] 609973498 WXFQQKCDAAPGMZ-UHFFFAOYSA-N 409.442 4.624 5 20 HJBD COc1ccc(OCCSc2nnc(Nc3cccc(F)c3)s2)c([N+](=O)[O-])c1 610217297 VCNOBFYJXHIKGL-UHFFFAOYSA-N 422.463 4.509 5 20 HJBD COc1ccc(OCCSc2nnc(-c3ccc4ccccc4c3)o2)c([N+](=O)[O-])c1 610218109 WGGZCNBDCLLCGZ-UHFFFAOYSA-N 423.450 4.978 5 20 HJBD O=C(CCn1cccc1)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 610428443 MKSDHDVZJTXRAP-UHFFFAOYSA-N 417.824 4.688 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)CNc1ccc(SC(C)(C)C)cc1C 725794762 NEHPWJACFUBOBO-UHFFFAOYSA-N 403.504 4.853 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2ccc([N+](=O)[O-])c(Cl)c2)cc1 727709960 AGHVOJKKVSVNCC-UHFFFAOYSA-N 405.838 4.776 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1c(-c2ccccc2)nsc1=S 727817238 JQXUKBMUVZQMJG-UHFFFAOYSA-N 406.920 4.992 5 20 HJBD CC(=O)c1ccc(OC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(C)c1 728243988 WWULPWLREIRCQB-UHFFFAOYSA-N 413.373 4.822 5 20 HJBD CC(C)c1cnc(COC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)o1 733387319 PLRLYGAVKAQCHS-GJZGRUSLSA-N 401.463 4.546 5 20 HJBD Cc1ccc([C@H]2[C@@H](C)CCCN2Cn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)cc1 738282632 ADKJTYMMBHFNFC-MHECFPHRSA-N 418.497 4.558 5 20 HJBD O=[N+]([O-])c1ccc(OC2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 742425630 NMSIYXQQDGYXIN-UHFFFAOYSA-N 411.336 4.570 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)OCc1cc(Cl)ccc1[N+](=O)[O-] 746067460 RXTUKANCQSEYGL-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD Cc1nccn1CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 746184805 CRHXMZMIQAXVPO-UHFFFAOYSA-N 409.489 4.868 5 20 HJBD Cc1c(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 747318568 LQVIIBYUGZZFNY-WCQYABFASA-N 405.838 4.729 5 20 HJBD CC(C)[C@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)c1ccccc1 747956716 YDIACFNNASNDSH-IBGZPJMESA-N 410.451 4.783 5 20 HJBD Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)s1 754671725 FSLXSPQYQSDOPS-QWHCGFSZSA-N 416.528 4.766 5 20 HJBD C[C@H](N[C@@H](C)c1ncc(-c2ccccc2)o1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 754865456 RFIQRMMNVOGVNQ-STQMWFEESA-N 414.849 4.581 5 20 HJBD Cc1ccc(-c2nnc([C@@H](C)OC(=O)c3cc([N+](=O)[O-])cc(Cl)c3F)o2)cc1C 754984782 BTFZSTIYJMBQJG-LLVKDONJSA-N 419.796 4.972 5 20 HJBD C[C@H](C(=O)Nc1ccccc1CN(C)C(=O)OC(C)(C)C)c1cccc([N+](=O)[O-])c1 761648384 RTRVXPWEXJXFAM-HNNXBMFYSA-N 413.474 4.704 5 20 HJBD Cc1cc(-c2nc(Cc3ccc(NC(=O)OC(C)(C)C)cc3)no2)ccc1[N+](=O)[O-] 762285434 CZMDIQWZWCANFS-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNc2ccnn2CC2CC2)cc1 763498952 GMLDUAHYZNMHTP-UHFFFAOYSA-N 414.469 4.666 5 20 HJBD Cc1oc2ccccc2c1CC(=O)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 767122773 VMZXGEYNMVQSSN-QHCPKHFHSA-N 402.406 4.920 5 20 HJBD COc1cc(/C=C(\C(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2)ccc1O 772263153 JKRWEYMSZGDYFM-RDPIHGLISA-N 418.449 4.727 5 20 HJBD Cc1cc2c(cc1[N+](=O)[O-])N(C(=O)C13C[C@@H]4C[C@H](C1)CC(C(F)(F)F)(C4)C3)CC2 774223466 QXZBZMRNNSMYCZ-LWYUSKRHSA-N 408.420 4.941 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1OC(F)F 774881723 CUOPNKLDSKOMLA-WDEREUQCSA-N 413.808 4.527 5 20 HJBD CC(C)c1ccc(OCC(=O)O[C@H](c2cccnc2)c2ccccc2[N+](=O)[O-])cc1 776138798 TYJOSHPQIRFYBA-HSZRJFAPSA-N 406.438 4.825 5 20 HJBD O=C(O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1Br 776219818 ZYDIKKCRJXOJIW-SFHVURJKSA-N 413.227 4.699 5 20 HJBD C[C@H](NC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34)c1ncn(C)n1 781402022 XGWBVEGTEYEHAT-HNNXBMFYSA-N 410.477 4.553 5 20 HJBD Cc1ccc(C(=O)c2ccccc2C(=O)OCc2ncc(C)c([N+](=O)[O-])c2C)cc1 789440951 QZYRZPMSMFVQFL-UHFFFAOYSA-N 404.422 4.503 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])c(F)c1)Cc1nnc(-c2ccccc2Cl)o1 801526212 DTLHNFRFKUNHNV-UHFFFAOYSA-N 404.829 4.848 5 20 HJBD COCCC[C@H](CNC(=O)c1cc(F)cc(C)c1[N+](=O)[O-])c1ccc(Cl)cc1 804128537 XPMUFSMKUPBUHL-OAHLLOKOSA-N 408.857 4.636 5 20 HJBD Cc1cccn(Cc2c(F)cccc2NCc2cc([N+](=O)[O-])ccc2Cl)c1=O 808437521 IESZOKLSPCOVHL-UHFFFAOYSA-N 401.825 4.518 5 20 HJBD CC(=O)N[C@H](CC1CCC1)c1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 809363112 KRRXGECSCXGGPW-HXUWFJFHSA-N 422.441 4.805 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(OCc2nc3ccc(Br)cc3o2)cc1F 812252497 FQDFIODRWCPMOW-UHFFFAOYSA-N 401.575 4.870 5 20 HJBD COc1ccc(N(CC(C)C)C(=O)c2ccc(Br)c([N+](=O)[O-])c2)cc1 914528802 LKFIMCPLYYZZDT-UHFFFAOYSA-N 407.264 4.669 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1)c1nc(-c2ccc(F)cc2)n2c1CCCCC2 1115841310 CSTCYGAHOYUAIM-UHFFFAOYSA-N 409.417 4.681 5 20 HJBD CCCN(CC)C(=O)c1ccc(-c2nc(-c3cc(C)c([N+](=O)[O-])cc3C)no2)cc1 1116612902 UXXHJBYSBZNNEY-UHFFFAOYSA-N 408.458 4.801 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3ccc4c(c3)OCO4)o2)ccc1NCc1ccccc1 1117208337 RXJPBWWQYKWSKX-UHFFFAOYSA-N 416.393 4.653 5 20 HJBD COCCCn1c(Sc2ccc(F)cc2[N+](=O)[O-])nnc1-c1ccccc1Cl 1472638999 ZYPLMZFBFUVNKY-UHFFFAOYSA-N 422.869 4.834 5 20 HJBD CC1(C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])[nH]4)cc3)CCC2)CCCCC1 1790186781 MMRMIHCLKVSCND-UHFFFAOYSA-N 424.501 4.641 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-c3cn4ccccc4n3)cc2)c1 10322348 LMWFULQIYUREHD-UHFFFAOYSA-N 404.451 4.884 5 20 HJBD Cc1cc(F)ccc1NC(=O)c1ccccc1C(=O)c1ccc(N(C)C)c([N+](=O)[O-])c1 25379604 DVUMZSYXWIPESF-UHFFFAOYSA-N 421.428 4.592 5 20 HJBD CCn1c(SCc2noc(-c3ccsc3)n2)nc2cc3ccccc3cc2c1=O 31747490 DZWCMCYZGPGNPB-UHFFFAOYSA-N 420.519 4.973 5 20 HJBD CC[C@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(Cl)c1 45418893 WRHQHVIAZLGVCE-ZDUSSCGKSA-N 414.792 4.739 5 20 HJBD Cc1sc(CNC(=O)c2ccc([N+](=O)[O-])cc2F)nc1-c1ccc(Cl)cc1 55427385 UOECFHYYTQSONZ-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD Cc1ccccc1C[C@H](C)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 65073976 YJMJCPGSJYHDTO-ZWKOTPCHSA-N 418.497 4.832 5 20 HJBD CC(C)c1ccccc1-n1c(Sc2ncccc2[N+](=O)[O-])nnc1-c1cccnc1 301089170 MJIKVAOAHXAJKR-UHFFFAOYSA-N 418.482 4.907 5 20 HJBD C[C@@H]1CC(=O)N(Cc2ccccc2)c2ccccc2N1C(=O)c1ccccc1[N+](=O)[O-] 430449496 RIUFCHATYGCFOH-QGZVFWFLSA-N 415.449 4.567 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1noc(-c2ccc(Cl)cc2)n1 431073345 JOSLHTJLKHEOLM-VIFPVBQESA-N 422.228 4.834 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ncc(-c3ccc(F)cc3)s2)cc1SC 432225327 FXMYEIQSKOXVIX-UHFFFAOYSA-N 419.459 4.840 5 20 HJBD CCOCc1cccc(NC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c1 436373356 ZEGHLRNGGOGPBV-UHFFFAOYSA-N 408.404 4.748 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(-c3cccc(C(F)(F)F)c3)cn2)c1[N+](=O)[O-] 440302371 GWMHRNFVMXHXKN-UHFFFAOYSA-N 419.363 4.774 5 20 HJBD C[C@@H](Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1ccc(S(C)(=O)=O)cc1 442778464 GEPCGJFIFRZMEA-OAHLLOKOSA-N 412.467 4.964 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)c1sc(Br)cc1[N+](=O)[O-] 444858131 KWDIBVMGNZABQC-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD O=C(Cc1noc(/C=C\c2ccc([N+](=O)[O-])cc2)n1)Nc1cccc(Cl)c1Cl 445365445 KSQXMHNTRSMBTN-TWGQIWQCSA-N 419.224 4.636 5 20 HJBD C[C@@H](c1cccc(Cl)c1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1C(F)(F)F 447014931 DMPYMYPBDJGEIY-JTQLQIEISA-N 422.812 4.649 5 20 HJBD C[C@@H]1CCN(S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])c2ccccc21 462436422 LNYVVVVUVQFHOE-SNVBAGLBSA-N 401.271 4.604 5 20 HJBD COC1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])CC1 464563082 DSYNPOFGLROKDB-UHFFFAOYSA-N 406.891 4.650 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3nnc(CC(F)(F)F)s3)s2)cc1 475057034 RFKJBHVWYOZQSP-UHFFFAOYSA-N 400.407 4.892 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)[C@H](C)C2CC2)cc1SC 482605577 RASBXOZVDWCNHG-CQSZACIVSA-N 400.500 4.766 5 20 HJBD COC(=O)c1cc(NC(=O)N2C[C@H](C)CC[C@H]2c2ccccc2)c(C)c([N+](=O)[O-])c1 483520821 NLMNKENTYWMBIM-KUHUBIRLSA-N 411.458 4.695 5 20 HJBD CSc1cccc(C(=O)Nc2cnc(N3CCCC[C@H]3C)c(C)c2)c1[N+](=O)[O-] 485486702 BNQNGIUKGBSMEJ-CQSZACIVSA-N 400.504 4.651 5 20 HJBD CSc1cccc(C(=O)NC(C)(C)c2ccc(Br)cc2)c1[N+](=O)[O-] 485763954 PWMQUBNJTGDZTA-UHFFFAOYSA-N 409.305 4.744 5 20 HJBD O=C(Nc1nc2ccc(C(F)(F)F)cc2[nH]1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 486393373 JYWSYGXZTITZCG-UHFFFAOYSA-N 418.253 4.761 5 20 HJBD Cn1nc(-c2cc(F)cc(F)c2)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 487460009 GRDYNRBEYZEOOD-UHFFFAOYSA-N 414.393 4.741 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OC(F)F 499341302 QZDWZJAGPTYVCG-SNVBAGLBSA-N 414.792 4.691 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3ccccc3n2-c2ccccc2)c1 502060525 GULIFXHQBQHDRV-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])Cc1cn(Cc2ccccc2)nc1-c1cccnc1 507172788 IHOHWOGQUMBSNU-UHFFFAOYSA-N 413.481 4.534 5 20 HJBD CCn1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc2cc(Br)ccc21 510184506 JPPRUQJDYJDDHV-UHFFFAOYSA-N 423.654 4.633 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)Cn2c3ccccc3c(=O)c3ccccc32)CC1 510651752 NNCNZFONGZWBLK-UHFFFAOYSA-N 410.517 4.735 5 20 HJBD Cn1cc([N+](=O)[O-])cc1C(=O)N(Cc1cccs1)c1ccc(Br)cc1 515634981 VGCCCTPGQJPBHY-UHFFFAOYSA-N 420.288 4.604 5 20 HJBD Cc1cc(C(=O)N(C)CCCCCc2cc(-c3ccccc3)n[nH]2)ccc1[N+](=O)[O-] 516008071 MUCJPRPQWSXAHY-UHFFFAOYSA-N 406.486 4.778 5 20 HJBD O=[N+]([O-])c1cc2c(O)ncnc2cc1NCc1ccccc1Oc1cccc(F)c1 518659409 IDCUGZCDDNHREY-UHFFFAOYSA-N 406.373 4.787 5 20 HJBD CCCCCN(Cc1ccc(Cl)cc1)C(=O)CCn1nc(C)c([N+](=O)[O-])c1C 522482484 PAJXSMBQMDUBFC-UHFFFAOYSA-N 406.914 4.671 5 20 HJBD CCOCc1cccc(N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c1 522618765 JTJSWEHXVGVLJH-GFCCVEGCSA-N 411.380 4.589 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])Nc1cccc(COCC2CC2)c1 522639531 PSYBRTDCAGOGIW-UHFFFAOYSA-N 404.850 4.582 5 20 HJBD COc1ccc(CN[C@H]2COc3ccc(C)cc32)cc1OCc1ccc([N+](=O)[O-])cc1 532171059 AALYJEKNFACGPR-NRFANRHFSA-N 420.465 4.714 5 20 HJBD O=C(NCc1ccco1)c1cccc(COc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 534212605 PSBPIVQCJVMDEI-UHFFFAOYSA-N 420.343 4.716 5 20 HJBD CCOC(=O)c1c(CN[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)nc2ccccc2c1C 537175340 DYMDWNQLSWRCCY-INIZCTEOSA-N 407.470 4.787 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N(CCC(C)C)c1nnc(C)c2ccccc12 539006181 YNTBVYCEDSKJMU-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD COc1cc(CN[C@@H](C)c2c(F)cccc2Cl)c([N+](=O)[O-])cc1OC(F)F 539377480 NYFTUUKXUGVCGB-VIFPVBQESA-N 404.772 4.848 5 20 HJBD CCOc1ccc([C@@H](C)N[C@@H](CCO)c2ccccc2Br)cc1[N+](=O)[O-] 541913305 FKRCYMFSLBNPDV-DYVFJYSZSA-N 423.307 4.530 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NCC(=O)Nc3ccccc3[N+](=O)[O-])s2)cc1 544485592 KTIIQXZSZNCKTA-CQSZACIVSA-N 410.499 4.624 5 20 HJBD Cn1cccc1[C@H]1CCCCCN1C(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 559269750 PDZXVIRWDZHISF-HXUWFJFHSA-N 404.470 4.753 5 20 HJBD O=[N+]([O-])c1ccccc1CCS(=O)(=O)Nc1cc(Cl)c(Cl)cc1Cl 561781849 GDZMXJOUOSDLBZ-UHFFFAOYSA-N 409.678 4.539 5 20 HJBD COc1ccc([C@H](NC(=O)[C@H](C)c2cccc([N+](=O)[O-])c2)c2ccc(F)cc2)cc1 562391072 MPISAQXJQZSODP-IVZQSRNASA-N 408.429 4.752 5 20 HJBD COc1cccc(Cl)c1-c1noc(C)c1-c1nc(-c2cccc([N+](=O)[O-])c2)no1 563652419 UWAOVOKHSNTIHA-UHFFFAOYSA-N 412.789 4.937 5 20 HJBD CO[C@H](c1ccc(Br)cc1)[C@@H](C)Nc1ncnc2sc([N+](=O)[O-])cc12 576132192 LGYXONJLIWQNLC-OTYXRUKQSA-N 423.292 4.550 5 20 HJBD CCCOc1cc(NC(=O)N2CCC(F)(F)[C@H](C)C2)c([N+](=O)[O-])cc1OCCC 584200773 NJRICIZDQWZDHP-CYBMUJFWSA-N 415.437 4.681 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1OCCc1ccccc1 603656759 FFVUKIAZLVPJNF-UHFFFAOYSA-N 405.454 4.880 5 20 HJBD COc1ccc(CC(=O)Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)cc1[N+](=O)[O-] 609009483 VMIWFONHPVNPRM-UHFFFAOYSA-N 422.281 4.822 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCCO[C@H](c2ccccc2)C1 609230609 NGCKLDYYNKITBU-QHCPKHFHSA-N 417.465 4.942 5 20 HJBD Cc1cc(N2CCC[C@H](C(=O)c3ccc(F)cc3)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 609964983 VVFBPHFCANMILO-SFHVURJKSA-N 420.444 4.599 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C)ccc1NC(=O)c1cccc([N+](=O)[O-])c1 609980339 GJALWPPYWQGDDW-UHFFFAOYSA-N 404.401 4.848 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)C1(c2cccc(Br)c2)CC1 610046972 SSCKVCKHARYUHA-UHFFFAOYSA-N 417.303 4.528 5 20 HJBD CCN(C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)C(c1ccccc1)c1ccccc1 610171645 ZLIAAGCYUHYLON-UHFFFAOYSA-N 420.465 4.864 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@H]3CCC(F)(F)C3)o2)c(Br)c1 610787691 BLEKKRVCCDITPC-JTQLQIEISA-N 401.207 4.895 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2nn(C)c3ccccc23)cc1[N+](=O)[O-] 612692055 LAJUGAWEGMCXNN-UHFFFAOYSA-N 401.470 4.539 5 20 HJBD CC(C)(C)c1ccc(OCCCOC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)cc1 735353361 HEHRDOLVPKMRSD-UHFFFAOYSA-N 424.453 4.772 5 20 HJBD C[C@@H](Sc1nnc(-c2cc(Cl)ccc2Cl)n1N)c1ccccc1[N+](=O)[O-] 742448447 CGGNGNAAWLQWQC-SECBINFHSA-N 410.286 4.727 5 20 HJBD Cc1ccc([C@@H](C)C(=O)Oc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)o1 744030738 FJZQJSAPBRAOOW-CQSZACIVSA-N 419.389 4.979 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2ccccc2NC(=O)c2ccco2)c1 744247882 DBISBWKIMZYLCF-UHFFFAOYSA-N 412.423 4.519 5 20 HJBD CC(C)[C@H](Cc1ccccc1)C(=O)O[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 745070684 WPSPUUVOHLVUJE-YOEHRIQHSA-N 418.877 4.633 5 20 HJBD CC[C@H](C)N(Cc1nc(O)c2ccccc2n1)Cc1cc(Cl)ccc1[N+](=O)[O-] 746669379 BZQQTXAUBBFWFX-ZDUSSCGKSA-N 400.866 4.698 5 20 HJBD CC(C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 747126741 ZDOZJQZOGYDBKE-LBPRGKRZSA-N 424.375 4.921 5 20 HJBD CC(C)([C@H](OC(=O)C=C1CCSCC1)c1ccc(Br)cc1)[N+](=O)[O-] 753471157 DKBPNXBSCUEUHP-MRXNPFEDSA-N 414.321 4.542 5 20 HJBD CN(Cc1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 757995861 GGMGEPUFQXRPSQ-LPHOPBHVSA-N 423.282 4.849 5 20 HJBD O=C(Nc1cc(Cl)ccc1NC(=O)c1cc(F)c([N+](=O)[O-])cc1F)c1ccco1 763427023 URSRSZCQNMIPHU-UHFFFAOYSA-N 421.743 4.624 5 20 HJBD Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 764581237 OKJZWEDAIVPRQK-UHFFFAOYSA-N 424.888 4.606 5 20 HJBD Cc1ccc(NC(=O)[C@@H](OC(=O)CCCC(F)(F)F)c2ccccc2)c([N+](=O)[O-])c1 771136313 IZQWMPIAXARHNT-SFHVURJKSA-N 424.375 4.859 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)C1CCN(c2nc3ccccc3o2)CC1 778754550 VOJMREWBHGIVGN-OAHLLOKOSA-N 409.442 4.565 5 20 HJBD Cc1c(C(=O)N(C)c2ccc(OCc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 781697262 LZLXNJVSSWANNS-UHFFFAOYSA-N 421.409 4.667 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)Nc2ccc(CC(=O)N(C)c3ccccc3)cc2)c1F 790291589 UEVRABJYVXBBQY-UHFFFAOYSA-N 421.428 4.500 5 20 HJBD CCC(=O)c1ccc(N2CCO[C@@H](c3ccccc3Br)C2)c([N+](=O)[O-])c1 795086503 RVHXGKKEVHIUFY-LJQANCHMSA-N 419.275 4.528 5 20 HJBD O=C(COc1c(Cl)cncc1[N+](=O)[O-])Nc1c(Cl)cc(Cl)cc1Cl 795246547 BPLHTIYQRQKLAN-UHFFFAOYSA-N 411.028 4.621 5 20 HJBD C[C@H](OC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1nc2cc(Cl)ccc2n1C 796953502 IKRMWAZDBRWIFW-LBPRGKRZSA-N 401.850 4.717 5 20 HJBD CC(C)(C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1csc(-c2cccs2)n1 799869739 IRXYVKGCJVGRNB-UHFFFAOYSA-N 409.439 4.974 5 20 HJBD O=C(C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)OCc1cn[nH]c1 803263436 IPGPTDFVENKIGR-SXGWCWSVSA-N 420.450 4.602 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)NCc2cnc(-c3ccc(Cl)cc3)s2)c1F 808815753 UXYWTVFNQFTAEB-UHFFFAOYSA-N 405.838 4.749 5 20 HJBD C[S@](=O)Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1Cl 813000659 PRJYUCQXUDJAHA-VWLOTQADSA-N 421.689 4.686 5 20 HJBD CN(C)C(=O)Sc1cc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])ccc1Cl 816663987 QJPOOBRRSVCDCG-UHFFFAOYSA-N 421.906 4.938 5 20 HJBD O=C(NC[C@@H]1CC2c3ccccc3C1c1ccccc12)c1cc([N+](=O)[O-])ccc1F 919685165 HLMGQHBAHPUNLX-FUGJCYLZSA-N 402.425 4.761 5 20 HJBD CCCOc1c(Cl)cc(C(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)cc1OCC 1252190671 NSVXIYMWSCKOBL-UHFFFAOYSA-N 404.850 4.592 5 20 HJBD COc1ccc(NC(=O)N(CCc2ccc(Cl)cc2)CC2CC2)c([N+](=O)[O-])c1 1345728315 TZXGPCSNCMOQLA-UHFFFAOYSA-N 403.866 4.743 5 20 HJBD CCOc1cc(C(=O)Nc2nc(-c3cccc(F)c3)cs2)c([N+](=O)[O-])cc1OC 21669463 GTYARFSPNCWICN-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD O=C(CCSc1ccccc1Cl)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 28614601 RCRPXQKRWZRBEU-UHFFFAOYSA-N 419.846 4.524 5 20 HJBD CC(C)COc1ccc(NC(=O)c2ccc(-n3ccnc3)c([N+](=O)[O-])c2)cc1Cl 32165793 OPKHPWJTRQQFAO-UHFFFAOYSA-N 414.849 4.721 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1nc(-c2c(F)cccc2F)cs1 32561433 JBZQGSNXMKPCEW-UHFFFAOYSA-N 407.423 4.727 5 20 HJBD C[C@H](NC(=O)Nc1cccc(C(=O)N(C)c2ccccc2)c1)c1cccc([N+](=O)[O-])c1 48096980 MEEXESDKVVGJSK-INIZCTEOSA-N 418.453 4.754 5 20 HJBD CCNc1ccc(C(=O)N(Cc2cccnc2)Cc2ccccc2C)cc1[N+](=O)[O-] 59919935 MSJHATYICGNJPX-UHFFFAOYSA-N 404.470 4.573 5 20 HJBD O=C(N[C@H]1CCCOc2ccc(F)cc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 64934815 RBRNSJNYEQDVRP-INIZCTEOSA-N 413.430 4.501 5 20 HJBD Cc1cc(Br)ccc1N(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 72024048 HUNFHJRYDVVFAH-LBPRGKRZSA-N 409.305 4.809 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N[C@H](Cc2ccccc2)c2cccs2)s1 302215310 ZYIIKDKAYFGMKR-CYBMUJFWSA-N 408.526 4.517 5 20 HJBD O=[N+]([O-])c1cc(Cl)c(N2CCC(c3nc(-c4ccccn4)no3)CC2)c(Cl)c1 302316380 VEIRKIXSVXXJIJ-UHFFFAOYSA-N 420.256 4.731 5 20 HJBD CC(C)(NC(=O)[C@H]1C[C@H]1c1cccc(Br)c1)c1ccc([N+](=O)[O-])cc1 430560679 XDMWDMCHEOSNSN-IRXDYDNUSA-N 403.276 4.512 5 20 HJBD COc1ccc(CC[C@@H](C)NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436319854 TXXGTJCKJMWUBL-OAHLLOKOSA-N 418.468 4.580 5 20 HJBD COc1cc(C(=O)N2CCCCC[C@@H]2/C=C/c2ccccc2)cc([N+](=O)[O-])c1OC 440891598 FZGSRIGGELVGOO-JXOMPUQVSA-N 410.470 4.710 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@H]1CCc2c(Br)cccc21 443948540 QQMAMHUJXZQWLR-HNNXBMFYSA-N 404.264 4.783 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(-c3nc(Cc4ccc(F)c(F)c4)no3)cs2)c1 445442711 QLPVXQOBMJMNOK-UHFFFAOYSA-N 400.366 4.637 5 20 HJBD Cc1cc(Br)ccc1-c1noc(Cn2ccc3cc([N+](=O)[O-])ccc32)n1 445462895 GEBCKFBEFFXCSI-UHFFFAOYSA-N 413.231 4.719 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nnc(-c4ccc(Cl)cc4)o3)n2)s1 445470936 KPLJNXGDFMFIPW-UHFFFAOYSA-N 421.847 4.702 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N1CCN(c2cccc3cnccc23)C[C@@H]1C 446260046 IWYJNXSOCAZWIH-KRWDZBQOSA-N 419.485 4.502 5 20 HJBD CCc1ccc(CNC(=O)CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)s1 462231063 VZSLPRSNSMADKB-UHFFFAOYSA-N 411.483 4.739 5 20 HJBD Cc1c(NC(=O)C(=O)N(Cc2ccccc2)[C@@H](C)c2ccccc2)cccc1[N+](=O)[O-] 463874074 UIADRTPCHRGRRW-SFHVURJKSA-N 417.465 4.632 5 20 HJBD Cc1n[nH]c(C)c1NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 466836336 RAZGMBAVEMSGHH-UHFFFAOYSA-N 402.863 4.992 5 20 HJBD Cc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)ccc1I 467381877 LXKRKYQBEBZIHG-ZDUSSCGKSA-N 410.255 4.667 5 20 HJBD COC[C@@H]1CCCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)C1 467721122 FAVUSEXTPYPSGJ-CQSZACIVSA-N 420.918 4.898 5 20 HJBD CCOC[C@@H]1CCN(C(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])C1 467759804 VHVFVNSCORQVOR-CQSZACIVSA-N 420.918 4.898 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Br)s1 468177528 KFQVYSDLDNDURV-SECBINFHSA-N 415.284 4.713 5 20 HJBD CCOc1ccc(-c2csc(NC(=O)c3c(OCC)cccc3[N+](=O)[O-])n2)cc1 470847529 GFXATDVQCKCJBB-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD CCc1ccc(C(=O)Nc2cc(-c3nnc4n3CCCCC4)ccc2F)cc1[N+](=O)[O-] 471283023 MSDNSBAKUJVVJL-UHFFFAOYSA-N 423.448 4.534 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C4CCCCC4)[nH]c3c2)c([N+](=O)[O-])cc1OC 474263324 CWVQIHGIXVFXCM-UHFFFAOYSA-N 424.457 4.788 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 477401968 YXEQEYUBBCWFML-HNNXBMFYSA-N 408.930 4.757 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)c1C 482800137 UBIQJCUCMVFQCN-HNNXBMFYSA-N 407.474 4.935 5 20 HJBD C[C@@H](C1CC1)n1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)cn1 490990867 SDHIECURVCVNCU-HNNXBMFYSA-N 405.458 4.627 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2c(C)nn(CC(F)(F)F)c2C)cc1[N+](=O)[O-] 495444030 FZNQDUSYGHSISK-RYUDHWBXSA-N 414.428 4.781 5 20 HJBD CC[C@H](C)Sc1ccc(NS(=O)(=O)c2ccc(OC)c([N+](=O)[O-])c2)c(C)c1 498432774 IRLOJPBHRBWJMQ-ZDUSSCGKSA-N 410.517 4.603 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](Oc3ccccc3Cl)C2)c1 504669648 MFSNYSFOYWKWHH-CYBMUJFWSA-N 406.891 4.654 5 20 HJBD CC(C)N(C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccc(Cl)cc1 509152964 MYOIAIQNSMJSDX-UHFFFAOYSA-N 401.894 4.906 5 20 HJBD CN(C(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)[C@H]1CCc2ccccc2C1 510758426 LEZROKPLVRFMHU-NRFANRHFSA-N 415.493 4.836 5 20 HJBD Cc1cccc(COc2cccc(CC(=O)N[C@@H](C)c3cccc([N+](=O)[O-])c3)c2)c1 517301027 ASTOPSBZWYRHPO-SFHVURJKSA-N 404.466 4.902 5 20 HJBD CCc1sc(NC(=O)c2ccc([N+](=O)[O-])c(OC)c2)nc1-c1ccc(OC)cc1 519125318 DVYFOUHAEVZSIT-UHFFFAOYSA-N 413.455 4.550 5 20 HJBD Cc1ccc(C(=O)N2CCC[C@H]2c2ncc(-c3ccc(Cl)cc3)[nH]2)cc1[N+](=O)[O-] 522857279 SBDXBCKCSKVZCN-SFHVURJKSA-N 410.861 4.924 5 20 HJBD CCOc1cc(NC(=O)N2CCc3cccc(F)c3[C@@H]2C)c([N+](=O)[O-])cc1OCC 523443403 PMLSPIOANQKKIC-ZDUSSCGKSA-N 417.437 4.683 5 20 HJBD CN1CCc2ccc(NCc3ccc(Oc4ccc(F)cc4)c([N+](=O)[O-])c3)cc2C1=O 532896461 IHJWYFYAHZYPLY-UHFFFAOYSA-N 421.428 4.766 5 20 HJBD O=C(COc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc(Cl)c1Cl 534949251 WDIMYGZIGYLILQ-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD C[C@H](N[C@H](C)c1ccc(OC(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 540323522 JTEFCBNYNFQVGV-NEPJUHHUSA-N 404.373 4.658 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCC(=O)N(C)c2ccc([N+](=O)[O-])cc2)cc1 540459290 VEYDVVKFYYLZNH-QGZVFWFLSA-N 419.481 4.584 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N[C@@H](C)CCc1ccc(OC(C)C)cc1 540518243 XKYDEZADEOWUIP-INIZCTEOSA-N 400.475 4.532 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)N1CCO[C@@H](c2ccc(C(F)(F)F)cc2)C1 541127248 AXKYJIVHSWBCBN-QGZVFWFLSA-N 409.364 4.527 5 20 HJBD COc1ccc(CN(C(=O)CSc2ccccc2[N+](=O)[O-])[C@@H](C)C2CC2)cc1 541264447 DRQFPRJVBGXXHA-HNNXBMFYSA-N 400.500 4.523 5 20 HJBD C[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1F)c1ccc(OCC(F)(F)F)cc1 542710613 WUNPAXFQEMYKNI-SNVBAGLBSA-N 401.316 4.558 5 20 HJBD O=[N+]([O-])c1cccc(/C=C\c2nc(Cc3cn4cc(Cl)cc(Cl)c4n3)no2)c1 544373510 VEULCWYZWSFPPU-PLNGDYQASA-N 416.224 4.694 5 20 HJBD O=[N+]([O-])c1ccc(NCCCc2nc(Cc3c[nH]c4cc(Cl)ccc34)no2)cc1 544691326 MDWHRYKXLAPNLT-UHFFFAOYSA-N 411.849 4.748 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3noc(Cc4c[nH]c5cc(Cl)ccc45)n3)c2c1 545077728 OFHPODGJZMMHQY-UHFFFAOYSA-N 407.817 4.775 5 20 HJBD COc1ccc(Br)cc1-c1noc(/C=C/c2ccccc2[N+](=O)[O-])n1 545634349 XXAOKCWKDDMZBF-RMKNXTFCSA-N 402.204 4.586 5 20 HJBD O=C(N[C@H]1CCSc2ccc(F)cc21)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549119463 WAVRKZPSJBTLAX-AWEZNQCLSA-N 400.353 4.720 5 20 HJBD CCOC(=O)[C@H]1CC[C@@H](NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c2ccccc21 549235896 QEYJLXYEGAIBKS-RBUKOAKNSA-N 422.403 4.885 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)[C@@H]1CC=CC[C@@H]1c1nc2ccccc2s1 553061648 LPIVYNOCPXEHOT-ZWKOTPCHSA-N 421.522 4.955 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N1CCc2ccc(Br)cc2C1 556305806 BACAUUNUGKUFQX-UHFFFAOYSA-N 410.655 4.601 5 20 HJBD CC[C@H](NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])C(C)(C)c1ccccc1 562207659 XKJLZZZULBNJMJ-SFHVURJKSA-N 422.428 4.691 5 20 HJBD Cn1ccnc1[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 566812599 ICWYETGNGBADNF-IFMALSPDSA-N 406.461 4.783 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1ncc(Cl)cc1Cl 585715304 KTBKPRPVDHHKNZ-UHFFFAOYSA-N 423.178 4.756 5 20 HJBD Cc1c(NC(=O)N[C@@H]2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)cccc1[N+](=O)[O-] 603646555 ZRDPSMYHRULDKB-URLYPYJESA-N 413.318 4.934 5 20 HJBD O=[N+]([O-])c1ccc(N2CCc3[nH]c4ccc(Br)cc4c3C2)c2ncccc12 603849911 LCNQJDOUAOBNEP-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(CN3CCC[C@@H](C)C3)cc2)c([N+](=O)[O-])c1 603855997 OTHQVOPKMIZNIJ-GOSISDBHSA-N 424.545 4.577 5 20 HJBD O=[N+]([O-])c1ccccc1OCCSc1nnc(-c2ccccc2)n1Cc1ccco1 603902124 CKZIEKSWFWSNEU-UHFFFAOYSA-N 422.466 4.666 5 20 HJBD Cc1cccc(Oc2ccccc2NC(=O)c2cc([N+](=O)[O-])cc3c2OCCO3)c1 608931207 JXUBYGQFRNITPT-UHFFFAOYSA-N 406.394 4.719 5 20 HJBD COc1ccc(C)c2c1N(C(=O)c1csc(-c3ccc([N+](=O)[O-])cc3)n1)CCC2 609013921 UZMCSJBAUBDESR-UHFFFAOYSA-N 409.467 4.628 5 20 HJBD COc1ccc(CN[C@H](c2ccc(Br)cc2)C2CCC2)cc1[N+](=O)[O-] 609287621 FATJAIMDYSIPCI-IBGZPJMESA-N 405.292 4.997 5 20 HJBD CCOC(=O)c1ccnc(N[C@H](C)c2ccc(-c3csc(C)n3)cc2)c1[N+](=O)[O-] 609961267 GLXUEHLTNZMMDV-GFCCVEGCSA-N 412.471 4.772 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(CC(=O)Nc2ccccc2F)cc1 610000277 SVRJLXZFTAQSCJ-UHFFFAOYSA-N 409.417 4.536 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(OCc2cccnc2)cc1 610040402 UXWFIFRXFRJFDR-QGZVFWFLSA-N 419.481 4.724 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1 610624548 CVBRXEDTKOZANO-UHFFFAOYSA-N 407.264 4.925 5 20 HJBD COc1cc(CNc2cnccc2SC)ccc1OCc1ccc([N+](=O)[O-])cc1 610947153 BVZZATALPRSAMH-UHFFFAOYSA-N 411.483 4.911 5 20 HJBD CCCCOc1c(Cl)cc(C(=O)N2CCc3cc([N+](=O)[O-])ccc32)cc1OC 612645662 GCFPBOHANVCIRN-UHFFFAOYSA-N 404.850 4.639 5 20 HJBD O=C(Nc1cc(C(=O)N2CCCCC2)ccc1Cl)c1ccc(Cl)c([N+](=O)[O-])c1 726926468 YVFBSBDCFKDFJZ-UHFFFAOYSA-N 422.268 4.780 5 20 HJBD CC(=O)c1ccc(Cl)c(Cl)c1OS(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 727682616 WTSDEJAXFNCSDR-UHFFFAOYSA-N 424.645 4.525 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Oc2ccc(C(=O)c3ccccc3)cc2)cc1SC 728454465 QGMAMTCURQKHAR-UHFFFAOYSA-N 423.446 4.776 5 20 HJBD Cc1ccc2nc(-c3cccnc3)cc(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c2c1 730452071 GLXPIHCEGOXTOZ-UHFFFAOYSA-N 402.385 4.905 5 20 HJBD O=C(Nc1cccc(OC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)c1)c1cccs1 734233087 ZMNBBBXAEPYGCN-UHFFFAOYSA-N 407.407 4.609 5 20 HJBD COc1cc(C(C)=O)ccc1OCCCC(=O)Nc1cc([N+](=O)[O-])c(Cl)cc1C 735802883 KKDAFLUVDRQVBV-UHFFFAOYSA-N 420.849 4.566 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)Nc1ccc(Cl)c([N+](=O)[O-])c1 736953650 HNHGMNCMAUQWGF-UHFFFAOYSA-N 405.838 4.698 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)[C@H](Sc1cnccn1)c1ccccc1 742204249 FIZJDQRHPDVSAI-QGZVFWFLSA-N 400.847 4.510 5 20 HJBD CC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@H](O)c1c(F)cccc1F 742713371 IQDFUVDOSQZMRH-MJGOQNOKSA-N 419.453 4.598 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1ccc(N(C)Cc2ccccn2)c(F)c1 744202355 DZIHWHCCBNZMDC-MRXNPFEDSA-N 423.444 4.612 5 20 HJBD COC(=O)c1ccc([C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)C(F)(F)F)cc1 747215746 ZRHCUHGYEWLVTM-INIZCTEOSA-N 423.299 4.588 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccc(SC(C)(C)C)cc2C)cc([N+](=O)[O-])c1 751256138 VYWIDERBPJNMNM-UHFFFAOYSA-N 402.472 4.833 5 20 HJBD C[C@H](OC(=O)[C@@H](C)c1ccc([N+](=O)[O-])cc1F)c1nc2ccc(Cl)cc2n1C 754335453 HEQHOMXNSJOALC-QWRGUYRKSA-N 405.813 4.682 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1c(F)cc(Br)cc1F 755325279 GUCLWPKXXVFUQU-UHFFFAOYSA-N 405.582 4.850 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Cl)N(Cc1ccccc1)C[C@@H](O)c1ccsc1 757088752 AUWQZIRGYDEJGJ-LJQANCHMSA-N 416.886 4.686 5 20 HJBD C[C@@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1C(F)(F)F 758136432 YQELSPKOOWPQRZ-SECBINFHSA-N 417.181 4.867 5 20 HJBD CCCOc1cc(NC(=O)/C=C/c2scnc2C)c([N+](=O)[O-])cc1OCCC 776748142 RSWYWONEHSEQEP-VOTSOKGWSA-N 405.476 4.589 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])c(F)c1)Nc1cc(Cl)ccc1OC1CCCC1 778200924 BURZGMVKACORTE-UHFFFAOYSA-N 408.813 4.726 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2CC=C(c3cccc4ccccc34)C2)cc1[N+](=O)[O-] 780738530 CPWFXTRWDSZBOB-UHFFFAOYSA-N 403.394 4.504 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])c(F)c1)c1ccccc1C(=O)c1ccc(F)c(F)c1 784647094 PGBLKZGEFSZENI-UHFFFAOYSA-N 415.323 4.600 5 20 HJBD Cc1ccc(NC(=O)Cc2cc(F)c(Cl)cc2[N+](=O)[O-])cc1NC(=O)C(C)(C)C 790562965 RMJZYJCKOMLFMP-UHFFFAOYSA-N 421.856 4.862 5 20 HJBD C[C@H]1CC[C@H](CNC(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 794254368 YZDNTSKWQNOOIO-KBPBESRZSA-N 415.877 4.667 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)O[C@H](C)C(=O)Nc1ccccc1[C@@H](C)CC 795710990 KTHUKFMAOTUVHN-LSDHHAIUSA-N 414.458 4.691 5 20 HJBD Cc1nc([C@@H](C)OC(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])oc1-c1ccc(Cl)cc1 796253034 AHNOOOIVMPUBFT-LLVKDONJSA-N 418.837 4.976 5 20 HJBD O=C(Nc1csc(-c2ccccc2)n1)c1cc([N+](=O)[O-])ccc1Br 809079484 QMDYFLLJDUXKTO-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1cc(C)n(-c2cccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])c2)n1 812906835 MPPMQGOWEZEFLY-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD CCc1ccc(C(=O)N2CCN(C(=O)OC(C)(C)C)c3ccccc32)cc1[N+](=O)[O-] 813281251 YGGCCLIBRKYLMN-UHFFFAOYSA-N 411.458 4.559 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N1CCC[C@H](CN2CCCCCC2)C1 813439014 FJSJXBQYNLTZOZ-CQSZACIVSA-N 414.333 4.630 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCOC2=O)cc1 815124238 ZIWCIPZNQHSPAJ-CYBMUJFWSA-N 423.391 4.811 5 20 HJBD COc1ccccc1NC(=O)[C@@H](Sc1nc2ccc([N+](=O)[O-])cc2s1)C(C)C 877514373 LIVVTVIDHIBPEX-KRWDZBQOSA-N 417.512 4.969 5 20 HJBD CC(C)(C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)c1ccccc1 909864240 DQAWFBBBKHZWAP-UHFFFAOYSA-N 404.422 4.730 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CSc1nnc(-c2ccncc2)n1Cc1ccccc1 915791481 PQJJXARHEYLUBQ-UHFFFAOYSA-N 421.457 4.728 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC(n4cccn4)CC3)cc2[N+](=O)[O-])cc1 918070375 CUGJXAXMDHUNPR-UHFFFAOYSA-N 422.510 4.728 5 20 HJBD COc1cc(C(=O)NC[C@@H]2CCCC[C@H]2C(C)(C)C)c([N+](=O)[O-])cc1OC(F)F 920038205 JDLALQJZRHGLFC-GXTWGEPZSA-N 414.449 4.787 5 20 HJBD Cc1noc(C2(C)CCN(Cc3ccc(-c4ccc(Cl)cc4[N+](=O)[O-])o3)CC2)n1 1320083803 VYAUDZDIYXJSGU-UHFFFAOYSA-N 416.865 4.753 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)OCc1sccc1Br 1346227195 QBLJRMTZSOWMOD-VIFPVBQESA-N 402.291 4.643 5 20 HJBD Cc1cccc(N2C(=O)/C(=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)NC2=S)c1C 15255089 FRKDSDLXJPQRQD-SFQUDFHCSA-N 419.462 4.734 5 20 HJBD CCCCOc1ccc(OC)cc1NC(=O)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 28018943 DYPLQTNNVKZGMK-UHFFFAOYSA-N 413.474 4.635 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])n1 29353446 NGMNUPHMEZZZEK-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD Cc1c(CSc2nc(-c3ccccc3Br)n[nH]2)cccc1[N+](=O)[O-] 42152019 OWZVNBLDVPLTOV-UHFFFAOYSA-N 405.277 4.743 5 20 HJBD Cc1sc(CNC(=O)NCc2ccccc2[N+](=O)[O-])nc1-c1ccc(Cl)cc1 56790942 NMDNJAMEUIQQGS-UHFFFAOYSA-N 416.890 4.680 5 20 HJBD CC(C)NC(=O)c1ccc(NCc2ccc(Oc3cccnc3)c(F)c2)c([N+](=O)[O-])c1 63254852 QQCKOCPBONEAIT-UHFFFAOYSA-N 424.432 4.672 5 20 HJBD Cc1cc(NC(=O)c2sc(-c3ccc(C)o3)nc2C)n(-c2ccc([N+](=O)[O-])cc2)n1 106203925 LYNNUFBRZDAZLQ-UHFFFAOYSA-N 423.454 4.675 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)c1 115866529 XIPYTRNAWHHTPW-UHFFFAOYSA-N 417.874 4.619 5 20 HJBD C[C@H](Nc1ccc(Br)cc1[N+](=O)[O-])c1noc(Cc2ccccc2)n1 301526358 HXIIPNALXYJCIP-NSHDSACASA-N 403.236 4.504 5 20 HJBD Cc1ccc([N+](=O)[O-])c(Sc2nnc(-c3ccc(F)cc3)n2C[C@@H]2CCCO2)c1 301550132 GOWKHKLPZJSSKC-INIZCTEOSA-N 414.462 4.631 5 20 HJBD COc1cc(C)c(CN(C)C(=O)c2cc3ccccc3c3cccnc23)cc1OC 302973511 STJOTBHSUHOQSS-UHFFFAOYSA-N 400.478 4.986 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 409712430 SWDASUWIILDDFG-XLIONFOSSA-N 420.868 4.604 5 20 HJBD CCOc1cc(NC(=O)N(CC)[C@@H](C)Cc2ccsc2)c([N+](=O)[O-])cc1OCC 429267573 NAXADSVJVQZIHX-AWEZNQCLSA-N 421.519 4.939 5 20 HJBD CCCOc1cccc(CC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)c1 432644191 LMQAUYCQJZSVHM-UHFFFAOYSA-N 410.392 4.517 5 20 HJBD Cc1c(CC(=O)N2CCC(c3nc4cc(Cl)ccc4o3)CC2)cccc1[N+](=O)[O-] 439042499 KYRZEYAMHOSZRS-UHFFFAOYSA-N 413.861 4.647 5 20 HJBD O=C(c1ccc(Br)cn1)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440600416 RVGJSSJRANVWSU-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2c(F)cc(Br)cc2F)c1 443892051 PMDNOVKJGSMMKB-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2cnc3ccccc3c2)cc1 445061495 UGNXQEGLWYFPIS-UHFFFAOYSA-N 419.462 4.539 5 20 HJBD CCc1nc2ccccc2n1Cc1noc([C@@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 460746104 BVTPUKMZEJMKDC-CYBMUJFWSA-N 409.471 4.792 5 20 HJBD COc1ccc([N+](=O)[O-])c(N2CCO[C@@H](c3cc(F)c(Cl)cc3Cl)C2)c1 462829227 ZCOUDUBBOROCCG-QGZVFWFLSA-N 401.221 4.627 5 20 HJBD O=C(c1cccnc1NCCCCc1ccc([N+](=O)[O-])cc1)N1CCc2ccccc21 462859881 ZWGHPBKBJPWELD-UHFFFAOYSA-N 416.481 4.628 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)n(C)n1 464546942 IDKKQUXOAWTXEL-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)N1CC[C@@H](CSc2ccccc2)C1 465990675 PJANVNJOCYDPQL-LJQANCHMSA-N 413.543 4.741 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cc(F)c(Br)cc1Cl 466441193 JIAMGPCKSLWUBI-UHFFFAOYSA-N 401.619 4.721 5 20 HJBD O=C(NC[C@@H]1CCO[C@H]1c1ccccc1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 475223535 KCYRIFAOVVQABZ-GUYCJALGSA-N 409.364 4.513 5 20 HJBD O=C(N[C@@H]1CCCC[C@@H]1Cc1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 476466263 XEIVJIQZYIUIGM-FGZHOGPDSA-N 421.541 4.729 5 20 HJBD CC[C@@H](Cc1ccccc1Br)N(C)C(=O)NCc1ccc([N+](=O)[O-])cc1 476869302 LTAGFRUANZBKCW-INIZCTEOSA-N 420.307 4.520 5 20 HJBD CCn1c(SCc2cc(OC)ccc2Cl)nnc1-c1ccc([N+](=O)[O-])cc1 478718037 MVAFUVFPNPQVMB-UHFFFAOYSA-N 404.879 4.828 5 20 HJBD CCOc1ccc([C@@H](C)Nc2ccc(NC(=O)c3ccccc3)cn2)cc1[N+](=O)[O-] 479536001 JEGBJSXWRZDVFR-OAHLLOKOSA-N 406.442 4.814 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCCc1ccc([N+](=O)[O-])cc1 479627278 QDPBNJQHQBPIAW-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(COc3ccc(Cl)cc3)o2)c([N+](=O)[O-])c1 479944323 YACHHGKQCBIDNH-UHFFFAOYSA-N 419.846 4.954 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1/C=C/c1nc(O)c2cc(Br)sc2n1 480033158 ALAFOYZLJXWXOM-ZZXKWVIFSA-N 422.260 4.637 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNc3ccc(Cc4nnc5n4CCC5)cc3)o2)c1 480477088 ZPVKLUBLFBQCFZ-UHFFFAOYSA-N 415.453 4.595 5 20 HJBD CCCNc1ccccc1NC(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1 480547496 AMJYWXBGWOPULY-UHFFFAOYSA-N 407.474 4.531 5 20 HJBD COCCCC[C@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1ccccc1 480576951 HSKBSTXFZZBWSH-NRFANRHFSA-N 411.502 4.801 5 20 HJBD COC(=O)c1cc(OCc2csc(-c3ccc(Cl)cc3)n2)ccc1[N+](=O)[O-] 481894409 ARFNXVKONFSPCJ-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)S(=O)(=O)Cc1csc(-c2ccsc2)n1 485271527 KVWLNFSTEXXENK-GFCCVEGCSA-N 408.526 4.764 5 20 HJBD COC(=O)C(C)(C)c1csc(NC(=O)c2cc3ccccc3c3cccnc23)n1 485370808 DDWSBDGCLMHGQU-UHFFFAOYSA-N 405.479 4.547 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3C[C@H](c4ccccc4)[C@H]4CCCC[C@@H]43)o2)cc1 485913500 NBMZSGXYYYQQLB-NJYVYQBISA-N 404.470 4.803 5 20 HJBD CC(=O)NCc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)cc1 487593739 SKKQOJJGRMZTIY-UHFFFAOYSA-N 421.478 4.634 5 20 HJBD CC(C)c1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccc([N+](=O)[O-])cc3)o2)cc1 488847791 AAVWIOSIESDPQU-ZDUSSCGKSA-N 412.471 4.888 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2NCc2ccccc2C)cc([N+](=O)[O-])c1 499131266 SETHFHTZQPRQMI-UHFFFAOYSA-N 419.437 4.554 5 20 HJBD CC(C)[C@H](Nc1ccc([N+](=O)[O-])cc1Br)c1nc(-c2ccncc2)no1 499143988 BWCFAPUPYAXNCB-HNNXBMFYSA-N 418.251 4.612 5 20 HJBD C[C@H](C(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1)c1ccc(Br)cc1 499344408 KNVAUJFWOQSLFV-ZDUSSCGKSA-N 403.276 4.652 5 20 HJBD CN1C(=O)c2cc(Cl)ccc2N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500292358 ZKVQXAHXXSKXHE-INIZCTEOSA-N 400.847 4.568 5 20 HJBD CCCN(C(=O)Nc1cc(C)c([N+](=O)[O-])cc1C)[C@@H](C)CC(=O)Nc1ccccc1 504763797 YAYMPSIENAGCJC-KRWDZBQOSA-N 412.490 4.873 5 20 HJBD O=C(Nc1cccc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)c1)c1ccc(F)cc1 505009519 UBNLZOSTGFHASM-UHFFFAOYSA-N 404.357 4.703 5 20 HJBD COc1ccc(-c2nc3ccccc3[nH]2)cc1NC(=O)c1ccc(F)cc1[N+](=O)[O-] 505537234 YAYPAEBXVUTONK-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)c1cccc(-c2noc(CC(C)(C)C)n2)c1 513005057 SGYADVBHPPJLQE-UHFFFAOYSA-N 422.485 4.548 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)Nc1ccnn1-c1ccc([N+](=O)[O-])cc1 520243990 YGMQMQXQYYSDSE-UHFFFAOYSA-N 411.468 4.526 5 20 HJBD CC(=O)Nc1ccc(C(F)(F)F)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 520399252 COVWQKRYPTXMKE-UHFFFAOYSA-N 407.304 4.571 5 20 HJBD C[C@H](c1cccs1)N(Cc1ccccc1)C[C@@H](O)COc1cccc([N+](=O)[O-])c1 521816607 ZUHJJZUXWNCNLA-YLJYHZDGSA-N 412.511 4.659 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCC(=Cc2cccc(F)c2)CC1 523492181 CUZYNYREEPMRKO-UHFFFAOYSA-N 419.250 4.816 5 20 HJBD O=C(Nc1ccc(Cl)c2cccnc12)c1ccc(Br)cc1[N+](=O)[O-] 531187598 LZUKEGAWVWCCBZ-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H]3CCC[C@@H]4OCC[C@@H]43)o2)c(Br)c1 532120117 MRLMHVGAYAVCBW-NXHRZFHOSA-N 421.291 4.665 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 532953629 GSJXJIRWEPJJKT-JTQLQIEISA-N 424.404 4.571 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C3CC3)cc(C(=O)N(C)c3cccc([N+](=O)[O-])c3)c12 533606262 MBXHYBISHUDVGW-UHFFFAOYSA-N 407.474 4.557 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)NCc2cc([N+](=O)[O-])cc3c2OCOC3)cc1 537156545 PCTIAFCOXRWJMH-MRXNPFEDSA-N 420.465 4.988 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3c(C)cc(C)c([N+](=O)[O-])c3C)CC2)c(C)c1 537643505 BZNBPIYDLFLBQD-UHFFFAOYSA-N 408.498 4.872 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(CCc1ncc(-c3cccc([N+](=O)[O-])c3)o1)[C@H]2C 541021465 YDDVHBTZLKWORC-HOTGVXAUSA-N 407.470 4.809 5 20 HJBD Cc1oc(-c2cccc(C(F)(F)F)c2)nc1C(=O)Nc1ccc([N+](=O)[O-])cc1F 542007581 LYQPJRPJJWHXLH-UHFFFAOYSA-N 409.295 4.968 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1ccc([N+](=O)[O-])cc1F 542525105 FPDVOHFZDDVNQL-GJZGRUSLSA-N 420.868 4.808 5 20 HJBD CN(Cc1ccc(OC(F)F)cc1)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543117120 DBRHQDSOXBIXAY-UHFFFAOYSA-N 416.833 4.600 5 20 HJBD O=[N+]([O-])c1ccccc1NCCc1noc(C[C@H](c2ccccc2)C(F)(F)F)n1 546375941 HPEYSJMPVPGXEU-CQSZACIVSA-N 406.364 4.521 5 20 HJBD CCC(C)(C)NC(=O)c1ccc(NC(=O)c2c(C)cccc2[N+](=O)[O-])cc1Cl 551034604 YKSIGAFGZYZIKQ-UHFFFAOYSA-N 403.866 4.727 5 20 HJBD CCCN(C(=O)Cc1ccccc1[N+](=O)[O-])[C@H](c1ccc(F)cc1)c1cccnc1 558053110 LWTDSEQZJVCWOE-HSZRJFAPSA-N 407.445 4.700 5 20 HJBD Cc1ccc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cc1NC(=O)C(C)(C)C 558758547 RBYVUXQVERBPFB-UHFFFAOYSA-N 412.490 4.594 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C(C)=O)cs2)c([N+](=O)[O-])cc1OCCC 560977273 ZFPQJYKSHOEOJM-UHFFFAOYSA-N 406.460 4.689 5 20 HJBD Cc1ccc(-c2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)c(N2CCCC2)n1 565328915 MKQJLXMSCQVMSK-UHFFFAOYSA-N 423.476 4.702 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NC1(c2ccccc2F)CC1 574388798 OCOVGXOMOOSFPW-UHFFFAOYSA-N 414.380 4.650 5 20 HJBD CCO[C@H](c1noc(-c2cccc([N+](=O)[O-])c2)n1)c1ccc(F)cc1C(F)(F)F 578049347 WZEUVLFECWKYHH-HNNXBMFYSA-N 411.311 4.929 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc(F)c3Cl)cc2)c(C)c1[N+](=O)[O-] 581572586 IMKLRDCQZKKPKG-UHFFFAOYSA-N 402.813 4.501 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2cccc(OCc3ccccn3)c2)cc1[N+](=O)[O-] 603668649 GAPIJFUJLKEBON-UHFFFAOYSA-N 419.481 4.796 5 20 HJBD C[C@H]1C[C@@H](C)CN(Cc2ccccc2CNc2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)C1 603862195 KIVTZMZFXANSBC-CALCHBBNSA-N 422.529 4.570 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1nc2ccccc2[nH]1 603945309 DZNUUFXMFJKEFV-UHFFFAOYSA-N 402.410 4.546 5 20 HJBD Cc1cc(-c2cc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)c3c(C)noc3n2)c(C)o1 603966603 ATYAPWLZRQUQMB-GFCCVEGCSA-N 420.425 4.807 5 20 HJBD Cc1cc(Br)cc(C)c1NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1 604008315 PSLXDYHLYIBIHD-UHFFFAOYSA-N 403.236 4.636 5 20 HJBD O=C(NCc1ccc(OCc2cccc(F)c2)cc1)c1c[nH]c2cc([N+](=O)[O-])ccc12 604085829 NAGDLFXVUABRKY-UHFFFAOYSA-N 419.412 4.724 5 20 HJBD CC(C)(C)[C@H](NC(=O)c1cc2c(cc1[N+](=O)[O-])OCO2)c1cccc(C(F)(F)F)c1 608899618 ZLQVJFMZIZHIMS-QGZVFWFLSA-N 424.375 4.860 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCn3cnc4ccccc43)c([N+](=O)[O-])c2)cc1 608973317 IMQRXQQXDSSJIF-UHFFFAOYSA-N 415.453 4.617 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCO[C@H](c3ccccc3F)C2)c([N+](=O)[O-])c1 608974036 PWBJDJXMJWNJSK-QFIPXVFZSA-N 406.413 4.543 5 20 HJBD CSc1ccc(C(=O)Nc2nnc(-c3cccc(Cl)c3)s2)cc1[N+](=O)[O-] 609021733 KFYRERKRFQPWSZ-UHFFFAOYSA-N 406.876 4.741 5 20 HJBD CC[C@H](Cc1ccccc1)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 609033253 OJNVRMJIVQSUMZ-FXAWDEMLSA-N 418.497 4.914 5 20 HJBD O=C1[C@H](Sc2ncc(C(F)(F)F)cc2Cl)CCN1c1cccc([N+](=O)[O-])c1 609449815 KHHDISHYRZGFIT-CYBMUJFWSA-N 417.796 4.560 5 20 HJBD CN(Cc1ccccc1C(F)(F)F)C(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-] 609729890 WLZWQMRRXMXWPN-UHFFFAOYSA-N 416.783 4.695 5 20 HJBD C[C@H](Nc1ccc(C(=O)N[C@H]2C[C@@H]2Cc2ccccc2)cc1[N+](=O)[O-])c1ccccn1 610186754 MOPKKBIUPGOLQM-BPXKWBHBSA-N 416.481 4.524 5 20 HJBD C[C@@H](C[C@@H]1CCOC1)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 613400990 HBZWFQPNSAIODI-KBPBESRZSA-N 420.918 4.944 5 20 HJBD O=C(OCc1cccc(C(F)(F)F)c1)c1cc([N+](=O)[O-])ccc1Br 725985859 PYUMMNPGOZLNGL-UHFFFAOYSA-N 404.138 4.733 5 20 HJBD COc1ccccc1-c1nc(COC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cs1 740394805 MRPVYCJQSPOCTA-UHFFFAOYSA-N 412.467 4.748 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2F)c(F)c1)c1cc(F)ccc1[N+](=O)[O-] 741974591 UZJDBXLVWDREDE-UHFFFAOYSA-N 402.328 4.734 5 20 HJBD CS[C@@H]1CC[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)C1 742383392 MGEJMTFUHVCLGR-SMDDNHRTSA-N 411.321 4.991 5 20 HJBD Cc1cccc(F)c1CNC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 742724126 BGTSZMRMLPVJEP-HNNXBMFYSA-N 408.433 4.540 5 20 HJBD COc1ccc(NC(=O)c2ccc(C)c(NC(=O)OC(C)(C)C)c2)c([N+](=O)[O-])c1 744357566 NYQOGOUUJZXJKL-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)O[C@H](C)C(=O)c2ccc(C(C)C)cc2)cc1OC 744522178 XXOHIDQLNIRECH-CQSZACIVSA-N 415.442 4.554 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)cc([N+](=O)[O-])c1)c1nc(Cc2ccc(Cl)cc2)no1 745065807 QDQHEGMPQZCDRW-JTQLQIEISA-N 422.224 4.793 5 20 HJBD O=C(OCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 745567768 DSEPORWFWAYBOI-UHFFFAOYSA-N 404.684 4.532 5 20 HJBD CC[C@H](c1ccncc1)N(CC)C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 754978755 VLNBHPPKIZIMBN-CQSZACIVSA-N 410.243 4.505 5 20 HJBD O=C(c1ccccc1)c1ccc(N2CCC[C@@H]2C[C@H](O)c2ccco2)c([N+](=O)[O-])c1 758485756 DEFLMCOJXBCJIO-NQIIRXRSSA-N 406.438 4.511 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)C1 764193719 PHIOFKMMIYQWIT-KBPBESRZSA-N 415.877 4.619 5 20 HJBD CNC(=O)c1cc(Oc2cccc(Oc3nc4ccc([N+](=O)[O-])cc4s3)c2)ccn1 766443973 WZSWFZAUDWVPNX-UHFFFAOYSA-N 422.422 4.544 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1S(=O)(=O)Oc1ccccc1Oc1ccccn1 769894472 CXGJVNFSHMJTGG-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD O=C(OCC(=O)c1ccc([N+](=O)[O-])cc1)/C(=C/c1ccc(F)cc1)c1cccs1 772147103 ZDHYXGCVLDROJV-LDADJPATSA-N 411.410 4.762 5 20 HJBD O=[N+]([O-])c1ccc(Br)c2c1CN(Cc1coc(-c3ccccc3)n1)CC2 792913546 MQWTWBLZVAKDHA-UHFFFAOYSA-N 414.259 4.571 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1C(=O)N1CCc2cc(C)c([N+](=O)[O-])cc21 793769798 PCYNFIPZZXUUPX-UHFFFAOYSA-N 411.458 4.762 5 20 HJBD C[C@H](CC1CCOCC1)OC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 803010992 NHTZXLKBSBCJJI-HZPDHXFCSA-N 413.474 4.525 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])O[C@H](c1ccc(C(F)(F)F)cc1)C(F)(F)F 809126332 LJSGZNKRNLOCDX-OAHLLOKOSA-N 423.265 4.839 5 20 HJBD COc1ccc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc1F 915829089 SGVCKFMJAHEJJH-UHFFFAOYSA-N 410.401 4.807 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1cccc(Cl)c1)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1 916451935 ZWKQRNJNROOSEJ-IBGZPJMESA-N 411.801 4.676 5 20 HJBD CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)Cc1cc(F)ccc1[N+](=O)[O-] 917021219 VPXVSMRIEGYKLI-UHFFFAOYSA-N 414.264 4.891 5 20 HJBD CSc1ccc(C(=O)OCc2cccc(C(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 918816382 RDCPQLWYMWBGGK-UHFFFAOYSA-N 422.462 4.926 5 20 HJBD O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)C1(c2cc(C(F)(F)F)ccc2Cl)CC1 1116714673 SQJBGJNBKOJLNE-UHFFFAOYSA-N 410.779 4.841 5 20 HJBD CCOc1cc(-c2nnc(-c3ccc(C)c([N+](=O)[O-])c3)o2)cc(OCC)c1OCC 1322442834 TZAFYWUIQLFLGL-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD CC[C@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(OC)c(OC)c1 1340967503 YQOPYKLISNVKNT-INIZCTEOSA-N 409.280 4.615 5 20 HJBD CC(C)(C)C(=O)NC1(c2ccc(NC(=O)c3cc(F)cc([N+](=O)[O-])c3)cc2)CCC1 1790696740 PWYZPYYFBGIBGO-UHFFFAOYSA-N 413.449 4.528 5 20 HJBD Cc1cc(C)cc(-c2nnc(SCc3nnc(-c4ccc([N+](=O)[O-])cc4)o3)o2)c1 7159893 QDGCTAILJSRAPH-UHFFFAOYSA-N 409.427 4.604 5 20 HJBD Cc1ccc(NC(=O)[C@@H](C)Sc2nc3cc4ccccc4cc3c(=O)n2C)c(C)c1 8176358 CTRWMCLMBTXLNL-MRXNPFEDSA-N 417.534 4.823 5 20 HJBD C[C@H](Nc1cccc2c1CCCC2)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 22468874 ZHJXFDYICVVRNM-LBPRGKRZSA-N 407.392 4.932 5 20 HJBD CC[C@H]1CCCCN1C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 26996494 YFJIGEBYSRNERM-INIZCTEOSA-N 413.440 4.621 5 20 HJBD COc1ccc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1F 54079098 DTMOOJSQCPOWDX-CYBMUJFWSA-N 410.405 4.563 5 20 HJBD Cc1c([C@@H](C)Nc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])oc2ccccc12 64113407 SYOKSAIWILUGDU-CQSZACIVSA-N 404.426 4.787 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)Cn2ccc3cc([N+](=O)[O-])ccc32)n1 109317853 CWFLPMIPHQPNLZ-UHFFFAOYSA-N 422.466 4.629 5 20 HJBD CC1CCN(c2ccc(C(=O)N(CC(C)(C)C)CC(F)(F)F)cc2[N+](=O)[O-])CC1 111006043 UIOUSZHETFJTEA-UHFFFAOYSA-N 415.456 4.882 5 20 HJBD Cc1cc(C)c(NC(=O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c(C)c1 237917383 FAWKESFJUHMPSG-UHFFFAOYSA-N 409.511 4.977 5 20 HJBD O=C(Nc1cccc(CNc2c([N+](=O)[O-])ccc3c2CCN3)c1)c1cccc(F)c1 302862122 VWJXZSHNRFYPMJ-UHFFFAOYSA-N 406.417 4.566 5 20 HJBD O=C(C[C@H](c1ccccc1)C(F)(F)F)Nc1cc([N+](=O)[O-])ccc1OC(F)F 303149654 FFRPWLLHHJRTMI-GFCCVEGCSA-N 404.291 4.871 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)N1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 303291203 LADSPWALNXBGAX-INIZCTEOSA-N 414.220 4.646 5 20 HJBD CC(C)Cc1ccc([C@@H](NC(=O)c2cc(O)nc3ccc([N+](=O)[O-])cc23)C2CC2)cc1 303486488 RDUATWACUCXQOU-HSZRJFAPSA-N 419.481 4.928 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)NCCc1nc(C2CCCCC2)cs1 303508803 SNCZNGDPCDXJQY-CQSZACIVSA-N 419.572 4.939 5 20 HJBD CN(Cc1nc2ccccc2s1)C(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 426279505 VQAKFRQPHGYXTA-UHFFFAOYSA-N 424.404 4.565 5 20 HJBD C[C@H](Oc1ccc([N+](=O)[O-])c(CO)c1)c1nnc(-c2ccc(Cl)cc2Cl)o1 431881690 KRDKBWHCGMMEEE-VIFPVBQESA-N 410.213 4.584 5 20 HJBD COc1ccc(C)cc1[C@@H](C)NC(=O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435829163 VGJCXHKMXBACGY-CYBMUJFWSA-N 422.431 4.768 5 20 HJBD CN(Cc1ccc(Cl)s1)C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 435829207 VQUAJGNZXRGZKE-UHFFFAOYSA-N 400.862 4.808 5 20 HJBD Cc1cc(C)c(CNC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)c(C)c1 436105048 VOQHDRJAXDPBRS-UHFFFAOYSA-N 406.432 4.815 5 20 HJBD CCN(C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](Cc1ccc(F)cc1)C(C)C 437121813 GPHVXRCETZSZMH-QFIPXVFZSA-N 424.476 4.649 5 20 HJBD O=C1CCc2cc(NC(=O)c3ccccc3Sc3ccccc3[N+](=O)[O-])ccc2N1 440744939 DVWWKWYWGIBGSO-UHFFFAOYSA-N 419.462 4.883 5 20 HJBD C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444244691 XHSQYCYJRNTWMU-WBVHZDCISA-N 400.453 4.839 5 20 HJBD CN(Cc1ccccc1NC(=O)CCOc1ccccc1[N+](=O)[O-])c1ccccc1 447702069 XGQRYTSKXDWBJM-UHFFFAOYSA-N 405.454 4.639 5 20 HJBD Cc1cnc(Sc2nnc([C@@H](C)N3CCCCC3)n2-c2ccccc2)c([N+](=O)[O-])c1 463055264 NTIIMBKUSFQPJV-MRXNPFEDSA-N 424.530 4.577 5 20 HJBD O=C(Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)[C@@H]1SCCc2sccc21 463770232 VMNKNNHWQGGDCK-OAHLLOKOSA-N 403.510 4.749 5 20 HJBD CCCCN(C(=O)Cc1cc2c(cc1[N+](=O)[O-])OCCO2)[C@H](CC)c1ccccc1 465128953 JPDKRTVCLRKFIW-LJQANCHMSA-N 412.486 4.689 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NC[C@@H](C)Oc1ccccc1Cl 466166785 FMFGLDSIWPHOID-OAHLLOKOSA-N 405.882 4.636 5 20 HJBD CC(C)[C@](C)(O)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467759685 PWMGEPMOGPRPBX-LJQANCHMSA-N 408.907 4.536 5 20 HJBD CC[C@@H](C[C@H](C)CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467926243 IARWIGWYXZAOSO-ZFWWWQNUSA-N 422.934 4.926 5 20 HJBD C[C@H](O)C[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 468049248 SJMCCFMXJWIGBN-XJKSGUPXSA-N 420.918 4.775 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@H](C)c1ccc(Br)s1 468174970 VOQFKTQRKAYPOF-YPMHNXCESA-N 411.321 4.865 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C 471032909 QSKXZJQDHDPNEL-OAQYLSRUSA-N 421.501 4.609 5 20 HJBD O=[N+]([O-])c1ccccc1CCS(=O)(=O)Nc1c(Cl)cc(Cl)cc1Cl 479139597 JWQVQDIYWVDQQD-UHFFFAOYSA-N 409.678 4.539 5 20 HJBD Cc1ccc([C@@H](C)N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)cc1[N+](=O)[O-] 479546176 QBFPURFPRPLANI-BZNIZROVSA-N 402.800 4.960 5 20 HJBD Cc1ccc([C@H](C)NC(=O)Cc2c[nH]c3cc(Br)ccc23)cc1[N+](=O)[O-] 481847633 VSRGFLVZKGYHLZ-LBPRGKRZSA-N 416.275 4.567 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(F)c(F)cc2N2CCCCC2)c1 484883674 PMEMHZQNCIJGIK-UHFFFAOYSA-N 405.401 4.514 5 20 HJBD CS[C@@H]1CCC[C@@H](NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])C1 485492980 OMPXQQVDAOZRQM-SJLPKXTDSA-N 400.500 4.578 5 20 HJBD O=C(c1ccn(-c2ccccc2[N+](=O)[O-])n1)N1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 485634199 MOIIBARNVPEAOD-SBHAEUEKSA-N 416.481 4.579 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CCCN(c2ccc(Cl)cc2Cl)C1 485686471 JRPRCRPHOJEHGP-AWEZNQCLSA-N 408.285 4.609 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccc(Oc2cccc(F)c2F)nc1 487102271 JCLWOJITEXPGJZ-UHFFFAOYSA-N 424.363 4.723 5 20 HJBD CC(C)(C)OC1CCN(C(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)CC1 490564575 IXYUNNMREZTZJA-UHFFFAOYSA-N 411.502 4.627 5 20 HJBD COc1ccc(C(=O)Nc2cccc(CNC(C)(C)c3ccccc3[N+](=O)[O-])c2)cc1 492191737 BRDQZIYELBPPHL-UHFFFAOYSA-N 419.481 4.881 5 20 HJBD Cc1cc(C)cc(-c2nnc(NC(=O)c3ccc([N+](=O)[O-])c4cccnc34)s2)c1 498149626 OMQXTKKYWDYOCK-UHFFFAOYSA-N 405.439 4.531 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1ccc(Oc2nncc3ccccc23)cc1 498602612 FAXLWFKGNBMSBB-UHFFFAOYSA-N 400.394 4.512 5 20 HJBD CCC[C@]1(C(F)(F)F)CCCN1C(=O)c1cc(Br)cc([N+](=O)[O-])c1 499505062 BEVDNNODDQOLRT-CQSZACIVSA-N 409.202 4.695 5 20 HJBD CC(C)CCSc1ccc(-c2nc([C@H]3CN(C(C)C)CCO3)no2)cc1[N+](=O)[O-] 500913817 CWSHDNSCHSOFTL-QGZVFWFLSA-N 420.535 4.565 5 20 HJBD CN(Cc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)Cc1nccn1C(F)F 507077757 MZYQWDUSOZIIRS-UHFFFAOYSA-N 406.364 4.750 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cc2cc([N+](=O)[O-])ccc2o1 509735914 PLYORGMKWBPHLI-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD CC[C@H](NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)s1 510743815 BGJXKYUWUFEUJK-ONGXEEELSA-N 403.287 4.998 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CNc1ccc(C(=O)N2CCCC[C@@H]2C)c(Cl)c1 511100840 XNYFSGOIIAXNOD-AWEZNQCLSA-N 417.893 4.884 5 20 HJBD CN(CCc1ccc(Br)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 521595522 IVPVRSOTYIRYAN-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD COc1ccc(CNC(=O)N(C)[C@H](C)c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 521787340 QUDUGMQMFVZQFI-GFCCVEGCSA-N 411.380 4.525 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(CCc3ccccc3F)C3CCCC3)o2)cc1 521815944 DOOWZNHZGBWUQS-UHFFFAOYSA-N 410.449 4.771 5 20 HJBD C[C@@H]1CCCN(Cc2ccc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)C1 521816096 JNFIQPRNJJBNDZ-MRXNPFEDSA-N 419.472 4.718 5 20 HJBD Cc1ccccc1CN(Cc1cccnc1)C(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 523800186 MXBUAMOSSNHRRY-SFHVURJKSA-N 404.470 4.771 5 20 HJBD COc1ccccc1CCNC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 524223117 DUCQEPVNHWSPTF-UHFFFAOYSA-N 407.426 4.760 5 20 HJBD CC(C)Oc1cccc([C@H](C)NC(=O)Cc2ccc([N+](=O)[O-])cc2Br)c1 531501216 WBLXCCAWPBZRPQ-ZDUSSCGKSA-N 421.291 4.564 5 20 HJBD CC(C)n1c([C@H]2CCCN2Cc2cc([N+](=O)[O-])cc3c2OCOC3)nc2ccccc21 532717420 UZVAFANOMRVVQO-OAQYLSRUSA-N 422.485 4.729 5 20 HJBD CCOc1cc(NC(=O)c2cnn([C@H](C)CC)c2C2CC2)c([N+](=O)[O-])cc1OCC 534791559 KGDZCXJOCVHTPO-CYBMUJFWSA-N 416.478 4.689 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1cccc(-c2nnc3n2CCCCC3)c1C 538832378 JUHGKSPLHWFLFA-UHFFFAOYSA-N 421.501 4.899 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(-c3nc(-c4ccccc4-n4cccn4)no3)cs2)cc1 544510406 PLDNEUNSIPHLFZ-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD Cc1noc(CSc2ccc(-c3nc(Cc4ccc([N+](=O)[O-])c(C)c4)no3)cc2)n1 545852070 MBVKTXQWIIHSIZ-UHFFFAOYSA-N 423.454 4.528 5 20 HJBD CC1(C)CN(Cc2ccccc2)CC[C@H]1NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 549163887 FELSYHCTYARDOJ-JOCHJYFZSA-N 420.513 4.640 5 20 HJBD Cc1nc(-c2cccc(N[C@H](c3cccc([N+](=O)[O-])c3)c3nccn3C)c2)oc1C 552289647 FZTQTGQDCIQNGJ-HXUWFJFHSA-N 403.442 4.802 5 20 HJBD Cc1cc(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)ccc1Oc1cccnc1 558747574 UNWZUHUTKBXUOY-UHFFFAOYSA-N 406.442 4.797 5 20 HJBD Cc1cnc(-c2ccccc2NC(=O)c2cc([N+](=O)[O-])cc(Br)c2C)[nH]1 575751877 CANKIJNRLQFXSN-UHFFFAOYSA-N 415.247 4.617 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cccnc1OCc1ccccc1 603817315 WIEUKXKHCVUREQ-OAHLLOKOSA-N 409.467 4.688 5 20 HJBD O=[N+]([O-])c1ccc(S(=O)(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c(F)c1 604040308 FPQXGMDXSJASAI-OAHLLOKOSA-N 419.261 4.567 5 20 HJBD Cc1ccc2cccc(NC(=O)c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)c2n1 608947443 XXNSKZZHZJPLKP-UHFFFAOYSA-N 415.453 4.565 5 20 HJBD COc1cc(CSCc2noc3c2CCCC3)c([N+](=O)[O-])cc1OC(F)F 609419984 YHXMAYQLMKWIQR-UHFFFAOYSA-N 400.403 4.505 5 20 HJBD O=C(c1cc(Cl)cc([N+](=O)[O-])c1)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 609853840 XYXUHEGCDDDEQR-HNNXBMFYSA-N 417.874 4.684 5 20 HJBD Cc1ccc(-c2nc(C)sc2CC(=O)N2CCCc3ccc([N+](=O)[O-])cc32)cc1 609859539 DBVPJUXXSZAOCL-UHFFFAOYSA-N 407.495 4.857 5 20 HJBD CCN(CC)CCn1ccc2cc(NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)ccc21 610043528 NLPZBAMCRRODPQ-UHFFFAOYSA-N 422.529 4.808 5 20 HJBD CC[C@@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1cc(F)ccc1F 610121267 RTCPCUNETLZFCB-OAHLLOKOSA-N 418.318 4.695 5 20 HJBD COc1ccccc1-c1ccc([C@H](C)NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1 610188886 BEDQUPVJVIMAJP-KRWDZBQOSA-N 420.465 4.917 5 20 HJBD C[C@@H](c1ccccc1)n1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 610746234 WLGITYWPNDLFGI-AWEZNQCLSA-N 419.466 4.776 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)c1cccc(C(F)F)c1 729169501 YWMBBBNHFIGBCM-UHFFFAOYSA-N 416.384 4.633 5 20 HJBD CCN(C(=O)COC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1cccc2ccccc12 730644264 ZGQUJFWLLYGZNH-UHFFFAOYSA-N 412.829 4.611 5 20 HJBD COc1ccc(CC(=O)O[C@H]2CCCc3nc(-c4ccccc4)sc32)cc1[N+](=O)[O-] 741192026 ONYJQLPANSBVTQ-IBGZPJMESA-N 424.478 4.890 5 20 HJBD CS[C@@H]1CC[C@@H](NCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)C1 742383325 LBDZFRLDEPGKIF-WIYYLYMNSA-N 408.527 4.821 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1nnc(-c2cccc(Cl)c2)o1 745912799 OMFDRVAMIMBULK-SECBINFHSA-N 408.197 4.870 5 20 HJBD COc1cc(N2CCC(OC(=O)CCCc3ccc(C)s3)CC2)ccc1[N+](=O)[O-] 751168645 AYRUAPSXBKWQRB-UHFFFAOYSA-N 418.515 4.508 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])N[C@H]1CCOc2c1ccc(Cl)c2Cl 754475253 PXGPDEJZUNEGFY-LBPRGKRZSA-N 413.282 4.634 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ncc(Cc3cc(F)cc(F)c3)s2)c1[N+](=O)[O-] 760323137 OPHRIWALRXYWCP-UHFFFAOYSA-N 407.373 4.620 5 20 HJBD CCC(CC)(CC)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 761477706 CWWOVMVUZUYHBJ-LLVKDONJSA-N 404.385 4.700 5 20 HJBD Cc1c(Cc2noc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)n2)cccc1[N+](=O)[O-] 762321086 QUXONLVVWPPWTI-UHFFFAOYSA-N 423.410 4.576 5 20 HJBD COc1ccc(-c2ccc(CNc3cc(OC)c(OC)cc3F)o2)c([N+](=O)[O-])c1 763510481 LIHDFDVKLWBHGW-UHFFFAOYSA-N 402.378 4.632 5 20 HJBD Cc1c([C@H](C)NCc2ccc(CCNC(=O)OC(C)(C)C)cc2)cccc1[N+](=O)[O-] 765608052 STGRPDNZGAKIPN-KRWDZBQOSA-N 413.518 4.821 5 20 HJBD CC(C)CN(C(=O)c1cccc2nccn12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 777110829 NPMABLFEWWXQQV-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD CCOc1ccc([C@H](C)Nc2ccc(O[C@@H]3CCCC[C@H]3O)cc2)cc1[N+](=O)[O-] 777592721 UGRDYDNNFCZFQM-ZAOYMGCJSA-N 400.475 4.849 5 20 HJBD Cc1cc(CSc2ccccc2C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)on1 777770620 BVMGMDWHFWRJEU-UHFFFAOYSA-N 419.846 4.795 5 20 HJBD O=C(NCCCOc1ccccc1F)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 782074722 UULHHKUBRBFDLJ-UHFFFAOYSA-N 424.428 4.512 5 20 HJBD Cc1nn(CN(Cc2ccccc2C(F)(F)F)CC2CC2)c2ncc([N+](=O)[O-])cc12 795647958 RBTHNXVBTCWIMR-UHFFFAOYSA-N 419.407 4.536 5 20 HJBD Cc1nc(SC(F)F)sc1CC(=O)OCc1ccc(Cl)c([N+](=O)[O-])c1 795943223 LRLBYDOAIWZCRA-UHFFFAOYSA-N 408.835 4.614 5 20 HJBD C[C@@H](Cn1ccc2ccccc21)C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 797040681 KLZFDHUXEFYKCK-HNNXBMFYSA-N 405.410 4.584 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(N3CCCC[C@H]3c3ncc(-c4ccccc4)[nH]3)cc2N1 798214651 KKMGIUHALMYJAY-IBGZPJMESA-N 417.469 4.601 5 20 HJBD C[C@H](OC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(C(F)(F)F)cn1 798674119 PGKQMXZIWHBWDR-QMMMGPOBSA-N 406.263 4.528 5 20 HJBD O=C(Nc1nccc2ccncc12)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 801695601 CPKPLARVUABDBA-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD COc1cc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1C 809357824 JNKMGOLDJUNPAK-UHFFFAOYSA-N 403.866 4.808 5 20 HJBD O=C(OCc1nc2ccc(Br)cc2o1)c1c(Cl)cccc1[N+](=O)[O-] 812586720 XFEWYPNCHNRIPB-UHFFFAOYSA-N 411.595 4.509 5 20 HJBD CN(CCc1cccc(Br)c1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 812982681 QJZXNRDOJBNFJJ-UHFFFAOYSA-N 412.671 4.717 5 20 HJBD C[C@H](c1ccc(F)cc1)N1CC[C@H](Sc2nc3ccc([N+](=O)[O-])cc3s2)C1=O 814720053 HSIHNRSAARXKCZ-BZNIZROVSA-N 417.487 4.798 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])c1ccc(NC(=O)c2ccccc2)cc1 814775104 FMUZOTVRHBDHOS-UHFFFAOYSA-N 410.813 4.762 5 20 HJBD Cc1cc(-c2ncco2)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 820262157 VFDPYXAVOGFEGO-UHFFFAOYSA-N 406.442 4.801 5 20 HJBD C[C@H]1CCN(CCOc2ccc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2)C1 864023813 PCEYFSLMBOOKMJ-HNNXBMFYSA-N 423.435 4.946 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cc3cc(F)ccc3[nH]2)cc1)c1cccc([N+](=O)[O-])c1 913082117 MPUKVSCMZLJLNW-UHFFFAOYSA-N 419.368 4.687 5 20 HJBD COc1ccc(NCc2ccc(OC)c(OCc3ccc([N+](=O)[O-])cc3)c2)cc1OC 916840091 GVXDUVDYAXBLPO-UHFFFAOYSA-N 424.453 4.812 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc3cc[nH]c3c2)cc1)c1cccc([N+](=O)[O-])c1 916952275 GGGULVANNCOVMQ-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD COc1cc(COC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc(Cl)c1OC(F)F 918505227 ZJOQKBGMMAGOHL-UHFFFAOYSA-N 422.167 4.869 5 20 HJBD COc1ccccc1-c1nnc(COc2ccc(Cc3ccccc3)cc2[N+](=O)[O-])o1 1116206189 XVVQJZMOAQBXDD-UHFFFAOYSA-N 417.421 4.823 5 20 HJBD Cc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)c2ccc(Br)cc2n1 1117180129 XSZORKCBCBWLKJ-UHFFFAOYSA-N 411.215 4.931 5 20 HJBD O=C(c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1)N1CC[C@H]2OCCC[C@@H]2C1 1319452062 NEHLPQLNAGJYEC-DNVCBOLYSA-N 416.861 4.682 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 1319847980 SSOCBQKTLRVUDT-CQSZACIVSA-N 410.499 4.603 5 20 HJBD Cc1nc(-c2ccccc2)sc1-c1nnc(CCNc2ccccc2[N+](=O)[O-])o1 1322847336 IPILOPGFUOROJM-UHFFFAOYSA-N 407.455 4.731 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(-c2nnc(-c3cccc(Br)c3)o2)c1 1333078109 PTVZGOOUPNENQR-UHFFFAOYSA-N 412.146 4.676 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1-c1ccc(CN2CCC(OC[C@H]3CCCO3)CC2)o1 1333369615 BKVDAEYVZYQGBA-GOSISDBHSA-N 420.893 4.668 5 20 HJBD C[C@@H](CC(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F)c1ccccc1 8754390 NXIIACFLOCGZSI-QWHCGFSZSA-N 424.375 4.678 5 20 HJBD CSc1ccc(C(=O)OCC(=O)Nc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 9620881 HKDIYONQDFXMDR-UHFFFAOYSA-N 422.462 4.779 5 20 HJBD CC(C)n1ncc2c(C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)cc(-c3ccccc3)nc21 19163457 OUKNKRFCVFKBFD-UHFFFAOYSA-N 419.416 4.979 5 20 HJBD Cc1c(NC(=O)[C@H](C)Oc2ccc(C(=O)c3ccc(F)cc3)cc2)cccc1[N+](=O)[O-] 21382578 DHYLLIDQZAEDNY-HNNXBMFYSA-N 422.412 4.679 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cnn1-c1cccc(Cl)c1 71861358 UKLVNYWCKUDRFG-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CCOc1ccc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 105562533 PEQYTFYRLRQSAP-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD Cc1ccc(Sc2ccc(CNc3ccc(CC(N)=O)cc3)cc2[N+](=O)[O-])cc1 237875645 KRKYGQGJRXGHFG-UHFFFAOYSA-N 407.495 4.694 5 20 HJBD Cc1cc(Sc2nnc(-c3ccncc3)n2Cc2ccccc2)ccc1[N+](=O)[O-] 301119524 RPWXMEAHAXFCLJ-UHFFFAOYSA-N 403.467 4.756 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)cc2C(F)(F)F)ccc1[N+](=O)[O-] 326268244 UMJINSYKXPKUDW-UHFFFAOYSA-N 403.154 4.937 5 20 HJBD COc1cc(C(=O)N[C@@H](C)c2oc3ccccc3c2C)c([N+](=O)[O-])cc1OC(F)F 409450852 BSMNUKPSDSKSFM-NSHDSACASA-N 420.368 4.750 5 20 HJBD C[C@H](NC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12)[C@@H](C)c1ccccc1 444095574 HANCNBDTLGWWCQ-KGLIPLIRSA-N 406.432 4.882 5 20 HJBD CC[C@@H](CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)Oc1cccc(C)c1 444166058 JASJSKJEXVTHKD-SFHVURJKSA-N 400.478 4.577 5 20 HJBD Cc1cc(NC(=O)c2cc(OCc3ccccc3)ccc2[N+](=O)[O-])nc(C(C)C)n1 447346201 CBMGSGMVNYREGS-UHFFFAOYSA-N 406.442 4.648 5 20 HJBD COc1cccc(Oc2ccc(CN[C@@H]3CCCc4ccc([N+](=O)[O-])cc43)cn2)c1 447564222 VXYKCIOABUBSFR-JOCHJYFZSA-N 405.454 4.958 5 20 HJBD C[C@H](Nc1cc(Br)cc(C(=O)N2CCCC2)c1)c1cccc([N+](=O)[O-])c1 448030258 RYQFGLSAAHHTPN-ZDUSSCGKSA-N 418.291 4.766 5 20 HJBD Cc1ccc(NC(=O)C(=O)N[C@@H](C)c2ccc(Cl)c3ccccc23)cc1[N+](=O)[O-] 462954718 RRAKGLNBVJAVOS-ZDUSSCGKSA-N 411.845 4.526 5 20 HJBD Cc1cc(N2CCCC[C@@H]2C)ccc1NC(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 468140301 NQSJDUOAFGIHHZ-KRWDZBQOSA-N 419.485 4.720 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OC(F)(F)F)c(Br)c2)c1 470698246 XODDJTIUFAYVLX-UHFFFAOYSA-N 419.153 4.817 5 20 HJBD CSc1ccc(NC(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)cc1Cl 474770397 RZOLLBVKWQBHPX-UHFFFAOYSA-N 402.863 4.623 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@H](c2cccc(F)c2)C1 478250264 LLWZVMSHWYUMLP-AZUAARDMSA-N 414.480 4.746 5 20 HJBD O=C([C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 480564056 PCGQJXQGRGAFJN-ZWKOTPCHSA-N 420.387 4.788 5 20 HJBD O=C(O)c1cc(C(=O)Nc2cccc(Cl)c2SCC(F)F)cc([N+](=O)[O-])c1 485740432 AEOIXOICVKXKCI-UHFFFAOYSA-N 416.789 4.556 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@@H](c3ccccc3)C(C)(C)C)cc2)c(C)c1[N+](=O)[O-] 487440500 DCXKRZBRFXEQFN-QFIPXVFZSA-N 420.513 4.974 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N1CCC[C@@H](c2nc3c(s2)CCCC3)C1 491038625 RMVMIPXZNDFNQR-GFCCVEGCSA-N 405.907 4.603 5 20 HJBD Cc1c(NC(=O)N[C@@H](c2ccccc2OC(F)F)C(F)(F)F)cccc1[N+](=O)[O-] 491331730 ZFDJTLSSHQXHTR-AWEZNQCLSA-N 419.306 4.930 5 20 HJBD CC(C)(CNCc1csc(-c2cccc([N+](=O)[O-])c2)n1)N1CCc2ccccc2C1 500153278 YMZVTBSMVUZWDB-UHFFFAOYSA-N 422.554 4.645 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2ccc3nc(C(C)C)sc3c2)cc1OC 502474773 KJUFHWGUQUZUTL-UHFFFAOYSA-N 415.471 4.988 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)COc3cccc([N+](=O)[O-])c3)s2)cc1 502576512 VSORWDUBMYLXFC-CQSZACIVSA-N 411.483 4.591 5 20 HJBD COC(=O)c1cc(C(=O)N(c2ccc(Cl)c(Cl)c2)C(C)C)cc([N+](=O)[O-])c1 505059061 GFJSTPHWYJDQHS-UHFFFAOYSA-N 411.241 4.743 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)c(S(=O)(=O)Nc2ccc3nc(C(F)F)sc3c2)c1 507598256 GQRUVIOCLDFKKI-UHFFFAOYSA-N 413.427 4.560 5 20 HJBD CC1(C)CC[C@@H](NC(=O)C2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)C1 508427181 SLRRNMGOJFZTJO-OAHLLOKOSA-N 413.440 4.525 5 20 HJBD CN(Cc1c(F)cccc1F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 511872977 DCYXIFFPANTJCO-UHFFFAOYSA-N 420.359 4.643 5 20 HJBD C[C@H](C(=O)Nc1ccc(Br)c2cccnc12)c1ccc([N+](=O)[O-])cc1F 511936045 UZBXNOTZOKHGEO-JTQLQIEISA-N 418.222 4.787 5 20 HJBD Cc1ccc(C)c(NC(=O)N2CCN(c3ccc(C(F)(F)F)cc3[N+](=O)[O-])CC2)c1 515113491 FRRYDXDXVLZBCI-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD O=C(c1ccn(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1cccc(F)c1)C1CCCC1 515202401 GTTIITFANSQSCV-UHFFFAOYSA-N 408.433 4.505 5 20 HJBD COc1ccc(OC(C)C)c(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1 518040650 IRXAVGMDIZGWOV-UHFFFAOYSA-N 416.477 4.589 5 20 HJBD Cc1cc(NC(=O)Cc2cc(Cl)ccc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 518370845 QICGOSXWMJRZHB-UHFFFAOYSA-N 405.241 4.577 5 20 HJBD Cc1c([C@@H](C)NC(=O)[C@@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])oc2ccccc12 518857094 IQRCDVSXWQZLFL-CHWSQXEVSA-N 402.834 4.948 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CNCc2ccc(Cn3cccn3)cc2)s1 520206677 ADPFDZCFFCFJCD-UHFFFAOYSA-N 404.495 4.858 5 20 HJBD C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)S(=O)(=O)c1ccc(Cl)cc1[N+](=O)[O-] 521773471 OVPPCMZBPRRYGC-VIFPVBQESA-N 423.705 4.937 5 20 HJBD CCOC(=O)C[C@@H](C)S[C@@H](C(=O)Nc1ccc(C)cc1[N+](=O)[O-])c1ccccc1 523712129 FWFWTCQYBVMIDP-FOIQADDNSA-N 416.499 4.658 5 20 HJBD C[C@@H](NC(=O)Nc1cccc(C[S@@](=O)C(C)(C)C)c1)c1cccc([N+](=O)[O-])c1 524193415 SEQDQBGQXKJWBD-GMYLUUGSSA-N 403.504 4.525 5 20 HJBD C[C@@H](c1ccccc1)N(CC1CCC1)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 525365740 WZFLOZKWCVLOQG-KRWDZBQOSA-N 404.470 4.784 5 20 HJBD CCOc1ccc(C(F)(F)F)cc1NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 533918786 PBCMSBBYFAYRAP-UHFFFAOYSA-N 407.348 4.675 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(Oc2ccccn2)CC1 539672822 DFVVHBNYVUNOSP-UHFFFAOYSA-N 415.515 4.566 5 20 HJBD Cc1cccc(-c2nc(CC(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])cs2)c1 540893301 QRPWIIHYAFHITQ-UHFFFAOYSA-N 415.902 4.582 5 20 HJBD Cc1nc(CNC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)oc1-c1ccc(Cl)cc1 541723534 KBXVVUZHLVGKAL-NSHDSACASA-N 417.824 4.771 5 20 HJBD C[C@@H](C(=O)NCc1cccc(CN2CCCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 542620234 QGFIGNLWOWVLLJ-QGZVFWFLSA-N 413.493 4.530 5 20 HJBD COc1ccc(NC(=O)N2CCC[C@@H]2c2cccc(Br)c2)cc1[N+](=O)[O-] 542956176 UNDKIGMBWDIRFH-OAHLLOKOSA-N 420.263 4.735 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NCCc1ccc(OC(F)F)cc1 543718258 IZHYKVAVNNUIFB-UHFFFAOYSA-N 410.442 4.671 5 20 HJBD CC(=O)c1sc(NC(=O)CSc2ccccc2[N+](=O)[O-])nc1-c1ccccc1 544049353 GHNTYSFVTMMNKL-UHFFFAOYSA-N 413.480 4.652 5 20 HJBD O=C(Nc1cccc(-c2noc(/C=C/c3ccc(F)cc3[N+](=O)[O-])n2)c1)c1ccco1 545609677 DYRSTFDOBUWARW-VQHVLOKHSA-N 420.356 4.800 5 20 HJBD COc1cc(Cc2noc(-c3csc(-c4ccccc4C)n3)n2)ccc1[N+](=O)[O-] 545765800 ZFKBGSBEMCDSGU-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=c1c2cc(Cl)cc(Cl)c2ncn1Cc1cc([N+](=O)[O-])ccc1C(F)(F)F 558813015 LJPDFYOUGWVOPL-UHFFFAOYSA-N 418.158 4.679 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 560990494 HOXRIYIPEKYGTR-LJQANCHMSA-N 407.392 4.601 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC(C)(C)[C@H]3CCC[C@H]32)cc1[N+](=O)[O-])c1ccccn1 575153183 OFAFXPJLEHEFRE-RIFZZMRRSA-N 408.502 4.814 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)c2)n1 582979002 WSSCQLOEGQCELV-UHFFFAOYSA-N 406.320 4.533 5 20 HJBD C[C@@H](Cc1cncc2ccccc12)c1nc(Cc2c[nH]c3ccc([N+](=O)[O-])cc23)no1 589136729 OMSXYPOSGZPNEK-AWEZNQCLSA-N 413.437 4.944 5 20 HJBD CCCN1C(=O)CCc2cc(NCc3ccc(C(F)(F)F)cc3[N+](=O)[O-])ccc21 600858047 ZUCLDOIVKNEZBZ-UHFFFAOYSA-N 407.392 4.915 5 20 HJBD O=C(COc1cc(Cl)ccc1Cl)Nc1cc([N+](=O)[O-])ccc1OC(F)F 603638342 LKNLHMQWOKVHIK-UHFFFAOYSA-N 407.156 4.521 5 20 HJBD COc1ccccc1CN(Cc1cccs1)C(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 604087459 SFURZILEGOGRRS-UHFFFAOYSA-N 421.478 4.989 5 20 HJBD CSc1ccc(C(=O)N[C@H](Cc2ccc(C)cc2)c2ccccn2)cc1[N+](=O)[O-] 609652852 UIUKTWAALQSMIL-LJQANCHMSA-N 407.495 4.734 5 20 HJBD C[C@@H]1Oc2ccccc2O[C@H]1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 609755365 IQJJMAXWYBHVHT-LHSJRXKWSA-N 406.394 4.554 5 20 HJBD CC(C)N(C(=O)C1CCN(c2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1)C1CCC1 610117424 PKDPNLNBOFOYAE-UHFFFAOYSA-N 413.440 4.620 5 20 HJBD COc1ccc(Cl)cc1C[C@H]1CCCN(C(=O)c2cc([N+](=O)[O-])ccc2C)C1 610159827 NKFHSJCXXRCLTC-OAHLLOKOSA-N 402.878 4.660 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N(Cc1cccc(-c2cccnc2)c1)C1CC1 610892371 YJDCBGYKXFMEMB-UHFFFAOYSA-N 412.449 4.943 5 20 HJBD CN1Cc2ccccc2[C@H](NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)C1 611207775 YUJBIXJPWHOCNY-HXUWFJFHSA-N 411.527 4.546 5 20 HJBD Oc1nc(Cc2nc(O)c3c4c(sc3n2)CCCC4)nc2cc3ccccc3cc12 614115217 ODGQQNLAACEKJM-UHFFFAOYSA-N 414.490 4.669 5 20 HJBD COc1cc(CSc2nc(C)nc3ccccc23)c([N+](=O)[O-])cc1OC(F)F 619240770 MYYQFARKRDVZTJ-UHFFFAOYSA-N 407.398 4.749 5 20 HJBD CCn1ncc2c(C(=O)Nc3ccc([N+](=O)[O-])c(Cl)c3)cc(-c3ccccc3)nc21 727710780 GJDYKLNOGYRCPR-UHFFFAOYSA-N 421.844 4.932 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])c(F)c1)C(=O)Nc1ccc(Oc2ccccc2)cc1 728154258 DURFSESLDNPUMN-CQSZACIVSA-N 424.384 4.710 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Oc1ccc(NC(=O)c2ccc(F)cc2)cc1 728245268 CLNVTPFZEWUBFP-BNNQUZSASA-N 406.369 4.605 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Oc2cccc(-c3nc(C)cs3)c2)cc1OC 728474211 GJBKLAGQVVOIIF-UHFFFAOYSA-N 414.439 4.653 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(Cc2c(F)cccc2F)C2CCCC2)cc1[N+](=O)[O-] 729656028 NJNCWXZBPJFJGM-UHFFFAOYSA-N 419.384 4.675 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccoc1COc1ccc(C=O)cc1 731616271 IWAKLEXTSCLWQS-UHFFFAOYSA-N 422.437 4.630 5 20 HJBD O=C(c1c(F)cc(F)cc1F)C1CCN(Cc2ccc(Cl)cc2[N+](=O)[O-])CC1 734839389 XMENMPLSWIOBNP-UHFFFAOYSA-N 412.795 4.760 5 20 HJBD CN(C)C[C@@H]1CCN(C(=O)CCC(=O)c2ccc3ccc4cccc5ccc2c3c45)C1 746264839 FZLMRZGGIAJUEX-SFHVURJKSA-N 412.533 4.957 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cc(Cl)ccc1[N+](=O)[O-] 748659688 FCTWEGNDCGDLQQ-UHFFFAOYSA-N 412.298 4.707 5 20 HJBD O=[N+]([O-])c1cccc([C@@H](O)CSc2nnc(-c3ccccc3)n2-c2ccccc2)c1 749665551 MAFIXHBTMPFMEL-FQEVSTJZSA-N 418.478 4.668 5 20 HJBD CC[C@@H](C)NC(=S)/C(=C(/O)c1cccc([N+](=O)[O-])c1)[n+]1ccc(C(C)(C)C)cc1 749885791 FOIAYPWWRWOKJX-OAHLLOKOSA-O 414.551 4.779 5 20 HJBD Cc1cnc(COC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)cn1 750114198 BZCWAOCQZJJTGA-UHFFFAOYSA-N 415.858 4.855 5 20 HJBD C[C@@H](CCC(C)(C)C)C(=O)O[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755139476 OYGZFTVUJKPOTF-NWDGAFQWSA-N 418.412 4.946 5 20 HJBD CC[C@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1nc(C(F)(F)F)cs1 756963551 DEKAOFOKPWNSCP-NSHDSACASA-N 422.816 4.674 5 20 HJBD O=C(Nc1ccc(OC(=O)[C@H]2CC23CCC3)cc1)c1cc([N+](=O)[O-])ccc1Cl 759693808 JSVMEXFPLLXIQB-MRXNPFEDSA-N 400.818 4.596 5 20 HJBD COc1ccccc1[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1ccccn1 770005123 ZKXCCRVKLIUXPO-LJQANCHMSA-N 412.833 4.563 5 20 HJBD O=C1CCCC(=O)N1c1ccc(NCc2ccc(-c3ccccc3[N+](=O)[O-])s2)cc1 770528119 STHLWYXVSZWZCG-UHFFFAOYSA-N 421.478 4.979 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1CNc1ccc(CNC(=O)OC(C)(C)C)cc1 778186885 XXTJGOUDHWUNEZ-UHFFFAOYSA-N 401.463 4.630 5 20 HJBD Cc1cc(COC(=O)CCc2ncc(-c3ccc(Cl)cc3)o2)ccc1[N+](=O)[O-] 781171853 RRHZDDDRZORJQR-UHFFFAOYSA-N 400.818 4.888 5 20 HJBD Cc1cc([N+](=O)[O-])cc(C(=O)O[C@@H](C)C(=O)Nc2cc(Cl)ccc2Cl)c1F 787941155 XLQHHRPKSQSCCW-VIFPVBQESA-N 415.204 4.533 5 20 HJBD C[C@@H](NCc1cn(-c2ccccc2)nc1-c1ccccc1)c1ccc(N)c([N+](=O)[O-])c1 788500387 BXUJMYSESGSWJQ-QGZVFWFLSA-N 413.481 4.881 5 20 HJBD O=C(OCc1ccc(Br)c([N+](=O)[O-])c1)c1nc2ccccc2cc1Cl 811294905 UPKBKPDUSRWQML-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD C[C@H](c1cccc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])c1)N1CCOCC1 811470587 GPYIAXVTJUYWBQ-CYBMUJFWSA-N 410.301 4.907 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])cc3OC(F)F)CC2)cc1 812481648 BOLHMGISXOPFBI-FQEVSTJZSA-N 422.428 4.545 5 20 HJBD O=C(CSc1cccc(Br)c1)Nc1cccc([N+](=O)[O-])c1Cl 813244429 BVAANHMAOOCHJI-UHFFFAOYSA-N 401.669 4.742 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1c(C)nn(Cc2ccc(C)cc2)c1Cl 813291552 SPZZXBATFLHLRE-UHFFFAOYSA-N 412.877 4.925 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)OCCCc2ccncc2)cc1 918495240 DXMLAUQKNCZXNG-UHFFFAOYSA-N 408.410 4.580 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)[C@@H](C)Sc2ccccc2Cl)cccc1[N+](=O)[O-] 919512442 VQSBFRWUDSMRNF-QWHCGFSZSA-N 422.890 4.608 5 20 HJBD COc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1Br 1116629967 WVWFMYAGQXQFCR-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=[N+]([O-])c1ccccc1C1=NO[C@@H](c2nnc(Cc3cccc4ccccc34)o2)C1 1324070206 ZTXYUAGXGLCTTF-HXUWFJFHSA-N 400.394 4.588 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc(-c3cccc(Br)c3)o2)cc1SC 1326268579 CQTGIYPLIVIDLE-UHFFFAOYSA-N 422.260 4.805 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)OCc2cc3ccccc3nc2Cl)cc1OC 7997116 ZJAWYWJQJMBFED-UHFFFAOYSA-N 416.817 4.561 5 20 HJBD C[C@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccc([N+](=O)[O-])cc1 9160385 WLEUHHSXRXCXRA-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD Cc1ccc(C(=O)Nc2nnc(SCc3ccc(Cl)cc3)s2)cc1[N+](=O)[O-] 16008568 IDAUPPWYRRCCLS-UHFFFAOYSA-N 420.903 4.953 5 20 HJBD Cc1cccc(-n2ccnc2S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 23082919 FLXRTVGVHOPYLG-ZDUSSCGKSA-N 416.890 4.862 5 20 HJBD O=C(Nc1ccc(OC(=O)c2ccc([N+](=O)[O-])cc2Cl)cc1)c1cccs1 27385955 UDXAIVGJMJLREW-UHFFFAOYSA-N 402.815 4.781 5 20 HJBD Cc1cc(C)cc(-n2ccnc2SCc2nc(-c3cccc([N+](=O)[O-])c3)no2)c1 31844642 LJWWSAUVUXPEOQ-UHFFFAOYSA-N 407.455 4.740 5 20 HJBD CC(C)NC(=O)c1ccc(N2CCC(c3nc4ccccc4s3)CC2)c([N+](=O)[O-])c1 45683342 YKCGHWUQADKWQI-UHFFFAOYSA-N 424.526 4.727 5 20 HJBD CCOC(=O)/C(=C/c1ccc(Cl)c([N+](=O)[O-])c1)c1nccn1Cc1ccccc1 54180322 ZWSPBJAOEPRLBI-SFQUDFHCSA-N 411.845 4.597 5 20 HJBD Cc1cccc(C(=O)Nc2ccc(C(=O)N3CCCC[C@H]3C)c(Cl)c2)c1[N+](=O)[O-] 55689034 LEDCPUXYKONRJC-CQSZACIVSA-N 415.877 4.824 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])N1CCC(c2cccc3ccccc23)CC1 58725737 FRTOZVMDHAVSBV-UHFFFAOYSA-N 404.466 4.923 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-c4cnco4)c3)cc2[N+](=O)[O-])C1 65158366 DIGGWAHKOKBMAU-IYBDPMFKSA-N 420.469 4.984 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)N(CCc1ccccc1)Cc1ccccc1 65510849 WRVOGGVONDTRDZ-UHFFFAOYSA-N 403.482 4.592 5 20 HJBD Cc1cc(N2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)c2cc([N+](=O)[O-])ccc2n1 302071473 HAQBVNUWWIQSGN-AWEZNQCLSA-N 405.380 4.508 5 20 HJBD CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 303781747 SOPCTZTYGCJOPU-KKSFZXQISA-N 419.485 4.781 5 20 HJBD Cc1ccc(NC(=O)CCNc2ccccc2[N+](=O)[O-])c(-c2nc3ccccc3[nH]2)c1 409614687 XJJXLVCFDUPMKY-UHFFFAOYSA-N 415.453 4.887 5 20 HJBD Cc1nc(NC(=O)c2ccc([N+](=O)[O-])s2)sc1Cc1ccc(F)c(F)c1F 410427999 ZTVUPXAKHFJGSP-UHFFFAOYSA-N 413.402 4.682 5 20 HJBD O=[N+]([O-])c1ccn(C2CCN(Cc3cc(Cl)ccc3OC3CCCC3)CC2)n1 425961124 ADXAESUWLBWVQP-UHFFFAOYSA-N 404.898 4.603 5 20 HJBD Cc1cc(NC(=O)C[C@@H](C)c2c[nH]c3ccccc23)n(-c2ccc([N+](=O)[O-])cc2)n1 425975024 NADUHJWIXGPWOC-CQSZACIVSA-N 403.442 4.703 5 20 HJBD Cc1cc(C)n(-c2ccc([C@H](C)NC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)cc2)n1 426850699 ZTEQTPMAZVENBO-KRWDZBQOSA-N 406.486 4.552 5 20 HJBD O=C(Nc1ccccc1CC(F)(F)F)c1ccc(Br)cc1[N+](=O)[O-] 430617768 OVQPWNUWBRDAQC-UHFFFAOYSA-N 403.154 4.714 5 20 HJBD O=C(Nc1c(-c2ccco2)nc2ccccn12)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 434028765 XLXVNPWSSZYPTG-UHFFFAOYSA-N 416.315 4.774 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Br)cc2C(F)(F)F)c1[N+](=O)[O-] 435516991 VLZVTOXBAACKDB-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1c(NC(=O)N2CCC[C@H](c3nc(C(F)(F)F)cs3)C2)cccc1[N+](=O)[O-] 435671700 KSVBUNZHKTZKHX-NSHDSACASA-N 414.409 4.790 5 20 HJBD CCCOCc1ccccc1CNC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 436056274 CLXVIYXKSLKPAZ-UHFFFAOYSA-N 400.478 4.538 5 20 HJBD COc1cccc(C)c1NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 436230187 AMMRXOWGZDXSOE-UHFFFAOYSA-N 400.378 4.661 5 20 HJBD COc1ccccc1-c1ccc([C@@H](C)N(C)C(=O)c2ccc([N+](=O)[O-])c(O)c2)cc1 439987483 GNRYFNODPHAVHF-OAHLLOKOSA-N 406.438 4.809 5 20 HJBD Cc1oc2ccccc2c1CCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444310707 HOAUNLXNDSFBSY-UHFFFAOYSA-N 414.436 4.848 5 20 HJBD CC(C)Oc1ccc(NC(=O)N2CCc3ccc([N+](=O)[O-])cc3C2)c(C(F)(F)F)c1 446851378 IOPFCOMPYUIGEC-UHFFFAOYSA-N 423.391 4.991 5 20 HJBD C[C@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@@H]1COc2ccccc21 447685636 AJKZJVPQHBOCSD-ONGXEEELSA-N 403.307 4.621 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCO[C@@H](c2ccccc2)C1 463096175 ZMZKOOLZUNKIHF-QFBILLFUSA-N 400.500 4.745 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1nnc(Cc2cccc(Cl)c2)s1 464350769 CWTHSDJZORSIIB-UHFFFAOYSA-N 423.281 4.525 5 20 HJBD COc1cc(-c2nc([C@H]3CCOC3)no2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 466390702 VHAVUVFCQYHQCV-LBPRGKRZSA-N 417.805 4.603 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCOc2ccc(OC)cc21 466735037 JDNPFOXEQMFEEH-SFHVURJKSA-N 416.499 4.749 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl 467164376 HMQPGBQZBAZYNO-UHFFFAOYSA-N 412.671 4.949 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1ccc(OCC2CC2)c(F)c1 469448474 PSFNBIICXGVUFH-LBPRGKRZSA-N 406.841 4.596 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(Cn2cnc3ccccc32)cc1 470890721 JOLRLKNCTVRDCL-UHFFFAOYSA-N 416.437 4.644 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N1CCCCC[C@H]1c1ccc(C(F)(F)F)cc1 471320852 FEXROPSVKNPJCW-KRWDZBQOSA-N 424.423 4.653 5 20 HJBD Cc1cc(NC(=O)NC[C@H]2CCN(C)[C@@H]2c2ccc(Cl)c(F)c2)ccc1[N+](=O)[O-] 473791860 YLSRJTDSSKPNPX-AUUYWEPGSA-N 420.872 4.510 5 20 HJBD O=[N+]([O-])c1ccc(/C=C\c2nc(O)c3cc(Br)sc3n2)c(Cl)c1 480041160 WCSZQLLXCYXXFP-RQOWECAXSA-N 412.652 4.891 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)Cc1ccc(SC)c(OC)c1 486633291 ZVCHGUZFXCYLLQ-UHFFFAOYSA-N 406.529 4.710 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)NC(C)(C)c1ccccc1[N+](=O)[O-] 488829418 OSEKMTXZNVDZBS-HNNXBMFYSA-N 417.531 4.566 5 20 HJBD Cc1ccc([C@H](C)NC(=O)NCCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 489895619 LBYHKTOFRUOMNQ-LBPRGKRZSA-N 418.906 4.769 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cc1SC 491289992 WBRNYPJEONHYFH-AWEZNQCLSA-N 423.494 4.878 5 20 HJBD C[C@@H]1CCN(C)CCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 498732297 AAPIRSRZVMDTQK-CQSZACIVSA-N 419.934 4.566 5 20 HJBD CCCn1ncc(C(=O)Nc2nc(-c3ccc(C)c([N+](=O)[O-])c3)cs2)c1C1CC1 499131835 GOVWJRCPUPUYJM-UHFFFAOYSA-N 411.487 4.763 5 20 HJBD CN(Cc1cccc(Br)c1)C(=O)c1cc2cc([N+](=O)[O-])ccc2s1 499306327 JUBBYEYKHLZMEK-UHFFFAOYSA-N 405.273 4.844 5 20 HJBD CCc1cc(Sc2nnc(C)n2-c2ccccc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 507102741 KUFDLVXTRUOPEI-UHFFFAOYSA-N 418.482 4.655 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c(C)cc1Br 512950673 DZFKGHRLPQGTNE-UHFFFAOYSA-N 415.190 4.828 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)Cc2ccc([N+](=O)[O-])cc2Cl)cc1 522741176 CDPSZIOYRRXYHO-FQEVSTJZSA-N 402.878 4.943 5 20 HJBD O=C(Cc1cccc([N+](=O)[O-])c1)Nc1nc(-c2cccc(Br)c2)cs1 525117608 UGXNUOLBHAODRK-UHFFFAOYSA-N 418.272 4.662 5 20 HJBD COc1cc(CNc2ccc(CN(C)C)cc2)c([N+](=O)[O-])cc1OCc1ccccc1 532889999 MUMOHBZZRGUKFS-UHFFFAOYSA-N 421.497 4.856 5 20 HJBD Cc1nc(C(C)C)sc1[C@@H](C)N(C)C(=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 535929378 KAITZMBHFKAOBL-CQSZACIVSA-N 413.503 4.502 5 20 HJBD CN(C(=O)[C@H]1C[C@@H]1c1ccc(F)cc1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537151270 ZAXBFAZFNYCCJI-CABCVRRESA-N 415.421 4.763 5 20 HJBD CC[S@](=O)[C@@H]1CCC[C@@H](NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)C1 539531407 SOIQNWVWXKRBCD-HWUKHBRESA-N 415.559 4.532 5 20 HJBD CCN(Cc1ccccn1)Cc1cc(OC)c(OCc2ccccc2)cc1[N+](=O)[O-] 539828784 PSKQNQFUBTXBQJ-UHFFFAOYSA-N 407.470 4.600 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1)C(C)(C)CO 540423771 QZBMVPJFJSBSNV-IBGZPJMESA-N 416.543 4.627 5 20 HJBD COc1ccc(-c2nnc(SCc3ccc([N+](=O)[O-])cc3Cl)o2)cc1OC 541076595 SZAKPOVJYXNZOZ-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD Cc1c([N+](=O)[O-])cnc(NCc2csc(-c3ccccc3)n2)c1Br 541578311 LXGQVBPNIUAMNP-UHFFFAOYSA-N 405.277 4.796 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(-c2ccncc2)cc1 545121857 TZNXIIWNECEWTD-OAHLLOKOSA-N 407.445 4.951 5 20 HJBD COCCCCc1noc(-c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)n1 545683545 NQXYMSISZHCHIG-UHFFFAOYSA-N 416.865 4.879 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nc(Cc3ccccc3[N+](=O)[O-])no2)CC1=O 546243117 PSNZIGUPMPJMDB-RDJZCZTQSA-N 420.469 4.603 5 20 HJBD CC(C)(C(=O)N1CCC(c2c[nH]c3cc(F)ccc23)CC1)c1ccccc1[N+](=O)[O-] 550961928 OHALINGISGKVHG-UHFFFAOYSA-N 409.461 4.899 5 20 HJBD CC(=O)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)ccc1F 553217141 MWBWKVDUGSAILI-UHFFFAOYSA-N 407.401 4.801 5 20 HJBD COc1ccccc1[C@H]1CC[C@H](C)CN1C(=O)CSc1ccc([N+](=O)[O-])cc1 553226105 ZSVDOQUWZYPNEO-HNAYVOBHSA-N 400.500 4.695 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(F)ccc3F)cs2)c1 558760616 MVJRTBGKXBQOBV-UHFFFAOYSA-N 405.382 4.648 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(COCc3ccccc3)cc2)c1 558805617 KIIMTEOFXXUZJU-UHFFFAOYSA-N 406.438 4.963 5 20 HJBD Cc1ccc(NC(=O)c2cccc(Oc3ncnc4sc([N+](=O)[O-])cc34)c2)cc1 561189146 YAJRUWIADBAUQX-UHFFFAOYSA-N 406.423 4.953 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@@H](c2nc3ccc(F)cc3o2)C1 568452476 ZPTLLJYQPRFKGU-CQSZACIVSA-N 412.421 4.849 5 20 HJBD CC(=O)c1cc(NC(=O)c2ccc(N3C[C@@H](C)C[C@H](C)C3)c([N+](=O)[O-])c2)ccc1F 582436633 CAZAOPUWCTUVDO-KBPBESRZSA-N 413.449 4.671 5 20 HJBD COc1ccc([C@@H](NC(=O)Nc2cc([N+](=O)[O-])ccc2OC)c2ccccc2)cc1 603643020 RHNPYOIAKPLMIZ-NRFANRHFSA-N 407.426 4.523 5 20 HJBD O=C(NC[C@H](c1ccccc1)c1c[nH]c2ccccc12)c1ccc([N+](=O)[O-])cc1F 603934086 SXDPZHXZIGFDCS-LJQANCHMSA-N 403.413 4.777 5 20 HJBD CC[C@H](NC(=O)c1ccc(C(=O)N[C@H](CC)C(C)(C)C)c([N+](=O)[O-])c1)C(C)(C)C 609241117 SILILEJKFQZCSR-ZWKOTPCHSA-N 405.539 4.704 5 20 HJBD CCN([C@H](c1ccccc1)c1ccc(F)cc1)S(=O)(=O)c1ccccc1[N+](=O)[O-] 609315651 WERUCJYYNLTYCL-OAQYLSRUSA-N 414.458 4.534 5 20 HJBD COc1ccc(NC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C(C)C)cc1Br 609573220 WXTFIHICZJDJNK-UHFFFAOYSA-N 422.279 4.808 5 20 HJBD CCc1cc(N2CCC3(CC2)OCc2ccccc23)nc(-c2ccc([N+](=O)[O-])cc2)n1 610737498 FHDBGLYDSDKHHM-UHFFFAOYSA-N 416.481 4.640 5 20 HJBD O=C(Nc1ccc2c(cnn2C(F)F)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 610963278 CVDARWWRHDBCSL-UHFFFAOYSA-N 401.373 4.536 5 20 HJBD O=C(Nc1ncn(Cc2ccccc2)n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611204798 YRGOZBPNTBTCRM-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@@H]2[C@H]1[C@H]2C(F)(F)F 619684431 ANGHZUJEVWRBFP-ZLIFDBKOSA-N 408.401 4.769 5 20 HJBD O=C(c1cc(F)c(F)c(F)c1F)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728167682 ZDJFSTXWWPMGIM-UHFFFAOYSA-N 402.731 4.861 5 20 HJBD O=C(OCCOc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ncoc1-c1ccccc1 732596355 QWLWMXIMLXLHHI-UHFFFAOYSA-N 422.315 4.504 5 20 HJBD C[C@H](OC(=O)c1sccc1-c1ccc(F)cc1)C(=O)Nc1ccccc1[N+](=O)[O-] 744614526 KIQUXPAHOKLXLJ-LBPRGKRZSA-N 414.414 4.646 5 20 HJBD O=C(N[C@@H]1CC2(CCC2)Oc2ccccc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747417139 VQURXVAKCJPCGC-LJQANCHMSA-N 407.470 4.715 5 20 HJBD CC(C)(C)c1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Br)n(C(C)(C)C)n1 751103734 OMGSNOFGKAVBJK-UHFFFAOYSA-N 423.311 4.859 5 20 HJBD CN(CCCc1cc(-c2ccc(F)cc2)no1)C(=O)c1ccc(Cl)c([N+](=O)[O-])c1 751243000 FIANNHQSGQFHHU-UHFFFAOYSA-N 417.824 4.747 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(CN2CCc3cc(C)c([N+](=O)[O-])cc32)cs1 754109121 ISQSDGBIBYURHL-UHFFFAOYSA-N 422.510 4.915 5 20 HJBD C[C@@H]1[C@H](Cc2ccccc2)CCN1C(=O)c1cc(F)cc([N+](=O)[O-])c1Br 755021588 MNWZUUISJKPZEB-OCCSQVGLSA-N 421.266 4.590 5 20 HJBD O=C(c1cccc([N+](=O)[O-])c1Br)N1CCC[C@H]1/C=C\c1ccccc1 759088965 VJYUMTTWWBPIPL-SSCKCOOKSA-N 401.260 4.675 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 765058785 SSZZUVFPNFZMLU-GXTWGEPZSA-N 400.866 4.571 5 20 HJBD Cc1ccsc1-c1nnc(S[C@@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)o1 768376634 OLVQRIHMKMEWKQ-VIFPVBQESA-N 424.891 4.787 5 20 HJBD COc1cc(CN(C)Cc2c(Br)cccc2[N+](=O)[O-])ccc1SC 769191740 CBNNHNBOWIHGTO-UHFFFAOYSA-N 411.321 4.720 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1cc(Br)cc([N+](=O)[O-])c1 770157896 ULTLUWNZSXFLJQ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD CCCN(C(=O)c1ccccc1NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C(C)C 771794468 BXQYZHCMLPPLQM-UHFFFAOYSA-N 403.866 4.761 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(Nc3cccc(COCc4ccco4)c3)CC2)cc1 776834467 YCTFTHZSMGKTDD-UHFFFAOYSA-N 407.470 4.986 5 20 HJBD O=C(CCc1ncc(-c2ccc(F)cc2F)o1)OCc1ccc([N+](=O)[O-])cc1Cl 778092158 RLMBQSXVRQMZIU-UHFFFAOYSA-N 422.771 4.857 5 20 HJBD Cc1cc(C)n(-c2ccc(Cl)c(C(=O)O[C@@H](C)c3cc([N+](=O)[O-])ccc3C)n2)n1 778739350 JCIUVFYSEVTGMC-AWEZNQCLSA-N 414.849 4.672 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1c1ncnc2ccc([N+](=O)[O-])cc12 782148874 MGZHECYPNWEJAW-KRWDZBQOSA-N 414.897 4.694 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cccc(CNC(=O)OC(C)(C)C)c1 786998190 QIBLEXYPKXRREH-UHFFFAOYSA-N 419.865 4.834 5 20 HJBD Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNCc1cccc([N+](=O)[O-])c1C 790995691 AVKJBFAGLJIEHR-UHFFFAOYSA-N 402.857 4.539 5 20 HJBD Cc1c(N[C@H](C)C(=O)Nc2cc([N+](=O)[O-])ccc2Cl)cccc1-c1ncco1 793721192 LCTACJRUBDDYPO-GFCCVEGCSA-N 400.822 4.651 5 20 HJBD COc1cc(NCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])ccc1N1CCCC1=O 811469507 JRJVFTUOIWTDJD-UHFFFAOYSA-N 410.257 4.649 5 20 HJBD C[C@@H](NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(N2CCCC2=O)cc1 815082363 MYUPZFFUELJJCI-CYBMUJFWSA-N 407.392 4.591 5 20 HJBD CCc1ccc(C(=O)Nc2sccc2C(=O)N(C)Cc2ccccc2[N+](=O)[O-])cc1 918563418 SHSYPMKPCHFBAW-UHFFFAOYSA-N 423.494 4.743 5 20 HJBD Cc1cc(-c2noc([C@@H](C)OC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)n2)ccc1F 919210196 GTPZITPHOAHFJN-LLVKDONJSA-N 411.345 4.757 5 20 HJBD COc1ccc(C(NC(=O)Nc2cc([N+](=O)[O-])ccc2C)c2ccc(OC)cc2)cc1 1115844533 IMTBALHYXMVJNC-UHFFFAOYSA-N 421.453 4.832 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(-c2ccc(CNC(=O)C(C)(C)C)cc2)n1 1116591116 PLKHKRXOFPRDFM-UHFFFAOYSA-N 408.458 4.591 5 20 HJBD CCOc1ccccc1OCc1nnc(-c2ccc(C(F)(F)F)cc2[N+](=O)[O-])o1 1117200215 JCUUZHXDITWGJU-UHFFFAOYSA-N 409.320 4.641 5 20 HJBD CCOc1ccc(O[C@@H](C)c2nnc(-c3cc([N+](=O)[O-])ccc3N3CCCC3)o2)cc1 1257705132 AULVGSFCWHVXEP-HNNXBMFYSA-N 424.457 4.784 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)ccc2Cl)c([N+](=O)[O-])cc1OC(F)F 10808797 SOFYSGJSEBMFQM-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2ccc(NC(C)=O)cc2)cc1 32632837 SKYUTOGCEKTCAO-UHFFFAOYSA-N 421.409 4.606 5 20 HJBD Cc1nccn1-c1ccc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1F 237653758 HRCJHTUKULJDBF-UHFFFAOYSA-N 423.473 4.641 5 20 HJBD O=C(Nc1cccc(CNc2ccc(Br)cc2[N+](=O)[O-])c1)C1CCC1 302963474 PIMVKWNWVHWWSL-UHFFFAOYSA-N 404.264 4.708 5 20 HJBD Cc1ccc(NC(=O)c2cc(NC(=O)NC(C)(C)C)ccc2Cl)cc1[N+](=O)[O-] 303967309 YVWBTXITEFVBRV-UHFFFAOYSA-N 404.854 4.729 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2cc(Cl)ccc2Cl)c([N+](=O)[O-])c1 426119990 MOGUKFSNVRCAEH-QWRGUYRKSA-N 412.273 4.588 5 20 HJBD COc1ccc(CN[C@@H](CC(=O)O)c2cccc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 426771202 ZRJVGERGRAZPHF-FQEVSTJZSA-N 422.437 4.701 5 20 HJBD CO[C@](C)(C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)c(C)s1)c1ccccc1F 428239967 AQACUMNBDLBSPP-FQEVSTJZSA-N 415.446 4.666 5 20 HJBD Cc1ccoc1CN(C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 429403253 DKIRQROHPQDAIV-FQEVSTJZSA-N 413.861 4.961 5 20 HJBD CSc1ccc(NC(=O)c2ccc(Br)cc2[N+](=O)[O-])cc1Cl 430596835 XQADOYSGMSBJTQ-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD COc1ccc(Br)c(OCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 432315678 RXTMOESBFGJAAR-UHFFFAOYSA-N 405.204 4.600 5 20 HJBD O=C(N[C@H](c1ccccc1)c1ccncc1)c1cc([N+](=O)[O-])cc(C(F)(F)F)c1 437298438 JALMBNIMCUXHPF-GOSISDBHSA-N 401.344 4.528 5 20 HJBD O=C(Nc1ccc(F)c(Br)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437753901 PJFUEUXEAWMVET-UHFFFAOYSA-N 408.227 4.693 5 20 HJBD Cc1cc([C@H]2NC(=O)N(c3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)C2=O)ccc1F 445981932 ROAJQSYYSKVPEI-HXUWFJFHSA-N 421.384 4.632 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N1CCc2c(Br)cccc2[C@@H]1C 446483969 GKAXGXSZLSQTFQ-LBPRGKRZSA-N 404.264 4.817 5 20 HJBD Cc1ccccc1[C@@H]1CN(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)[C@@H](C)CO1 460398717 GSPAFDVAQGYFQB-YWZLYKJASA-N 423.494 4.629 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(OC)cc1Br 462479385 GXVKIEQKQAKGQF-CYBMUJFWSA-N 407.264 4.573 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 463187478 BPWLSGKQIVZCOF-UHFFFAOYSA-N 415.755 4.868 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cnn(CC(C)C)c3)cc2[N+](=O)[O-])n1 464325497 GIZHJNYUEPIUFB-UHFFFAOYSA-N 417.516 4.616 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(F)c(Br)cc2Cl)cc1[N+](=O)[O-] 466458178 YCGKDIYLHKWSQG-UHFFFAOYSA-N 416.634 4.834 5 20 HJBD CC[C@@]1(C)CCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)C1 468250361 KJRMUHKDVQHWBP-NRFANRHFSA-N 415.877 4.763 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C 468965141 MGEKXXVIIFMRHI-INIZCTEOSA-N 424.526 4.967 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N2CC[C@@H](c3ccccc3)[C@H](CC)C2)cc1OC 470008322 KGVWRCDTBQJDIU-SJLPKXTDSA-N 412.486 4.658 5 20 HJBD O=C(Nc1cc(Br)ccc1NC1CC1)c1ccc(Cl)cc1[N+](=O)[O-] 471142529 CLJLWAJLTOZWCD-UHFFFAOYSA-N 410.655 4.837 5 20 HJBD COc1cc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)ccc1Br 471509523 YLTFKFIIBPNPLC-UHFFFAOYSA-N 407.245 4.833 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(Cl)cc2Br)cc1[N+](=O)[O-] 478936713 MLMPYDWBYJTAQR-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD CCc1c(NC(=O)c2ccc([N+](=O)[O-])cc2)cnn1-c1ccc(C(F)(F)F)cc1 481858492 CLSWIROSYSPMTE-UHFFFAOYSA-N 404.348 4.614 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2ccccc2OCc2c(C)noc2C)cc1[N+](=O)[O-] 488045550 DADSTNFNKNAXFV-CQSZACIVSA-N 409.442 4.578 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2nc3cc(Cl)ccc3s2)cc1[N+](=O)[O-] 489428905 FOWMSYVWLYZEJW-LLVKDONJSA-N 404.879 4.727 5 20 HJBD CC(C)N(Cc1ccco1)C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 494324650 FRPRUMGZYXLGQL-UHFFFAOYSA-N 423.256 4.731 5 20 HJBD CCNc1ccc(C(=O)Nc2cc(-c3ccoc3)nn2-c2ccccc2)cc1[N+](=O)[O-] 497349574 FFNFRDIBCPOBEZ-UHFFFAOYSA-N 417.425 4.725 5 20 HJBD CCOc1cc(C(=O)N(C)Cc2c(Cl)cccc2Cl)c([N+](=O)[O-])cc1OC 498891118 YRPZYMAOGCQPEP-UHFFFAOYSA-N 413.257 4.581 5 20 HJBD O=C(Nc1cccc2c1CN(CC(F)(F)F)C2)c1cc2cc([N+](=O)[O-])ccc2s1 505973488 KGQWJIVKHULXEN-UHFFFAOYSA-N 421.400 4.940 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(F)c(Br)c1 507737781 QVWZXPRCDRTQAQ-LLVKDONJSA-N 409.255 4.651 5 20 HJBD CC(=O)Nc1cccc2c1CCCN2Cc1csc(-c2cccc([N+](=O)[O-])c2)n1 507854593 GRRPXSKUVPYDQL-UHFFFAOYSA-N 408.483 4.630 5 20 HJBD CCOc1ccc(Oc2cc(CNC(=O)Nc3cccc([N+](=O)[O-])c3C)ccn2)cc1 511207501 ROWJHIGECAIWFO-UHFFFAOYSA-N 422.441 4.811 5 20 HJBD COc1ccc(CN(C)Cc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)cc1O 511521470 HSAMLNKTPKBMGM-UHFFFAOYSA-N 402.834 4.854 5 20 HJBD CCN(C(=O)Cc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2C)cc1)c1ccccc1 520684046 QBLJJDKBBWZFLE-UHFFFAOYSA-N 417.465 4.751 5 20 HJBD Cc1nn(-c2ccc(F)cc2)c2sc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cc12 521110177 LSKYWOSUMRYYOZ-UHFFFAOYSA-N 414.393 4.834 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccccc1NC(=O)C[C@@H]1C=CCC1 522723456 IQKBICIWLAGCRL-CQSZACIVSA-N 413.861 4.724 5 20 HJBD O=C(Nc1nc2ccccc2n1C1CCCC1)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 525359571 GJQCLDCUQNDKQK-UHFFFAOYSA-N 419.485 4.912 5 20 HJBD COC[C@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)c1ccco1 530858614 MEVAWKCPTYBTLW-INIZCTEOSA-N 421.247 4.688 5 20 HJBD CCCc1nc(C)c(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)s1 538255016 ZCLJYVWXOJCHDJ-UHFFFAOYSA-N 402.501 4.712 5 20 HJBD O=[N+]([O-])c1c(N2CCC[C@@H](c3n[nH]c(C4CCCCC4)n3)C2)ccc2ncccc12 539762699 BCIYCRAAOZEGPL-MRXNPFEDSA-N 406.490 4.693 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1cccs1)N(C)C 540789434 QDOHZIWVLWATGJ-INIZCTEOSA-N 407.561 4.581 5 20 HJBD O=[N+]([O-])c1ccc2sc(-c3nc(-c4cnc(-c5ccccc5)nc4)no3)cc2c1 544532664 OXAXIIRHQGSRAM-UHFFFAOYSA-N 401.407 4.984 5 20 HJBD CCC[C@H](NC(=O)c1ccccc1)c1noc(-c2ccc(SC)c([N+](=O)[O-])c2)n1 545075955 QAEVHBQOMOEHNI-HNNXBMFYSA-N 412.471 4.638 5 20 HJBD Cc1cc(NC(=O)N[C@H](C)c2ccc(OCc3cccnc3)cc2)ccc1[N+](=O)[O-] 546036409 ULJBNMNSWJXZAQ-MRXNPFEDSA-N 406.442 4.760 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)c1C 546643814 OFQSTDZPOXBQMS-UHFFFAOYSA-N 404.831 4.810 5 20 HJBD COCCOc1cccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c1 547075073 LOIFXRCQTXBBTP-ZDUSSCGKSA-N 401.444 4.523 5 20 HJBD CSc1ccc(C(=O)Nc2c(O)cc(Br)cc2Cl)cc1[N+](=O)[O-] 552198596 QGTVRNPCWDJNDU-UHFFFAOYSA-N 417.668 4.691 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 558840525 WVLADMYQCFOTAN-UHFFFAOYSA-N 402.369 4.665 5 20 HJBD Cc1cc(N2CCC[C@H](c3nc(C(F)(F)F)cn3C)C2)c2cc([N+](=O)[O-])ccc2n1 579627537 XZCYWAJBEMHEPT-ZDUSSCGKSA-N 419.407 4.588 5 20 HJBD C[C@H](C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cccc([N+](=O)[O-])c1 580147693 KSGPAHRPUPVMFA-NSHDSACASA-N 403.410 4.663 5 20 HJBD COc1ccc([C@@H](NC(=O)[C@@H](C)c2cccc([N+](=O)[O-])c2)c2ccccc2OC)cc1 582822312 JGSHJFWSZVYEJU-QMHKHESXSA-N 420.465 4.621 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)c1sc(Br)cc1[N+](=O)[O-] 584818992 DAXNCILFEKVDMG-SNVBAGLBSA-N 413.293 4.697 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)C(=O)Nc1ccc(C(C)(C)C(F)(F)F)cc1 589418211 VTWMMLNZJAGDQV-UHFFFAOYSA-N 423.391 4.574 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 603643181 VQXFWVMEFVNFSI-IBGZPJMESA-N 402.882 4.515 5 20 HJBD O=[N+]([O-])c1c(Nc2ccc(N3CCc4sccc4C3)nc2)ccc2ncccc12 609559901 AVSYKINBXGCALG-UHFFFAOYSA-N 403.467 4.906 5 20 HJBD O=C(Nc1ccc(NC[C@@H]2CCCO2)c(F)c1)c1cc2cc([N+](=O)[O-])ccc2s1 610069330 LNAWVWDJJJIDTR-HNNXBMFYSA-N 415.446 4.792 5 20 HJBD COc1cc(C(=O)Nc2ccc(F)cc2-c2ccc(F)cc2)cc([N+](=O)[O-])c1OC 610174401 HJKZFYIVNRMBTC-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CCC(C2CCCC2)CC1 610299031 LVYAANQQZBWKCV-UHFFFAOYSA-N 400.397 4.578 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)NC[C@H]1CCN(c2ccc(Cl)cc2)C1 616118115 KFJFVSYXWHFORS-OAHLLOKOSA-N 402.882 4.513 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Nc3ccc(N4CCc5sccc5C4)cc3)cc2N1 725789915 SAJLDDGVDRJYDL-UHFFFAOYSA-N 420.494 4.847 5 20 HJBD Cc1c(COC(=O)C2CCC(NC(=O)CC3CCCCC3)CC2)cccc1[N+](=O)[O-] 726024391 QERLIPRFVKYHNX-UHFFFAOYSA-N 416.518 4.592 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 726306408 DDSOXDPHGQVDKL-UHFFFAOYSA-N 423.856 4.512 5 20 HJBD CC[C@H](CC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 729017529 PRBVFUQTCCPRSH-ZIAGYGMSSA-N 404.850 4.702 5 20 HJBD Cc1ccc(N(C(=O)c2ccc(I)c([N+](=O)[O-])c2)C(C)C)cc1 730492238 KCYTZKAPBPCTMK-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD COc1cc(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])ccc1NC(=O)OC(C)(C)C 731975121 UGONAIOOKGQXNK-UHFFFAOYSA-N 421.837 4.856 5 20 HJBD CC(C)Oc1cccc([C@H](O)CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)c1 735087355 ZBIJESKPDMLTQZ-OAQYLSRUSA-N 412.511 4.934 5 20 HJBD COCCOc1ccc(C(F)(F)F)cc1NC(=O)c1cccc(Cl)c1[N+](=O)[O-] 750153398 GGOFHVSJVONVKM-UHFFFAOYSA-N 418.755 4.545 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1cccc(Cl)c1[N+](=O)[O-] 750188588 ZZGYIDRPECXUDZ-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD CCc1ccc([C@H](NC[C@@H](O)c2ccc(Cl)cc2)c2ccc([N+](=O)[O-])cc2)o1 753614721 WFCZWXHQRZSFQS-TZIWHRDSSA-N 400.862 4.816 5 20 HJBD Cc1noc2ncc(-c3nc(-c4ccc(Oc5ccc([N+](=O)[O-])cc5)cc4)no3)cc12 761859364 VISMXGWXVSKNFH-UHFFFAOYSA-N 415.365 4.949 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 764348738 BASLWPFOFUVLKV-UHFFFAOYSA-N 422.529 4.502 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC[C@H](O)c1ccc([N+](=O)[O-])cc1 765845659 RSMIBICXHRMTFI-XIKOKIGWSA-N 401.463 4.550 5 20 HJBD Cc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ccc1NC(=O)OC(C)(C)C 772038378 QOTUYYGQMKRGDN-UHFFFAOYSA-N 407.373 4.781 5 20 HJBD COC(=O)Nc1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1 774656745 DHARPNVHEWBUCR-UHFFFAOYSA-N 406.267 4.566 5 20 HJBD CC[C@@H](NCC(=O)Nc1cc(Cl)ccc1[N+](=O)[O-])c1ccccc1OC(F)F 775053396 DIAKSQLYAYDKGP-CYBMUJFWSA-N 413.808 4.529 5 20 HJBD Cc1cnc(COC(=O)CCc2ncc(-c3ccccc3Cl)o2)c(C)c1[N+](=O)[O-] 789440052 FMLSKPLORRHULF-UHFFFAOYSA-N 415.833 4.591 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CCC(c2cccc(Cl)c2)CC1 795273468 CBCYOVSDSQDGCF-UHFFFAOYSA-N 401.850 4.589 5 20 HJBD CSc1ccccc1[C@H](C)NC(=O)c1cc(F)cc([N+](=O)[O-])c1Br 799742237 YKFRCESQTKZQDN-VIFPVBQESA-N 413.268 4.709 5 20 HJBD O=C(N[C@@H](c1c[nH]c2ccccc12)C(Cl)(Cl)Cl)c1ccc([N+](=O)[O-])o1 804580632 ZKFDCKHENJGBBY-ZDUSSCGKSA-N 402.621 4.510 5 20 HJBD O=C(COc1c(Cl)cc(Cl)c2cccnc12)Nc1cc([N+](=O)[O-])ccc1F 809981291 GIGFFXCDMYXLRE-UHFFFAOYSA-N 410.188 4.606 5 20 HJBD C[C@H](NC(C)(C)CNC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-])c1ccccc1 813439159 JVKNPDPXWHGCAF-LBPRGKRZSA-N 410.301 4.761 5 20 HJBD CC(=O)N(c1ccc(NCc2cc([N+](=O)[O-])ccc2OC(F)F)cc1)[C@@H]1C[C@@H]1C 815164230 QKJJBOAWGNUJBM-KPZWWZAWSA-N 405.401 4.570 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OC(=O)c1ccc(SCc2csc(C)n2)cc1 818034620 ZANIOTWZQJHFKE-UHFFFAOYSA-N 416.480 4.880 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1cccc([N+](=O)[O-])c1Cl 858548298 SXBQAMYIZRIDNT-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD O=C(Nc1ncc(Cc2ccc(F)c(F)c2)s1)c1cc(Cl)ccc1[N+](=O)[O-] 875022843 GERWFDYBHMMSSD-UHFFFAOYSA-N 409.801 4.826 5 20 HJBD CC(C)(C)OC(=O)NC1(c2noc([C@@H]3C[C@H]3c3ccc([N+](=O)[O-])cc3)n2)CCCC1 904418925 YZRPYNXHKGAVIS-JKSUJKDBSA-N 414.462 4.543 5 20 HJBD O=C(O[C@H]1CCCCC1=O)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 916597931 UJGIJKQHEZPDDF-IBGZPJMESA-N 402.834 4.529 5 20 HJBD CC[C@H](C)[C@@H](C(=O)OCC(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 9098116 BEZGMDQRDKIGLI-ORAYPTAESA-N 404.850 4.560 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)Nc1nc(-c2cccs2)cs1 14729673 QBZSBSIJAVQLGT-UHFFFAOYSA-N 417.515 4.978 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(Cl)cc21)c1ccc(NC2CC2)c([N+](=O)[O-])c1 16299022 OZOVHTQVZMLCGA-OAHLLOKOSA-N 403.891 4.789 5 20 HJBD Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 17048765 ZREDAGXECMPFPM-OLZOCXBDSA-N 422.890 4.608 5 20 HJBD C[C@@H](Sc1ccc(C(F)(F)F)cn1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 21636848 BPQAFBVPIKYFKD-MRVPVSSYSA-N 405.785 4.781 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3ccc([N+](=O)[O-])cc3Cl)s2)c1 23488938 MGDBFZNLSCEDIG-UHFFFAOYSA-N 403.847 4.556 5 20 HJBD CNc1ccc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 139779196 UCLRFTGXKZDZOZ-UHFFFAOYSA-N 405.414 4.533 5 20 HJBD Cc1ccc(CN(C(=O)Cc2cccc([N+](=O)[O-])c2)c2ccc3c(c2)OCCO3)s1 304056770 PTADDQOCHXNPBL-UHFFFAOYSA-N 424.478 4.512 5 20 HJBD CC(C)(C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])cc1 436175134 YOOOSFNUCINYDA-UHFFFAOYSA-N 404.470 4.711 5 20 HJBD O=C([C@@H]1C[C@@H]1c1ccc(C(F)(F)F)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437089741 CGHDJWMKHZQXTQ-QZTJIDSGSA-N 404.388 4.908 5 20 HJBD COc1ccc(-c2nc(CSCCOc3ccc(OC)cc3[N+](=O)[O-])co2)cc1 437270667 UHXPPEZHCYNHAH-UHFFFAOYSA-N 416.455 4.579 5 20 HJBD CCN(CCOc1ccc(Br)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1C 437696609 RGLLJYFUIXMUNW-UHFFFAOYSA-N 422.279 4.599 5 20 HJBD C[C@@H](CC(=O)OC(C)(C)C)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 440461563 IEMJGXZENXOUTL-AWEZNQCLSA-N 416.499 4.596 5 20 HJBD Cn1cc(Br)cc1C(=O)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440613802 RLJRSWPIAQGRPX-UHFFFAOYSA-N 414.259 4.543 5 20 HJBD CCCN(C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)[C@H]1C[C@H](OCC)C1(C)C 444090935 ZXWHWPVJSQVETG-VXKWHMMOSA-N 406.526 4.737 5 20 HJBD O=C(Cc1noc(/C=C/c2ccccc2[N+](=O)[O-])n1)Nc1cccc(Cl)c1Cl 445369258 RXDRXRFFVACADS-CMDGGOBGSA-N 419.224 4.636 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)N1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 446044218 OEEFBVZIXKRQSV-KRWDZBQOSA-N 406.486 4.854 5 20 HJBD O=C(Nc1cnn(-c2ccc(C(F)(F)F)cc2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 448322374 RCXDNKHSFWWVPU-UHFFFAOYSA-N 416.315 4.798 5 20 HJBD CCOc1cc2c(cc1OCC)CN(c1ccc([N+](=O)[O-])c(OC(F)F)c1)CC2 462386554 FGIWFNGYMRSVNS-UHFFFAOYSA-N 408.401 4.556 5 20 HJBD CC[C@@H]1c2ccsc2CCN1S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462421209 JIPPSGONOZHILI-GFCCVEGCSA-N 421.327 4.661 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccn1)c1ccc(F)cc1 462438573 CUACFMPUSYJBEP-SFHVURJKSA-N 407.445 4.931 5 20 HJBD CN(Cc1ccccc1Br)C(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463465927 FIKDPBVMNLDKPQ-UHFFFAOYSA-N 417.181 4.648 5 20 HJBD COc1cc(CNc2ccc(Cl)cc2NC(=O)c2ccco2)c([N+](=O)[O-])cc1F 464083470 UMOBWJSWCMQWLJ-UHFFFAOYSA-N 419.796 4.853 5 20 HJBD CCOc1cc(NC(=O)NC[C@@H](C)Sc2ccccc2)c([N+](=O)[O-])cc1OCC 466312174 OMGQBIYMPADSDR-CQSZACIVSA-N 419.503 4.695 5 20 HJBD Cc1ccccc1-n1nc(C)c(CN(C)C(=O)Nc2cc(F)cc([N+](=O)[O-])c2)c1C 470120535 GZXWKXNZVIQUQP-UHFFFAOYSA-N 411.437 4.509 5 20 HJBD COc1cc(C(=O)N(c2ccccc2F)C2CCCC2)c([N+](=O)[O-])cc1OC(F)F 471415152 HMQKXUQWXIGTQG-UHFFFAOYSA-N 424.375 4.933 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 471528254 LUUMOQLZGNMYHU-UYAOXDASSA-N 414.480 4.746 5 20 HJBD O=C(Cn1ccc2cc([N+](=O)[O-])ccc21)N[C@@H](c1cccc(Cl)c1)c1ccccn1 472273248 FEARIRYCIRKMIW-QFIPXVFZSA-N 420.856 4.504 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 472828634 IGQGLZKANSDMOU-ZDUSSCGKSA-N 416.384 4.563 5 20 HJBD COc1c(C(=O)N2CCC(c3ccccc3C(F)(F)F)CC2)cccc1[N+](=O)[O-] 476329450 CGNSSYQSAGWLTM-UHFFFAOYSA-N 408.376 4.642 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(OC(F)(F)F)cc1 481906375 IUGSFTFWXKKNLG-UHFFFAOYSA-N 400.378 4.535 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](C)CC(=O)Nc2cccc(N3CCCC3)c2)cc1[N+](=O)[O-] 482094150 LMLICKPJOVFHSC-ROUUACIJSA-N 410.518 4.571 5 20 HJBD Cc1ccc([C@H](C)N[C@@H](c2ccccc2)c2cccc(S(C)(=O)=O)c2)cc1[N+](=O)[O-] 483442579 KKZWVNBFPRVDBZ-SBUREZEXSA-N 424.522 4.747 5 20 HJBD CN(Cc1nc2cc([N+](=O)[O-])ccc2o1)Cc1ccc(Br)cc1Cl 484641657 BECFTJQIRMIQPN-UHFFFAOYSA-N 410.655 4.784 5 20 HJBD CC(=O)Nc1ccc(C)c(NC(=O)c2cc([N+](=O)[O-])ccc2SCC(C)C)c1 488530148 QLYCJDLFCKLMBY-UHFFFAOYSA-N 401.488 4.862 5 20 HJBD CCOc1cc(CNc2ccc(C)c(OCC3CCOCC3)c2)ccc1[N+](=O)[O-] 492540396 UPQGDFFKRGOEEX-UHFFFAOYSA-N 400.475 4.719 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)COc2cccc([N+](=O)[O-])c2)cc1 496566111 BCOBLNHYZROKIS-DEOSSOPVSA-N 419.481 4.569 5 20 HJBD O=C(Nc1cc(C(F)F)ccc1Cl)N1CCN(c2ccccc2[N+](=O)[O-])CC1 497233115 WPKPTFGZBPLGSE-UHFFFAOYSA-N 410.808 4.540 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCCC[C@@H]1c1ncc(-c2cccc(F)c2)[nH]1 498242196 JODVOYSZNJJETG-LJQANCHMSA-N 412.396 4.631 5 20 HJBD COCC1(CCNC(=O)c2cc([N+](=O)[O-])ccc2SCC2CCCC2)CCC1 501969332 GXQOHIXTIBJPRN-UHFFFAOYSA-N 406.548 4.814 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 503130838 KMVUHGYKKKVLCU-KRWDZBQOSA-N 402.472 4.517 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NCc2c(F)cc([N+](=O)[O-])cc2F)C1 506298128 OVKBAQMPWOQPCF-KBPBESRZSA-N 403.429 4.603 5 20 HJBD O=C(N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F)c1ccc(Cl)cc1[N+](=O)[O-] 511775460 LZLQIYKRXWXLHW-CYBMUJFWSA-N 424.709 4.883 5 20 HJBD CCOC(=O)c1cc(C(=O)N(C2CC2)[C@@H](C)c2ccccc2Cl)cc([N+](=O)[O-])c1 512066808 HKOJSXRXEOMOBX-ZDUSSCGKSA-N 416.861 4.791 5 20 HJBD C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)S(=O)(=O)c1ccc([N+](=O)[O-])cc1Cl 520156110 PIUYRUSNROAHOA-JTQLQIEISA-N 422.812 4.649 5 20 HJBD O=C(Nc1nc(/C=C/c2ccccc2)cs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 522693472 YPDZMRNTTNDUCO-VOTSOKGWSA-N 418.434 4.728 5 20 HJBD Cc1c(CNC(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])oc2ccc(F)cc12 524018486 XTVFBZPOJMHKCM-UHFFFAOYSA-N 406.797 4.527 5 20 HJBD O=C(NCCSc1ccc(Cl)cc1)c1ccc([N+](=O)[O-])cc1Br 524144758 IWFWBQQWBCYSDW-UHFFFAOYSA-N 415.696 4.533 5 20 HJBD COc1cc(C(=O)Nc2ccccc2COCc2ccccc2)cc([N+](=O)[O-])c1OC 525561766 YDFOTOXTAJMTLS-UHFFFAOYSA-N 422.437 4.581 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 537135348 SSXUFXCLNDMOTC-FUHWJXTLSA-N 413.543 4.661 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(-n3ncc4ccccc43)cc2)c1 538319402 JRXASSTXZAHABD-UHFFFAOYSA-N 404.451 4.908 5 20 HJBD Cc1ccccc1CNc1ccccc1NC(=O)c1cc2c(cc1[N+](=O)[O-])OCCO2 543942054 QSHAHGDRWHRBBJ-UHFFFAOYSA-N 419.437 4.539 5 20 HJBD COCC[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1ccco1 544421732 PQTYXOJIZHNBMY-SFHVURJKSA-N 418.515 4.978 5 20 HJBD Cc1ccc(-c2cnc(CCc3nc(Cc4cccc([N+](=O)[O-])c4C)no3)o2)cc1 545075563 PFYYUESQIDWTTM-UHFFFAOYSA-N 404.426 4.626 5 20 HJBD CC(C)(C(=O)NCCc1c[nH]c2ccc(Cl)cc12)c1ccc([N+](=O)[O-])cc1F 545148882 XHYHVGCBPJOJDX-UHFFFAOYSA-N 403.841 4.505 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2F)CC1 547259941 MYRIYPJIEVDKCG-KRWDZBQOSA-N 419.541 4.515 5 20 HJBD O=C(N[C@@H]1C[C@H]1c1ccccc1C(F)(F)F)c1cc([N+](=O)[O-])ccc1C(F)(F)F 550393489 MTYNIVHTEUMQBG-XHDPSFHLSA-N 418.293 4.918 5 20 HJBD CC[C@@H]1CCCC[C@@H]1c1noc(-c2ccc(Sc3nncn3C)c([N+](=O)[O-])c2)n1 551536623 VMQVUISKKIPRHM-OCCSQVGLSA-N 414.491 4.608 5 20 HJBD CCc1nc2cc(CNC(=O)Nc3cc([N+](=O)[O-])c(C)cc3C)ccc2n1C1CC1 554910946 OXTMPTOWFUYUPV-UHFFFAOYSA-N 407.474 4.780 5 20 HJBD O=C(Nc1ccc(-n2nccc2C(F)(F)F)cc1)c1cc(Cl)cc([N+](=O)[O-])c1 564233611 ZSFQQNQNEPVSCV-UHFFFAOYSA-N 410.739 4.705 5 20 HJBD CC1(C)CN(C(=O)Cc2ccc([N+](=O)[O-])cc2Br)[C@H]1c1cccs1 569956666 RXHMFQWRFQECMN-INIZCTEOSA-N 409.305 4.571 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@@H]1CCc2sc(Cl)cc21 584084803 FFUTXDXSXLSHGR-LDYMZIIASA-N 401.271 4.534 5 20 HJBD CC(C)NC(=O)c1ccc(N[C@@H](C)c2nc3ccccc3n2C(F)F)c([N+](=O)[O-])c1 590821080 OUOWOJPWTRSEKM-LBPRGKRZSA-N 417.416 4.651 5 20 HJBD COc1cccc(N(CC(C)C)C(=O)C2CCN(c3ccccc3[N+](=O)[O-])CC2)c1 603548578 JYBKTFPUYFYQDS-UHFFFAOYSA-N 411.502 4.509 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ncc(Cc2ccc(F)c(F)c2)s1 603648951 CJZSDKZNDCMGBU-UHFFFAOYSA-N 418.425 4.584 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 603890240 VSSUSDDDUPZCAV-GOSISDBHSA-N 406.486 4.593 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CSc3nc4ccc(C(F)(F)F)cc4[nH]3)n2)cc1 603938068 JYTLDXFMOXTTHY-UHFFFAOYSA-N 421.360 4.832 5 20 HJBD O=C(Nc1ccc(NC2CCCCC2)cc1)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 604539636 XISSJRGXLNKIIN-UHFFFAOYSA-N 405.458 4.777 5 20 HJBD CCCOc1cc(NC(=O)[C@H](C)c2c(C)noc2C)c([N+](=O)[O-])cc1OCCC 604547881 CXJKASAHCRBMFI-GFCCVEGCSA-N 405.451 4.519 5 20 HJBD C[C@H](c1ccccc1)N1CC[C@H](NCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 609599669 NJIGKENWJFLKPV-APWZRJJASA-N 408.527 4.643 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1csc(Cc2ccccc2F)n1 609785060 ZXSKPBSNENVKNH-UHFFFAOYSA-N 413.474 4.832 5 20 HJBD O=C(Nc1ccc(Oc2ccncc2)cc1)c1ccc([N+](=O)[O-])cc1Br 610042325 GCGZMGKOGGIANS-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N1CC(Cc2ccc(C(F)(F)F)cc2)C1 610660138 FRBBWRSJATYYTB-CYBMUJFWSA-N 424.444 4.795 5 20 HJBD O=[N+]([O-])c1ccc(N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)nc1-c1ccccc1 611181982 XCPBEWBBHODPEE-VXGBXAGGSA-N 405.298 4.834 5 20 HJBD COc1ccccc1-c1nc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cs1 612958747 MDIGUSLYHQULFX-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(NCCc3ccccc3)s2)c2ccncc12 619717468 JNBNMGUILIZNON-UHFFFAOYSA-N 409.496 4.800 5 20 HJBD COc1ccc(CSCC(=O)O[C@@H](c2ccccc2)c2cccnc2)cc1[N+](=O)[O-] 728417031 VSIXWFLOUPIJCU-QFIPXVFZSA-N 424.478 4.564 5 20 HJBD C/C(=C/C(=O)OCC1CCN(c2ncccc2[N+](=O)[O-])CC1)c1ccccc1Cl 729196356 ZHMIHMDCTCZJMU-SQFISAMPSA-N 415.877 4.506 5 20 HJBD COc1ccccc1Oc1ccc(CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1 732003235 NVMKURLSPKYSNK-UHFFFAOYSA-N 412.829 4.979 5 20 HJBD C[C@H](Nc1ccc(C(=O)OCCSC(C)(C)C)cc1[N+](=O)[O-])c1ccccn1 733065070 BLFFHYDPOVZPHI-AWEZNQCLSA-N 403.504 4.851 5 20 HJBD CC(C)(C)OC(=O)N1CCc2c(cccc2NC(=O)Nc2ccc([N+](=O)[O-])cc2)C1 734161096 FVLGQXKJHDDHGZ-UHFFFAOYSA-N 412.446 4.532 5 20 HJBD CCN(Cc1cccc(-c2ccncc2)c1)c1cc2c(cc1[N+](=O)[O-])CCC(=O)N2 742414835 IBWDTVOHPDNSOJ-UHFFFAOYSA-N 402.454 4.568 5 20 HJBD C[C@H](C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)c1ccc(Cl)c(Cl)c1 747019894 PHIQHAYXTXMWFQ-JTQLQIEISA-N 422.224 4.799 5 20 HJBD Cc1oc(-c2ccco2)nc1CC(=O)Oc1ccc(-c2ccccc2)cc1[N+](=O)[O-] 750403451 LKCDOKIIZNDVNI-UHFFFAOYSA-N 404.378 4.966 5 20 HJBD O=C(COC(=O)c1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1cccc([N+](=O)[O-])c1 753208262 IZZJOMHZSSHMRO-UHFFFAOYSA-N 421.249 4.672 5 20 HJBD CN(C(=O)c1ccccc1NC(=O)CCc1cccc([N+](=O)[O-])c1)C1CCCCC1 755201902 BQJGIQAKZHOGAJ-UHFFFAOYSA-N 409.486 4.571 5 20 HJBD COC(=O)Nc1cc(-c2nc(-c3cccc([N+](=O)[O-])c3)no2)cc(C(F)(F)F)c1 763253261 CGYHCWJVXRKTLO-UHFFFAOYSA-N 408.292 4.509 5 20 HJBD O=C(N[C@@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1cc(F)c([N+](=O)[O-])cc1F 763464548 NBVKISSDBNQEKV-QFIPXVFZSA-N 424.403 4.717 5 20 HJBD COc1cccc(C(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)c1[N+](=O)[O-] 766689743 GNCVODRFUJHEBU-UHFFFAOYSA-N 409.354 4.556 5 20 HJBD Cc1nc([N+](=O)[O-])c(Br)n1Cc1nc(-c2ccccc2Cl)cs1 775195189 XLMVNWVXBLXEHS-UHFFFAOYSA-N 413.684 4.687 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)C[C@@H](O)c1cccs1 777424680 MDVRYFFMKOFSHY-CZUORRHYSA-N 408.545 4.791 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N1Cc2ccccc2-c2ccccc2C1 781627441 SRYVMVTVEIUWLQ-UHFFFAOYSA-N 417.421 4.645 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)NCCc1ccc(NC(=O)OC(C)(C)C)cc1 782837957 VOJPVKGZRFITQN-UHFFFAOYSA-N 414.462 4.614 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2csc(C3CC3)n2)cc1[N+](=O)[O-])c1ccccn1 783112884 OBRXTEDAUNIEIE-CYBMUJFWSA-N 424.482 4.854 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(NC(=S)Nc2cccc(OC(F)(F)F)c2)c1 783726797 IHVSGQXDZVIOMH-UHFFFAOYSA-N 423.319 4.904 5 20 HJBD C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 790466930 VAIMKWAINXCJRV-SECBINFHSA-N 404.747 4.826 5 20 HJBD O=C(Nc1ccc(F)nc1F)c1ccc(Oc2ccc(Cl)cc2[N+](=O)[O-])cc1 791738509 XWVSHKQLGKNRFA-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)COc2ccccc2[N+](=O)[O-])c(Cl)c1 792137691 WBONGTOCLSNQLH-UHFFFAOYSA-N 422.821 4.580 5 20 HJBD CSc1cccc(C(=O)OCc2nc3ccc(Br)cc3o2)c1[N+](=O)[O-] 803090659 MDYAGMXBMGFMPY-UHFFFAOYSA-N 423.244 4.577 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1NCc1cn(-c2ccccc2)nc1-c1ccncc1 804396874 PSAHUAYYGFYIBV-UHFFFAOYSA-N 413.437 4.657 5 20 HJBD O=C(c1cc(Cl)cc(Cl)c1[N+](=O)[O-])N(CCC(F)(F)F)CC(F)(F)F 813236859 SIGSQIJEPOQZCA-UHFFFAOYSA-N 413.101 4.859 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)N2CCCCCCC2)cc1[N+](=O)[O-] 859963479 PKCMNHBJKMIEDW-UHFFFAOYSA-N 412.511 4.954 5 20 HJBD COc1ccc(NC(=O)c2cccc(NCc3ccc(Cl)cc3[N+](=O)[O-])c2)cn1 864008713 DFFNEFBMYKQHAU-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N(CCc1ccccc1)C1CCCC1 914517788 KLDNRVOTXNZKBW-UHFFFAOYSA-N 417.303 4.985 5 20 HJBD Cc1c(NC(=O)[C@@H](C)Nc2ccc(N(C)Cc3ccccc3)cc2)cccc1[N+](=O)[O-] 917940482 HAWRIQFBACEWBJ-GOSISDBHSA-N 418.497 4.979 5 20 HJBD CC(C)(C)[C@H](Cn1ccnc1)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1251724311 JUCGRQJMVSFQEP-IBGZPJMESA-N 402.882 4.909 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SC1CCCC1)N1Cc2ccc([N+](=O)[O-])cc2C1 1319606194 GDCHMXVBBUZEIZ-UHFFFAOYSA-N 413.455 4.694 5 20 HJBD CCOC(=O)c1cc(-c2nnc([C@@H](C)Oc3cccc(Cl)c3)o2)cc([N+](=O)[O-])c1 1326376157 JXBGYFVKJAKCHC-LLVKDONJSA-N 417.805 4.615 5 20 HJBD Cc1ccc(-c2ccsc2C(=O)OCC(=O)Nc2cc([N+](=O)[O-])ccc2C)cc1 7803908 WZJUKLCWNNJDQP-UHFFFAOYSA-N 410.451 4.736 5 20 HJBD O=[N+]([O-])c1cccc(CSc2nnc(SCc3cccc([N+](=O)[O-])c3)s2)c1 10798673 FLOLIIQPVKIXPQ-UHFFFAOYSA-N 420.497 4.939 5 20 HJBD Cc1cc(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)ccc1Br 15583130 CXMATHAPMZFJIX-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD C[C@H](OC(=O)c1cc(Cl)nc2ccccc12)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17673208 ZRVNPOSNNDHKOL-NSHDSACASA-N 424.800 4.764 5 20 HJBD CSc1cccc(NC(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)c1 22253119 KIMLVDMORTWSDK-UHFFFAOYSA-N 421.547 4.539 5 20 HJBD COc1cc(CN(C)Cc2c(F)cccc2Cl)c([N+](=O)[O-])cc1OC(F)F 27351311 PLDYMBCBGYGDKT-UHFFFAOYSA-N 404.772 4.629 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CN[C@H](c3ccccc3)c3ccc(F)cc3)n2)cc1 55855303 LTQNSTRTTIWGLA-OAQYLSRUSA-N 404.401 4.663 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccc(CNC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 59410562 CGSLVWDNUZQEFD-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD Cc1cc(NCc2ccc(N3CCC(C)CC3)nc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60859708 MKIJTUDGZDYIHC-UHFFFAOYSA-N 418.501 4.604 5 20 HJBD O=C(Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1)c1ccc([N+](=O)[O-])cc1F 63095156 HTWVJYINGLJJCX-UHFFFAOYSA-N 408.364 4.592 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCc3cccc(F)c3)c(F)c2)c1 97548491 VKLJAJSMVSVYFZ-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](c1ccccc1)c1c[nH]c2ccccc12 104956349 INIJSSOYRRAHFG-FQEVSTJZSA-N 415.449 4.647 5 20 HJBD COc1ccc(CN(C)C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1OC 140396060 SEBFNECFLBEBIX-UHFFFAOYSA-N 421.453 4.628 5 20 HJBD O=[N+]([O-])c1ccc(Sc2nnc(-c3ccc(F)cc3)n2Cc2ccco2)c(F)c1 301700032 FHLFWUIJMPFLFP-UHFFFAOYSA-N 414.393 4.924 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)c(C(F)(F)F)c1 302703013 IWKVVIJMOOQDSF-UHFFFAOYSA-N 411.810 4.822 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N1CCC[C@H](c2nc3ccccc3n2C(C)C)C1 427842874 RFOVJQZMLDHBHH-INIZCTEOSA-N 422.485 4.554 5 20 HJBD Cc1ccc(-c2csc(NC(=O)C(C)(C)c3c(C)noc3C)n2)cc1[N+](=O)[O-] 430132618 CYUPWIWAIYOBIE-UHFFFAOYSA-N 400.460 4.548 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)c2cc(Br)cc([N+](=O)[O-])c2)s1 431506783 JHEOUTSUEPITEW-JTQLQIEISA-N 412.309 4.518 5 20 HJBD O=C(c1cc(Br)cc([N+](=O)[O-])c1)N(Cc1ccccc1)c1ccccn1 432206730 SJIFPAQNNFEXCW-UHFFFAOYSA-N 412.243 4.599 5 20 HJBD CCOc1c(OC)cc(CN[C@H]2CC(C)(C)c3ccc([N+](=O)[O-])cc32)cc1OC 433168814 OSMRDBGZOZBIGK-SFHVURJKSA-N 400.475 4.523 5 20 HJBD O=C(c1ccc2noc(-c3ccc(F)cc3)c2c1)N1CCc2ccc([N+](=O)[O-])cc21 437364083 IYLQEZIRUJUWHE-UHFFFAOYSA-N 403.369 4.745 5 20 HJBD O=C(N[C@@H]1CCSc2ccc(F)cc21)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437924457 AXHAMHKNBPBBSN-QGZVFWFLSA-N 401.463 4.645 5 20 HJBD CC1(C)C[C@@H](NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)c2ccc(F)cc2O1 438041023 LNHGXLFEAKRFOX-GOSISDBHSA-N 413.449 4.710 5 20 HJBD COCC[C@@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1ccco1 441282997 AMKYJICKRUXRBK-MRXNPFEDSA-N 412.467 4.847 5 20 HJBD O=C(NC[C@H]1CCC[C@@H](O)C1)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467788793 KQCHKVVRSXRTHI-DZGCQCFKSA-N 420.918 4.680 5 20 HJBD COc1cc(C(=O)N(C)[C@@H](C)c2sc(-c3ccccc3)nc2C)ccc1[N+](=O)[O-] 469196091 AVMSRQDXKHOYMY-AWEZNQCLSA-N 411.483 4.869 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1cc2c(C(F)F)nn(C)c2s1 470844111 IKTBGOQNGYUORO-UHFFFAOYSA-N 408.430 4.531 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(CSC2CCOCC2)c1 470965513 CBUXSHSYAMDAIM-UHFFFAOYSA-N 416.499 4.658 5 20 HJBD CCc1ccc(C(=O)Nc2cc(Cl)ccc2-c2nc(C3CC3)no2)cc1[N+](=O)[O-] 471519327 ZSBBPFXWVZNPBI-UHFFFAOYSA-N 412.833 4.990 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1cc(F)cc(NCC(F)(F)F)c1 473245894 SNTONAFLOLHSGZ-SNVBAGLBSA-N 417.384 4.827 5 20 HJBD CCOCCOCc1cccc(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c1C 473896954 UPHLRDNEFKAKGN-UHFFFAOYSA-N 400.475 4.832 5 20 HJBD O=C(Nc1cccc(-n2cc(C(F)(F)F)cn2)c1)c1c[nH]c2cccc([N+](=O)[O-])c12 478653038 RVPCLPFGEUHAOE-UHFFFAOYSA-N 415.331 4.533 5 20 HJBD Cc1ccc([C@@H](Nc2ncc([N+](=O)[O-])c(C)c2Br)c2ccccn2)cc1 480573631 GTXZMKDBYZYGRN-GOSISDBHSA-N 413.275 4.966 5 20 HJBD Cc1c(CNC(=O)Nc2cccc(NC(=O)C3CCCCC3)c2)cccc1[N+](=O)[O-] 484526984 OEZJWSKXGYUWJI-UHFFFAOYSA-N 410.474 4.744 5 20 HJBD C[C@@H](Nc1ncc([N+](=O)[O-])cc1Br)c1nc2ccccc2n1C(F)F 485196793 SQHMGLSURZOTFD-MRVPVSSYSA-N 412.194 4.670 5 20 HJBD O=C(Nc1ccc(C(=O)C2CCC2)cc1)c1cc(Br)cc([N+](=O)[O-])c1 486274357 LGZFUFNTLHDOFM-UHFFFAOYSA-N 403.232 4.592 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CC[C@H](Oc2ccccc2Cl)C1 502286382 PRTOPTZORRGQCH-AWEZNQCLSA-N 414.767 4.561 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1cccc(Br)c1Cl 504071787 CFXWUVHPEVTABB-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CCOc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)O[C@H](CC)C(=O)N3)cc1[N+](=O)[O-] 504917926 DHSDDINSRCXOTP-CKFHNAJUSA-N 413.474 4.515 5 20 HJBD Cc1nc(-c2cccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)c2)cs1 505989894 CJJZQOJHGHENDO-UHFFFAOYSA-N 419.466 4.680 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(Br)cc1 507918651 PGHBJQMAVKHZTA-VIFPVBQESA-N 417.181 4.867 5 20 HJBD Cn1ccnc1[C@H](NCCCOc1ccc2ccccc2c1)c1cccc([N+](=O)[O-])c1 513673320 PHJXQECLDCOYHK-HSZRJFAPSA-N 416.481 4.630 5 20 HJBD Cc1[nH]nc2ncc(NC(=O)c3cc([N+](=O)[O-])ccc3Sc3ccccc3)cc12 516719914 VMVKZQVGAZMPDM-UHFFFAOYSA-N 405.439 4.578 5 20 HJBD C[C@H](NC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1nc(C(C)(C)C)cs1 518566381 LXSACIGBZXTEFL-NSHDSACASA-N 416.528 4.963 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc(-c3cccc4ccccc34)cs2)c1[N+](=O)[O-] 518848091 XOSXWZTWVHNTSK-UHFFFAOYSA-N 407.455 4.799 5 20 HJBD CC(C)c1nc2ccc(NC(=O)c3cn(C)nc3-c3ccc([N+](=O)[O-])cc3)cc2s1 520010298 CIPVRSDCZJSISP-UHFFFAOYSA-N 421.482 4.981 5 20 HJBD CN(C(=O)c1ccc(Cl)c(NC(=O)c2cccc([N+](=O)[O-])c2)c1)c1ccccc1 523143323 YORMZXZXTVNHRW-UHFFFAOYSA-N 409.829 4.777 5 20 HJBD C[C@H](NC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1ncc(-c2ccccc2)o1 523545254 SDOMRTIGQIIPTB-KRWDZBQOSA-N 423.472 4.687 5 20 HJBD CC[C@@H](C[C@H](C)CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 525759671 JISSPNUYUCIJGA-STQMWFEESA-N 411.296 4.504 5 20 HJBD C[C@@H](N[C@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 533505160 APYLXTDEHBFWBC-BLVKFPJESA-N 405.857 4.845 5 20 HJBD COc1cc(CN(C)C(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)c(SC)cc1OC 536685463 ZUHLRBOFRBQIFG-UHFFFAOYSA-N 418.515 4.531 5 20 HJBD O=C(N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cc2ccccc2c2cccnc12 537244692 RAGHIXQTCKZSAZ-JTHBVZDNSA-N 404.416 4.926 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCCC(=O)N2CCCC[C@H]2c2cc3ccccc3[nH]2)c1 537332244 TYWRXBAIDPVMCP-FQEVSTJZSA-N 407.470 4.907 5 20 HJBD C[C@H](NCCc1cccc([N+](=O)[O-])c1)c1ccc(OC[C@H]2CCCCO2)c(F)c1 537946332 AFUFOQHFOXIEDX-OXJNMPFZSA-N 402.466 4.575 5 20 HJBD COC(=O)[C@H](NCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 542261275 HLYCKXUIFFOGSA-OAHLLOKOSA-N 403.649 4.559 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N(Cc1cccc(-c2cccnc2)c1)C1CC1 543643036 YKOWSRHVAHBDNK-UHFFFAOYSA-N 406.417 4.992 5 20 HJBD COc1ccc2c(c1)C[C@H](C)N(C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)[C@@H]2C 543792089 IEYRHCUAIXFCMB-ZNMIVQPWSA-N 400.500 4.618 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3ccc(OCc4ccccc4)nc3)no2)cc1 544593052 FXJATDXXAMNJKB-UHFFFAOYSA-N 424.363 4.759 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(CCc3ccccc3Br)no2)cc1 545749824 YVTONIBCLXFGGW-UHFFFAOYSA-N 424.201 4.666 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(-n3cccn3)cc2)no1 546336880 KIMLCGXTAVRJLY-AWEZNQCLSA-N 407.455 4.608 5 20 HJBD O=[N+]([O-])c1ccc(Cc2noc(CSc3ccccc3)n2)c(Br)c1 546445910 ZJVHQOGPUQVGOW-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1)N1CC=C(c2cccc(Br)c2)CC1 547272205 WLPWLEZARKFCFS-UHFFFAOYSA-N 402.248 4.679 5 20 HJBD COc1cc([C@H](C)NC(=O)c2c(C)cccc2[N+](=O)[O-])ccc1OCc1ccccc1 551089818 UDEAPGPEZRXQHV-KRWDZBQOSA-N 420.465 4.982 5 20 HJBD C[C@H](c1ccccc1)N1CCC(NC(=O)c2cc([N+](=O)[O-])ccc2C(F)(F)F)CC1 551210537 FYQUDCLPUSZFKH-CQSZACIVSA-N 421.419 4.569 5 20 HJBD CC[C@H](CSc1ccccc1[N+](=O)[O-])N[C@H](C)c1ccc(S(=O)(=O)CC)cc1 556608355 HDMSTYSMUHRVKU-NVXWUHKLSA-N 422.572 4.610 5 20 HJBD O=C(N[C@@H]1CCc2sc(Cl)cc21)c1sc(Br)cc1[N+](=O)[O-] 562176240 CTESMQLUQDINPT-ZCFIWIBFSA-N 407.698 4.551 5 20 HJBD CC(C)Oc1ccccc1-c1nc(Cc2ccc([N+](=O)[O-])cc2Br)no1 564252767 JJYZISQMNWTPCX-UHFFFAOYSA-N 418.247 4.785 5 20 HJBD Cc1ccc([C@@H](C)Nc2ccc3c(c2)N(CC(F)F)C(=O)CS3)cc1[N+](=O)[O-] 566753018 LCECTGRAAMBTSW-GFCCVEGCSA-N 407.442 4.780 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)NCc1cccc(NC(=O)c2ccccc2)c1 571845138 VFHDBOHNHKONFC-UHFFFAOYSA-N 418.453 4.731 5 20 HJBD Cc1c(CNC(=O)N2CCC[C@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 573626639 YSCBHCOYIILVHR-INIZCTEOSA-N 410.499 4.602 5 20 HJBD CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NC(=O)c1c(C)cc(Cl)cc1[N+](=O)[O-] 578467499 TUOLZAJZWFIIRQ-KVSKMBFKSA-N 402.878 4.638 5 20 HJBD Cc1cc(C(=O)N2CCC(C)CC2)ccc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 580179717 IUKJESCYLFHJCX-QGZVFWFLSA-N 409.486 4.518 5 20 HJBD O=C(Cc1cccc2ccccc12)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 603613699 IYCTXVUFFYIDEY-UHFFFAOYSA-N 402.372 4.882 5 20 HJBD O=C(NC[C@H](c1ccccc1Cl)N1CCCC1)c1cc2cc([N+](=O)[O-])ccc2o1 603730643 LKWMNPDNQPDZKY-GOSISDBHSA-N 413.861 4.561 5 20 HJBD Cn1c(Sc2ccc([N+](=O)[O-])cc2)nc2scc(-c3cccs3)c2c1=O 603821464 DFFXOBOFQVLEAF-UHFFFAOYSA-N 401.494 4.783 5 20 HJBD COc1ccc(CNC(=O)N[C@@H](CC(C)(C)C)c2ccc(F)cc2)cc1[N+](=O)[O-] 604078783 IVCYULFESWCTHQ-KRWDZBQOSA-N 403.454 4.719 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(OCc2ccccn2)c1 604179733 IBUMXKMQWSACFK-AWEZNQCLSA-N 411.845 4.713 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cccc1OCC(F)(F)F 609021996 AJLCSBXZMXEKPT-LBPRGKRZSA-N 414.405 4.964 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)N[C@H](c1ccc(F)cc1)C1CCC1 609177674 VYFQBQIKEJWXAF-BUXKBTBVSA-N 406.841 4.812 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)c1C 610042217 MUWQSSCZPNZWSE-UHFFFAOYSA-N 420.263 4.657 5 20 HJBD Cc1nc(CCC(=O)NCCCCc2ccc([N+](=O)[O-])cc2)oc1-c1ccccc1 610046644 VOQRYKPEXVANGV-UHFFFAOYSA-N 407.470 4.630 5 20 HJBD Cc1cc(NC(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])ccc1OC(C)C 610186445 ROHZEZBVABQOSZ-UHFFFAOYSA-N 412.364 4.884 5 20 HJBD Cc1c(CC(=O)Nc2cc(Br)c(F)cc2Cl)cccc1[N+](=O)[O-] 610983017 XKTNOSHLPZDLEZ-UHFFFAOYSA-N 401.619 4.639 5 20 HJBD COc1cc(C(=O)N2CC(C(C)C)C2)ccc1Oc1ccc(Cl)cc1[N+](=O)[O-] 611078278 SEOUZYPDMIPJRU-UHFFFAOYSA-N 404.850 4.777 5 20 HJBD Cc1ccc(Oc2ccc(NCc3coc(-c4ccc([N+](=O)[O-])cc4)n3)cc2)nn1 611166188 KXSKJYUIUDUAJW-UHFFFAOYSA-N 403.398 4.753 5 20 HJBD COc1ccc(N(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cc1OC 611205416 HJQFVSJPRFVNKO-UHFFFAOYSA-N 416.499 4.923 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1ccc(N2CCc3sccc3C2)nc1 611278107 RGODGMYYUBDYIL-UHFFFAOYSA-N 422.510 4.792 5 20 HJBD CCS[C@H](C(=O)Oc1ccc(NC(=O)c2cccc([N+](=O)[O-])c2)cc1)C(C)C 727296798 YROKCZJWUSACBG-SFHVURJKSA-N 402.472 4.530 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1Cl 727582236 WYOFIGWPOSWAKF-MMRAYRKESA-N 423.252 4.875 5 20 HJBD CC[C@@H](C)Oc1ccccc1/C=C/C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2 729825949 DQUOTOSWWBYEHN-BOLDSZDNSA-N 412.442 4.585 5 20 HJBD O=C(c1ccc(Br)c([N+](=O)[O-])c1)N1CCCc2c(Cl)ccc(F)c21 731464671 BZCBGZIXFNFUIM-UHFFFAOYSA-N 413.630 4.743 5 20 HJBD C[C@H]1[C@H](c2ccccc2)OC[C@@H](C)N1C(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 732017708 USGSYHPOUMUYLY-QEEYODRMSA-N 423.494 4.709 5 20 HJBD CC(C)[C@@H](OC(=O)CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1ccccn1 733690999 UQFBGSBDHITGIT-GOSISDBHSA-N 411.380 4.751 5 20 HJBD COc1ccc([C@H](OC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)[C@@H](C)n2cccn2)cc1 734084514 GZYUMTFVNLEWBB-SPLOXXLWSA-N 421.409 4.705 5 20 HJBD C[C@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccc(Cl)cc2)no1 743071295 MYTIWQCFJPTXAH-VIFPVBQESA-N 422.228 4.834 5 20 HJBD C[C@H](OC(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1)c1nc(Cc2ccccc2)no1 744580572 LVLJRYVYBJDQGC-AWEZNQCLSA-N 409.442 4.784 5 20 HJBD Cc1c(COC(=O)[C@@H](C)CC(=O)c2cccc(Br)c2)cccc1[N+](=O)[O-] 745225618 FXJWRASBVIKDEO-LBPRGKRZSA-N 420.259 4.618 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(C(F)(F)F)nc1Cl 746139648 UJSQUDQZAUBMRX-UHFFFAOYSA-N 401.772 4.713 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(C2SCCCS2)cc1 751630979 ADRMJSNXXSCUQK-UHFFFAOYSA-N 416.480 4.962 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1ccccc1SC(F)F 755083356 BPRIRSKOELZYQU-UHFFFAOYSA-N 417.231 4.853 5 20 HJBD O=C(O)C[C@H](Cc1ccccc1)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 756381649 IPXFCIPPRPKTQW-IBGZPJMESA-N 424.428 4.702 5 20 HJBD Cc1ccc(-c2noc(Cc3ccccc3NC(=O)OC(C)(C)C)n2)cc1[N+](=O)[O-] 761634934 CVSNMRVPGXWFEG-UHFFFAOYSA-N 410.430 4.891 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)c1 764191231 DGRWSPDWXRKZTQ-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(N2CCCC2=O)c(Cl)c1 764978420 YXRQMUDWUVRJMG-HNNXBMFYSA-N 415.877 4.582 5 20 HJBD Cc1nc(C)c2c(C)c(CN3CCC(Oc4ccc([N+](=O)[O-])cc4)CC3)sc2n1 769482863 KHIBWHMRJKVHDW-UHFFFAOYSA-N 412.515 4.568 5 20 HJBD O=C(Nc1ccc(OCC2CCOCC2)cc1)Nc1ccc([N+](=O)[O-])c(Cl)c1 770009526 JLQVUNYRRKSQDX-UHFFFAOYSA-N 405.838 4.698 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776140300 WAMVGNSEEDNKNW-XXFRDUSWSA-N 411.417 4.884 5 20 HJBD O=C(Nc1ccc(O)cc1F)c1ccc(NCc2ccccc2Cl)c([N+](=O)[O-])c1 782852425 AGUYPANGHJJUCB-UHFFFAOYSA-N 415.808 4.957 5 20 HJBD Cc1cccc(C(=O)OCc2ccc([N+](=O)[O-])c(F)c2)c1NC(=O)c1ccoc1C 783110218 IFXDYICLBLRRJH-UHFFFAOYSA-N 412.373 4.553 5 20 HJBD Cc1cnc(Cl)c(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1 787983759 MBCDKPVPSBZQPL-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1csc(Sc2ccc(C(=O)O[C@@H]3CCc4cccnc43)cc2[N+](=O)[O-])n1 789679841 DVNYJANCLHPNNS-OAHLLOKOSA-N 413.480 4.750 5 20 HJBD CCOc1cc(O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1 791141621 JIQVCTQENHCZPL-UHFFFAOYSA-N 402.472 4.986 5 20 HJBD C[C@H](Nc1ccc(C(=O)OC[C@H]2CCCCS2)cc1[N+](=O)[O-])c1ccccn1 802920594 OFMPLGUXAGSDFJ-GOEBONIOSA-N 401.488 4.605 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc([C@H]3CCOc4ccccc43)no2)c1 809404910 KZTJOAKAIBEETH-KRWDZBQOSA-N 406.442 4.550 5 20 HJBD COc1ccc(CNC(=O)Nc2cccc([N+](=O)[O-])c2Cl)cc1OC1CCCC1 809917628 LHVGDDHFWGGELU-UHFFFAOYSA-N 419.865 4.900 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CNc1cc(F)c(N2CCOCC2)cc1F 811506674 ZHWWIYTZWGNLII-UHFFFAOYSA-N 418.227 4.629 5 20 HJBD C[C@@H]1CCCCN1Cc1ccc(CNC(=O)c2cccc([N+](=O)[O-])c2Cl)cc1 816873006 VUHLNZQWLFZGRP-OAHLLOKOSA-N 401.894 4.553 5 20 HJBD C[C@H](NC(=O)c1c(Cl)cccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817857007 LGNVLHQXSPYTSL-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD O=C(COc1cc(F)ccc1[N+](=O)[O-])N1CCC[C@H]1c1ccc(Cl)c(Cl)c1 917170702 YZINKYDZNPDOFP-HNNXBMFYSA-N 413.232 4.783 5 20 HJBD Cc1sc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])nc1-c1cccc([N+](=O)[O-])c1 917792120 WHRAZSSAOGKOPE-UHFFFAOYSA-N 418.818 4.841 5 20 HJBD CCOc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3)o2)ccc1OCc1cccnc1 1117226222 AQFXJPGJFGUUJL-UHFFFAOYSA-N 418.409 4.685 5 20 HJBD CC(C)(C)c1cc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)n(-c2ccc(F)cc2)n1 1318812037 TVUIRABXKITPDY-UHFFFAOYSA-N 423.448 4.765 5 20 HJBD Cc1ccc(OCc2nnc(Cc3ccc([N+](=O)[O-])cc3Br)o2)cc1C 1325778010 RCSSMJHAJZBBLG-UHFFFAOYSA-N 418.247 4.527 5 20 HJBD Cc1noc2nc(-c3ccc(F)cc3)cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c12 1345782824 RDZRKIGFOKHHLW-UHFFFAOYSA-N 417.356 4.963 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)c(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 11766108 JBTYOFRDXBQIRY-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD CC(C)CCSc1ccc(C(=O)N(C)Cc2nccn2C(F)F)cc1[N+](=O)[O-] 31151401 OJTPKGUIJFMYBV-UHFFFAOYSA-N 412.462 4.597 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NC[C@@H](c2ccccc2Cl)N2CCCC2)c1 41816327 FLOMBOWKOVIJMG-IBGZPJMESA-N 419.934 4.537 5 20 HJBD O=C(NC1CCCC1)c1ccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 55592257 URFPQLBGTBDBKE-UHFFFAOYSA-N 409.467 4.734 5 20 HJBD Cc1cc(NCC2CCN(Cc3cccs3)CC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60864748 JWLPAKCILFXBDF-UHFFFAOYSA-N 423.542 4.746 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CCC[C@@H]1c1cccc(Br)c1 65576184 VTLCGBWDKMMPSU-GOSISDBHSA-N 414.259 4.816 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccccc3C(F)(F)F)C3CC3)o2)cc1 97474636 WBLHCHAAGNFYQS-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD C[C@H](Nc1ccc([N+](=O)[O-])c(-c2ccccc2)n1)c1noc(Cc2ccccc2)n1 301848218 CHOUYFYSTJJLIJ-HNNXBMFYSA-N 401.426 4.804 5 20 HJBD COc1ccc(Br)cc1[C@@H]1CCCN1C(=O)c1cccc([N+](=O)[O-])c1C 303154264 UAGBCZKWNUXTOJ-KRWDZBQOSA-N 419.275 4.652 5 20 HJBD O=C(Nc1ccc(Cl)c(C(F)(F)F)c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 303455312 KMPGGFKILLKUSA-UHFFFAOYSA-N 411.723 4.773 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@@H](C)c3ccc(C)c([N+](=O)[O-])c3)c2)ccn1 426288688 CDSOAFSDRAPDTJ-HNNXBMFYSA-N 406.442 4.623 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C[C@@H](C)C(=O)O)cc1 431991049 BYTLKUFSFYIVPQ-CYBMUJFWSA-N 402.472 4.611 5 20 HJBD Cn1cnc([N+](=O)[O-])c1CNc1ccc(Oc2nc3ccccc3s2)c(Cl)c1 435893722 OINYPDOMSJKPJE-UHFFFAOYSA-N 415.862 4.996 5 20 HJBD CCN(CCOc1ccc(Br)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1C 437578072 FPILJEDVTFOOJN-UHFFFAOYSA-N 422.279 4.599 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NS(=O)(=O)c1ccc2nc(C(C)(C)C)sc2c1 438786288 OPXDRMPXNJMELG-UHFFFAOYSA-N 405.501 4.611 5 20 HJBD Cc1nc([C@H](NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)c2ccc(Cl)cc2)no1 438940405 WCTPKPURMBCOLH-GOSISDBHSA-N 414.849 4.582 5 20 HJBD CC(C)c1ccc(C(=O)Nc2cccc(NC(=O)c3ccncc3)c2)cc1[N+](=O)[O-] 438967632 MVTWPCYGLIGBMT-UHFFFAOYSA-N 404.426 4.618 5 20 HJBD C[C@H](Nc1ccc(Oc2ccc(S(C)(=O)=O)cc2)cc1)c1ccccc1[N+](=O)[O-] 439587527 OPILMODJEZFDCW-HNNXBMFYSA-N 412.467 4.964 5 20 HJBD CCO[C@H](c1ccccc1)c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 445787220 MQWUXEYKNNOYAJ-YVEFUNNKSA-N 403.822 4.897 5 20 HJBD O=C(C[C@H]1OCCc2ccccc21)Nc1ccc(OCc2ccccc2)cc1[N+](=O)[O-] 446432062 TUBQLQBHMYPHLN-HSZRJFAPSA-N 418.449 4.816 5 20 HJBD Cc1ccnc(Oc2cc(NC(=O)c3n[nH]c4ccc([N+](=O)[O-])cc34)ccc2C)c1 461315796 DWGVAKJYDWFHGY-UHFFFAOYSA-N 403.398 4.528 5 20 HJBD O=C(CCCc1ccc(Cl)cc1)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464657778 DQPHVOMSQAPXGK-UHFFFAOYSA-N 402.878 4.641 5 20 HJBD C[C@]1(CN[C@H](C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c2ccccc2)CCCS1 467428749 ILWMNYUKOLBALY-AZUAARDMSA-N 419.934 4.803 5 20 HJBD COc1cc(F)c(NC(=O)c2ccc(N3C[C@@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)cc1F 468382612 LIZHDOBDMJBRMO-BETUJISGSA-N 419.428 4.616 5 20 HJBD Cc1cccc(CNC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)c1Br 469279444 SASHHBMLAZNYFD-UHFFFAOYSA-N 418.291 4.540 5 20 HJBD O=[N+]([O-])c1cccc(Cl)c1CN1C[C@H](O)C[C@@H]1c1ccccc1C(F)(F)F 470954026 VMTIDJRDKTWMLP-PIGZYNQJSA-N 400.784 4.575 5 20 HJBD Cc1onc(-c2ccccc2)c1-c1nnc(COc2c(Cl)cccc2[N+](=O)[O-])o1 471291829 KEUKDRPTQKVDEH-UHFFFAOYSA-N 412.789 4.841 5 20 HJBD Cc1cc(Br)cc(C)c1N(CC(F)F)C(=O)c1ccc([N+](=O)[O-])cc1 472027337 ZEMYTSNNUOKWOU-UHFFFAOYSA-N 413.218 4.886 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)N[C@H](c1cccc(Cl)c1)c1ccccn1 472270842 COIUZANCBPNKDT-HXUWFJFHSA-N 416.264 4.745 5 20 HJBD CC(C)CN(CCc1ccccc1)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 477454855 ACNHGVXULSTIRF-UHFFFAOYSA-N 409.530 4.539 5 20 HJBD Cc1cccc2c1N(C1CC1)CCN2C(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 478696146 FTFQYZMBOHDTMD-UHFFFAOYSA-N 420.494 4.656 5 20 HJBD O=[N+]([O-])c1ccc(N[C@H](CO)c2ccc(Br)cc2C(F)(F)F)cc1 481051931 BUAXWYJNQDQZPD-CQSZACIVSA-N 405.170 4.522 5 20 HJBD CCn1c(SCCCOc2ccc(F)cc2)nnc1-c1ccc([N+](=O)[O-])cc1 481342931 JAHPZLDYXZBTKR-UHFFFAOYSA-N 402.451 4.574 5 20 HJBD CCOCCOc1ccc(Cl)cc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 481564866 AXSKIQZHZSWBDV-ZDUSSCGKSA-N 407.854 4.546 5 20 HJBD Cc1cccc([C@@H](NC(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)C(F)(F)F)c1 482050211 STQKWAOYZNSFKR-GOSISDBHSA-N 407.392 4.881 5 20 HJBD O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 482092293 XRWJXDOTYUHHAL-UHFFFAOYSA-N 411.849 4.630 5 20 HJBD CCSc1ccc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2SCCOC)cc1 484592171 NGFONVOPIPIFRE-AWEZNQCLSA-N 420.556 4.936 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)c(Cl)cc1OC 484636920 IQDNAWPUKYAZJA-UHFFFAOYSA-N 410.879 4.556 5 20 HJBD Cc1cccc([N+](=O)[O-])c1C(=O)NCCCCSc1ccccc1Br 485479093 MVQIOBDSXUYVLP-UHFFFAOYSA-N 423.332 4.968 5 20 HJBD CC(C)CN(CC(F)(F)F)C(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 485896255 WUENEFIVNDWKRH-UHFFFAOYSA-N 418.359 4.753 5 20 HJBD O=C(Nc1cccc(COCc2ccco2)c1)c1cc([N+](=O)[O-])ccc1OC(F)F 487644266 GYHHPRSVZQBCFL-UHFFFAOYSA-N 418.352 4.758 5 20 HJBD O=C(NCc1ccc(Oc2ccccc2)nc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487972846 FAPOTNKFBMPIIE-UHFFFAOYSA-N 418.453 4.656 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)ccc2OC[C@@H]2CCOC2)c1 494495951 TVHABLPIIAACHH-GFCCVEGCSA-N 422.890 4.638 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N(C)C(=O)c1cc([N+](=O)[O-])ccc1OC(F)F 497805563 XXLULVXTNZHTOS-JTQLQIEISA-N 407.398 4.639 5 20 HJBD Cc1sc(NC(=O)Cc2ccccc2OC(C)C)nc1-c1cccc([N+](=O)[O-])c1 499779610 KLVJCJIUYNIQBH-UHFFFAOYSA-N 411.483 4.995 5 20 HJBD C[C@H]1c2ccsc2CCN1C(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 506060901 XYBQIYNJXYZTQI-CABCVRRESA-N 422.510 4.984 5 20 HJBD O=C(Nc1nc(C(F)(F)F)cs1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 509344611 OKPUSRYERNJLMO-UHFFFAOYSA-N 422.388 4.935 5 20 HJBD O=C(N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1csc(-c2ccc([N+](=O)[O-])cc2)n1 510053305 DAVXGBOXMRQYAK-KXBFYZLASA-N 423.494 4.616 5 20 HJBD COc1ccc(C)cc1C1(CNc2ccc3cc([N+](=O)[O-])ccc3n2)CCOCC1 511712054 KSTVDHPQFJDVBJ-UHFFFAOYSA-N 407.470 4.620 5 20 HJBD O=[N+]([O-])c1ccc(SCc2noc(Cc3ccc(Br)cc3)n2)cc1 518450735 RRWGHSBHNHBATL-UHFFFAOYSA-N 406.261 4.623 5 20 HJBD Cc1nn(C)c(C(=O)N(Cc2ccc(-c3cccc(F)c3)s2)C(C)C)c1[N+](=O)[O-] 520394876 WILAYPHABCFJJP-UHFFFAOYSA-N 416.478 4.555 5 20 HJBD O=C(NCCn1cnc2ccccc21)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 521809845 IYZWWPBQWNCNAE-UHFFFAOYSA-N 418.478 4.526 5 20 HJBD Cc1c(C[S@](C)=O)cccc1NC(=O)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 523959931 OOBUTHBFUAUQMJ-PMERELPUSA-N 424.478 4.826 5 20 HJBD CC(=O)c1cc([N+](=O)[O-])ccc1OCc1ncc(-c2ccccc2Br)o1 533914251 QWZZTWUUCUDYJH-UHFFFAOYSA-N 417.215 4.794 5 20 HJBD C[C@H](NCc1ccc([N+](=O)[O-])cc1)c1cccc(OCc2cn3ccccc3n2)c1 536878123 QDJFPOJCTAMROX-KRWDZBQOSA-N 402.454 4.672 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)N1CCC(c2c(C)[nH]c3ccccc23)CC1 537212430 DQCPHZJGDVDOPX-UHFFFAOYSA-N 407.470 4.803 5 20 HJBD Cc1cc(C(=O)N(C)Cc2ccccc2[N+](=O)[O-])nn1-c1ccc(Cl)c(Cl)c1 539636848 NQXGVNJLUDLKHG-UHFFFAOYSA-N 419.268 4.668 5 20 HJBD CO[C@@H](c1ccc(Br)cc1)[C@H](C)NC(=O)Nc1cc([N+](=O)[O-])ccc1C 540281795 PMXRDPHGZMICNQ-YVEFUNNKSA-N 422.279 4.563 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)c2cc(OC(F)F)cc(OC(F)F)c2)cc1[N+](=O)[O-] 540488698 XMOSHZRAFYKGFJ-SNVBAGLBSA-N 416.327 4.597 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1ccc(OC)c([N+](=O)[O-])c1)c1ccccc1 541106466 KRLVMYNTWINXJI-OAQYLSRUSA-N 407.426 4.523 5 20 HJBD COC(=O)[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Cl)cc1Cl 543193400 YTZCMMZMURBNOU-HNNXBMFYSA-N 403.649 4.559 5 20 HJBD C[C@H](C(=O)Nc1ccc(-c2cn3ccccc3n2)cc1)c1ccc([N+](=O)[O-])cc1F 543437946 BSJPZKDXVOXOSQ-AWEZNQCLSA-N 404.401 4.791 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 543644407 JNNICUOZAGAKBY-CYBMUJFWSA-N 423.269 4.760 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(-c4ccccc4OC(F)(F)F)no3)c2c1 545049708 MFNIAJAJWZXVFE-UHFFFAOYSA-N 404.304 4.616 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1)c1nc(Cc2ccc([N+](=O)[O-])cn2)no1 545072724 TUWSPWMBTWMAMI-SNVBAGLBSA-N 421.276 4.579 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])n1 557324759 PNKHTRYTJBIMRK-UHFFFAOYSA-N 411.483 4.952 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1ccc([N+](=O)[O-])cc1F)c1ccc(Oc2cccnc2)cc1 557932787 HWTIAALRTRCUGK-HUUCEWRRSA-N 409.417 4.902 5 20 HJBD COCC1(c2noc(-c3cc([N+](=O)[O-])cc(Br)c3C)n2)CCCCC1 558518001 SJYNIQUWDMOTRK-UHFFFAOYSA-N 410.268 4.564 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(cnn2C(C)C)c1 585699453 ABESKZAXTMNGFX-UHFFFAOYSA-N 417.263 4.849 5 20 HJBD COc1cc(Cl)c(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1C 589058585 JGDQWQAPFGEXGR-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC(C)c1ccc([C@@H]2Nc3ccc(Br)cc3C(=O)N2C)cc1[N+](=O)[O-] 602759356 SXJFWDLMXZPRCU-QGZVFWFLSA-N 404.264 4.677 5 20 HJBD CCC[C@H](C)N[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 603744402 JZIDAFHYBRGZBO-SCLBCKFNSA-N 420.307 4.815 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])Nc1cccc(OCc2cccc(F)c2)c1 603998712 FLMNLUAIVYORPI-UHFFFAOYSA-N 410.401 4.721 5 20 HJBD O=C(c1ccc(Cl)cc1)C1CCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])CC1 604195377 AWTMNPLRIJGHPI-UHFFFAOYSA-N 407.253 4.637 5 20 HJBD O=C(Nc1nnc(-c2ccccc2Cl)s1)c1cc2cc([N+](=O)[O-])ccc2o1 604344041 XJRCTHSSJSTHQM-UHFFFAOYSA-N 400.803 4.765 5 20 HJBD CCCN(Cc1ccc(OC)cc1)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 604500510 NSNAWNODCAMVBP-UHFFFAOYSA-N 409.389 4.649 5 20 HJBD Cc1ccc(C(=O)N2c3ccccc3CC[C@H]2C(=O)Nc2ccccc2)cc1[N+](=O)[O-] 608799469 JXFDYWBZEAWLHC-NRFANRHFSA-N 415.449 4.504 5 20 HJBD CCOc1ccc(OC)cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 609182523 SEKXGPFKNGPXIH-UHFFFAOYSA-N 407.426 4.998 5 20 HJBD CCNc1ccc(C(=O)N[C@@H](c2ccccc2)c2ccccc2OC)cc1[N+](=O)[O-] 609374069 GBMIENWMWFOKMW-QFIPXVFZSA-N 405.454 4.555 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2nc3ccc(Oc4ccccc4)cc3s2)c1[N+](=O)[O-] 609471598 SENZKJVMDFIJIQ-UHFFFAOYSA-N 423.454 4.925 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)c1nc(-c2ccccc2)cs1 609786355 RTKGKNBMWAWNLC-LBPRGKRZSA-N 401.875 4.792 5 20 HJBD C[C@@H]1CN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)c2ccccc2NC1=O 610111820 GOOIZSTXKLFWTP-OAHLLOKOSA-N 416.437 4.573 5 20 HJBD CC(C)Cc1ncc(NC(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 619350681 KXLCHCLAKNTCGG-UHFFFAOYSA-N 400.504 4.870 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)N2CCC[C@@H](c3nc(C(C)C)cs3)C2)c1 619807406 PQSJLPZUIYMROZ-CQSZACIVSA-N 403.504 4.593 5 20 HJBD Cc1c(C(=O)N2CCc3c(ccc(Cl)c3Cl)C2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 726175645 OBDKACIPRIHTBU-UHFFFAOYSA-N 424.240 4.625 5 20 HJBD O=C(O[C@@H](c1cc(Br)ccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 730402993 PLQSPMNCMDZTDC-ZDUSSCGKSA-N 422.128 4.957 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(N3CCCC3)c(Cl)c2)c([N+](=O)[O-])cc1OC 730839785 RWQWHQBKWUHCKX-UHFFFAOYSA-N 419.865 4.508 5 20 HJBD O=[N+]([O-])c1cc(S(=O)(=O)C(F)F)ccc1N[C@H](c1ccc(F)cc1)C1CCC1 732037002 BDZZQTACFMUOEZ-KRWDZBQOSA-N 414.405 4.684 5 20 HJBD CSc1ccc(C(=O)N[C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)cc1[N+](=O)[O-] 742934892 HYILHRHOMUWPEN-JTQLQIEISA-N 418.862 4.511 5 20 HJBD Cc1c(NC(=O)[C@H](C)Nc2ccc(OC3CCCC3)c(F)c2)cccc1[N+](=O)[O-] 743483736 DTWKYLIOZFFVME-AWEZNQCLSA-N 401.438 4.803 5 20 HJBD CC(C)[C@@H](OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)C(=O)c1ccccc1 747956718 YDIACFNNASNDSH-LJQANCHMSA-N 410.451 4.783 5 20 HJBD C[C@@H](Sc1ccc(Br)cc1[N+](=O)[O-])C(=O)Nc1ccc(N(C)C)cc1 749132527 OQVVUYQTJCCEMV-LLVKDONJSA-N 424.320 4.543 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)N1Cc2ccccc2-c2ccccc21 751270478 MUCFIGXORGPMTI-UHFFFAOYSA-N 400.843 4.624 5 20 HJBD CC(C)C[C@H](C)NC(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 752228402 BYYSQMRBSURTFF-ZDUSSCGKSA-N 403.866 4.665 5 20 HJBD Cc1ccc([C@H](NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)C2CC2)cc1 754633084 UBXMKOSXVYKTEW-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD O=C(N[C@H]1CCC[C@H](c2ccccc2)C1)c1cc(F)cc([N+](=O)[O-])c1Br 754755313 WUKMSVIXXBVGNB-ZFWWWQNUSA-N 421.266 4.953 5 20 HJBD CC(C)COc1cccc(NC(=O)c2cc(F)cc([N+](=O)[O-])c2Br)c1 754987488 HBVHZFOQNJAMFO-UHFFFAOYSA-N 411.227 4.784 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@@H](O)c2cccs2)cc1[N+](=O)[O-] 755747225 JSWZIJQBNRYVJV-LJQANCHMSA-N 411.483 4.732 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)N(Cc2ccccc2)C[C@@H](O)c2ccsc2)cc1 756810877 WCSGNUNEFOZFGT-LJQANCHMSA-N 413.524 4.589 5 20 HJBD Cc1nnc(-c2ccc(C(=O)N(CC3CC3)c3ccc(Cl)c([N+](=O)[O-])c3)cc2)o1 759068422 BEKSGFVQTPOYTA-UHFFFAOYSA-N 412.833 4.663 5 20 HJBD CCCc1c(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cnn1-c1ccc(F)cc1 759451568 DNOSDBBIDDMGMN-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD Cc1coc(-c2ccc(C)c(NC(=O)c3ccc(Br)c([N+](=O)[O-])c3)c2)n1 759876743 LLLNVYYUNKHFOH-UHFFFAOYSA-N 416.231 4.881 5 20 HJBD CCc1cc(=NC(=O)c2sccc2SC(F)F)c2cc([N+](=O)[O-])ccc2[nH]1 765102028 YFOCOOBFAXTZMN-UHFFFAOYSA-N 409.439 4.756 5 20 HJBD O=C(Nc1ccc(Nc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])cc1)C1CC1 774656451 CHJHNSKVTCOXJZ-UHFFFAOYSA-N 416.306 4.736 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)OCc1nnc(-c2cccc(F)c2)o1 775088993 YBMQLULVFOXRBM-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])OCc1csc(C2CCCCC2)n1 781737565 VUTMLLVKBIKJAW-UHFFFAOYSA-N 419.459 4.683 5 20 HJBD Nc1c(Cl)c(Cl)nc(C(=O)OCc2ccc(Cl)c([N+](=O)[O-])c2)c1Cl 781872937 MPYWIQBDTSOANU-UHFFFAOYSA-N 411.028 4.543 5 20 HJBD COc1cccc([C@H]2C[C@@H](C)CN2C(=O)NCc2cc([N+](=O)[O-])ccc2Cl)c1 784381594 UNZRUKXKJDOIKE-BFUOFWGJSA-N 403.866 4.550 5 20 HJBD O=C(OCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccnc(-c2ccc(Cl)cc2)n1 805659193 KWRJRDPFPMSGMU-UHFFFAOYSA-N 404.209 4.716 5 20 HJBD CN(C(=O)c1ccc(Br)c([N+](=O)[O-])c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 809371443 ANAPMHQTJBFLCT-PHIMTYICSA-N 409.202 4.550 5 20 HJBD COc1cc(C(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)ccc1OCc1ccccc1 825487619 GECMZXBBBZGRLR-UHFFFAOYSA-N 414.364 4.713 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)O[C@H](C(=O)c2ccccc2)c2ccccc2)cc1[N+](=O)[O-] 875883008 LOSSIGNEASOZIL-NRFANRHFSA-N 420.377 4.592 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N2Cc3ccccc3[C@H](c3ccccc3)C2)cc1[N+](=O)[O-] 901385159 OQNAIMJKZIYYAS-FQEVSTJZSA-N 417.421 4.599 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C)[C@@H](C)c2cccc(C(F)(F)F)c2)cc1[N+](=O)[O-] 914454386 ZMTZADXWIVDXDD-NSHDSACASA-N 411.336 4.663 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3cc4cccc([N+](=O)[O-])c4[nH]3)cs2)cc1 917332031 WUMPWIYVVYBGII-UHFFFAOYSA-N 409.423 4.565 5 20 HJBD O=[N+]([O-])c1cc(-c2nnc(-c3nc(-c4ccccc4)n(-c4ccccc4)n3)o2)cs1 1257713007 UNKWQQAMJDMHKK-UHFFFAOYSA-N 416.422 4.621 5 20 HJBD Cc1cc(C(=O)N2CCC[C@H]2c2nnc(-c3ccccc3Cl)o2)ccc1[N+](=O)[O-] 1322106170 NXRNAWOBJIIKDI-KRWDZBQOSA-N 412.833 4.584 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc(Cl)cc2[N+](=O)[O-])c2c(C)c(C)sc2n1 9812407 PXWOYDAHPKVNHV-UHFFFAOYSA-N 422.919 4.909 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N(C[C@H]1CCCO1)c1nc2ccccc2s1 14498871 WPNOQIPFQVKFCA-CYBMUJFWSA-N 417.874 4.684 5 20 HJBD Cc1c(NC(=O)[C@H](C)OC(=O)c2cc(C(C)C)nc3ccccc23)cccc1[N+](=O)[O-] 26850634 KSEFTHSMWGPZEQ-HNNXBMFYSA-N 421.453 4.759 5 20 HJBD O=[N+]([O-])c1cc2c(c(CN3CCc4sccc4[C@H]3c3cccs3)c1)OCOC2 29122118 KUYLYXAMQJUODK-IBGZPJMESA-N 414.508 4.732 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N(C)Cc2cccc(OC)c2)cc1 32674943 ZCWRSCGXJVUZLN-UHFFFAOYSA-N 422.437 4.677 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)c1ccc(Oc2cccnc2)cc1 63303736 FWQPZWPZUCEZEO-UHFFFAOYSA-N 401.325 4.636 5 20 HJBD CC(C)c1cccc(C(C)C)c1NC(=O)CCn1cc(Br)c([N+](=O)[O-])n1 64040018 ZVABSDRCFNSLPJ-UHFFFAOYSA-N 423.311 4.829 5 20 HJBD O=[N+]([O-])c1ccc(OCCCSc2nnc(COc3ccc(Cl)cc3)o2)cc1 71971131 KSYWPJOJZKMDRX-UHFFFAOYSA-N 421.862 4.771 5 20 HJBD C[C@@H](SCc1ccccc1)C(=O)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 107867223 PWHUPPHGJZWMQH-CQSZACIVSA-N 412.433 4.808 5 20 HJBD Cc1cc(NC(=O)CCc2ccc(-c3ccccc3)[nH]2)n(-c2ccc([N+](=O)[O-])cc2)n1 108940297 VOQKIGCZZNZJPS-UHFFFAOYSA-N 415.453 4.655 5 20 HJBD C[C@H]1CCCCN1C(=O)c1ccccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 195593988 RWLQNTMZHHXVKH-ZDUSSCGKSA-N 401.850 4.515 5 20 HJBD CN(C)c1cc(CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)c2ccccc2n1 237173511 RLQKWIKYXFMXEP-UHFFFAOYSA-N 419.510 4.622 5 20 HJBD CN(C)CCOc1ccccc1CNCc1ccc(-c2ccccc2[N+](=O)[O-])s1 237192174 FCQXOTHERPMQOK-UHFFFAOYSA-N 411.527 4.554 5 20 HJBD O=[N+]([O-])c1cc(Br)cnc1Nc1ccc(Oc2ccc(Cl)nn2)cc1 301692390 OECOYQUHCQMIED-UHFFFAOYSA-N 422.626 4.732 5 20 HJBD CC(C)(C)c1ccc(C(=O)Nc2ccc(NC(=O)c3ccccc3)nc2)cc1[N+](=O)[O-] 303993664 JFVPZFAOAJZMAI-UHFFFAOYSA-N 418.453 4.792 5 20 HJBD CC(C)(C)OC(=O)NC1CCC(Nc2ccc(Br)cc2[N+](=O)[O-])CC1 393995264 QMPLVDWVFABBRS-UHFFFAOYSA-N 414.300 4.605 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)N2CC3(CCC3)[C@H]2C2CC2)cc1 427572970 PLQDVPINDZGAJK-OAQYLSRUSA-N 408.454 4.800 5 20 HJBD Cn1c(SCc2ncccc2C(F)(F)F)nc2cc3ccccc3cc2c1=O 428067666 BQOZOQSEOFNXHB-UHFFFAOYSA-N 401.413 4.793 5 20 HJBD C[C@H](NC(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1)c1nc(C(F)(F)F)cs1 428631825 WJQVEIAKPBJLMA-JTQLQIEISA-N 417.412 4.648 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)c2)n1 430464072 UMDMVPVBJNCFKR-UHFFFAOYSA-N 408.439 4.983 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cc2)cs1 431663007 SEKIQVBJAYOOKT-UHFFFAOYSA-N 409.511 4.663 5 20 HJBD Cc1cc(OCc2cccc(F)c2)ccc1NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433937594 WJEXRELAERWLQH-UHFFFAOYSA-N 412.421 4.720 5 20 HJBD O=C(NCc1csc(-c2ccc(C(F)(F)F)cc2)n1)c1csc([N+](=O)[O-])c1 437018713 HUXRWILTRXKDKN-UHFFFAOYSA-N 413.402 4.729 5 20 HJBD COc1cc(Br)c(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1OC 438969067 RHTHCSCFHHCTDJ-UHFFFAOYSA-N 423.263 4.750 5 20 HJBD O=[N+]([O-])c1ccc(CN(c2ccccc2)S(=O)(=O)c2cccc3cccnc23)cc1 439239975 UBUZSYBFWZAAFB-UHFFFAOYSA-N 419.462 4.539 5 20 HJBD O=C(NC[C@H]1CCCC[C@@H]1C(F)(F)F)c1ccc2[nH]c3ccccc3c(=O)c2c1 444106466 GOULKWVJQMMNTC-PBHICJAKSA-N 402.416 4.780 5 20 HJBD C[C@@H](CC(=O)Nc1ccc([N+](=O)[O-])cc1)Nc1cccc(-c2ncc3n2CCCC3)c1 446908383 MJJBTNSMXLCCFX-INIZCTEOSA-N 419.485 4.624 5 20 HJBD CCCN(C(=O)NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@H](C)c1cc2ccccc2o1 446912207 XCJMRHFTBBMIDR-CQSZACIVSA-N 421.457 4.900 5 20 HJBD O=C(O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2cc(Br)ccc2s1 463049156 QLTDBGQRBVJVQN-XFFZJAGNSA-N 419.256 4.982 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c2ncccc12)C1(c2ccc(Br)cc2)CC1 463134299 ZHEDAXITJBLNAV-UHFFFAOYSA-N 412.243 4.576 5 20 HJBD O=C(Nc1ccc(Oc2ccc(Cl)cn2)cc1)c1n[nH]c2ccc([N+](=O)[O-])cc12 464173831 IJNYLIMXCLTYLN-UHFFFAOYSA-N 409.789 4.564 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccccc1OCC(=O)Nc1cccnc1 470213484 IODCMTWPEAIPBR-ATVHPVEESA-N 418.453 4.523 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccnc(Oc2ccc(F)cc2F)c1 471153292 ZKEYQFUMDWTECD-UHFFFAOYSA-N 415.352 4.711 5 20 HJBD Cc1nc2cc(C(=O)N[C@H](C)c3cccc([N+](=O)[O-])c3)ccc2n1Cc1ccsc1 472470354 NGPCQNHWJJFBII-CQSZACIVSA-N 420.494 4.854 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCC(CCN3CCCC[C@@H]3C)CC2)cc1[N+](=O)[O-] 479539110 CONQXXVZQUSTLW-RBUKOAKNSA-N 416.566 4.650 5 20 HJBD O=C(c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])N1CC2(CCC2)c2ccccc21 481456482 NARIHXTVQOMKPP-UHFFFAOYSA-N 406.360 4.618 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1NC(=O)N[C@@H](CCO)c1ccc(Cl)c(Cl)c1 482052007 RXYJCKUTRYFKEB-HNNXBMFYSA-N 412.273 4.764 5 20 HJBD Cc1ccc(-n2ncc(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])c2C(C)C)cc1 482079651 SLNUVTBWAHILIV-UHFFFAOYSA-N 421.501 4.919 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc2cc([N+](=O)[O-])ccc2n1 485965319 LGQCRQQEBYHOHC-UHFFFAOYSA-N 419.268 4.915 5 20 HJBD CCCCN(C(=O)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)[C@H](C)c1ccccc1 486593303 KEOYPDAGVJJOCS-CQSZACIVSA-N 403.866 4.577 5 20 HJBD COc1ccc(CCCCC(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)cc1 486723513 QNXONOQXLSBUKY-UHFFFAOYSA-N 410.392 4.651 5 20 HJBD Cc1cccc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1Br 487189057 BMRUFXNTOLRGQW-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc2c(cc1Cl)OCCCO2 488437750 ZSTXJSKUNYPJAU-UHFFFAOYSA-N 408.863 4.774 5 20 HJBD COc1cc(C(=O)Nc2ccccc2-c2c(F)cccc2F)cc([N+](=O)[O-])c1OC 494530309 UHSACQAIKWGBKD-UHFFFAOYSA-N 414.364 4.810 5 20 HJBD COCCN(C(=O)c1cc([N+](=O)[O-])ccc1C)[C@H](C)c1cccc(C(F)(F)F)c1 500306624 XMOHHNQGYUMPRQ-CQSZACIVSA-N 410.392 4.772 5 20 HJBD O=C(NCCCCc1ccc([N+](=O)[O-])cc1)c1cccc(Br)c1Cl 503140186 SGOCXGNOWMPSMC-UHFFFAOYSA-N 411.683 4.763 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(CN(CC)C(C)=O)c1 504091479 KWGLXCYWOMOCCU-UHFFFAOYSA-N 415.515 4.718 5 20 HJBD Cc1ccnc2ccc(C(=O)N(Cc3ccccc3[N+](=O)[O-])CC(F)(F)F)cc12 512705658 NVCMGWYARDXIJJ-UHFFFAOYSA-N 403.360 4.656 5 20 HJBD Cc1ccc(C(=O)N2CCC(N[C@@H]3CCC[C@@H]3Cc3ccccc3[N+](=O)[O-])CC2)cc1 513784362 PYQPPEWFCBGKGQ-NFBKMPQASA-N 421.541 4.509 5 20 HJBD CCOc1cc(NC(=O)N(C)[C@H](C)c2ccc(OC)cc2)c([N+](=O)[O-])cc1OCC 515220682 LSJPQGORYXTFHP-CQSZACIVSA-N 417.462 4.626 5 20 HJBD C[C@H](C(=O)N1C[C@H](c2cccc(C(F)(F)F)c2)OC[C@H]1C)c1cccc([N+](=O)[O-])c1 515494693 WTCNBOUBEYTELT-BIENJYKASA-N 422.403 4.706 5 20 HJBD C[C@H](Oc1ccccc1NC(=O)CSc1ccccc1[N+](=O)[O-])C(F)(F)F 516511313 QIBMSRXPLPLUBC-NSHDSACASA-N 400.378 4.655 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)NC(Cc1ccccc1)Cc1ccccc1 519928408 PUOKPJOVLMHFMO-UHFFFAOYSA-N 403.482 4.590 5 20 HJBD Cc1ccc(C(C)C)c(Oc2ccc(CNCCC(=O)NC(C)C)cc2[N+](=O)[O-])c1 520204160 QZDQSXYBPYSVSQ-UHFFFAOYSA-N 413.518 4.823 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccccc3Cl)OC[C@H]2C)c1 521080088 JOVMNPKOSCVLLO-KZULUSFZSA-N 406.891 4.572 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)N(Cc1ccccc1)CC1CCOCC1 521935504 TWRSRGUTPDBOSC-QGZVFWFLSA-N 414.527 4.531 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1OC 522680092 WAAYEYZGCHLSLO-UHFFFAOYSA-N 408.410 4.586 5 20 HJBD CN(C)c1cccc([C@H]2CCCN2C(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c1 522729117 KNKRAFXFNJAMKF-HXUWFJFHSA-N 422.510 4.762 5 20 HJBD O=C(Nc1nc2c(s1)CCCCCC2)c1ccc([N+](=O)[O-])cc1Br 524255855 XBLRATAJGKFGJI-UHFFFAOYSA-N 410.293 4.725 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccn(-c2ccc(Cl)c(Cl)c2)n1 531258415 SBZGUAMHJYHLQY-UHFFFAOYSA-N 405.241 4.956 5 20 HJBD O=C(CCc1cccc([N+](=O)[O-])c1)Nc1cccc(CSC2CCOCC2)c1 531501852 ZOLBSSGJDRPZQN-UHFFFAOYSA-N 400.500 4.578 5 20 HJBD CN(C(=O)c1ccc(Oc2cccc(Cl)c2Cl)nn1)c1cccc([N+](=O)[O-])c1 533603184 AGQXKNQRXDXYRN-UHFFFAOYSA-N 419.224 4.761 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1NC(=O)C[C@H]1C=CCC1 534679190 LKIWTVDFEHTWSJ-HNNXBMFYSA-N 418.453 4.552 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Br)Nc1cccnc1-c1ccccc1 535858456 CHGPBITYYCZXMF-UHFFFAOYSA-N 412.243 4.601 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@H]1c1ccccc1 537135419 YYANDMHDSGDAKF-UTKZUKDTSA-N 414.527 4.993 5 20 HJBD CCOc1ccccc1[C@H](CC(C)C)Nc1sc(S(C)(=O)=O)cc1[N+](=O)[O-] 538742541 XUPBJKLYDXTJFA-AWEZNQCLSA-N 412.533 4.658 5 20 HJBD Cc1cc([C@@H](N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)C2CC2)ccc1F 540523337 OXQYNDOKJMLUOK-BLVKFPJESA-N 405.857 4.764 5 20 HJBD COc1ccc(CN(C(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)[C@H](C)C2CC2)cc1 541586347 VZWVOWFOFZLHSR-LSDHHAIUSA-N 400.450 4.673 5 20 HJBD C[C@H](C(=O)NCc1ccc(COCc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 542534860 UKDMIAQLGKKLIS-KRWDZBQOSA-N 422.456 4.871 5 20 HJBD C[C@H](C(=O)N[C@H](C)c1ccc(OCc2cccnc2)cc1)c1ccc([N+](=O)[O-])cc1F 543465126 XGNUSABQZKEWHO-JKSUJKDBSA-N 423.444 4.689 5 20 HJBD Cc1ccc2c(CCc3nc(Cc4c[nH]c5ccc([N+](=O)[O-])cc45)no3)c[nH]c2c1 545075083 BANFHOKLJXBERZ-UHFFFAOYSA-N 401.426 4.625 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(Cc3c[nH]c4cc(Br)ccc34)no2)s1 545126144 BITGRCXYBZQLIB-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(Cc3c[nH]c4ccc(F)cc34)no2)cc(C(F)(F)F)c1 546456018 LTPAEFBVRIEJHS-UHFFFAOYSA-N 406.295 4.875 5 20 HJBD CNC(=O)c1ccc(NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)cc1Cl 556338050 SBLNSDSPQOUZIM-UHFFFAOYSA-N 424.888 4.988 5 20 HJBD O=[N+]([O-])c1cc2c(N3CCC[C@H]3c3cc(F)cc(Br)c3)ncnc2s1 557639199 NTBQUMYHPBRWMI-ZDUSSCGKSA-N 423.267 4.843 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(-c3ccccc3)c(C)n2)c([N+](=O)[O-])cc1OC 573294761 POJNGNXPUMERFC-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD Cc1cc(OCc2nc(Cc3nc4cc(Cl)ccc4s3)no2)ccc1[N+](=O)[O-] 574236609 IPHMOKDUBVJFOW-UHFFFAOYSA-N 416.846 4.719 5 20 HJBD Cc1nnc(CCNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)s1 584082259 GYHZNEJSFBUWLC-UHFFFAOYSA-N 404.879 4.533 5 20 HJBD NC(=O)c1ccnc(N[C@@H](c2ccccc2)c2cc(Cl)ccc2Cl)c1[N+](=O)[O-] 589588775 IDGFEMZBLXJWJJ-INIZCTEOSA-N 417.252 4.597 5 20 HJBD C[C@H]1CN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CCN(C2CCC2)C1 590452481 ZHKSYVHARGQTPG-MRXNPFEDSA-N 417.575 4.576 5 20 HJBD CC(=O)N(Cc1ccc(NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)C(C)C 600853505 IONGFLSZMKEPOA-UHFFFAOYSA-N 409.408 4.983 5 20 HJBD COc1cc(CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)ccc1OC1CCCC1 603761787 UPVQZITUUBJANO-UHFFFAOYSA-N 410.426 4.601 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@H]1CCC[C@H]1Cc1ccccc1 606206628 UZZSGLCHELQBEH-REWPJTCUSA-N 410.517 4.682 5 20 HJBD COc1ccc(CC(=O)N[C@H](C)c2ccc(Oc3ccccc3)c(F)c2)cc1[N+](=O)[O-] 609010882 IXWBXWDHMBYJJX-OAHLLOKOSA-N 424.428 4.955 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)Nc1cccc(CSCc2ccccc2)c1 609696860 ORYXVJOLXMHZEK-UHFFFAOYSA-N 410.499 4.562 5 20 HJBD O=C(N[C@H](Cc1ccccc1)c1cccs1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 609743570 SHPQWLBWSJLVFP-LJQANCHMSA-N 419.462 4.624 5 20 HJBD Cc1cc(NC(=O)c2cccc(Oc3nccs3)c2)n(-c2ccc([N+](=O)[O-])cc2)n1 609906289 CBCDLFLQEDDUNH-UHFFFAOYSA-N 421.438 4.590 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1ccc([N+](=O)[O-])cc1Br 610044907 GZHOQNPONNPPAO-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)Nc2cc(C(F)(F)F)ccc2-n2nc(C)cc2C)c1 610224518 VERZBEXOZIVQEM-UHFFFAOYSA-N 418.375 4.977 5 20 HJBD CCS(=O)(=O)c1ccc2oc(/C=C\c3ccc(-c4ccccc4[N+](=O)[O-])o3)nc2c1 610413905 JWZRONWIMQYFAI-WQLSENKSSA-N 424.434 4.960 5 20 HJBD COc1cc(CN(C)Cc2cccc(O)c2)c([N+](=O)[O-])cc1OCc1ccccc1 610930422 XGFGBEHEENFOQS-UHFFFAOYSA-N 408.454 4.520 5 20 HJBD Cc1ccccc1COc1cccc(CC(=O)Nc2cc([N+](=O)[O-])c(F)cc2F)c1 729946279 XSCYIZIKAVOEHN-UHFFFAOYSA-N 412.392 4.942 5 20 HJBD Cc1cc(-c2noc([C@H](C)OC(=O)c3c(Cl)cccc3[N+](=O)[O-])n2)ccc1F 730643419 BOYUILFEZQOKRJ-JTQLQIEISA-N 405.769 4.664 5 20 HJBD O=c1c2ccccc2nc(SCc2ccc(Cl)cc2[N+](=O)[O-])n1-c1cccnc1 734834356 JVYODIAGMVBQKI-UHFFFAOYSA-N 424.869 4.635 5 20 HJBD O=C(Oc1ccc2oc3ccccc3c2c1)c1cc(O)nc2ccc([N+](=O)[O-])cc12 735270658 WFUHZQYDVBEZHM-UHFFFAOYSA-N 400.346 4.967 5 20 HJBD CN(c1ccccc1)c1ccc(CNCc2nc3cc(F)ccc3n2C)cc1[N+](=O)[O-] 736355552 QXKYNXMVNODURL-UHFFFAOYSA-N 419.460 4.678 5 20 HJBD O=C(OCc1c(Cl)ccc2cccnc12)c1ccc([N+](=O)[O-])cc1Br 740409259 DKMRTRUQHRDRQZ-UHFFFAOYSA-N 421.634 4.916 5 20 HJBD Cc1cc(C)cc(C2(C(=O)O[C@@H](C)c3nnc(-c4ccc([N+](=O)[O-])cc4)o3)CC2)c1 744819002 RUTIHYZFSROGBU-HNNXBMFYSA-N 407.426 4.598 5 20 HJBD CC(C)[C@@H](Cc1ccccc1)C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 745075095 SAUWCAYGLDDBMH-HNAYVOBHSA-N 409.442 4.764 5 20 HJBD CS(=O)(=O)CCOc1cccc(NC(=O)c2c3ccccc3cc3ccccc23)c1 746518909 JNDCDZDTSKJSME-UHFFFAOYSA-N 419.502 4.669 5 20 HJBD CC(C)CN(C(=O)c1ccc2cccnn12)c1nc(-c2ccccc2[N+](=O)[O-])cs1 753506937 XMTSYWMPSNQTPS-UHFFFAOYSA-N 421.482 4.669 5 20 HJBD Cc1ccc(C)c(Oc2ccc(CNC(=O)c3cc(F)cc(C)c3[N+](=O)[O-])cn2)c1 758417669 YLRDKKGGGAAVBQ-UHFFFAOYSA-N 409.417 4.776 5 20 HJBD C[C@@H](Sc1nc2ccccc2s1)C(=O)NCc1cc([N+](=O)[O-])ccc1Cl 762575362 XHYUJZXHZBDPHM-SNVBAGLBSA-N 407.904 4.655 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCc1ccccc1NC(=O)c1ccco1 765611647 WLPUTQKSLWFFKM-QGZVFWFLSA-N 422.437 4.752 5 20 HJBD Cc1ccc(NC(=O)[C@@H](SC2=N[C@H](C)CS2)c2ccccc2)c([N+](=O)[O-])c1 766293945 OEXYMYYLRZXCEJ-DYVFJYSZSA-N 401.513 4.808 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)OCc2nc3cc(C(F)(F)F)ccc3o2)c1 767266315 ZRSWVHQOARUBDU-UHFFFAOYSA-N 412.345 4.834 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776138461 LAMAMAZLTBWBNC-LEQVUBRHSA-N 417.446 4.946 5 20 HJBD Cc1nc(C(C)(C)C)sc1C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776139537 WMHDIVWDNROGPW-QGZVFWFLSA-N 411.483 4.999 5 20 HJBD C[C@H]1C[C@H](C(=O)Nc2cc(Cl)c([N+](=O)[O-])cc2OCc2ccccc2)CCO1 776291188 JHEMSYCTRXSCHE-DZGCQCFKSA-N 404.850 4.581 5 20 HJBD C[C@@H]1CC[C@H](C(=O)Oc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)cc2)C1 776293592 XFYMYRIFHFTMGT-OLZOCXBDSA-N 402.834 4.842 5 20 HJBD Cc1cnc(COC(=O)c2csc(-c3ccccc3Cl)n2)c(C)c1[N+](=O)[O-] 789431327 JNRJGXNDJXAEEY-UHFFFAOYSA-N 403.847 4.741 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 791599337 DTRMBGSVSMBKJX-UHFFFAOYSA-N 423.381 4.946 5 20 HJBD COc1ccc(OC(=O)c2nc(-c3cccc(C(F)(F)F)c3)oc2C)cc1[N+](=O)[O-] 792114173 VBKWPFSQRRZSJH-UHFFFAOYSA-N 422.315 4.805 5 20 HJBD Cc1cc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c2c(c1)[C@@H](C)CCN2 809553622 BLBCFELJLGNCHC-UONOGXRCSA-N 422.441 4.790 5 20 HJBD O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN(Cc1ccc(-n2cncn2)cc1)C1CC1 810011437 VOJQURAHGJCINH-UHFFFAOYSA-N 418.284 4.647 5 20 HJBD COc1ccc([C@H](O)C2CCN(c3ccc([N+](=O)[O-])c(OC(C)(C)C)c3)CC2)cc1 812481008 HKSSULBIULZWMZ-QFIPXVFZSA-N 414.502 4.731 5 20 HJBD O=C(COc1cccc(Cl)c1[N+](=O)[O-])Nc1ccc(Cl)cc1C(F)(F)F 814773968 IKOGFUMIOMSXDD-UHFFFAOYSA-N 409.147 4.938 5 20 HJBD Cc1ccc(NC(=O)Cc2nc(COc3cccc(Cl)c3[N+](=O)[O-])cs2)cc1 814775795 XKRCPGSYEKKJSD-UHFFFAOYSA-N 417.874 4.773 5 20 HJBD C[C@@H](C(=O)OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 815234894 MLDYSHHNSSPPKP-SECBINFHSA-N 416.283 4.508 5 20 HJBD C[C@H](NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1)c1ccc(C(F)(F)F)nc1Cl 817867309 WOIKZTWVYZQWRJ-QMMMGPOBSA-N 423.725 4.631 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1 842982672 SOQSPGBEORBSSF-UHFFFAOYSA-N 408.370 4.508 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](C)C(=O)Nc1ccc2ccccc2c1 916523093 CLPVPKPIZAGSER-CABCVRRESA-N 424.478 4.799 5 20 HJBD C/C(=C/C(=O)O[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1)C1CC1 917338121 NIUXBZYUVATLDS-DDNJPHGJSA-N 414.845 4.828 5 20 HJBD C[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccc2ccccc2c1 919210589 HOLGHBGJAHRGBB-ZDUSSCGKSA-N 404.378 4.678 5 20 HJBD COc1ccc(C(=O)C2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)cc1 919963202 MSBOXBVZJJCBAK-UHFFFAOYSA-N 408.376 4.722 5 20 HJBD Cc1cc(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)ccc1[N+](=O)[O-] 1117191281 HAXASMDWAXUSMK-LLVKDONJSA-N 404.220 4.856 5 20 HJBD CC(C)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(=O)C1C[C@H]2CCC[C@H](C1)C2 1119574500 DAQLUDABEVFUAY-HOTGVXAUSA-N 412.490 4.598 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c(Br)c1 1325791012 PMEAILQSANTICM-AUUYWEPGSA-N 405.292 4.615 5 20 HJBD CSc1ccc(C(=O)O[C@@H](C)C(=O)Nc2cccc3ccccc23)cc1[N+](=O)[O-] 4797433 YYKDOCQZNYGCAY-ZDUSSCGKSA-N 410.451 4.654 5 20 HJBD CC(C)CCSc1ccc(C(=O)NCCc2nc3ccccc3[nH]2)cc1[N+](=O)[O-] 22314394 VXIUZZKLOGXLPU-UHFFFAOYSA-N 412.515 4.582 5 20 HJBD O=C(CCc1ccc(-c2ccccc2)o1)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 25212507 LXEFXLGBZQWXGE-UHFFFAOYSA-N 419.393 4.581 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)Cc1ccco1 30223512 DJYQNGIXPRBSFV-UHFFFAOYSA-N 419.481 4.633 5 20 HJBD O=C(Nc1ccccc1SCC(F)(F)F)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 32969491 VVSOZLDIVOLHHN-UHFFFAOYSA-N 422.388 4.687 5 20 HJBD COc1ccc([C@@H](NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)C2CC2)cc1 64898309 WENOGEPTUXMVSN-IBGZPJMESA-N 409.467 4.608 5 20 HJBD CCOc1cc(NC(=O)[C@H]2C[C@@H]2c2ccccc2Cl)c([N+](=O)[O-])cc1OCC 97000080 VSNBJHLJPIKVJP-KGLIPLIRSA-N 404.850 4.788 5 20 HJBD O=C(Nc1ccc(Br)c(F)c1)c1ccc(Br)c([N+](=O)[O-])c1 115869906 KVCPNLKFXKZLPE-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD C[C@@H](O)CC(C)(C)CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1 237381859 MWAONDORGDVTPZ-GFCCVEGCSA-N 411.296 4.504 5 20 HJBD O=c1c(Cl)c(N[C@@H]2CCc3c(Cl)cccc32)cnn1-c1ccc([N+](=O)[O-])cc1 301154500 FLEZPTZWHBEJPR-MRXNPFEDSA-N 417.252 4.547 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1ccc(NC(=O)NC2CC2)cc1 301337939 DMUZCGCJHSJOHI-LLVKDONJSA-N 419.279 4.814 5 20 HJBD CC(C)N1CCC(N(C)C(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)CC1 425950621 KQTWEUUZYQHLLG-UHFFFAOYSA-N 413.543 4.691 5 20 HJBD CC(C)(c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1)c1ccccc1[N+](=O)[O-] 426436982 KFSBHWVQXOEZIC-UHFFFAOYSA-N 418.409 4.816 5 20 HJBD O=C(Nc1nc(-c2ccccc2)cs1)c1ccc(Br)cc1[N+](=O)[O-] 429962295 IMABZTHRFUEACV-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD O=[N+]([O-])c1ccccc1-c1ccc(CN(CCc2ccccn2)Cc2cccnc2)o1 430872237 HGBLSQQCJBWOHS-UHFFFAOYSA-N 414.465 4.890 5 20 HJBD Cc1cc(NC(=O)N(Cc2ccc(Cl)cc2)Cc2cnn(C)c2)ccc1[N+](=O)[O-] 432364504 JVLDGUFNTURFDN-UHFFFAOYSA-N 413.865 4.524 5 20 HJBD O=C(NCCc1ccc(Cl)s1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436076450 PZAJJBXADFTDRT-UHFFFAOYSA-N 400.862 4.508 5 20 HJBD C[C@@H](NC(=O)Nc1c(F)cc(Br)cc1F)c1cccc([N+](=O)[O-])c1 438659069 YBDBGVKQLSRTHG-MRVPVSSYSA-N 400.179 4.518 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)c2cccc3c(=O)c4ccccc4[nH]c23)cc1OC 444858998 NLBCPOAUPLZBKL-HNNXBMFYSA-N 416.477 4.580 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC(=Cc2ccc(F)c(F)c2)CC1 444932806 WYJMYHHIAYLUTP-UHFFFAOYSA-N 403.385 4.593 5 20 HJBD COc1ccc(-c2ccc(CN[C@@H]3CCOc4c(F)ccc(F)c43)o2)c([N+](=O)[O-])c1 463037801 ODRJWQLIIDIBLI-QGZVFWFLSA-N 416.380 4.755 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@H](c2cccc(Br)c2)C2CCOCC2)cc1 467394306 OYDYYMOZKQMIPS-IBGZPJMESA-N 405.292 4.615 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cc2c(cc1Br)CCC2 468139463 BECYCEOIELJZIY-UHFFFAOYSA-N 409.667 4.681 5 20 HJBD CN(Cc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(CCC(=O)OC(C)(C)C)c1 471354530 UBSCSIBTQNURFI-UHFFFAOYSA-N 413.474 4.533 5 20 HJBD CCOc1ccccc1Oc1ccc(CNc2ccc([N+](=O)[O-])cc2COC)cn1 474142626 AICKFWTXHKHMTK-UHFFFAOYSA-N 409.442 4.939 5 20 HJBD CCCOc1ccc(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(C)c1 476534013 LZPUOYWCWDAEGN-UHFFFAOYSA-N 418.468 4.848 5 20 HJBD COc1ccc(CNC(=O)c2cc(SC)ccc2[N+](=O)[O-])c(OC2CCCC2)c1 477550037 GVXXFEUZJYNNMT-UHFFFAOYSA-N 416.499 4.577 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1 477982640 ATMRTUCOXPRXFS-NWANDNLSSA-N 423.332 4.660 5 20 HJBD CCOc1cc(NC(=O)C[C@H](C)c2ccccc2OC)c([N+](=O)[O-])cc1OCC 481934606 ZEXBXJWNBAPYCN-AWEZNQCLSA-N 402.447 4.533 5 20 HJBD Cc1ccc(SCCC(=O)N(Cc2ccccc2[N+](=O)[O-])CC(F)(F)F)cc1 486117872 IVSPDMHSZGFSJP-UHFFFAOYSA-N 412.433 4.977 5 20 HJBD O=C(CCNC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)Nc1ccccc1 487775629 GXIOAIHZRZVWAJ-UHFFFAOYSA-N 421.478 4.505 5 20 HJBD COc1cc(CN[C@@H](c2ccc(F)cc2)C(F)(F)F)c([N+](=O)[O-])cc1OC(F)F 488226841 FIPRGCAXWHFLOJ-HNNXBMFYSA-N 424.297 4.737 5 20 HJBD CC(C)c1noc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)cc2)n1 489083057 RKBUTOQJTQALBB-UHFFFAOYSA-N 418.356 4.622 5 20 HJBD Cc1ccc(NC(=O)N2CCC[C@H](c3nc4c(s3)CCCC4)C2)cc1[N+](=O)[O-] 495121176 LYOSTSDVXXLONB-AWEZNQCLSA-N 400.504 4.650 5 20 HJBD CC(=O)Nc1ccc(-c2noc(Cc3cccc([N+](=O)[O-])c3C)n2)cc1C(F)(F)F 499605418 ZJJXMHSTVMNLHW-UHFFFAOYSA-N 420.347 4.521 5 20 HJBD COc1ccc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)c(C(F)(F)F)c1 503113890 DJDBVOSXTHNNTF-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CCc1cnc(CNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)o1 505609037 JWYQPODXEMPEPV-UHFFFAOYSA-N 417.874 4.880 5 20 HJBD O=C(Nc1nc(C2CC2)c(Cc2ccccc2F)s1)c1cc(O)ccc1[N+](=O)[O-] 509157525 ZEKVQPYZMUOPBV-UHFFFAOYSA-N 413.430 4.617 5 20 HJBD Cc1ccc(Sc2ccc(CN3CCC(c4nncn4C)CC3)cc2[N+](=O)[O-])cc1 509360945 YEAWWFYNUWZHBB-UHFFFAOYSA-N 423.542 4.563 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 513169080 ZSZGSHTXAPHOMV-ZFWWWQNUSA-N 410.499 4.705 5 20 HJBD CC1(C)C[C@H](NCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)CCO1 514065952 HVYZZPYDBHJUKY-GFCCVEGCSA-N 409.280 4.665 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C 521248929 HCQZIUGHZVZJIJ-LBPRGKRZSA-N 422.913 4.903 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N3CCCc4ccc([N+](=O)[O-])cc43)c(C)c2c1 522872652 CSNDUTKNGXNTHM-UHFFFAOYSA-N 406.438 4.764 5 20 HJBD COc1cc(CN[C@H](c2ccc(F)cc2)C2CCC2)c([N+](=O)[O-])cc1OC(F)F 532701801 XPJDPBVDWLNVGS-IBGZPJMESA-N 410.392 4.975 5 20 HJBD COc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2C)cc(Br)c1OC 539078896 COEFRIJBRNZGCQ-LBPRGKRZSA-N 409.280 4.534 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@H](c3n[nH]c(C4CCCCC4)n3)C2)c2ncccc12 539765796 PHIBONVCOURPBT-INIZCTEOSA-N 406.490 4.693 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc2cc(Cl)ccc2n1CC 540004548 AIGWMCHDMQBUDA-UHFFFAOYSA-N 404.879 4.982 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(C[S@](C)=O)c1C 540771276 KDGHIILILTVMQI-NDEPHWFRSA-N 420.556 4.926 5 20 HJBD CS(=O)(=O)c1cc([N+](=O)[O-])c(N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)s1 543958927 LKZDGMAAEMFNMI-GFCCVEGCSA-N 421.327 4.708 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(COc3ccc(F)cc3)c2)cc1[N+](=O)[O-] 552262799 QLCXRMPJSYXPBQ-UHFFFAOYSA-N 410.401 4.503 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N1CCC2(CCN(Cc3ccccc3)CC2)C1 554152939 XZKVUMHJPIXKHR-UHFFFAOYSA-N 421.541 4.648 5 20 HJBD O=C(NCC(F)(F)c1ccc(Cl)cc1)c1ccc2[nH]c3ccccc3c(=O)c2c1 557691208 HEDYTUVTWQDOES-UHFFFAOYSA-N 412.823 4.857 5 20 HJBD NC(=O)c1ccnc(NC(c2ccc(Cl)cc2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 574802624 LCQLTQIIXULSQX-UHFFFAOYSA-N 417.252 4.597 5 20 HJBD COc1cc(Cc2noc(-c3sc(-c4ccccc4C)nc3C)n2)ccc1[N+](=O)[O-] 576860088 AMFDHVPGFIBNCC-UHFFFAOYSA-N 422.466 4.985 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCC(F)(c2cccnc2)CC1 585750389 VHBXACSAQWWAJP-UHFFFAOYSA-N 420.444 4.834 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC2(CCOCC2)CC1 586840339 GVIZGMXNXUTXCM-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NCC(=O)N[C@@H](c1ccc(F)cc1)c1cccs1 602385594 JGLAACPXSGPXPH-NRFANRHFSA-N 413.474 4.730 5 20 HJBD CSc1ccc(C(=O)N2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cc1[N+](=O)[O-] 603674674 PVKWQKFLBHYYSY-UHFFFAOYSA-N 411.458 4.867 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Cl)N1Cc2ccccc2[C@H](c2ccccc2)C1 603900629 WHXMADUHKWOBHW-FQEVSTJZSA-N 422.868 4.801 5 20 HJBD O=C(CCOc1ccccc1[N+](=O)[O-])NCCC(c1ccccc1)c1ccccc1 603945886 HPPZJOFGKRBWJY-UHFFFAOYSA-N 404.466 4.702 5 20 HJBD COCCOc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c(C)c1 603976555 HBWYNXAIDUEEBM-UHFFFAOYSA-N 422.437 4.973 5 20 HJBD Cc1nn(Cc2ccccc2)c2sc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)cc12 608872262 LWFHHYMWYUFUGB-UHFFFAOYSA-N 410.430 4.754 5 20 HJBD COc1ccc(NC(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)c(Br)c1 608899912 GBWLDSGXDALYJM-UHFFFAOYSA-N 407.264 4.916 5 20 HJBD O=C(Nc1cc(Br)ccc1Cl)c1ccc(N2CCCC2)c([N+](=O)[O-])c1 608945565 PDALVMCWZZUYEI-UHFFFAOYSA-N 424.682 4.863 5 20 HJBD O=c1cc(CNc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)nc2ccc(Cl)cn12 609765081 JEXYBDUXPVSVSN-UHFFFAOYSA-N 422.828 4.661 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1Br)Nc1cccc(Cl)c1Cl 609962101 VPHSQXAZCOYABN-UHFFFAOYSA-N 420.046 4.682 5 20 HJBD Cc1ccccc1[C@@H]1C[C@@H](C)N(C(=O)c2ccc([N+](=O)[O-])cc2Br)C1 610045985 LMFPIRJVMWCOAL-ZIAGYGMSSA-N 403.276 4.684 5 20 HJBD CC[C@@H](NC(=O)c1cc(SC)c(OC)cc1[N+](=O)[O-])C(C)(C)c1ccccc1 610177324 DKTUZQXTIYNTDA-LJQANCHMSA-N 402.516 4.812 5 20 HJBD COC[C@H](NC(=O)Nc1c(C)ccc([N+](=O)[O-])c1C)c1cccc(C(F)(F)F)c1 610661369 GJIMVNHRJYIYNC-HNNXBMFYSA-N 411.380 4.740 5 20 HJBD Cc1cccc(NC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3C(F)(F)F)CC2)c1C 727584964 CIJWFTJAFPXWJR-UHFFFAOYSA-N 422.407 4.585 5 20 HJBD COc1ccccc1[C@H]1CCCCN1C(=O)c1cc([N+](=O)[O-])ccc1Br 729956176 WKZPONGIZAOOSU-QGZVFWFLSA-N 419.275 4.733 5 20 HJBD C[C@H](N[C@H](C)c1nc2ccccc2s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 734159980 SZLHAAYDAQVWMV-WDEREUQCSA-N 404.879 4.536 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1ccccc1OCc1c(C)noc1C 735791884 YUPAVWREOXVGFF-UHFFFAOYSA-N 416.817 4.960 5 20 HJBD Cc1ccc(OCc2nc(COC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)cs2)cc1 736876880 UOWWMDMSBWEDBC-UHFFFAOYSA-N 418.858 4.949 5 20 HJBD Cc1sc(CCNC(=O)c2cc([N+](=O)[O-])ccc2Cl)nc1-c1ccccc1 747978834 IJZIVWMCGUFWLX-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CSCC(=O)Nc1cc(Cl)ccc1Cl 750950551 CLOOCIVXTINRRY-UHFFFAOYSA-N 401.271 4.782 5 20 HJBD O=C(COc1ccc(-c2ccccc2)cc1)OCc1nc2cc([N+](=O)[O-])ccc2o1 751622832 GLELNIBAUPOSJS-UHFFFAOYSA-N 404.378 4.525 5 20 HJBD CC(C)(C)OC(=O)Nc1cccc(OC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)c1 759383183 GDXKTNOSBZZKGI-UHFFFAOYSA-N 411.414 4.571 5 20 HJBD O=[N+]([O-])c1ccccc1Cc1noc([C@@H]2CC(c3ccc(Cl)cc3Cl)=NO2)n1 761343559 ILANQMGJIDOCPQ-INIZCTEOSA-N 419.224 4.741 5 20 HJBD C[C@H](c1nc(-c2ccnc(OCc3ccccc3)c2)no1)c1ccc([N+](=O)[O-])cc1F 761690743 DXCNPWWRIBVHDE-AWEZNQCLSA-N 420.400 4.910 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1-c1noc(-c2csc(-c3ccc([N+](=O)[O-])cc3)n2)n1 762073164 XSZRQZVRJAPWQN-UHFFFAOYSA-N 409.383 4.652 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)c3ccn(-c4ccccc4[N+](=O)[O-])n3)cc2)nc1 763579417 LUWVDJOECBNFFP-UHFFFAOYSA-N 415.409 4.529 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@@H](c1ccncc1)c1cccc(OC)c1 765110800 NUADYMRXDWMKEN-JPYJTQIMSA-N 420.465 4.900 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)cc1 765783114 PDMQHFYERWPIJO-UHFFFAOYSA-N 408.414 4.742 5 20 HJBD C[C@H](OC(=O)c1cccc2ccsc12)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 767627834 FIIYRHWUZORWFH-JTQLQIEISA-N 404.831 4.647 5 20 HJBD O=C(NCC1(c2cc(F)cc(C(F)(F)F)c2)CC1)c1cc(Cl)ccc1[N+](=O)[O-] 785638043 TUDJLVIFBZHHRV-UHFFFAOYSA-N 416.758 4.868 5 20 HJBD Cc1[nH]nc(NC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2Cl)c1Cl 790987756 VTCCIUVSFHKWLY-UHFFFAOYSA-N 420.256 4.798 5 20 HJBD Cc1cc(NC(=O)c2cc([N+](=O)[O-])c(N(C)C)cc2F)ccc1Oc1cccnc1 791332166 AAVXYIWBOJJLOV-UHFFFAOYSA-N 410.405 4.548 5 20 HJBD COCCCC[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1 791906496 PKMVMHMSIQOTME-INIZCTEOSA-N 421.291 4.645 5 20 HJBD Cc1cc(OCC(=O)Nc2ccc(N3CCc4sccc4C3)cc2)ccc1[N+](=O)[O-] 797441843 FZUVBRZSQHSHAG-UHFFFAOYSA-N 423.494 4.545 5 20 HJBD C[C@H](C[C@@H](O)c1ccco1)NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 799689522 MUSKZFNUHBMDKA-RHSMWYFYSA-N 412.467 4.581 5 20 HJBD Cc1ccc(OCc2nc(CC(=O)Nc3c(F)ccc([N+](=O)[O-])c3C)cs2)cc1 800145312 URZIQDAEJYMBOE-UHFFFAOYSA-N 415.446 4.567 5 20 HJBD CCO[C@@H](c1ccccc1)c1noc(-c2cc([N+](=O)[O-])ccc2N2CCCCC2)n1 809489183 ZOEFZKXVEKFDQW-FQEVSTJZSA-N 408.458 4.761 5 20 HJBD CN(C[C@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916781 SPKKPTOBZJUETN-DNVCBOLYSA-N 403.866 4.880 5 20 HJBD Cc1cnc(N[C@H](CCCNC(=O)OC(C)(C)C)c2ccccc2)c([N+](=O)[O-])c1 811869642 UFQPHCJNQGJMAD-QGZVFWFLSA-N 400.479 4.756 5 20 HJBD CC(C)c1ccc(-n2ccnc2SCc2nc(CCC(C)(C)[N+](=O)[O-])no2)cc1 812813994 SBZYAPLMOVKDOO-UHFFFAOYSA-N 415.519 4.659 5 20 HJBD CCOc1cc2c(cc1[C@@H]1C[C@H]1C(=O)Nc1cccc([N+](=O)[O-])c1Cl)O[C@@H](C)C2 813246349 IZZQSXWYYTXJDM-CORIIIEPSA-N 416.861 4.713 5 20 HJBD Cc1cc(NC(=O)c2cc3cccc(C)c3nc2Cl)n(-c2ccc([N+](=O)[O-])cc2)n1 813395034 PASMGVJOPVPUNE-UHFFFAOYSA-N 421.844 4.851 5 20 HJBD COc1ccc(C)cc1-c1csc(NC(=O)c2cccc([N+](=O)[O-])c2Cl)n1 816832726 KVINXGAGRLNTKV-UHFFFAOYSA-N 403.847 4.941 5 20 HJBD CC(C)Sc1ccccc1C(=O)O[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 844167118 QCKJNWVXBLRXBO-LBPRGKRZSA-N 422.890 4.933 5 20 HJBD COc1cc(C(=O)N(Cc2cccs2)c2ccc(C)cc2)c([N+](=O)[O-])cc1OC 914524993 VFIXHHUYTNHXQD-UHFFFAOYSA-N 412.467 4.829 5 20 HJBD CC(C)[C@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2o1)C(=O)Nc1ccccc1Cl 919210941 JTJZWRPHGXYQCY-SFHVURJKSA-N 416.817 4.815 5 20 HJBD O=C(NCc1ccc(-n2ccnc2)cc1)c1cc([N+](=O)[O-])ccc1Oc1ccccc1 921153366 MBDAUJGERVYLAL-UHFFFAOYSA-N 414.421 4.503 5 20 HJBD Cc1nccn1-c1ccc(CNCc2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)cn1 1318346336 GWPUVNSICOTFLX-UHFFFAOYSA-N 423.860 4.687 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Br)c1ccc(OC(F)F)cc1 1320409508 UNLTWXINHKCQCP-SNVBAGLBSA-N 401.207 4.810 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCO[C@H](c2ccc(Cl)s2)C1 1323178516 WWACJIXYRGWNSH-ZDUSSCGKSA-N 412.920 4.635 5 20 HJBD COc1cccc(Oc2ccc(NC(=O)N3Cc4ccc([N+](=O)[O-])cc4C3)cc2)c1 1329852214 FTWVZUNUORDPSI-UHFFFAOYSA-N 405.410 4.943 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nnc([C@@H](C)Oc3cccc(Br)c3)o2)c1 1345741460 XONFYXCUFOIQIS-LLVKDONJSA-N 404.220 4.856 5 20 HJBD CCC(=O)NC1(c2ccc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4s3)cc2)CCC1 1791011172 MRJGAFUGMKETLN-UHFFFAOYSA-N 423.494 4.967 5 20 HJBD COc1cc(Nc2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])cc(OC)c1OC 8146892 OVNCHHULFSNYIO-UHFFFAOYSA-N 408.410 4.595 5 20 HJBD O=C(COC(=O)CSc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2ccccc2)cc1 9352115 XCGSSJXLXVKRES-UHFFFAOYSA-N 422.462 4.536 5 20 HJBD O=C(CCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-])Nc1cccc2ncccc12 16059011 ILWQBCUSJXVWTQ-UHFFFAOYSA-N 404.348 4.603 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)COc1ccc2cc(Br)ccc2c1 23514947 NCEHIWFNEJRSDZ-UHFFFAOYSA-N 415.243 4.836 5 20 HJBD C[C@@H](NCC1(c2ccccc2)CCCC1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 25898008 BYAATLOFPOMSDS-OAHLLOKOSA-N 401.894 4.677 5 20 HJBD C[C@H](Nc1ccc(Cl)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 56173929 ZVHCJLNOCUCWPZ-QMMMGPOBSA-N 405.735 4.845 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)NC1(c2cccc(Br)c2)CCC1 59919741 OHQPCYYJMGFXKN-UHFFFAOYSA-N 421.316 4.645 5 20 HJBD CC(C)CCOc1ccccc1CNCc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 236776620 NAKWYWJGYQXZCS-UHFFFAOYSA-N 408.502 4.710 5 20 HJBD Cc1ccc(C)c(OCc2nnc(Sc3ccc([N+](=O)[O-])c4cccnc34)o2)c1 301077634 MJHWRLADSYNZGN-UHFFFAOYSA-N 408.439 4.873 5 20 HJBD Cc1ccc(NC(=O)c2ccc3c(c2)C[C@@H](c2ccccc2)OC3=O)cc1[N+](=O)[O-] 302109829 SHCCTNWQNNEZRW-NRFANRHFSA-N 402.406 4.610 5 20 HJBD O=C(CCN1CCc2sccc2[C@@H]1c1cccs1)Nc1ccccc1[N+](=O)[O-] 409867747 RURNDVAGWGYNCC-HXUWFJFHSA-N 413.524 4.694 5 20 HJBD Cc1cccc(C)c1NC(=O)CCCSCCC(=O)Nc1ccccc1[N+](=O)[O-] 409879755 YOUKVCSJOAQLFY-UHFFFAOYSA-N 415.515 4.692 5 20 HJBD CCc1nn(C)cc1NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1 410162294 HUKZLNXQDBBIKU-UHFFFAOYSA-N 416.890 4.948 5 20 HJBD COc1ccc(CNC[C@H]2CCC(F)(F)C2)cc1OCc1ccc([N+](=O)[O-])cc1 430038713 KTMPOPDILYRMGX-KRWDZBQOSA-N 406.429 4.707 5 20 HJBD O=C(Nc1ccc(F)cc1Br)c1ccc(Br)cc1[N+](=O)[O-] 430060845 VUJZNRCQFYHTPD-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD CCOC(=O)c1ccc2c(c1)N(C(=O)c1cc3ccccc3c3cccnc13)CCO2 433889675 FDUNNUIFFHWEQJ-UHFFFAOYSA-N 412.445 4.604 5 20 HJBD COc1cc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)c([N+](=O)[O-])cc1OC 435792389 VOWGZAPLCTXHQS-SAZUREKKSA-N 410.392 4.667 5 20 HJBD O=C(Nc1nnc(CC2CCCCC2)s1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436337078 WDLWEZXPJDJWPL-UHFFFAOYSA-N 418.522 4.908 5 20 HJBD CCc1nc(C)c([C@H](C)N(C)C(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)s1 437819094 XNOPQXVINQOVHS-JTQLQIEISA-N 414.434 4.748 5 20 HJBD C[C@@H](NC(=O)N(Cc1ccccc1[N+](=O)[O-])C1CC1)c1nc(C(C)(C)C)cs1 437997865 MIPPFYPJWLHEMV-CYBMUJFWSA-N 402.520 4.784 5 20 HJBD CC(C)C[C@H](CCO)CNC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442632943 BBCRKNKGQYJKJV-INIZCTEOSA-N 402.516 4.521 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CC=C(c2c[nH]c3cc(C)ccc23)CC1 444925483 SKUMFYHNHPKZBC-UHFFFAOYSA-N 406.442 4.714 5 20 HJBD CC(C)(CCO)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 448255640 JUZJSZMDEHRMMA-UHFFFAOYSA-N 408.907 4.538 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 462988886 KJXGPCKXGWSLCZ-APWZRJJASA-N 415.465 4.532 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)N1CCC[C@H](c2nc3c(s2)CCCC3)C1 463956250 VRAOIPNDCASESJ-HNNXBMFYSA-N 414.531 4.958 5 20 HJBD COc1cc(CNCc2cccc3cc[nH]c23)ccc1OCc1ccc([N+](=O)[O-])cc1 466896632 DBODWBUVGOHHRY-UHFFFAOYSA-N 417.465 4.954 5 20 HJBD CCC[C@H](CCO)CNC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467915877 KUZDMNDZQRTRMQ-CQSZACIVSA-N 422.934 4.928 5 20 HJBD O=C(Cc1csc(Cc2cccc(Cl)c2)n1)Nc1cc(F)cc([N+](=O)[O-])c1 470696318 GTMQKMZLLQNRCU-UHFFFAOYSA-N 405.838 4.616 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(NC(=O)Nc2ccccc2)cc1 470733951 VHZPHGUJOKFUHQ-UHFFFAOYSA-N 420.425 4.890 5 20 HJBD C[C@H](Oc1ccc2ccccc2c1)C(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 470974578 IYBDTDJRNVPOBX-KRWDZBQOSA-N 420.465 4.585 5 20 HJBD CCCCOc1ccc(C(=O)Nc2cc3c(cc2[N+](=O)[O-])OCCCO3)c(Cl)c1 472529103 RPZWQVXCZBTOKD-UHFFFAOYSA-N 420.849 4.841 5 20 HJBD CC(C)c1ccc(C(=O)N(C)[C@H]2CCN(Cc3ccccc3)[C@H](C)C2)cc1[N+](=O)[O-] 473827502 IENDUGKYPGDQRN-NQIIRXRSSA-N 409.530 4.843 5 20 HJBD O=C(COc1ccccc1[N+](=O)[O-])Nc1ccccc1-c1cc(F)c(F)c(F)c1 474737437 CZNWEKOCVIWGJE-UHFFFAOYSA-N 402.328 4.697 5 20 HJBD O=[N+]([O-])c1ccc(CSc2nnc(-c3ccc(F)cc3)n2Cc2ccco2)cc1 479687662 HTXRWIXZWMJQFQ-UHFFFAOYSA-N 410.430 4.926 5 20 HJBD O=[N+]([O-])c1cnc2ccc(Br)cc2c1N1CC[C@@H](Nc2ccccc2)C1 480508494 FXQGZLOYGMHOFF-OAHLLOKOSA-N 413.275 4.596 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(F)cc2C(F)(F)F)cc1SC 484350144 LXRPZLSYDCQAEN-UHFFFAOYSA-N 404.341 4.736 5 20 HJBD Cc1cc(C)c(-c2nnc(SCC(=O)Nc3cc([N+](=O)[O-])ccc3C)o2)c(C)c1 488781278 ILHGMBBZYHISNK-UHFFFAOYSA-N 412.471 4.609 5 20 HJBD COc1ccc([C@H]2CCCN2C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c(OC)c1 493081536 RBZWSTQBNJWQOC-HNAYVOBHSA-N 413.474 4.528 5 20 HJBD Cc1cc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)ccc1Oc1cccnc1 498162717 ORGPVDUDZFHRDP-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD O=C(Nc1cc(Br)ccc1Cl)c1cc([N+](=O)[O-])ccc1OC(F)F 498185598 ZJXJXMNMOQRSIT-UHFFFAOYSA-N 421.581 4.864 5 20 HJBD CCOc1cc(NC(=O)N2CC[C@H](c3ccccc3C)C2)c([N+](=O)[O-])cc1OCC 508240594 GXVZEBVBIJEACJ-INIZCTEOSA-N 413.474 4.722 5 20 HJBD CC(C)(NC(=O)N[C@@H](CC(F)(F)F)c1ccc(F)cc1)c1ccc([N+](=O)[O-])cc1 511544209 AZHIQJDFWMONOO-INIZCTEOSA-N 413.371 4.962 5 20 HJBD C[C@H]1CCCCN1C[C@H]1CCCN(Cc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 512181752 QFZNLECHBPBWAW-ROUUACIJSA-N 414.575 4.805 5 20 HJBD C[C@@H]1C[C@@H](C)CN(c2ccc(C(=O)N(C)[C@H](C)c3cccs3)cc2[N+](=O)[O-])C1 512650767 GWZUNGSNVGNHMC-BZUAXINKSA-N 401.532 4.972 5 20 HJBD COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](c1ccc(Cl)cc1)c1ccsc1 512749412 OFAIDQYHUCEFSS-GOSISDBHSA-N 402.859 4.838 5 20 HJBD O=C(Nc1cc(Br)ccc1Br)c1cc(F)cc([N+](=O)[O-])c1 518285537 ULKDIVRUHKALLT-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](c1ccccc1)c1ccccc1OC 518677491 QUSBZVFKICAESI-NRFANRHFSA-N 407.426 4.523 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC3CCN(CCc4ccccc4)CC3)o2)c1 518766324 VWTLRWAVWJJWDL-UHFFFAOYSA-N 405.498 4.652 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)ccc1NC1CC1)c1cccc([N+](=O)[O-])c1 521528297 GHUZMDZQLYOZHV-NSHDSACASA-N 404.264 4.674 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1)c1ccc(Oc2cccc(Cl)c2Cl)nn1 525156344 UCQUUTPLBLQLLN-UHFFFAOYSA-N 405.197 4.736 5 20 HJBD Cc1cc(C)n(-c2ccccc2CNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 531864191 PFROEUPOKNAGRG-UHFFFAOYSA-N 419.510 4.811 5 20 HJBD CCOc1cc(NC(=O)N2CCc3ccc(Cl)cc3C2)c([N+](=O)[O-])cc1OCC 534160171 VQGPXUQUGPMECW-UHFFFAOYSA-N 419.865 4.636 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)SCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1 535509202 ZPMSOEZKTSQVLA-CYBMUJFWSA-N 413.455 4.519 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCCc1nc(C(C)(C)C)cs1 537091160 PYNVTDJZXJGFIK-UHFFFAOYSA-N 407.561 4.822 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@@H](c1ccc(C(F)(F)F)cc1)C(C)C 537124151 CBPDRQQAVHQYBN-GOSISDBHSA-N 409.408 4.847 5 20 HJBD COc1cc(CNC2(c3ccccc3)CC2)ccc1OCc1ccc([N+](=O)[O-])cc1 537301848 MRFSBAXTLSTQFB-UHFFFAOYSA-N 404.466 4.961 5 20 HJBD O=[N+]([O-])c1cc(CN2CCC[C@H]2C2CCOCC2)ccc1Oc1ccc(F)cc1 540769077 KKXJISJEFGPDNP-FQEVSTJZSA-N 400.450 4.917 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ncn(C2CCCCC2)n1 542581860 LQRLATDQQMDFOE-UHFFFAOYSA-N 403.508 4.692 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 543349466 NMWULXDFHRTEKP-CYBMUJFWSA-N 407.430 4.713 5 20 HJBD Cc1c(Br)cc(-c2nc(CSCc3ccco3)no2)cc1[N+](=O)[O-] 544913423 DMOSNZIZVPBYRJ-UHFFFAOYSA-N 410.249 4.742 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Cl)cc1OC(F)F 545820140 ZMBULTXALCSPRT-QMMMGPOBSA-N 421.183 4.909 5 20 HJBD C[C@@H](C(=O)Nc1cnn(-c2ccccc2)c1C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 546537232 NWIXBVUZDWIUSY-LLVKDONJSA-N 422.338 4.681 5 20 HJBD Cc1ccc(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cc1[N+](=O)[O-] 546986509 GZOIKPRLHKHZKL-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD Cc1nnc(SCc2nc(-c3ccc([N+](=O)[O-])cc3)no2)n1[C@@H]1CCC[C@H](C)C1 550824083 DBQFXKOTKUBUNC-BLLLJJGKSA-N 414.491 4.588 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2ccccn2)CC1 552128263 AEJVDSCTGZVESF-UHFFFAOYSA-N 417.506 4.839 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNC3(c4ccc(Cl)c(Cl)c4)CC3)n2)c1 552923531 KTHHVDIPEHQVEQ-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD COCCC[C@@H](CNc1cc2c(cc1[N+](=O)[O-])CCC(=O)N2)c1ccc(Cl)cc1 553605120 OXLREUGJVGLRCT-INIZCTEOSA-N 417.893 4.755 5 20 HJBD O=C(NCCc1nc2ccccc2o1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 556690203 LYZDZWFYEZBZNP-UHFFFAOYSA-N 419.462 4.860 5 20 HJBD CC(=O)N(c1ccc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1)[C@H]1C[C@@H]1C 561653972 BHCLHKCDKKFAMG-GTNSWQLSSA-N 421.375 4.627 5 20 HJBD CSc1cccc(-c2nc(Cc3nc4ccccc4n3C(F)F)no2)c1[N+](=O)[O-] 576383368 JWPQDQNZUWQXIM-UHFFFAOYSA-N 417.397 4.702 5 20 HJBD O=[N+]([O-])c1ccc(-c2nn(-c3ccccc3)cc2CNC[C@@H]2CCCSC2)cc1 580003018 CFMQFAXTWOHQBY-KRWDZBQOSA-N 408.527 4.680 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2ccc(Oc3cccnc3)cc2)c1 581031549 HWXJGWIUEHHDEC-CQSZACIVSA-N 409.467 4.995 5 20 HJBD Cn1ccnc1[C@@H](NCC1(c2ccc(F)cc2)CCCC1)c1ccc([N+](=O)[O-])cc1 583076311 ZNITUQYHGKCOFB-NRFANRHFSA-N 408.477 4.658 5 20 HJBD O=[N+]([O-])c1ccn([C@@H]2CCCC[C@@H]2Nc2ccc(-c3cn4c(n3)CCCC4)cc2)n1 583420994 RXNLOSIWEPQDDC-AZUAARDMSA-N 406.490 4.587 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)N1CCC[C@H](CO)CC1 590531563 GDINXBSCZASTHG-AWEZNQCLSA-N 420.918 4.634 5 20 HJBD O=C(Nc1ccc(Cl)cc1Cl)[C@H]1CCCCN1C(=O)c1cccc([N+](=O)[O-])c1 603620504 ZCGBFHBRVPZEBA-QGZVFWFLSA-N 422.268 4.535 5 20 HJBD COc1cccc(-c2nc(CNc3cc([N+](=O)[O-])ccc3OC(F)F)cs2)c1 604006113 DBLRUZBZMAHKPV-UHFFFAOYSA-N 407.398 4.940 5 20 HJBD Cn1nccc1NC(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 604541267 NPMSIFXQXYOLSC-NTCAYCPXSA-N 419.466 4.507 5 20 HJBD Cc1ccc(CN(C)C(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 609063763 UGSWSNYKHALLKK-OAHLLOKOSA-N 410.499 4.805 5 20 HJBD Cn1c(C(=O)Nc2ccc(OCc3cccc(C(F)(F)F)c3)cc2)ccc1[N+](=O)[O-] 609066050 BWLKPGQZTDNHBV-UHFFFAOYSA-N 419.359 4.783 5 20 HJBD Cc1c[nH]cc1C(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609796830 MKZQTYAJFWRXOG-UHFFFAOYSA-N 403.797 4.746 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609881079 VFDQRKMZDYZRDG-LJQANCHMSA-N 410.861 4.924 5 20 HJBD Cc1ccc(NS(=O)(=O)c2ccc(N[C@H]3CC=CCC3)c([N+](=O)[O-])c2)c(C)c1 609894170 KYINBTNDESYCQN-INIZCTEOSA-N 401.488 4.533 5 20 HJBD Cc1cc(=NC(=O)Cc2sc(C)nc2-c2cccs2)c2cc([N+](=O)[O-])ccc2[nH]1 613198082 BLJYJXOLTLRCKK-UHFFFAOYSA-N 424.507 4.548 5 20 HJBD CCOc1cc(CN2C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)ccc1[N+](=O)[O-] 617196103 SKDALNKBCNKOCK-TXEJJXNPSA-N 400.319 4.556 5 20 HJBD CCCN([C@@H](C)c1cc2ccccc2o1)S(=O)(=O)c1cccc([N+](=O)[O-])c1OC 619478488 PXZDIYYBQZFWQC-AWEZNQCLSA-N 418.471 4.512 5 20 HJBD CC(C)(C)c1csc(C2CCN(c3cc4c(cc3[N+](=O)[O-])CCC(=O)N4)CC2)n1 725789248 CGQFVYNTVDPSOH-UHFFFAOYSA-N 414.531 4.618 5 20 HJBD Cc1cccc(C2(C(=O)OCc3nc(-c4ccc([N+](=O)[O-])cc4)no3)CCCC2)c1 726825661 FCXZQGUIKFNFOI-UHFFFAOYSA-N 407.426 4.508 5 20 HJBD CC[C@@H](CC(=O)O[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 729017519 PRBVFUQTCCPRSH-KGLIPLIRSA-N 404.850 4.702 5 20 HJBD C[C@@H](N[C@@H](c1ccc(F)cc1)C1CCC1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 729474124 FHIFUUQDYGOWAA-CWTRNNRKSA-N 405.857 4.845 5 20 HJBD O=C(COC(=O)[C@@H]1C[C@@H]1c1cccc2ccccc12)Nc1cc([N+](=O)[O-])ccc1Cl 730876548 PVOGDORHHZNROW-QZTJIDSGSA-N 424.840 4.687 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)C[C@@H](C)c1ccc(C(F)(F)F)cc1 733522204 IDHGLFRWPJEICA-GFCCVEGCSA-N 424.375 4.598 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1Cl)c1csc(Cc2ccccc2F)n1 735441941 AUVCQWPVRMLDAS-UHFFFAOYSA-N 406.822 4.792 5 20 HJBD CC(C)=CCOc1cccc(C(=O)O[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c1 745345277 GCHPZNPQCHBRDQ-HNNXBMFYSA-N 423.425 4.908 5 20 HJBD O=C(CCn1cc([N+](=O)[O-])cn1)O[C@@H](c1ccccc1)c1cccc(Cl)c1Cl 745963900 FDEBXWLCNWJKAL-IBGZPJMESA-N 420.252 4.821 5 20 HJBD C[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1cccc(-n2cccn2)c1 745973341 AHMXYMLMHXJMLE-NSHDSACASA-N 421.335 4.881 5 20 HJBD O=C(Nc1ccc(N2CCSCC2)c(Cl)c1)c1cc2cc([N+](=O)[O-])ccc2o1 748660542 WCRKHZWDKRISKC-UHFFFAOYSA-N 417.874 4.800 5 20 HJBD Cc1cccc2c1[C@@H](C)C[C@@H]2CC(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 753557207 MUEUNNBVEKCTTG-GOEBONIOSA-N 407.426 4.678 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCCCC[C@@H]1C[C@@H](O)c1ccccc1 764391728 KTNGPEIWPBUUPW-TZIWHRDSSA-N 414.527 4.582 5 20 HJBD O=C(N[C@H](Cc1ccc(Cl)cc1)c1ccccn1)c1cc(F)c([N+](=O)[O-])cc1F 767991085 NMOAXEVSBULIKT-GOSISDBHSA-N 417.799 4.635 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1CN1CCC(C(F)(F)F)(C(F)(F)F)C1 769464325 VHHHAXURHFUUCH-UHFFFAOYSA-N 421.135 4.674 5 20 HJBD CN(C[C@H]1CCCO[C@H]1c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 769997742 LMWZIURUCPDIRP-BEFAXECRSA-N 403.866 4.880 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@H]3C[C@@H](O)c3ccco3)o2)c([N+](=O)[O-])c1 770327366 SPQMGKYNNRENPZ-MGPUTAFESA-N 412.442 4.545 5 20 HJBD C[C@H](CC(=O)O[C@@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-])c1ccncc1 774368403 KRJQQLNRNJSKQT-ACJLOTCBSA-N 421.291 4.678 5 20 HJBD O=C(O[C@H]1COc2cc(Cl)ccc21)c1csc(-c2cccc([N+](=O)[O-])c2)n1 774540664 XMKHASVIXDWSCF-INIZCTEOSA-N 402.815 4.662 5 20 HJBD C[C@H](N[C@H](c1ccccc1)c1ccc2c(c1)CCCO2)c1ccc(N)c([N+](=O)[O-])c1 788640406 RZDSMLRUQOMFBB-UPCLLVRISA-N 403.482 4.942 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)OC(=O)Cc1sc(SC(F)F)nc1C 795942101 AXJHCUIJUQBWHX-SNVBAGLBSA-N 402.444 4.830 5 20 HJBD COc1cc([N+](=O)[O-])ccc1COC(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 797472299 ZVEFVQRIVQIPPP-UHFFFAOYSA-N 416.817 4.588 5 20 HJBD C[C@]12CCN(c3c([N+](=O)[O-])cc(C(F)(F)F)cc3[N+](=O)[O-])C[C@H]1C2(Cl)Cl 804705410 LWBVKZNBEKNHED-PWSUYJOCSA-N 414.167 4.542 5 20 HJBD C[C@H](O)c1cc(NC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)ccc1F 804794477 HPKGDTSKHXOICX-KGLIPLIRSA-N 424.432 4.608 5 20 HJBD O=[N+]([O-])c1ccc(N2CCCCC2)c(-c2nc(-c3cccc4cnccc34)no2)c1 809391890 ABGKGXQUPLMAAT-UHFFFAOYSA-N 401.426 4.850 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)c1nc2ccc(Cl)cc2[nH]1 815569892 KYIILXDLMCFICR-QMMMGPOBSA-N 412.755 4.634 5 20 HJBD COc1cc(-c2nc(-c3cccnc3Oc3ccccc3)no2)c([N+](=O)[O-])cc1OC 904453500 KTEUHIFBADPNHH-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD Cc1onc(-c2ccc(F)cc2)c1C(=O)N(C)Cc1cc([N+](=O)[O-])ccc1Cl 914753313 RYZUMBLXNTZBBE-UHFFFAOYSA-N 403.797 4.623 5 20 HJBD C[C@H](N[C@H](C)c1cccc(C(F)(F)F)c1)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 916148822 AXBQJLOVOSAYPT-MNOVXSKESA-N 415.799 4.945 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)Oc1cc(Cl)ccc1[N+](=O)[O-] 921137145 ZHSPEVSQHLNELF-UHFFFAOYSA-N 424.844 4.599 5 20 HJBD O=C(Cc1csc(-c2c(Cl)cccc2Cl)n1)NCc1cccc([N+](=O)[O-])c1 1116061266 VNRKYASJCMWITP-UHFFFAOYSA-N 422.293 4.884 5 20 HJBD O=C(N1Cc2ccc([N+](=O)[O-])cc2C1)C1(c2cccc(Br)c2)CCCC1 1116143858 LZOODHUEVQOQIH-UHFFFAOYSA-N 415.287 4.712 5 20 HJBD O=C(N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F)C1(Sc2ccccc2)CC1 1319445092 RSGFMTJKVYHQGD-UHFFFAOYSA-N 410.417 4.811 5 20 HJBD Cc1nc([C@H](C)NC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccc(Cl)cc2)no1 1324567513 YWRCXAHZDRNHGZ-JTQLQIEISA-N 418.862 4.582 5 20 HJBD O=C(NC1C[C@@H]2CCC[C@H](C1)N2Cc1ccccc1)c1cc([N+](=O)[O-])ccc1Cl 1326461377 ZGMKDOGJUOYLBB-AYHJJNSGSA-N 413.905 4.564 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3nc(-c4ccccc4)n4c3CCCCC4)o2)c1 1341455743 KCLHNMGAHNCNLF-UHFFFAOYSA-N 401.426 4.902 5 20 HJBD C[C@H](Oc1cccc(Cl)c1)c1nnc(-c2ccn(-c3cccc([N+](=O)[O-])c3)n2)o1 1341744973 XMZLLUUHTMZFOW-LBPRGKRZSA-N 411.805 4.624 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cc([N+](=O)[O-])ccc1Cl 5853011 FYVMFRPKEMPVQN-CHWSQXEVSA-N 404.850 4.946 5 20 HJBD CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)OC(=O)c1ccc(Cl)cc1[N+](=O)[O-] 21120978 TUQDTOPXNQNCPJ-OLZOCXBDSA-N 404.850 4.946 5 20 HJBD C[C@@H](SCc1ccc(Br)cc1)C(=O)Nc1ccc(F)c([N+](=O)[O-])c1 23143256 NXGUGZRKLPNCRA-SNVBAGLBSA-N 413.268 4.757 5 20 HJBD COc1cc([C@H]2Nc3ccccc3C(=O)N2c2ccc(F)cc2)c([N+](=O)[O-])cc1OC 24079861 GRGYJEDQWXAQBV-NRFANRHFSA-N 423.400 4.522 5 20 HJBD Cc1occc1C(=O)Nc1cc(NC(=O)c2ccc([N+](=O)[O-])cc2Cl)ccc1F 46840434 OIVRCDLRIXRCGB-UHFFFAOYSA-N 417.780 4.793 5 20 HJBD CC(=O)N(c1ccc(C)cc1)c1nc(COc2ccc([N+](=O)[O-])cc2F)cs1 54917071 BJDAXSWSIOKPAD-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)N(Cc2ccccc2)Cc2ccco2)cc1[N+](=O)[O-] 60950181 IUFZQKRKXDOZPY-UHFFFAOYSA-N 420.874 4.541 5 20 HJBD Cc1cc(C(=O)N2CCC[C@@H](C)C2)ccc1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 66036002 GKQSBLFFIZBPLI-CYBMUJFWSA-N 415.877 4.681 5 20 HJBD Cc1ccc(CCNCc2ccc(-c3ccc([N+](=O)[O-])cc3Br)o2)cn1 237716736 KYAFQJJZWHELOL-UHFFFAOYSA-N 416.275 4.653 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3ccc(NC(N)=O)cc3)cc2[N+](=O)[O-])cc1 237873211 NDFHSLKMFJANRM-UHFFFAOYSA-N 422.510 4.835 5 20 HJBD CCCCc1ccc(NC(=O)Cc2noc(C(C)(C)c3ccccc3[N+](=O)[O-])n2)cc1 426441545 GMZYZXPIQQVAPA-UHFFFAOYSA-N 422.485 4.828 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H]2c3cccc(F)c3CC[C@H]2C)cc1SC 430667584 FZLLKQZWPVXCTH-NSPYISDASA-N 404.463 4.518 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C[C@H](C)C(=O)O)cc1 431991051 BYTLKUFSFYIVPQ-ZDUSSCGKSA-N 402.472 4.611 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] 433770111 BJIVHMSRDHLERE-SNVBAGLBSA-N 417.466 4.503 5 20 HJBD Cc1cc(F)c(C(=O)CSc2nc3cc4ccccc4cc3c(=O)n2C)cc1F 440838208 OFJRJYDZLGDHLS-UHFFFAOYSA-N 410.445 4.648 5 20 HJBD COCCC1(CNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CCC1 441068309 RKZYFWUQEONNCK-UHFFFAOYSA-N 400.500 4.683 5 20 HJBD CCCCO[C@H]1C[C@H](N(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)C1(C)C 444103473 BKUKJVCXSILUAO-VXKWHMMOSA-N 406.526 4.737 5 20 HJBD CCOc1cc(NC(=O)N(C)Cc2ccc(F)c(C)c2)c([N+](=O)[O-])cc1OCC 444832046 CNUWMWQDUSVLAX-UHFFFAOYSA-N 405.426 4.504 5 20 HJBD O=C(Nc1ccc(COCc2ccccc2)cc1)N1CCc2ccc([N+](=O)[O-])cc2C1 447062165 XOJRVMDRCLJGSK-UHFFFAOYSA-N 417.465 4.902 5 20 HJBD O=C(NC[C@H](Cc1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 462231795 GAWDKUVSLMWWDU-KRWDZBQOSA-N 421.419 4.690 5 20 HJBD Cc1ccc(-n2c(S[C@@H](C)c3ccccc3[N+](=O)[O-])nnc2N2CCCC2)cc1 463253334 DELPISGYOTUAPK-INIZCTEOSA-N 409.515 4.937 5 20 HJBD O=C(NCc1csc(-c2ccccc2)n1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463365921 GAOXADGELZJULE-UHFFFAOYSA-N 407.373 4.667 5 20 HJBD CC(C)(C)[C@@H](NC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1)c1ccccc1 465548237 RFXVSNRUXQJLIQ-NRFANRHFSA-N 411.502 4.935 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)COc2ccccc2[N+](=O)[O-])c1 466632516 JDDFWMPWXMSENX-UHFFFAOYSA-N 411.845 4.564 5 20 HJBD O=[N+]([O-])c1cccc(CN(Cc2cccc(Br)c2)C2CCOCC2)c1 467291461 NOLMNPHMJTWLIW-UHFFFAOYSA-N 405.292 4.539 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(OCc2ccncc2)cc1 467368282 FUHPWUMQYRNKEH-UHFFFAOYSA-N 412.833 4.544 5 20 HJBD Cc1ccc([C@]2(C)CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)C2)cc1 469357679 UDKPETTZNXJDJR-JOCHJYFZSA-N 407.495 4.831 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)NCc1nc(-c2cc3ccccc3o2)cs1 471143966 DAQYBUWACYOVKU-UHFFFAOYSA-N 423.450 4.793 5 20 HJBD COc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])ccc1Br 471503664 ODCHNILCUVUOLG-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCC2(c3ccc(C(F)(F)F)cc3)CC2)c1 474138462 MXXVBANZRZEACI-UHFFFAOYSA-N 410.417 4.797 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)N1CCCC[C@H]1c1cc[nH]n1 476184728 VLDWBKFUSPXFMM-FQEVSTJZSA-N 407.430 4.869 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cccc(-n2cc(C)nc2O)c1 477825090 DPVNIGNIVHCUPO-UHFFFAOYSA-N 412.471 4.549 5 20 HJBD CCc1nc2c(s1)[C@H](N(C)C(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1)CCC2 478277282 HLAUYCCSPYQYSG-BLLLJJGKSA-N 405.545 4.630 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(Sc2nccn2C)cc1 480656036 ZTZGCTPBKZENAU-UHFFFAOYSA-N 414.512 4.844 5 20 HJBD O=C1CCc2cc(N[C@@H](Cc3ccccc3[N+](=O)[O-])C3CC3)ccc2N1CC1CC1 480674654 YFSNFTSQPZWZHW-NRFANRHFSA-N 405.498 4.717 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H]1CCCO[C@H]1c1ccccc1 485964107 CPSQQTGJAWMPOF-UZLBHIALSA-N 400.500 4.605 5 20 HJBD Cn1cc(C(=O)Nc2nc3c(Cl)cccc3s2)c(-c2ccc([N+](=O)[O-])cc2)n1 486178760 ODZILEAOOYRCKF-UHFFFAOYSA-N 413.846 4.511 5 20 HJBD CSc1cccc(C(=O)Nc2ccc3nc(-c4ccccn4)oc3c2)c1[N+](=O)[O-] 486410393 QQJUBBSTEVCBFU-UHFFFAOYSA-N 406.423 4.772 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N[C@@H](C)c2nc3ccccc3n2C(F)F)cc1[N+](=O)[O-] 489877997 AKQWWPUFYFKWLY-OLZOCXBDSA-N 417.416 4.769 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 493180571 LLJXSUJYTFWTCZ-LBPRGKRZSA-N 404.854 4.788 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)Nc1ccc(Cl)cc1OCC(F)F 497529521 MUHDVENIMDFFND-UHFFFAOYSA-N 413.808 4.599 5 20 HJBD COc1cc(C(=O)Nc2cc(-c3cccc([N+](=O)[O-])c3)[nH]n2)ccc1OC1CCCC1 499029737 KDPYYFZVZUUXAT-UHFFFAOYSA-N 422.441 4.567 5 20 HJBD CCCOc1ccc(-c2nc(C(=O)N3CCc4ccc([N+](=O)[O-])cc43)cs2)cc1 505031417 XXFRPPKHWWBJTQ-UHFFFAOYSA-N 409.467 4.710 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Sc3ncc(C(F)(F)F)cc3Cl)cc2N1 506823561 KMLGCXXUQSRBPR-UHFFFAOYSA-N 403.769 4.698 5 20 HJBD CN(CCC(=O)Nc1ccccc1-c1ccccc1)CCc1ccccc1[N+](=O)[O-] 511095968 MRTFXFDTUMFECN-UHFFFAOYSA-N 403.482 4.765 5 20 HJBD CC(C)c1[nH]nc(C(=O)N[C@H](c2cccc3ccccc23)C(F)(F)F)c1[N+](=O)[O-] 511761146 WDYBOXQNPWBHDI-QGZVFWFLSA-N 406.364 4.628 5 20 HJBD O=C(Nc1cccc(C(=O)N2CCCc3c2cccc3[N+](=O)[O-])c1)C1CCCCC1 513832488 KOSGBTGONPHFHR-UHFFFAOYSA-N 407.470 4.707 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(OC(F)F)c2ncccc12)c1cccc([N+](=O)[O-])c1 517300980 RAXZVDHSUYFBKC-LLVKDONJSA-N 402.357 4.627 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3ccn(-c4ccc([N+](=O)[O-])cc4)n3)CC2)cc1 520783334 VYFAHOCAFMPNQJ-UHFFFAOYSA-N 418.497 4.574 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCC[C@H](C(C)(C)C)CC3)cc2)c(C)c1[N+](=O)[O-] 522521111 WTHYNZODAURGHZ-FQEVSTJZSA-N 412.534 4.745 5 20 HJBD Cc1nc(-c2cccs2)sc1C(=O)Nc1cc(-c2cccc([N+](=O)[O-])c2)[nH]n1 523163123 DFPOHLDJPZKGGL-UHFFFAOYSA-N 411.468 4.731 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3ccc(Cl)cc3)cs2)cc([N+](=O)[O-])c1OC 525004446 NYJWWRLHSLGEDV-UHFFFAOYSA-N 419.846 4.641 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N=c1cc[nH]c(C)c1Br 527092716 VOMPTSFKFRNGBG-UHFFFAOYSA-N 424.320 4.627 5 20 HJBD C[C@H](c1ccc(C(F)(F)F)cc1)N(C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 532488814 DFPSOVJDBNIISF-GFCCVEGCSA-N 406.364 4.857 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNc3ccc(CN4CCOCC4)cc3)s2)cc1 532898061 WJROXGHOVBWGAB-UHFFFAOYSA-N 409.511 4.768 5 20 HJBD COc1cccc(COc2cccc(NC(=O)c3cc(OC)ccc3[N+](=O)[O-])c2C)c1 535226087 NUAAZQUCXQORDR-UHFFFAOYSA-N 422.437 4.752 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(C)n1[C@H]1CCC[C@@H](C)C1 536090320 KHHKBFHKSXXGIH-CJNGLKHVSA-N 417.535 4.675 5 20 HJBD C[C@@H]1C[C@@H](CNC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)CCO1 537764822 XFMJRCXQZQEENQ-KGLIPLIRSA-N 404.850 4.585 5 20 HJBD C[C@@H](Nc1ccc(F)cc1OCC(F)F)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 537962841 NOKOSJNOQXAFAA-SNVBAGLBSA-N 408.336 4.601 5 20 HJBD CC[C@@H]1CC[C@@H](C(=O)N(CC(C)C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)O1 538251612 LGPIOWMZENMSLM-KDOFPFPSSA-N 403.504 4.665 5 20 HJBD COc1ccc(-c2ccc(CN3CCC[C@@H](c4noc(C5CC5)n4)C3)o2)c([N+](=O)[O-])c1 538759215 LJULUDVKKGNPNN-OAHLLOKOSA-N 424.457 4.503 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 539761522 LIIJYGPPNBHWFD-CYBMUJFWSA-N 419.466 4.652 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)Nc1nnc(SCc2ccccc2)s1 539841681 BYVBQMBGEDXNBZ-UHFFFAOYSA-N 414.512 4.916 5 20 HJBD O=C(NCCCSc1ccc(F)cc1)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 540153870 IMEOTROVNWMXJI-UHFFFAOYSA-N 411.458 4.708 5 20 HJBD CC(C)(C)[C@H](NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(F)cc1F 540426645 ZFLXHYIALLFTOR-GOSISDBHSA-N 402.401 4.800 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 542565191 MWVMRXXDUYOEIZ-VQIMIIECSA-N 418.490 4.886 5 20 HJBD Cc1nn(Cc2ccc(C(=O)Nc3cccc4ccc(O)cc34)cc2)c(C)c1[N+](=O)[O-] 543961470 LDYPCMDWXNDLTB-UHFFFAOYSA-N 416.437 4.568 5 20 HJBD CC(C)(C)c1ccc(-c2nc(-c3ccc(CN4CCCC4=O)cc3)no2)cc1[N+](=O)[O-] 545727506 BKFMPWVUSUWORV-UHFFFAOYSA-N 420.469 4.732 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2CCc3c2cccc3C(F)(F)F)cc1SC 546771033 AKWWXHSJCJLQBB-UHFFFAOYSA-N 412.389 4.547 5 20 HJBD C[C@@H](Nc1ccc(C(=O)NC(C2CCC2)C2CCC2)cc1[N+](=O)[O-])c1ccccn1 553291181 FLZPXJDLQRLXEQ-OAHLLOKOSA-N 408.502 4.862 5 20 HJBD CCN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)CC1CCN(c2ccccn2)CC1 555376841 BMVVSRHMGSTDIH-UHFFFAOYSA-N 422.451 4.747 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(-c2nc(Cc3ccccc3OC(F)F)no2)c1 556276540 VTUWQPHOZJUDSW-UHFFFAOYSA-N 415.274 4.856 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N2CCC=C(c3ccco3)C2)cc1[N+](=O)[O-])c1ccccn1 557078827 GWYNGQABVVNDCL-MRXNPFEDSA-N 418.453 4.685 5 20 HJBD CC(C)[C@@]1(CO)CCCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C1 557946880 LUIHVZJOXOMVMK-QFIPXVFZSA-N 414.527 4.617 5 20 HJBD O=C(NCCc1nc2ccccc2o1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 568469755 JSCOAJNZMSUXCS-UHFFFAOYSA-N 419.462 4.860 5 20 HJBD CC[C@H](c1ccccc1OC)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1 569042914 ZXJZLVYSEQCXCG-MRXNPFEDSA-N 407.264 4.589 5 20 HJBD CCOc1cc(C(=O)N[C@H](C)c2ccc(F)c3ccccc23)c([N+](=O)[O-])cc1OC 572315818 YISJWUGNCKBEHV-CYBMUJFWSA-N 412.417 4.785 5 20 HJBD C[C@@H](C(=O)NC(C)(C)Cc1ccc(Br)cc1)c1cccc([N+](=O)[O-])c1 574894472 ZGBANJIJOWLLJT-CYBMUJFWSA-N 405.292 4.598 5 20 HJBD C[C@@H](NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)c1ccc(OCc2cccnc2)cc1 577134572 IAIWOBJVIGNOGY-IAGOWNOFSA-N 405.454 4.550 5 20 HJBD Cc1n[nH]cc1C1CCN(C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)CC1 586836359 VQVDMTKNFCXMQU-UHFFFAOYSA-N 414.531 4.681 5 20 HJBD C[C@H](NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12)c1ccc(Oc2cccnc2)cc1 588771699 BGXYDJLMPGWROE-HNNXBMFYSA-N 416.437 4.683 5 20 HJBD CSc1nc(-c2ccc(F)cc2)nc(C)c1C(=O)Nc1cccc([N+](=O)[O-])c1C 603674090 KULMDQFLHNKVMY-UHFFFAOYSA-N 412.446 4.782 5 20 HJBD Cc1nn(C(C)(C)C)c2nc(C(C)C)cc(C(=O)N3CCc4cc([N+](=O)[O-])ccc43)c12 603733381 TUNZMIFDJLDYLW-UHFFFAOYSA-N 421.501 4.729 5 20 HJBD Cc1c(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)n[nH]c1C(F)(F)F 604286102 KSHVCRJWKVXFME-UHFFFAOYSA-N 405.336 4.641 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccc(Br)cc2Cl)c1 604532809 LSDIBRAWFPTMIJ-UHFFFAOYSA-N 421.625 4.902 5 20 HJBD Cc1ccccc1NC(=O)[C@H]1CCc2ccccc2N1C(=O)c1cccc([N+](=O)[O-])c1 608799939 UBUFJTDYPYSPJR-JOCHJYFZSA-N 415.449 4.504 5 20 HJBD COc1ccc(CC(=O)N(Cc2cccs2)c2ccc(F)cc2F)cc1[N+](=O)[O-] 609011057 WBLXKOPYXDIFHU-UHFFFAOYSA-N 418.421 4.719 5 20 HJBD Cc1ccc2c(Cl)c(C(=O)Nc3n[nH]c(-c4cccc([N+](=O)[O-])c4)n3)sc2c1 609202536 NNOOIOKFBBAEMO-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD CC(=O)c1cccc(NC(=O)c2ccn(-c3c(Cl)cc([N+](=O)[O-])cc3Cl)n2)c1 609852538 JKFGETUIZMJUGT-UHFFFAOYSA-N 419.224 4.542 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)c1ccc([N+](=O)[O-])cc1Br 610043226 OCEHDLSHGILLIT-MRXNPFEDSA-N 407.264 4.637 5 20 HJBD C[C@H](NC(=O)c1csc(-c2ccc([N+](=O)[O-])cc2)n1)c1ncc(-c2ccccc2)o1 610068603 PKAYHQUKZADLCN-ZDUSSCGKSA-N 420.450 4.864 5 20 HJBD CCc1ccc(C(=O)Nc2ccc(NC(=O)C3CC3)cc2C(F)(F)F)cc1[N+](=O)[O-] 610433963 BKQPBZJTNIOBST-UHFFFAOYSA-N 421.375 4.777 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 610661516 WBIGRNXWKPBXNO-QWRGUYRKSA-N 413.318 4.806 5 20 HJBD Cc1c([N+](=O)[O-])cnc(N2CCC[C@@H](c3nc4ccccc4o3)C2)c1Br 612438344 UWKNLSWGDPJCMR-GFCCVEGCSA-N 417.263 4.586 5 20 HJBD O=C(Nc1cccc(F)c1)C1CCN(c2ccc([N+](=O)[O-])cc2C(F)(F)F)CC1 725789601 QHPAORAVNGIPSP-UHFFFAOYSA-N 411.355 4.608 5 20 HJBD Cc1nc(C(=O)O[C@H](C)c2cccc([N+](=O)[O-])c2)nn1-c1c(Cl)cccc1Cl 728237814 CUVINBMMWNKILI-SNVBAGLBSA-N 421.240 4.709 5 20 HJBD COc1cc(CN2[C@H](C)CO[C@@H](c3ccccc3)[C@H]2C)c([N+](=O)[O-])cc1OC(F)F 731892620 HXOPUJKSTXXTOY-ARGWCVDVSA-N 422.428 4.555 5 20 HJBD CC(C)(C)c1ccc(C(=O)Oc2cccc(NC(=O)c3ccco3)c2)cc1[N+](=O)[O-] 734330779 RLWVYOBFBQSJBQ-UHFFFAOYSA-N 408.410 4.957 5 20 HJBD O=C(CCOc1ccc(Cl)cc1[N+](=O)[O-])OCc1coc(-c2cccc(F)c2)n1 735150508 QRSIKFNPIDDUGI-UHFFFAOYSA-N 420.780 4.555 5 20 HJBD CC(=O)N/C(=C/c1ccccc1)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 735248789 GIGXWSQMJYNRTF-HYARGMPZSA-N 417.421 4.503 5 20 HJBD O=C(CCc1nc2cc(Br)ccc2s1)Oc1cccc([N+](=O)[O-])c1 742498176 NKFTVLKFWZSPTA-UHFFFAOYSA-N 407.245 4.505 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)O[C@H](C)c1nc(-c2cccc(C(F)(F)F)c2)no1 743002192 VVHQJQWLMBTJDE-LLVKDONJSA-N 421.331 4.890 5 20 HJBD O=C(OCc1ncc(-c2ccc(F)cc2)o1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 744094706 RBRCCWHRFPZNLM-UHFFFAOYSA-N 410.279 4.765 5 20 HJBD O=C(OCc1cn2cc(Cl)cc(Cl)c2n1)c1cc2cc([N+](=O)[O-])ccc2o1 750133423 HBGMWAOELCZRTI-UHFFFAOYSA-N 406.181 4.653 5 20 HJBD C[C@H](NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1c(F)cccc1F 750842947 FJDBZZAEKDEIHN-ZETCQYMHSA-N 419.609 4.780 5 20 HJBD O=[N+]([O-])c1ccc(OS(=O)(=O)c2cnc3ccsc3c2)c(-c2cccs2)c1 752232629 DSKDJSZEHGLAMS-UHFFFAOYSA-N 418.477 4.701 5 20 HJBD C[C@@H](C(=O)Nc1nc(-c2cccc([N+](=O)[O-])c2)cs1)c1ccc([N+](=O)[O-])cc1F 754012114 XHIRFOKXGRQTBW-SNVBAGLBSA-N 416.390 4.508 5 20 HJBD COc1cc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 757704779 IYHCSGDCPWFYDU-OAHLLOKOSA-N 420.412 4.741 5 20 HJBD CCC(=O)c1ccc(OC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)c(F)c1 766549801 QAYUJJMMBKTNQN-UHFFFAOYSA-N 400.387 4.669 5 20 HJBD C[C@@H](NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccc(Cl)cc1Cl 770760269 QQFAPCHXBLEJQX-SNVBAGLBSA-N 412.229 4.620 5 20 HJBD Cc1ccc(C)c(-n2nc(C)c(CNCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c2Cl)c1 775180069 UWHAVGGKMJMOQT-UHFFFAOYSA-N 424.892 4.525 5 20 HJBD Cc1ccc2c(c1)SCCCN2C(=O)Cn1c2ccccc2c(=O)c2ccccc21 778272968 CUKGLLDVPWMYED-UHFFFAOYSA-N 414.530 4.992 5 20 HJBD COc1cc(OC)c(NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1Br 783678328 ZHWLSEFDAFMJHM-UHFFFAOYSA-N 401.644 4.640 5 20 HJBD C[C@H](OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-])c1noc(-c2ccccc2)n1 784649856 SRTXCHHXWJPPHO-JTQLQIEISA-N 407.304 4.582 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(Oc3cccc(Br)c3)CC2)cc1F 788489038 NXBYXHNGNKZCCL-UHFFFAOYSA-N 409.255 4.540 5 20 HJBD O=[N+]([O-])c1ccc2oc(=S)n(CN(CCC(F)(F)F)CC(F)(F)F)c2c1 790410544 OVGGXLATQXJJCV-UHFFFAOYSA-N 403.304 4.646 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])Nc1ccc(Cl)c(OC(F)F)c1 790566228 WKVWVBVWYMYJOE-UHFFFAOYSA-N 409.147 4.823 5 20 HJBD Cc1cc(C)c([N+](=O)[O-])c(C)c1C(=O)N[C@H]1CCc2nc(C3CCCCC3)[nH]c2C1 791273137 NLNFNAKHQJTLAH-KRWDZBQOSA-N 410.518 4.578 5 20 HJBD O=C(CCc1cnc2ccccc2c1)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 791692370 ZEJRBVPHKZYTEL-DEOSSOPVSA-N 413.433 4.803 5 20 HJBD COCC[C@H](NC(=O)c1cc(F)ccc1[N+](=O)[O-])c1ccc(Cl)c(Cl)c1 803626004 NJWURVJNENBUHX-HNNXBMFYSA-N 401.221 4.548 5 20 HJBD CC(=O)c1ccc([N+](=O)[O-])cc1N1CCC(O)(c2ccc(-c3ccccc3)cc2)CC1 808051999 KMKISXRWEQVHRP-UHFFFAOYSA-N 416.477 4.952 5 20 HJBD Cc1cc(Cc2noc([C@H]3C[C@H](C)CCN3C(=O)OC(C)(C)C)n2)ccc1[N+](=O)[O-] 809434173 WXDZORMUKOJBSW-CXAGYDPISA-N 416.478 4.585 5 20 HJBD O=C(CCc1ccc2[nH]ccc2c1)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811220926 VINJFQMROVDYFA-UHFFFAOYSA-N 407.451 4.876 5 20 HJBD COC(=O)c1cc(NCc2ccc(Cl)cc2[N+](=O)[O-])cc(Br)c1F 864027211 WSGFDMUMTRDVIA-UHFFFAOYSA-N 417.618 4.549 5 20 HJBD Cc1ccc([N+](=O)[O-])c(-c2nc(C(C)(C)NC(=O)c3cccc(Cl)c3)no2)c1C 904600772 PASTXBUMWNIELF-UHFFFAOYSA-N 414.849 4.580 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(F)c(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1 915152649 YITRYIMWVVMEBP-UHFFFAOYSA-N 409.801 4.987 5 20 HJBD O=C(NCc1ccccc1COCc1ccccc1)c1cc(Cl)ccc1[N+](=O)[O-] 915356713 WHVIIFCQVSLTGZ-UHFFFAOYSA-N 410.857 4.895 5 20 HJBD Cc1cc(C(=O)Nc2ccccc2C(=O)N[C@H](C)c2ccccc2)cc([N+](=O)[O-])c1 920840156 KWGWCSOKLAZPON-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD Cc1nc(-c2ccc(F)cc2)sc1-c1nnc(COc2ccccc2[N+](=O)[O-])o1 1257768925 ICQYMGNAOWQSQG-UHFFFAOYSA-N 412.402 4.795 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNCCC3CCOCC3)o2)c(Br)c1 1318604178 KCIBVSBBFPEOKW-UHFFFAOYSA-N 409.280 4.524 5 20 HJBD C[C@@H](Oc1ccc2ccccc2c1)c1nnc(-c2cc3c(cc2[N+](=O)[O-])OCCO3)o1 1322206123 UOFRCOSINIDVIP-CYBMUJFWSA-N 419.393 4.709 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1F)c1cnc2c(c1)c(C1CC1)nn2-c1ccccc1 1323440665 IHRVVAIVTYFOAS-UHFFFAOYSA-N 417.400 4.598 5 20 HJBD Cc1cc(Cl)ccc1OCCCc1nnc(COc2ccc([N+](=O)[O-])c(F)c2)o1 1326932876 UGBMKAKSEBRJHS-UHFFFAOYSA-N 421.812 4.669 5 20 HJBD CCc1ccc(-c2nnc(-c3ccc(Cn4nc(C)c([N+](=O)[O-])c4C)cc3)o2)cc1 1345494117 HCRMCKGKENEABF-UHFFFAOYSA-N 403.442 4.736 5 20 HJBD C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)C(=O)c1cccc(Cl)c1 11276781 YGQAYPNKJJNYDK-HNNXBMFYSA-N 419.864 4.623 5 20 HJBD C[C@@H](Nc1ccc(OCC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11712171 SZHCNOKZUMHEOK-SNVBAGLBSA-N 417.771 4.628 5 20 HJBD O=C(c1ccc(Cl)cc1[N+](=O)[O-])N(Cc1cccc(Br)c1)C1CC1 25089596 PUOCVEVMPLBAIN-UHFFFAOYSA-N 409.667 4.816 5 20 HJBD O=C(Nc1cccc(-c2nnnn2C2CC2)c1)c1cc2ccccc2c2cccnc12 58911696 LUOKDKDSRKSEPP-UHFFFAOYSA-N 406.449 4.629 5 20 HJBD Cc1ccc(-c2nnc(Sc3ccc([N+](=O)[O-])c(C)n3)n2Cc2ccco2)cc1 301734365 ZHHFPQGUHMMLOU-UHFFFAOYSA-N 407.455 4.658 5 20 HJBD CC(C)(C)Oc1cc(NC2CCN(C(=O)c3ccccc3F)CC2)ccc1[N+](=O)[O-] 301897734 WAYNINRZXGAUEJ-UHFFFAOYSA-N 415.465 4.628 5 20 HJBD COc1ccc(CSCC(=O)N2CCCc3cc(Cl)cc(F)c32)cc1[N+](=O)[O-] 303383699 MDBFIEWQBUJRLE-UHFFFAOYSA-N 424.881 4.609 5 20 HJBD Cc1cccc(N(C)C(=O)c2ccc(C(=O)N(C)c3cccc(C)c3)c([N+](=O)[O-])c2)c1 426000684 IEKBQJCAPLTXOZ-UHFFFAOYSA-N 417.465 4.765 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)NCc2nc(-c3ccccc3F)cs2)cc1[N+](=O)[O-] 426036282 NFLXAWPWDCRJSA-CYBMUJFWSA-N 414.462 4.726 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1F)N1CCC[C@@H]1c1ccc(Br)c(F)c1 431158134 HYPVOHOUTYMAAM-MRXNPFEDSA-N 411.202 4.613 5 20 HJBD CC(=O)N(c1ccc(F)cc1)c1nc(COc2cc(F)cc([N+](=O)[O-])c2)cs1 433624610 MJKGSGLROZBQRH-UHFFFAOYSA-N 405.382 4.593 5 20 HJBD COc1cccc(C(=O)Nc2ccc(Br)c(C(F)(F)F)c2)c1[N+](=O)[O-] 435667567 MTTXWGIYCPKTRE-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD O=C(Nc1cccc(OC(F)F)c1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 435990159 GJQJUQXPTULARZ-UHFFFAOYSA-N 416.330 4.813 5 20 HJBD COc1c(F)ccc(F)c1C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 445737715 YYPHLYKFAVZQSK-UHFFFAOYSA-N 405.382 4.566 5 20 HJBD COc1cc(CN[C@@H]2CCCc3ccc([N+](=O)[O-])cc32)cc(Br)c1OC 447588778 VKNRGVWFOMLMFJ-QGZVFWFLSA-N 421.291 4.542 5 20 HJBD CSc1cccc([C@@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2cc(F)ccc2F)c1 464137389 NUOAFRAWTAFRST-GOSISDBHSA-N 404.394 4.707 5 20 HJBD COc1cc(C(=O)Nc2ccc3nc(C(C)(C)C)sc3c2)cc([N+](=O)[O-])c1OC 464178435 WFHBWDUSRPTQFU-UHFFFAOYSA-N 415.471 4.772 5 20 HJBD CC1(NC(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CCOCC1 466250759 MQLLHMDCLKVCSC-UHFFFAOYSA-N 406.891 4.698 5 20 HJBD COc1cc([C@H](C)NC(=O)c2ccc(F)cc2[N+](=O)[O-])ccc1OCCC(C)C 466298479 NEUJBOOPPCMPCM-AWEZNQCLSA-N 404.438 4.658 5 20 HJBD CCOC(=O)c1cc(NCc2cccc(NC(=O)C3CCCC3)c2)ccc1[N+](=O)[O-] 467800346 UILBEGDZNJFEAL-UHFFFAOYSA-N 411.458 4.512 5 20 HJBD COc1ccc2c(c1)CN(C(=O)CCc1cccc([N+](=O)[O-])c1)[C@@H](c1ccccc1)C2 469453802 CPLQDKPGNWCOQQ-XMMPIXPASA-N 416.477 4.862 5 20 HJBD CC[C@H]1CN(C(=O)c2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)CC[C@@H]1c1ccccc1 470186029 NWVDXTUKIZIIQC-UWJYYQICSA-N 418.497 4.651 5 20 HJBD Cc1noc(-c2ccccc2)c1NC(=O)c1ccc(Br)cc1[N+](=O)[O-] 472027132 ZDOCYEHPWBORCP-UHFFFAOYSA-N 402.204 4.573 5 20 HJBD COCc1c(Br)cccc1NC(=O)c1ccc(C(C)C)c([N+](=O)[O-])c1 473163835 JTYFVZBQYIMIFT-UHFFFAOYSA-N 407.264 4.879 5 20 HJBD Cc1ccc([C@H](NC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)c2ccccn2)cc1 473600960 UAXICPYHQYEWPC-VWLOTQADSA-N 419.484 4.904 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(COC(C)(C)C)c2C)cc([N+](=O)[O-])c1 474759811 RSYAEKUNBUSUJC-UHFFFAOYSA-N 414.458 4.647 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCNC1(c2cccc(C(F)(F)F)c2)CC1 477458968 MEHSCLWSGDPCDK-UHFFFAOYSA-N 407.392 4.530 5 20 HJBD COc1cc(CN2CCSCC[C@@H]2C)ccc1OCc1ccc([N+](=O)[O-])cc1 483425073 GUIFSSNFNHXACN-INIZCTEOSA-N 402.516 4.510 5 20 HJBD Cc1nn(Cc2ccccc2)c(C)c1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487188797 OQSCPZKKOOVTTD-UHFFFAOYSA-N 418.419 4.765 5 20 HJBD COc1cc(OC)cc(-c2nnc(SCc3c(Cl)cccc3[N+](=O)[O-])o2)c1 487666745 DVGVSJPFEOGIQZ-UHFFFAOYSA-N 407.835 4.608 5 20 HJBD COc1cc(NC(C)=O)ccc1NC(=O)c1cc([N+](=O)[O-])ccc1SCC(C)C 489170092 JSKBMEJXJCTRLE-UHFFFAOYSA-N 417.487 4.562 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N2CCn3cccc3[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 493034357 QKKMLHJBZJGRIK-VGSWGCGISA-N 404.470 4.581 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 497806854 DWTJNJPQZLBUEC-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=C(Nc1nc(-c2cc(F)ccc2F)cs1)c1ccc([N+](=O)[O-])c2cccnc12 499128039 ONGRBSNPEFUGEA-UHFFFAOYSA-N 412.377 4.797 5 20 HJBD Cc1cc(Br)cc(C)c1NC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 500029795 RFGHLNPASHKXTD-UHFFFAOYSA-N 404.264 4.801 5 20 HJBD CCOc1cc(C(=O)Nc2c(C)cc(Br)cc2C)c([N+](=O)[O-])cc1OC 500070478 QABPBENDWCFGBE-UHFFFAOYSA-N 423.263 4.634 5 20 HJBD CC[C@@H]1C[C@]1(NC(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-])c1cccc(C)c1 501658295 VCPBSABXFGBHFX-LRTDBIEQSA-N 420.412 4.568 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccc(Oc2ccccn2)cc1 504180388 FAYXFJVOMNENNJ-CYBMUJFWSA-N 413.817 4.842 5 20 HJBD Cc1ccc(OCc2nc(Cc3nc(-c4cccc([N+](=O)[O-])c4)no3)cs2)cc1 504970415 WECQHSJXXKMQEN-UHFFFAOYSA-N 408.439 4.580 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1c[nH]c2cccc([N+](=O)[O-])c12 506608460 OJSCKEPROHEZFJ-UHFFFAOYSA-N 411.311 4.799 5 20 HJBD CCOc1cc(NC(=O)c2ccc(Cl)c3ncccc23)c([N+](=O)[O-])cc1OCC 509124360 OHGUFLLLXREKDX-UHFFFAOYSA-N 415.833 4.846 5 20 HJBD O=C(N[C@@H](CC(F)(F)F)c1ccccc1)c1ccc(OC(F)F)c([N+](=O)[O-])c1 513700341 SRHVPGRDKMGAJX-LBPRGKRZSA-N 404.291 4.620 5 20 HJBD COc1cc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)c(C)s2)cc(OC)c1C 515626041 POSZXZFFGYDNJG-UHFFFAOYSA-N 413.455 4.605 5 20 HJBD Nc1c(C(=O)Nc2ccc(Cc3nc4ccccc4s3)cc2)cc(F)cc1[N+](=O)[O-] 516466265 YWNOBFIOQQCPJP-UHFFFAOYSA-N 422.441 4.769 5 20 HJBD COc1ccc(C(=O)Nc2ccc(-c3nc4cc(F)ccc4[nH]3)cc2)cc1[N+](=O)[O-] 520689848 AJMZUINGNKSOOS-UHFFFAOYSA-N 406.373 4.538 5 20 HJBD COc1ccccc1-c1cccc(NC(=O)c2ccn(-c3ccc([N+](=O)[O-])cc3)n2)c1 521979460 VWZDICQLMPTWQG-UHFFFAOYSA-N 414.421 4.708 5 20 HJBD CN(C(=O)c1ccc(NC(=O)C(C)(C)c2ccc([N+](=O)[O-])cc2)cc1)C1CCCCC1 523508762 RTUSTNBIBXSETK-UHFFFAOYSA-N 423.513 4.916 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)N[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 532244161 VVOJKNKUMNDJFD-GAJHUEQPSA-N 424.522 4.747 5 20 HJBD Cc1c(NC(=O)CCN2CCC[C@H](c3nc4ccccc4s3)C2)cccc1[N+](=O)[O-] 533012963 OWFZYYVFDKIBNT-INIZCTEOSA-N 424.526 4.721 5 20 HJBD COc1ccc(Oc2ccc([N+](=O)[O-])cc2C(=O)Nc2scnc2C2CC2)cc1 534955296 JPUFUCJMPVQTDU-UHFFFAOYSA-N 411.439 4.982 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](Cc1ccc(OC)c(OC)c1)c1ccccc1 537057751 QTUPWDIOFVGFLB-NRFANRHFSA-N 422.481 4.694 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN[C@H](Cc1ccccc1)c1ccccc1F 538258293 XWVCUPWPAFKTPT-HXUWFJFHSA-N 407.445 4.554 5 20 HJBD Cc1cccc(C(=O)Nc2ccc3nc(C(F)(F)C(F)(F)F)[nH]c3c2)c1[N+](=O)[O-] 538791377 VZJZAHLXHHZTIS-UHFFFAOYSA-N 414.290 4.686 5 20 HJBD C[C@H](NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1)c1ccc(OC(F)F)cc1 539219159 FZCYJCWBYBUZRR-ZDUSSCGKSA-N 403.385 4.745 5 20 HJBD Cc1n[nH]c(C)c1[C@@H]1CCCCCN1C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 539348526 PLZBWGCNTGYAPP-HNNXBMFYSA-N 423.420 4.685 5 20 HJBD Cc1ccc(CN2CCCC2)cc1NC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-] 543155905 ZPYOOHAXBCDRRV-UHFFFAOYSA-N 419.934 4.883 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1F)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 544622774 RIGWHYAQZHYDOR-VIFPVBQESA-N 418.372 4.621 5 20 HJBD CC(C)(C)NC(=O)Nc1ccc(Cl)c(-c2nc(-c3ccc([N+](=O)[O-])cc3)no2)c1 544933394 RHXOPNBEFPRIPL-UHFFFAOYSA-N 415.837 4.885 5 20 HJBD COc1cc(Br)ccc1-c1noc(Cc2ccc([N+](=O)[O-])cc2Cl)n1 545078236 JLDSUSZNHXFFRB-UHFFFAOYSA-N 424.638 4.660 5 20 HJBD COc1cc(-c2noc(CSc3ccc([N+](=O)[O-])cc3)n2)ccc1OC(C)C 546238043 DWILERNEMXGEHX-UHFFFAOYSA-N 401.444 4.733 5 20 HJBD C[C@@H](c1nc(-c2cccc(NC(=O)c3ccco3)c2)no1)c1ccc([N+](=O)[O-])cc1F 547025687 LDNZGFFIWXORNU-GFCCVEGCSA-N 422.372 4.781 5 20 HJBD O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 551546227 CZHALADXPBOGCF-UHFFFAOYSA-N 424.766 4.764 5 20 HJBD Cc1cc(-c2nc3cc(F)ccc3n2C(C)C)ccc1NC(=O)c1c[nH]nc1[N+](=O)[O-] 554876197 HWAKRNHZVIWKNO-UHFFFAOYSA-N 422.420 4.615 5 20 HJBD Cc1cc2c(Br)ccc(C(=O)N(C)CCc3ccccc3[N+](=O)[O-])c2o1 557074396 MEDMQRZSLZQBLM-UHFFFAOYSA-N 417.259 4.727 5 20 HJBD C[C@H](C(=O)N1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cccc([N+](=O)[O-])c1 558690514 SUEWQGQCIFGMAC-SGTLLEGYSA-N 409.269 4.605 5 20 HJBD C[C@@](O)(CNCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)C(F)(F)F 559940174 UMUIWNWEYNROKP-MRXNPFEDSA-N 422.762 4.583 5 20 HJBD C[C@H](C(=O)Nc1ccc(-n2ccc(C(F)(F)F)n2)cc1)c1ccc([N+](=O)[O-])cc1F 568572378 DUVZABGMNTWMRU-NSHDSACASA-N 422.338 4.681 5 20 HJBD Cc1nc(-c2ccc(CCNC(=O)[C@H](C)c3cccc([N+](=O)[O-])c3)s2)cs1 582882243 OBNNBYZINAKXME-GFCCVEGCSA-N 401.513 4.551 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1cccc(OCc2cn3ccccc3n2)c1 590551226 SDXQXZZNLDOEME-UHFFFAOYSA-N 416.437 4.691 5 20 HJBD O=C(CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-])NCc1cc2ccccc2o1 603762038 ZRUHTUUXGWYASH-UHFFFAOYSA-N 410.373 4.768 5 20 HJBD CCO[C@@H]1CCCN(C(=O)c2ccc(Sc3ccc(C)cc3)c([N+](=O)[O-])c2)C1 604428045 RSQJONOPPDOBSF-QGZVFWFLSA-N 400.500 4.696 5 20 HJBD Cc1nc(CNCc2cn(-c3ccccc3)nc2-c2ccc([N+](=O)[O-])cc2)cs1 608836043 FAGHMXVCCKLWNZ-UHFFFAOYSA-N 405.483 4.502 5 20 HJBD Cc1ccc(NC(=O)c2ccc(Oc3ccc(C(=O)N(C)C)cc3)c([N+](=O)[O-])c2)cc1 608972710 ARMGVKMXVOECPW-UHFFFAOYSA-N 419.437 4.650 5 20 HJBD O=C(Cc1cccc(OCc2ccccc2Cl)c1)NCc1ccccc1[N+](=O)[O-] 609262185 RJVTYPLEYZNJLL-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(Cc1cccc(OCc2ccccc2Cl)c1)NCc1ccc([N+](=O)[O-])cc1 609262244 XYMQHYBEDXOWON-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD COC[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1cccc(C(F)(F)F)c1 609817298 SMODXWOMQXJDED-OAHLLOKOSA-N 424.400 4.946 5 20 HJBD C[C@@H]1Cc2ccccc2N1C(=O)c1ccn(-c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 609852756 NAJROFOGSZRNKC-LLVKDONJSA-N 417.252 4.679 5 20 HJBD COCCN(C(=O)[C@H](C)c1cccs1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 609966172 MYRSXKVJNVYOLS-CYBMUJFWSA-N 417.512 4.563 5 20 HJBD CC(C)[C@H](N[C@H](C)c1ccc(-c2ccccc2[N+](=O)[O-])s1)C(=O)N1CCCC1 611335777 IOKZCDBOCFKWOO-QRWLVFNGSA-N 401.532 4.621 5 20 HJBD O=[N+]([O-])c1cccc2ncnc(NC3C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)c12 612438332 OHNFWMZQEVUCRF-RKDXNWHRSA-N 408.302 4.859 5 20 HJBD C[C@H](Sc1nnc(-c2ccc(F)cc2)o1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 619537395 ZBTRKUCBDCXVGH-JTQLQIEISA-N 413.390 4.687 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)OCc2ccccc2C(F)(F)F)cc1SC 728651674 WYBDNAQPMVMPHE-UHFFFAOYSA-N 401.362 4.701 5 20 HJBD CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1c(Cl)cccc1[N+](=O)[O-] 730642248 AFYHTHMXWWQFCO-QWHCGFSZSA-N 404.850 4.946 5 20 HJBD CN(CC(C)(C)C)C(=O)c1cccc(C(=O)OCc2cc([N+](=O)[O-])ccc2Cl)c1 741566354 KBAPTAIHQITHKM-UHFFFAOYSA-N 418.877 4.723 5 20 HJBD CCCNC(=O)CCNC(=O)CCC(=O)c1ccc2ccc3cccc4ccc1c2c34 742246021 DLTXAMLWZTYDEP-UHFFFAOYSA-N 414.505 4.579 5 20 HJBD COc1ccc(CNCc2c(C)nn(-c3cc(C)ccc3C)c2Cl)cc1[N+](=O)[O-] 742717216 AHNXHQAHKVMNEM-UHFFFAOYSA-N 414.893 4.658 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCc1cccc(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 745586582 XBKRVIATVVFXGH-OLZOCXBDSA-N 422.840 4.526 5 20 HJBD O=C(Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1)c1cc(F)ccc1[N+](=O)[O-] 751095884 ONICFNDMFANFDV-UHFFFAOYSA-N 412.327 4.808 5 20 HJBD C[C@H](NC(=O)N[C@@H]1CCOc2c1ccc(Cl)c2Cl)c1cccc([N+](=O)[O-])c1 753101839 KZIWARNBCMNFJY-ZUZCIYMTSA-N 410.257 4.786 5 20 HJBD Cc1ccc([C@@H]2C[C@H](OC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)CCO2)cc1 757524995 JOGCTBVXLIMKLH-CVAIRZPRSA-N 401.484 4.847 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1csc(Cc2ccccc2)n1 760492140 QOHYSWZTHXCDDV-UHFFFAOYSA-N 421.434 4.654 5 20 HJBD C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)c2ccc([N+](=O)[O-])c(Cl)c2)cc1 764203584 ZDLHOEFZLKGKIU-CYBMUJFWSA-N 401.850 4.515 5 20 HJBD CCc1nc2ccccc2n1C1CCN(C(=S)Nc2ccc([N+](=O)[O-])cc2)CC1 771540739 SSHBIFDRHGUFKJ-UHFFFAOYSA-N 409.515 4.541 5 20 HJBD Cc1ccc(C(=O)N2CCc3cc(C)c([N+](=O)[O-])cc32)cc1NC(=O)OC(C)(C)C 773994966 VYCYGDDBAFXNPR-UHFFFAOYSA-N 411.458 4.762 5 20 HJBD COc1ccc2ccccc2c1-c1cc(COC(=O)Cc2ccccc2[N+](=O)[O-])on1 778075465 KRQAWOXCPLDHOA-UHFFFAOYSA-N 418.405 4.698 5 20 HJBD CCOc1ccc(COC(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1OCC 781266916 CEVPBTLVRKLDOQ-UHFFFAOYSA-N 417.461 4.836 5 20 HJBD C[C@H](C(=O)O[C@@H](Cc1ccc(F)cc1)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 781756861 MFJYLFYVTJHJET-QFYYESIMSA-N 403.303 4.693 5 20 HJBD COc1ccc(OCCOc2ccc(C3SCCS3)cc2OC)c([N+](=O)[O-])c1 782308276 MGCCLSJUYZIULR-UHFFFAOYSA-N 423.512 4.548 5 20 HJBD O=C(Nc1cccc(Oc2ccccc2)c1)C(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 782830865 MVNQDCIYJCLEHQ-UHFFFAOYSA-N 411.801 4.618 5 20 HJBD CC(C)c1ccc(C(=O)Nc2ccc(N3CCC(CN(C)C)CC3)cc2)cc1[N+](=O)[O-] 784111725 HRBVSURTXITDDE-UHFFFAOYSA-N 424.545 4.749 5 20 HJBD O=C(/C=C/c1nc2ccccc2s1)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 785381218 LGXLKTVRCDRCND-AATRIKPKSA-N 407.373 4.553 5 20 HJBD O=C(CSc1nc2ccc([N+](=O)[O-])cc2s1)Nc1nc2ccc(F)cc2s1 788008459 ARZDMNDENZRIKY-UHFFFAOYSA-N 420.472 4.684 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2ccc(N3CCCCC3)c3ncccc23)c1[N+](=O)[O-] 791956297 USZBXOUHXYSCRQ-UHFFFAOYSA-N 408.433 4.833 5 20 HJBD CO[C@@H](c1ccc(F)cc1)[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813135092 ZKTGUEXQCQASJC-XXFAHNHDSA-N 401.221 4.547 5 20 HJBD CCc1nc(C(C)C)ccc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 815353198 TXZJOTFRFGXKTG-ZDUSSCGKSA-N 410.430 4.644 5 20 HJBD O=[N+]([O-])c1c(F)cccc1S(=O)(=O)N1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 918195358 OXYADERXDNPICM-CQSZACIVSA-N 419.261 4.567 5 20 HJBD O=[N+]([O-])c1cccc(OCc2nnc(CCCOc3ccc(Cl)cc3Cl)o2)c1 1117206047 MWPPBOGPZDSFQM-UHFFFAOYSA-N 424.240 4.875 5 20 HJBD O=C(c1cc(-c2ccccc2)n(-c2ccccc2)n1)N1Cc2ccc([N+](=O)[O-])cc2C1 1252189348 CJQIYDXENNEHEB-UHFFFAOYSA-N 410.433 4.604 5 20 HJBD O=C(NC1CCC2(CCOCC2)CC1)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 1320097216 ZAGUYZMZFQVIEO-UHFFFAOYSA-N 423.513 4.676 5 20 HJBD CC(=O)N1Cc2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3Oc3ccccc3)cc2C1 1331235903 CIHDUDXPXHHIFM-UHFFFAOYSA-N 417.421 4.502 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc([C@@H]2CCCN(C(=O)Nc3ccccc3)C2)n1 1337930658 YQVJZWUZZDKSQJ-MRXNPFEDSA-N 421.457 4.673 5 20 HJBD Cc1c(C(=O)Nc2ccc(SC(F)(F)F)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 1338096464 HCTVSPBNEQXYML-UHFFFAOYSA-N 415.349 4.984 5 20 HJBD O=C(/C=C/c1cccnc1)Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1 1352736446 ALBLOXFGMJZZJJ-CMDGGOBGSA-N 409.829 4.874 5 20 HJBD COc1ccc(C(=O)NC[C@@H](c2ccccc2)c2c[nH]c3ccccc23)cc1[N+](=O)[O-] 10692701 ZVUWPWZGBRQTKM-IBGZPJMESA-N 415.449 4.647 5 20 HJBD COc1cc2c(cc1NC(=O)CNc1ccc(F)c([N+](=O)[O-])c1)oc1ccccc12 15291375 DMFVPYHEYIASDD-UHFFFAOYSA-N 409.373 4.693 5 20 HJBD Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ccccc2Oc2ccccc2)ccc1[N+](=O)[O-] 22736565 UUHSSFBNOYDGQN-MRXNPFEDSA-N 420.421 4.880 5 20 HJBD O=C(CCc1ncc(-c2ccccc2)o1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 41018909 UKCULZMFPIFGCJ-UHFFFAOYSA-N 405.332 4.840 5 20 HJBD O=C(NCc1ccc(-c2nc3ccccc3[nH]2)cc1)c1cc([N+](=O)[O-])ccc1Cl 97570411 YWXFQMILIZPDFJ-UHFFFAOYSA-N 406.829 4.722 5 20 HJBD Cc1cccc(-c2nc(C(C)C)no2)c1NC(=O)c1ccc([N+](=O)[O-])cc1Cl 110833280 KVGUFPIAKAANTR-UHFFFAOYSA-N 400.822 4.982 5 20 HJBD CSc1ccc(C(=O)Nc2ccc(C(=O)NC3CCCC3)cc2C)cc1[N+](=O)[O-] 195697630 WBUCVTGHPXLDBN-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD Cc1ccc([C@H](Nc2ccc([N+](=O)[O-])c(C)c2)c2cccc(S(C)(=O)=O)c2)cc1 301484374 YLYQFUZTXWKKEX-QFIPXVFZSA-N 410.495 4.817 5 20 HJBD Cc1ccnc(NCc2ccc([C@@H](C)Nc3nccc(C)c3[N+](=O)[O-])cc2)c1[N+](=O)[O-] 302141573 JNAZHKTZJVVCHE-OAHLLOKOSA-N 422.445 4.695 5 20 HJBD COc1ccccc1-c1nc(C2CCN(c3ccc([N+](=O)[O-])cc3Cl)CC2)no1 302695269 GKRKXWACGMUDQC-UHFFFAOYSA-N 414.849 4.691 5 20 HJBD COc1ccc(-c2ccn(-c3ccc(SCc4cccc([N+](=O)[O-])c4)nn3)n2)cc1 436254255 STTWYCSDPANVPJ-UHFFFAOYSA-N 419.466 4.538 5 20 HJBD CC(=O)Nc1cc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)ccc1Cl 436314156 DDEDQEXOUJRSJN-UHFFFAOYSA-N 423.831 4.685 5 20 HJBD O=C(NCCc1ccc([N+](=O)[O-])cc1)Nc1ccnc(Oc2ccccc2Cl)c1 438366488 WQLRSHVPMOEORQ-UHFFFAOYSA-N 412.833 4.800 5 20 HJBD Cc1ccc2nc([C@@H]3CCCN(C(=O)c4ccc(C(C)C)c([N+](=O)[O-])c4)C3)[nH]c2c1 439756398 MCNWKIJRXHIPIA-QGZVFWFLSA-N 406.486 4.923 5 20 HJBD C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444027344 DBBYTMLLAFBKDZ-WBVHZDCISA-N 400.453 4.839 5 20 HJBD Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H](C)CC(=O)Nc1cccc([N+](=O)[O-])c1 446854136 ZFTVFYAJOLJMJK-KBXCAEBGSA-N 409.408 4.904 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H]1CCCN(c2ccccc2)C1 447733072 ZYUGBRBKVPKJSP-KRWDZBQOSA-N 413.543 4.743 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)NCCc2nc(-c3ccccc3)c(C)s2)c1 461486630 YKJAWUXLTGEXDU-UHFFFAOYSA-N 413.524 4.721 5 20 HJBD CC(C)(C)c1noc(-c2ccccc2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)n1 466297664 KQUNSFYCUKOFBF-UHFFFAOYSA-N 414.849 4.526 5 20 HJBD C[C@@H](NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-])C1CCOCC1 466546287 SKPHMSGRWMWBNX-CYBMUJFWSA-N 420.918 4.944 5 20 HJBD CCc1ccc(C(=O)N2CCC(C(=O)c3ccc(Cl)cc3)CC2)cc1[N+](=O)[O-] 471787627 MCFVRHRPSGHTLU-UHFFFAOYSA-N 400.862 4.546 5 20 HJBD CC(C)(C)C[C@H](NC(=O)C(=O)Nc1cc(F)cc([N+](=O)[O-])c1)c1ccc(Cl)cc1 472613246 RFFCCUGPVKGQJA-KRWDZBQOSA-N 421.856 4.620 5 20 HJBD CC(C)c1cnc(S[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)n1C 476525078 OFEPXOYTFIFHAM-JTQLQIEISA-N 416.425 4.590 5 20 HJBD O=C(NCCCCc1ccc(F)c(F)c1)c1c([N+](=O)[O-])cccc1C(F)(F)F 479244988 BYHDTLCSIJXXKX-UHFFFAOYSA-N 402.319 4.645 5 20 HJBD Cc1c(CNC(=O)N(Cc2ccc(Br)cc2)C(C)C)cccc1[N+](=O)[O-] 480067471 ZMJYSUGOHWAEQS-UHFFFAOYSA-N 420.307 4.786 5 20 HJBD CCC[C@H](NCc1cccc(NC(=O)c2ccncc2)c1)c1cccc([N+](=O)[O-])c1 480912339 RTCIIYDGGCXLKA-QFIPXVFZSA-N 404.470 4.873 5 20 HJBD CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 480993455 QZJIKOUSRJOJQQ-BOUXLOLZSA-N 414.531 4.567 5 20 HJBD O=[N+]([O-])c1c(Sc2nnc(COc3ccc(Cl)cc3)o2)ccc2ncccc12 484946152 PELUZPAZUNNZQA-UHFFFAOYSA-N 414.830 4.910 5 20 HJBD Cc1cc(OC(F)F)c(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)s1 486703989 QVGVKDOHGXESQM-UHFFFAOYSA-N 410.320 4.515 5 20 HJBD CC(C)(C)c1cnc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)o1 487943177 HPSYFWYQSREWKA-UHFFFAOYSA-N 411.483 4.962 5 20 HJBD C[C@@H]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)C[C@@H]1n1ccnc1 490588464 RFTOWQFKZLCOSJ-UZLBHIALSA-N 422.510 4.666 5 20 HJBD Cc1ccc([C@H](C)NC(=O)C(=O)Nc2cccc(Oc3ccccc3)c2)cc1[N+](=O)[O-] 493952375 KGSNLZIFDPNEGK-INIZCTEOSA-N 419.437 4.511 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 497806850 DWTJNJPQZLBUEC-INIZCTEOSA-N 403.438 4.647 5 20 HJBD CC1CCN([C@@H](CNC(=O)c2c(Cl)cccc2[N+](=O)[O-])c2cccs2)CC1 498054479 NWECRLKELBEFBK-INIZCTEOSA-N 407.923 4.513 5 20 HJBD O=C(Nc1cc(Cl)ccc1N1CCSCC1)c1cc2cc([N+](=O)[O-])ccc2o1 499469856 JOSOKJOKTYUURY-UHFFFAOYSA-N 417.874 4.800 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)N[C@@H](C)c2ccc(SC(C)C)cc2)cc1OC 504695572 PNFZZUQPPKSMDD-AWEZNQCLSA-N 418.515 4.994 5 20 HJBD Cn1c([C@@H](NCc2c(F)cc([N+](=O)[O-])cc2F)c2ccccc2)nc2ccccc21 509120806 FPQIKIBSDXAQLX-NRFANRHFSA-N 408.408 4.639 5 20 HJBD CCCN(CC1CCCCC1)C(=O)c1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] 516545472 SXNAGOVUQGKDBZ-UHFFFAOYSA-N 400.422 4.637 5 20 HJBD CCOC(=O)c1ccnc(N2CC=C(c3cccc4ccccc34)CC2)c1[N+](=O)[O-] 523114452 JIDJKYCDGAFIQS-UHFFFAOYSA-N 403.438 4.613 5 20 HJBD Cc1ccc(-c2ncccc2NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)s1 526099204 QIENNMUOLMCXLO-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnn(CC[S@@](=O)c3cc(Cl)ccc3Cl)c2)c1 537558441 YXRYWTIWZWURSR-AREMUKBSSA-N 410.282 4.573 5 20 HJBD C[C@@H](NCC1(c2cccc(Br)c2)CCOCC1)c1ccc([N+](=O)[O-])cc1 539090451 JNBVVQVRBDUDOM-OAHLLOKOSA-N 419.319 4.756 5 20 HJBD CC(C)n1c(CNC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])nc2ccccc21 539432444 LWIVHYCUOTZLDL-UHFFFAOYSA-N 415.453 4.517 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3cncnc3-c3ccccc3)n2)cc1[N+](=O)[O-] 540469079 FEOYETLHOJXZDC-UHFFFAOYSA-N 417.450 4.736 5 20 HJBD CCCc1c(NC(=O)c2ccc(NC3CC3)c([N+](=O)[O-])c2)cnn1-c1cccc(F)c1 542418720 FTWSXEWJHSDHKO-UHFFFAOYSA-N 423.448 4.699 5 20 HJBD O=C1CCCN1Cc1cccc(-c2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)c1 545723408 ITXLVNRQRGAAMP-UHFFFAOYSA-N 420.450 4.649 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc2c(Cl)cccc2n1 552785567 OCSOJDURICXWEG-UHFFFAOYSA-N 417.874 4.787 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)Nc4ccc([N+](=O)[O-])cc4F)CC3)c2c1 554936211 RCFHWUSHZSWZIH-UHFFFAOYSA-N 410.405 4.545 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2ccc(Oc3cccnc3)cc2)cc1SC 558141609 OCFUQGQPIIJYQL-UHFFFAOYSA-N 411.439 4.765 5 20 HJBD C[C@@H](C(=O)NC(C)(C)c1ccccc1[N+](=O)[O-])c1cccc(C(=O)c2ccccc2)c1 561705921 XCPPHTUKJPFNTA-QGZVFWFLSA-N 416.477 4.981 5 20 HJBD CC(C)Oc1ccccc1[C@@H](C)NC(=O)c1ccnc(-c2ccccc2)c1[N+](=O)[O-] 562323990 NHMMCTZBULDKAR-MRXNPFEDSA-N 405.454 4.935 5 20 HJBD CNC(=O)c1cc(Oc2cccc(N[C@H](C)c3cc([N+](=O)[O-])ccc3C)c2)ccn1 562343052 ZWQMOWGLCWQYHG-OAHLLOKOSA-N 406.442 4.623 5 20 HJBD O=[N+]([O-])c1cccc(-c2cnc(CCN3CCCC[C@H]3c3nnc(C4CCC4)o3)o2)c1 573193577 IUKCALYBTCHSOV-SFHVURJKSA-N 423.473 4.670 5 20 HJBD C[C@@H](Nc1ccc([N+](=O)[O-])c(C(=O)N2CCCC2)c1)c1cccc(OC(F)F)c1 603943922 OVUSPHCNZMXLBW-CYBMUJFWSA-N 405.401 4.605 5 20 HJBD O=C(c1cc(NCc2ccnc(Oc3ccccc3)c2)ccc1[N+](=O)[O-])N1CCCC1 603943954 RHVBWYVLIGPDES-UHFFFAOYSA-N 418.453 4.630 5 20 HJBD Cc1ccc(Sc2ccc(CNCc3cn4ccccc4n3)cc2[N+](=O)[O-])cc1 604479813 PLRYOZPQQYUDGH-UHFFFAOYSA-N 404.495 4.992 5 20 HJBD CCN([C@@H](C)c1cccc(C(F)(F)F)c1)S(=O)(=O)c1ccc(C)c([N+](=O)[O-])c1 604493709 BHECHVVJSRFVKU-ZDUSSCGKSA-N 416.421 4.694 5 20 HJBD COc1ccccc1[C@@H]1CCN(C(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)C1 609982176 CLWVQMRIJBSZSN-GOSISDBHSA-N 417.465 4.977 5 20 HJBD Cn1cc(CNCCCCc2ccc([N+](=O)[O-])cc2)c(-c2cc3ccccc3o2)n1 610271426 DBPGLXXTULTBLM-UHFFFAOYSA-N 404.470 4.854 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CCOc2c(OC)cccc21 611469072 VZZMPULOTFJMIC-QGZVFWFLSA-N 416.499 4.749 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 613229240 OCPLPZCTPNRWRL-UNWVZKJWSA-N 410.514 4.790 5 20 HJBD C[C@H](C(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 617753184 AYVJEAXTORAZNM-HOTGVXAUSA-N 402.878 4.669 5 20 HJBD Cc1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)cc1Cl 728460363 XYEUWVVADXXDQU-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)c1ccc(Cl)c([N+](=O)[O-])c1 731337761 YPUGDXRNMLKADV-UHFFFAOYSA-N 409.204 4.538 5 20 HJBD O=C(/C=C/c1ccc([N+](=O)[O-])cc1)Nc1cccc(C[S@@](=O)c2ccccc2)c1 734407074 YZNHPKMCBZOMLM-OZNATWPXSA-N 406.463 4.555 5 20 HJBD CN(C(=O)c1ccc(Cl)cc1)c1ccc(Oc2nc3ccccn3c2[N+](=O)[O-])cc1 742422167 UCDPGTDSDWEMPP-UHFFFAOYSA-N 422.828 4.965 5 20 HJBD COCc1cccc(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)c1 747040286 VFGMDDDMMRUDCR-MRXNPFEDSA-N 421.453 4.666 5 20 HJBD Cc1c(C(=O)Oc2ccc(Oc3ccccn3)cc2)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748624177 HAHCLBHUAQUZFE-UHFFFAOYSA-N 409.354 4.526 5 20 HJBD O=C(NC[C@@H]1CC2c3ccccc3C1c1ccccc12)c1cc(F)c(F)cc1[N+](=O)[O-] 750563476 IVXOFMSTBHLQLT-VTHXWJFOSA-N 420.415 4.900 5 20 HJBD Cc1ccc(Oc2ccccc2)c(NC(=O)COc2ccc(C=O)cc2[N+](=O)[O-])c1 753639999 IUSYOYSUPOEBFK-UHFFFAOYSA-N 406.394 4.526 5 20 HJBD C[C@@H](Sc1nc2ccccc2s1)C(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 762571409 QHMFMWHIUVDQLN-GFCCVEGCSA-N 401.513 4.737 5 20 HJBD CC[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 764351543 QNUXICIWKNPYNL-CQSZACIVSA-N 417.893 4.744 5 20 HJBD CCS[C@H]1CCCCN(Cn2nc(-c3ccc([N+](=O)[O-])cc3)n(CC)c2=S)C1 765009842 DZILMYONKNWXJP-KRWDZBQOSA-N 421.592 4.574 5 20 HJBD CC(C)(C)Oc1cccc(COC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)n1 768560413 TZUCFIIENDKISO-UHFFFAOYSA-N 413.455 4.648 5 20 HJBD COc1cccc(C(=O)OCc2coc(-c3ccc(C(F)(F)F)cc3)n2)c1[N+](=O)[O-] 769604979 PCSNEGNEUAOBFG-UHFFFAOYSA-N 422.315 4.634 5 20 HJBD CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1)c1nc(C(F)(F)F)cs1 769996587 DTNLISSXDQGSDU-SECBINFHSA-N 408.789 4.996 5 20 HJBD C[C@H](Oc1ccc2c(c1)CCC2)C(=O)O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776132020 HCDIINOTQOYEPP-QMHKHESXSA-N 418.449 4.579 5 20 HJBD O=[N+]([O-])c1ccc2nc(CSc3nn(-c4ccccc4)c(=S)s3)[nH]c2c1 776432433 CXVYRPQLMROQPU-UHFFFAOYSA-N 401.498 4.740 5 20 HJBD CC(C)OCCCC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 783714260 ZSNFYILALIJDBT-UHFFFAOYSA-N 420.849 4.611 5 20 HJBD O=C(NCc1ccnc2ccccc12)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 783983775 XNHMNRPCTLBBRG-UHFFFAOYSA-N 413.433 4.652 5 20 HJBD C/C(=C\c1cccc([N+](=O)[O-])c1)CN1CCC([C@H](C)NC(=O)OC(C)(C)C)CC1 787406577 QQADKVHPLWUDJF-MAUBAPBLSA-N 403.523 4.623 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COC(=O)[C@@H]2CCC(F)(F)C2)cc1OC 796370464 IFNCHFMENQPLAE-CHWSQXEVSA-N 401.406 4.507 5 20 HJBD CSc1ccc(C(=O)N[C@@H](c2ccccc2)[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 811548316 ORNKSEYIWCEDOG-LEWJYISDSA-N 408.479 4.521 5 20 HJBD C[C@@H]1C[C@@H](C)CN(Cc2ccccc2CNC(=O)c2cc(Cl)ccc2[N+](=O)[O-])C1 916086156 TZIOMDSKRQIPNY-HZPDHXFCSA-N 415.921 4.656 5 20 HJBD CN(C)c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2N2CCCCC2)cc1Cl 916729236 SXIBMZMUONKZNC-UHFFFAOYSA-N 402.882 4.557 5 20 HJBD Cc1nc(-c2ccc(CNc3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)s2)cs1 917016215 KDXGNXMAXJAOKI-UHFFFAOYSA-N 414.512 4.593 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccccc1C(=O)N[C@H](C)c1ccccc1 921277856 PTOSIBRBLRLZJQ-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD O=C1N[C@@H](c2ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o2)Nc2cc(F)c(F)cc21 1119249463 ZMDSYCFEAWIVEW-KRWDZBQOSA-N 405.744 4.641 5 20 HJBD O=C(c1[nH]c2ccc(Br)cc2c1Cl)N1Cc2ccc([N+](=O)[O-])cc2C1 1252191518 UQARFGIKUQXISD-UHFFFAOYSA-N 420.650 4.648 5 20 HJBD COc1ccccc1C1(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)CCC1 1318150750 BGKMAVMBTKEFRU-UHFFFAOYSA-N 409.467 4.787 5 20 HJBD CCOc1cc(-c2nnc(-c3cccc([N+](=O)[O-])c3C)o2)cc(OCC)c1OCC 1323793654 JTKXIOMILOEDKK-UHFFFAOYSA-N 413.430 4.816 5 20 HJBD O=[N+]([O-])c1cccc(-c2nnc(-c3ccccc3OCCOc3ccccc3)o2)c1 1324019415 RGRQRMUVVLVOHL-UHFFFAOYSA-N 403.394 4.770 5 20 HJBD Cc1ccc2c(c1)nc(CNCc1ccc(-c3ccc(Cl)cc3[N+](=O)[O-])o1)n2C 1325633818 CCTYLQUUNJZSLK-UHFFFAOYSA-N 410.861 4.993 5 20 HJBD O=c1c2ccccc2n(Cc2nnc(COc3ccc(Cl)cc3)o2)c2ccccc12 1326496509 AYMYBXOZYNPYOG-UHFFFAOYSA-N 417.852 4.818 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(Cn2c3ccccc3c(=O)c3ccccc32)o1 1329040279 VNTQLHKGVNSCSH-HNNXBMFYSA-N 415.424 4.865 5 20 HJBD O=C(CCC1CCCC1)NC1(c2ccc(NC(=O)c3ccc([N+](=O)[O-])[nH]3)cc2)CCC1 1790184488 OHPZCSCOKVQPJE-UHFFFAOYSA-N 424.501 4.641 5 20 HJBD CC(=O)N(c1nc(COc2cc(C)ccc2[N+](=O)[O-])cs1)c1ccccc1F 10346770 RMHPLUNZNMZABT-UHFFFAOYSA-N 401.419 4.762 5 20 HJBD Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 25374794 SGDQPGJVWNLBLU-LLVKDONJSA-N 418.858 4.955 5 20 HJBD CSc1ccc(NC(=O)[C@@H](C)OC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)cc1 25375032 FOEKMDORQQBLHQ-LLVKDONJSA-N 416.480 4.715 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)[C@@H](Nc1cccc(CO)c1)c1ccccc1 25427132 KKMAXMRPXRMHQZ-FQEVSTJZSA-N 411.845 4.532 5 20 HJBD CNC(=O)c1cccc(NC(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)c1C 41969108 KHZQWQONOGLJKK-UHFFFAOYSA-N 415.515 4.653 5 20 HJBD CCN(CC)[C@H](CNC(=O)c1cccc(C)c1[N+](=O)[O-])c1ccc(C(F)(F)F)cc1 65121788 IZLLBVDDOZRAMP-GOSISDBHSA-N 423.435 4.735 5 20 HJBD Cc1c(NC(=O)c2ccccc2)cccc1NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1 65579984 HKRKYAZIWSMECZ-UHFFFAOYSA-N 414.421 4.889 5 20 HJBD Cc1csc(Sc2ccc(C(=O)Nc3cnn(C(C)(C)C)c3)cc2[N+](=O)[O-])n1 65921992 PGSQWQKOHSTWMQ-UHFFFAOYSA-N 417.516 4.715 5 20 HJBD Cc1ccc(CCC2CCN(C(=O)c3ccn(-c4cccc([N+](=O)[O-])c4)n3)CC2)cc1 106203641 FIRJQBTZLXTLCQ-UHFFFAOYSA-N 418.497 4.574 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC[C@@H](c3nc(-c4cccc(F)c4)no3)C2)c2ccncc12 110025459 ZQJWCTRNBCRFMN-OAHLLOKOSA-N 419.416 4.716 5 20 HJBD Cc1cc(Sc2nnc(-c3ccccc3C)o2)nc(-c2ccc([N+](=O)[O-])cc2)n1 301121079 RPGBEDTXZNXLKH-UHFFFAOYSA-N 405.439 4.870 5 20 HJBD O=[N+]([O-])c1ccc(Nc2ccc(NC3CCOCC3)cc2)nc1OCc1ccccc1 302162607 HZZLPKOLIFKHOH-UHFFFAOYSA-N 420.469 4.903 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H](c2ccc(F)cc2)C2CCC2)cc1[N+](=O)[O-] 303193822 GCPHREJFMODVCG-OAQYLSRUSA-N 418.490 4.633 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)NCc3nc4ccc([N+](=O)[O-])cc4[nH]3)c2)o1 432978069 QLOCJUJXKQZGFV-UHFFFAOYSA-N 405.414 4.670 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(OCC(F)F)c(C(F)(F)F)c2)c1 436280612 MUOJHJYYLLIRCA-UHFFFAOYSA-N 420.290 4.518 5 20 HJBD CCCN(C(=O)c1csc(-c2ccc3c(c2)OCO3)n1)c1cccc([N+](=O)[O-])c1 441463142 DRGDEOLNRGJZAH-UHFFFAOYSA-N 411.439 4.504 5 20 HJBD O=C(NCc1nc2ccc(F)cc2[nH]1)c1ccccc1Sc1ccccc1[N+](=O)[O-] 442687719 KBEMZKVXOVEDJI-UHFFFAOYSA-N 422.441 4.691 5 20 HJBD CC(C)Sc1ccc([C@@H](C)NC(=O)NCc2nc3ccc([N+](=O)[O-])cc3[nH]2)cc1 445022790 QOWDMGCIUAYWLP-CYBMUJFWSA-N 413.503 4.532 5 20 HJBD O=C(Cc1noc(-c2cc(Cl)cc([N+](=O)[O-])c2)n1)Nc1cccc2ccccc12 445333198 NHOOKRLYZLYAMB-UHFFFAOYSA-N 408.801 4.633 5 20 HJBD COc1cccc(Oc2ccc(CN[C@H]3CCCc4ccc([N+](=O)[O-])cc43)cn2)c1 447564223 VXYKCIOABUBSFR-QFIPXVFZSA-N 405.454 4.958 5 20 HJBD C[S@@](=O)C[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1)c1ccccc1 462790926 WJCISEAATRPIHT-WHLCRQNOSA-N 418.902 4.718 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463671331 VOIDDKPAYSACOR-HWPZZCPQSA-N 416.783 4.773 5 20 HJBD CSc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1Cl 474770098 FKXCOKVNHCMKCU-UHFFFAOYSA-N 401.669 4.985 5 20 HJBD C[C@@H](C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1)c1ccc([N+](=O)[O-])cc1F 478782290 NTTRZQMCFUOTDU-MRVPVSSYSA-N 424.816 4.787 5 20 HJBD COc1cc(C(=O)Nc2cccc(C(=O)Nc3cccc(F)c3)c2)cc([N+](=O)[O-])c1C 478877791 YMDYHWBKJNEGTD-UHFFFAOYSA-N 423.400 4.556 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N(C)CCCc1cc(-c2ccccc2)n[nH]1 483180601 IQCSEKBOHDNPGP-UHFFFAOYSA-N 424.526 4.802 5 20 HJBD CCN1C(=O)c2cc(Cl)ccc2N[C@@H]1c1csc(-c2cccc([N+](=O)[O-])c2)n1 500271362 WRFKJABOLRSGNW-KRWDZBQOSA-N 414.874 4.958 5 20 HJBD CCSc1nnc(SCc2cc(OC)c(OCC(F)F)cc2[N+](=O)[O-])s1 502888835 PRMXYAJGDNQDRH-UHFFFAOYSA-N 423.488 4.503 5 20 HJBD COc1cc(CNC(=O)Nc2cc([N+](=O)[O-])ccc2C)ccc1OCc1ccccc1 503849950 WXKWFRYAOWROCZ-UHFFFAOYSA-N 421.453 4.813 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2nnc(CC3CCCCC3)s2)cc1SC 504650751 RKEBQZWIYOAIPV-UHFFFAOYSA-N 422.532 4.552 5 20 HJBD CCOc1ccccc1[C@@H](CC)NC(=O)Cn1c2ccccc2c(=O)c2ccccc21 505936074 BJJSXVFYBYHAPT-OAQYLSRUSA-N 414.505 4.821 5 20 HJBD Cc1c(I)cc([N+](=O)[O-])cc1C(=O)N(c1ccccc1)C(C)C 509572597 XVLOEYXQPPKUFI-UHFFFAOYSA-N 424.238 4.563 5 20 HJBD COc1ccc(CNC(=O)N(C)Cc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 510498127 YNYVZFPAQGUTGU-UHFFFAOYSA-N 405.454 4.612 5 20 HJBD O=C(Cc1cccc(OCc2ccc(Cl)cc2)c1)NCCc1ccc([N+](=O)[O-])cc1 517981185 QKBSFSVUABAIPN-UHFFFAOYSA-N 424.884 4.729 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CN[C@@H](c3ccc4c(c3)OCCO4)C3CC3)o2)cc1 520201691 ACUVRSVJCMJWCP-HSZRJFAPSA-N 406.438 4.867 5 20 HJBD COc1ccc2oc([C@@H](C)Nc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])c(C)c2c1 521644407 JNTLZRMGDKLAGC-CQSZACIVSA-N 411.458 4.969 5 20 HJBD C[C@H]1SCCN(C(=O)CCOc2ccc(Cl)cc2[N+](=O)[O-])[C@H]1c1ccccc1 522807374 WPXADXOFELEZAS-JLTOFOAXSA-N 420.918 4.722 5 20 HJBD CCOc1ccc(C(=O)Nc2c(C)cnn2[C@@H]2CCCc3ccccc32)cc1[N+](=O)[O-] 522872071 UXNORZXBEBWXIM-LJQANCHMSA-N 420.469 4.676 5 20 HJBD CCCN(C(=O)c1cc(OC)c(OC)c([N+](=O)[O-])c1)[C@H](C)c1cc2ccccc2o1 525538372 BGMFXDLNLJVCGC-CQSZACIVSA-N 412.442 4.972 5 20 HJBD COc1ccc(CC(=O)Nc2cccc(COc3ccccc3)c2C)cc1[N+](=O)[O-] 531071382 QPUANNJAJXEVSL-UHFFFAOYSA-N 406.438 4.672 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 534982658 MHHBPXYELPMKMH-JTQLQIEISA-N 424.404 4.571 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N[C@H](c2ccc(F)c(C)c2)C2CC2)cc1SC 536282736 YUXZUSCQBXFPKT-IBGZPJMESA-N 404.463 4.654 5 20 HJBD CN(C(=O)c1cc(-c2ccc(F)cc2)on1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 537149967 UOHDGZRNKXYSBV-UHFFFAOYSA-N 424.413 4.789 5 20 HJBD CCSc1cc(C(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)ccn1 537150290 MVAIINODTQOYIF-UHFFFAOYSA-N 400.485 4.502 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N(c1cccc(F)c1)C1CCOCC1 540718778 MTDLWXIYPCEPPO-UHFFFAOYSA-N 412.339 4.579 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCN[C@@H](Cc1ccccc1)c1cccs1 541595445 PJXGVBWEDDVBTR-FQEVSTJZSA-N 409.511 4.867 5 20 HJBD CCc1ccc([C@H](NCc2csc([C@@H](C)OC)n2)c2ccc([N+](=O)[O-])cc2)o1 542470530 FMMCFNWSQZAVRA-BFUOFWGJSA-N 401.488 4.793 5 20 HJBD CCCc1c(NC(=O)CCCNc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1 544029677 LGPDWQWYFRIPQY-UHFFFAOYSA-N 407.474 4.564 5 20 HJBD Cc1csc(Sc2ccc(-c3nc(Cc4ccccn4)no3)cc2[N+](=O)[O-])n1 546961399 JTQKPNVGNJWTIK-UHFFFAOYSA-N 411.468 4.547 5 20 HJBD COc1cc(Br)cc(C)c1NC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 559146386 BWUDWFWFHCVUSE-LLVKDONJSA-N 408.252 4.557 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(F)ccc1OCC1CC1 560099608 CMYUIKWOCRQQTA-UHFFFAOYSA-N 423.238 4.846 5 20 HJBD CC(C)c1ccc(-c2nc(-c3ccc(CN4CCCC4=O)cc3)no2)cc1[N+](=O)[O-] 570364236 RVLCKLQWDVGEGI-UHFFFAOYSA-N 406.442 4.558 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)F)c1ccc(NC2CC2)c([N+](=O)[O-])c1 583050121 LUYCRXPCQYTVPB-UHFFFAOYSA-N 411.792 4.719 5 20 HJBD CSc1cccc(C(=O)NC[C@@H](OCC2CC2)c2ccc(Cl)cc2)c1[N+](=O)[O-] 583950922 DJNDSPFTXBVEMP-QGZVFWFLSA-N 420.918 4.868 5 20 HJBD O=[N+]([O-])c1cc(C(F)(F)F)ccc1CNc1cccc(CN2CCSCC2)c1 600854814 ZBBBJIUOUJEXJN-UHFFFAOYSA-N 411.449 4.775 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N3CCCCC[C@@H]3c3ccco3)cc2)c(C)c1[N+](=O)[O-] 609909253 YPTARRMFFOYVKU-HXUWFJFHSA-N 422.485 4.807 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)NCCCCc3ccc([N+](=O)[O-])cc3)c(C)c2c1 610047137 KEYYTRMMUOXGBW-UHFFFAOYSA-N 422.481 4.924 5 20 HJBD CN(CCOC(C)(C)C)[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 610859844 PUVOBGYFLNKLPD-IBGZPJMESA-N 419.909 4.675 5 20 HJBD Cc1ccc(NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2F)c(Br)c1 613527132 ZTEZDEANUREVLQ-JTQLQIEISA-N 413.268 4.924 5 20 HJBD CCC(CC)(CNC(=O)c1cc(Cl)ccc1[N+](=O)[O-])N[C@H](C)c1ccccc1 733266364 BXDODVSLJOWZOL-OAHLLOKOSA-N 403.910 4.888 5 20 HJBD N=C(NOCc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(Oc2ccc(F)cc2)nc1 734834513 TYDXPRFJNAKHLR-UHFFFAOYSA-N 416.796 4.621 5 20 HJBD Cc1ccc(-c2nc(C(=O)OCc3cnc(-c4ccc([N+](=O)[O-])cc4)o3)cs2)o1 735443300 LKPFAGCEULUQPZ-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])cc2c1OCOC2)c1cccc(Nc2ccccc2)c1 735723125 IVIZWXRKCPEQEE-UHFFFAOYSA-N 406.394 4.562 5 20 HJBD O=C(Nc1ccc(OC(=O)c2cccc3cc[nH]c23)cc1)c1cccc([N+](=O)[O-])c1 742497131 QAXHMYZADXUHCV-UHFFFAOYSA-N 401.378 4.548 5 20 HJBD C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)C(=O)Cn1ncc2ccc([N+](=O)[O-])cc21 742840665 OPWJFKPCAVDIIZ-KRWDZBQOSA-N 414.465 4.831 5 20 HJBD Cc1ccc(-c2noc([C@@H](C)OC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)n2)cc1F 745073734 JXDQRCYBBYRBSW-CYBMUJFWSA-N 413.405 4.674 5 20 HJBD C[C@@H](Nc1ccc(OC2CCC2)c(F)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 748267613 RWHAVJIGUKONAY-LLVKDONJSA-N 407.829 4.758 5 20 HJBD CCN(CC)C(=O)Nc1ccc([C@@H](C)NC(=O)c2cccc(Cl)c2[N+](=O)[O-])cc1 749908698 KKBRZCOBXBHBGX-CYBMUJFWSA-N 418.881 4.613 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(CC(C)C)C[C@@H](O)c2ccc(F)cc2)cc1[N+](=O)[O-] 753034872 DGVGWSWTURUBAC-IIBYNOLFSA-N 417.481 4.505 5 20 HJBD O=C(C=C1CCC1)O[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1ccccc1 756722244 CNARTLKQVMUIJZ-IBGZPJMESA-N 400.818 4.582 5 20 HJBD COc1c(Cl)cc(NC(=O)c2cccc([N+](=O)[O-])c2Br)cc1Cl 758206077 GECYCXPFGTUEEU-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD CC[C@@H](C)n1ncc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)c1C1CC1 760471828 SRTAZNWRTRNCKC-CYBMUJFWSA-N 410.430 4.652 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)O[C@@H](c2ccccc2)c2nccs2)cc1SC 770350746 IGLHWUSPGGJXDH-KRWDZBQOSA-N 416.480 4.728 5 20 HJBD C[C@H](N[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)c1ccc(-c2ccncc2)cc1 775526136 GMFSIIPQOWEQGZ-LSDHHAIUSA-N 424.888 4.988 5 20 HJBD O=C(Cc1ccccc1C(F)(F)F)O[C@@H](c1cccnc1)c1ccccc1[N+](=O)[O-] 776134288 AMDVUIKHNPTYSW-FQEVSTJZSA-N 416.355 4.884 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@@H](C)SCCCn1c(=O)oc2ccc([N+](=O)[O-])cc21 778624743 QNYDAFHGKQNZLQ-CYBMUJFWSA-N 417.443 4.604 5 20 HJBD Cc1sc(Br)c(C(=O)OCc2nc3cc([N+](=O)[O-])ccc3o2)c1C 799544308 LUENMDPKCRNJSX-UHFFFAOYSA-N 411.233 4.534 5 20 HJBD Cc1c([N+](=O)[O-])ccc(F)c1NC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 800148853 JWFFYOODHREIGB-UHFFFAOYSA-N 418.812 4.707 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)c2cc([N+](=O)[O-])c(F)cc2N)cc1F 801838316 ORYNONDKUSOCQZ-UHFFFAOYSA-N 415.352 4.508 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc(C(C)(C)c2ccc([N+](=O)[O-])cc2F)n1 809277750 YUWZWOVRHCVXOY-UHFFFAOYSA-N 422.416 4.997 5 20 HJBD O=C(Nc1cccc([N+](=O)[O-])c1Cl)N1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 809913818 SOBDLLXOLJUGBP-OAHLLOKOSA-N 414.220 4.646 5 20 HJBD CCOc1ccc([C@H](O)C2CCN(Cc3cc(Cl)ccc3[N+](=O)[O-])CC2)cc1 812776865 QXCLWMUAVHGAQG-NRFANRHFSA-N 404.894 4.593 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(F)c(NC(=O)OC(C)(C)C)c1 813292029 IUKKZUIGNKLNGV-UHFFFAOYSA-N 403.410 4.896 5 20 HJBD O=C(CSc1ccccc1[N+](=O)[O-])O[C@@H](C(=O)c1ccccc1)c1ccccc1 819006853 NMKASVVFEHUCGV-JOCHJYFZSA-N 407.447 4.854 5 20 HJBD CCOc1cc(C(=O)OCc2cc(Cl)cc3cccnc23)c([N+](=O)[O-])cc1OC 914885960 DSAMOMQLLVGNDP-UHFFFAOYSA-N 416.817 4.561 5 20 HJBD COc1c([N+](=O)[O-])cc(NCc2ccc(C)cc2C)c([N+](=O)[O-])c1Br 917085174 DZJZNIYKUBJBDP-UHFFFAOYSA-N 410.224 4.503 5 20 HJBD C[C@H](NC(=O)c1cc([N+](=O)[O-])ccc1NCc1ccccc1)c1cccc([N+](=O)[O-])c1 917701908 RDMQTAROLIKPIN-HNNXBMFYSA-N 420.425 4.606 5 20 HJBD CC(C)n1ncc2c(C(=O)Oc3cc(Cl)ccc3[N+](=O)[O-])cc(C3CC3)nc21 921138737 AUBYONWQJBSLSU-UHFFFAOYSA-N 400.822 4.670 5 20 HJBD O=[N+]([O-])c1ccccc1OCc1nnc(C2(c3ccc(F)cc3F)CCCC2)o1 1328230468 BXCVURMEMSYMAO-UHFFFAOYSA-N 401.369 4.695 5 20 HJBD Cc1cc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)c(C)n1Cc1ccco1 1336559873 QOUOOSNGLHIFDC-OAQYLSRUSA-N 411.483 4.542 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@H]1CCCC[C@H]1C(F)(F)F 1343331756 SUZUAURXECWMIX-APWZRJJASA-N 403.400 4.819 5 20 HJBD CCN(Cc1ccc(C(=O)OCc2cnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1)C(C)C 1356030750 TYJCIFLCWDLNNM-UHFFFAOYSA-N 423.469 4.837 5 20 HJBD CCC(=O)Nc1ccc(C2(NC(=O)c3cc(Cl)cc([N+](=O)[O-])c3C)CCC2)cc1 1787669657 XLGUYFZMVGIRCD-UHFFFAOYSA-N 415.877 4.714 5 20 HJBD CSc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccc3ccccc3c2)cc1[N+](=O)[O-] 5425502 KZPRQFGRATZHCJ-CYBMUJFWSA-N 410.451 4.654 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3cccc([N+](=O)[O-])c3)cc(-c3ccco3)nc21 11039265 JCZDCUMXZRENKR-UHFFFAOYSA-N 406.398 4.537 5 20 HJBD C[C@@H](OC(=O)Cc1cccc2ccccc12)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 11079525 FFPUXQJXVUINAL-CYBMUJFWSA-N 412.829 4.514 5 20 HJBD COc1cc(C(=O)Nc2cc(Cl)cc(Cl)c2)c([N+](=O)[O-])cc1OC(F)F 11768664 XDQVSABGTMLVQX-UHFFFAOYSA-N 407.156 4.764 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)CCSc1nnc(NC2CCCCC2)s1 26367169 JPCABQUNXMUNQR-UHFFFAOYSA-N 421.548 4.620 5 20 HJBD Cc1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)cc1NC(=O)c1cccc([N+](=O)[O-])c1C 55593161 BXDDFIOBXFLAQE-GJZGRUSLSA-N 409.486 4.582 5 20 HJBD CCOc1ccc(NC(=O)c2csc(-c3cccc([N+](=O)[O-])c3)n2)cc1OCC 64869838 ZNBXOHFQCQQVEC-UHFFFAOYSA-N 413.455 4.768 5 20 HJBD Cc1ccc(-n2nc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)c3c2CCC3)cc1 65864964 XHMTUEIBTDZMOA-UHFFFAOYSA-N 418.497 4.628 5 20 HJBD O=C(Nc1cc(C(F)(F)F)cc(C(F)(F)F)c1)c1n[nH]c2ccc([N+](=O)[O-])cc12 71885376 JQPCJMCUCUZXRA-UHFFFAOYSA-N 418.253 4.761 5 20 HJBD COc1ccc(CSCC(=O)N[C@@H]2CC(C)(C)Cc3oc(C)cc32)cc1[N+](=O)[O-] 107889307 SRYVDHAVJUKCIZ-MRXNPFEDSA-N 418.515 4.568 5 20 HJBD O=C(CCCNc1ccc([N+](=O)[O-])cc1)Nc1ccc(-c2cn3ccsc3n2)cc1 247341746 SJJNJBCWVSLWHX-UHFFFAOYSA-N 421.482 4.802 5 20 HJBD C[C@H](Nc1ccc(C(=O)Nc2nc3c(s2)CCCC3)cc1[N+](=O)[O-])c1ccccn1 302936133 AETXMOZYJVNHKG-ZDUSSCGKSA-N 423.498 4.751 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](C)c2cccc(NC(=O)c3ccccc3)c2)c1 304004572 KOSUQXWCVVJCME-MRXNPFEDSA-N 403.438 4.647 5 20 HJBD C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)c1ccc(Br)cc1[N+](=O)[O-] 430616727 HGWWQNVFNRQDSP-DGCLKSJQSA-N 407.239 4.515 5 20 HJBD Cc1ccc(NC(=O)NC(C)(C)c2ccc([N+](=O)[O-])cc2)cc1NC(=O)C(C)(C)C 431194636 UNXLONFGNPOQLQ-UHFFFAOYSA-N 412.490 4.945 5 20 HJBD COc1ccc(NC(=O)c2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Br)c1 437160430 RFUFKVZUCINAAU-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD CC1(C)CN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC[C@H]1O 438520228 ZBTWPFZDCJOCCV-GOSISDBHSA-N 420.918 4.632 5 20 HJBD COC(=O)c1ccc(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])s1 440448963 YKFAJTYGWCGRSR-UHFFFAOYSA-N 414.464 4.846 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C(C)(C)O1 441295418 RIDGDONNOWZZLC-GOSISDBHSA-N 400.500 4.822 5 20 HJBD Cc1nc(-c2ccc(C(=O)NCCc3ccc(Cl)cc3[N+](=O)[O-])cc2)cs1 441296935 OVZOWGMYINSNMQ-UHFFFAOYSA-N 401.875 4.653 5 20 HJBD CCCN(C(=O)c1nc(C)n(-c2ccccc2C(C)C)n1)c1cccc([N+](=O)[O-])c1 441466037 BSPVFXLOOLCIML-UHFFFAOYSA-N 407.474 4.664 5 20 HJBD C[C@H]1CO[C@@H](c2cccc(Cl)c2)CN1C(=O)c1cc2cc([N+](=O)[O-])ccc2o1 444407679 FCYIXFRZIGIZKO-HXPMCKFVSA-N 400.818 4.597 5 20 HJBD COc1ccc(COCc2cccc(NC(=O)CCc3cccc([N+](=O)[O-])c3)c2)cc1 445909700 JQYUPBXDSNREIK-UHFFFAOYSA-N 420.465 4.892 5 20 HJBD CSc1ccc(C(=O)c2ccccc2C(=O)Nc2ccc(C)nc2C)cc1[N+](=O)[O-] 446436383 QHHAJQRNUFEFAP-UHFFFAOYSA-N 421.478 4.812 5 20 HJBD O=[N+]([O-])c1cnc(C(F)(F)C(F)(F)F)cc1N1CCC(c2ccc(O)cc2)CC1 447671204 PNWBENJLSSMYTD-UHFFFAOYSA-N 417.334 4.734 5 20 HJBD C[C@@H](Nc1cc(C(F)(F)C(F)(F)F)ncc1[N+](=O)[O-])[C@@H]1COc2ccccc21 447685634 AJKZJVPQHBOCSD-KOLCDFICSA-N 403.307 4.621 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 462491163 QOKKLVJTAMCLPA-DYESRHJHSA-N 406.486 4.712 5 20 HJBD Cc1c(F)ccc2sc(NC(=O)Cn3c4ccccc4c(=O)c4ccccc43)nc12 465261463 PLVWFXMVNZJNTF-UHFFFAOYSA-N 417.465 4.851 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)Nc3cc([N+](=O)[O-])ccc3OCC)c12 466517461 JBPXDCLOYUHXHY-UHFFFAOYSA-N 410.430 4.612 5 20 HJBD Cc1ccc(Cl)c(N(Cc2cccnc2)C(=O)Cc2ccc([N+](=O)[O-])cc2F)c1 466629412 SIBGHBCIPAACAB-UHFFFAOYSA-N 413.836 4.867 5 20 HJBD O=C(NCc1cc(Cl)cc(Cl)c1OC(F)F)c1ccc(F)cc1[N+](=O)[O-] 466631829 AQRHPOHFFYYOHB-UHFFFAOYSA-N 409.147 4.572 5 20 HJBD O=[N+]([O-])c1cccc(CCN[C@@H](c2cccc(Br)c2)C2CCOCC2)c1 467389575 LCFOSGNHHIDLTR-HXUWFJFHSA-N 419.319 4.657 5 20 HJBD CSc1cccc(C(=O)Nc2cc(F)cc(F)c2Br)c1[N+](=O)[O-] 468299332 SDLNSGFRZTUPSN-UHFFFAOYSA-N 403.204 4.610 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc(C)c(C(=O)N2CCCCC2)c1 470487437 PEELJEXWHMLONN-LJQANCHMSA-N 423.513 4.737 5 20 HJBD COc1cc(C(=O)N(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)c([N+](=O)[O-])cc1OC 474737805 OJSGBRGESQGYMX-QWHCGFSZSA-N 406.866 4.530 5 20 HJBD C[C@@H]([C@H](C)c1ccc(Cl)cc1)N(C)C(=O)c1cnn(-c2ccc([N+](=O)[O-])cc2)c1 474744790 YZQWNQWLYSTWJP-GJZGRUSLSA-N 412.877 4.698 5 20 HJBD O=C(N[C@H]1CCCc2ccc(F)cc21)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 477480696 XCRBFCVMKVCZHY-FQEVSTJZSA-N 422.406 4.906 5 20 HJBD COc1cc([C@@H](C)Nc2ccc([N+](=O)[O-])c(OC(C)(C)C)c2)cc(OC)c1OC 484941549 BFWOGZTWYJWWOK-CYBMUJFWSA-N 404.463 4.971 5 20 HJBD CC(=O)c1ccc(CNC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)nc1C 497467053 SJXPJUFMLMKGFK-UHFFFAOYSA-N 421.478 4.582 5 20 HJBD O=C(NCCc1ccc(OCc2ccccc2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497635635 BFCMRJHNBZZNMP-UHFFFAOYSA-N 410.857 4.800 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1 498992748 YQCARGTVAKBYTL-UHFFFAOYSA-N 401.894 4.513 5 20 HJBD CC1CCN(CCCNC(=O)c2cc([N+](=O)[O-])ccc2Sc2ccccc2)CC1 503230599 FTPJOUIGYBBZAA-UHFFFAOYSA-N 413.543 4.598 5 20 HJBD O=C(N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)c1c[nH]c2cc([N+](=O)[O-])ccc12 503877346 SFSSWHLRNXVARL-NRFANRHFSA-N 420.856 4.838 5 20 HJBD CC(=O)Nc1cccc(Nc2nnc(SCc3c(F)cccc3[N+](=O)[O-])s2)c1 504512892 LCKSBHKAVCWSQP-UHFFFAOYSA-N 419.463 4.580 5 20 HJBD CN(Cc1ccccc1-c1ccccc1)C(=O)c1ccn(-c2cccc([N+](=O)[O-])c2)n1 506542185 PTBZLJAQRTWKGJ-UHFFFAOYSA-N 412.449 4.720 5 20 HJBD O=C(COc1ccc([N+](=O)[O-])cc1F)N[C@H](c1ccccc1)c1cccc(Cl)c1 510185160 KZQNIPMAHMBSGC-OAQYLSRUSA-N 414.820 4.672 5 20 HJBD CCOC(=O)c1sc(-c2ccccc2)cc1NC(=O)c1csc([N+](=O)[O-])c1 514452207 MRQOUOKTKFTKCJ-UHFFFAOYSA-N 402.453 4.814 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)c1cc(F)cc([N+](=O)[O-])c1 517557524 LARQASNIXOSERC-UHFFFAOYSA-N 411.433 4.874 5 20 HJBD Cc1cc(-c2csc(NC(=O)[C@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])n2)c(C)o1 518857126 KLZGCJGNIFVLMM-NSHDSACASA-N 421.862 4.988 5 20 HJBD C[C@@H](Oc1ccc(Cl)cc1[N+](=O)[O-])C(=O)Nc1ccccc1NCC(F)(F)F 522154178 PRXJXIADAWZBKQ-SNVBAGLBSA-N 417.771 4.628 5 20 HJBD Cc1cccc(C(=O)Nc2cccc(C[S@@](=O)C3CCCCC3)c2)c1[N+](=O)[O-] 523959929 OMSJDZVGZPCLSJ-MUUNZHRXSA-N 400.500 4.737 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1[C@H](C)NCc1ccc(-n2ccc(C(F)(F)F)n2)cc1 532463321 TZWQPBOHFKZQHI-AWEZNQCLSA-N 404.392 4.959 5 20 HJBD CC(C)c1nc([C@H](C)NC(=O)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)n[nH]1 535681465 PMJORCJGWHMDMM-ZDUSSCGKSA-N 410.434 4.511 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)Nc1ccccc1OCCc1ccccc1 536149164 CUHMKUUBFKYDRK-UHFFFAOYSA-N 415.449 4.879 5 20 HJBD C[C@@H](Nc1ccc(C(=O)Nc2cncc3ccccc23)cc1[N+](=O)[O-])c1ccccn1 536241111 WRFRNGOEJQZQMD-OAHLLOKOSA-N 413.437 4.963 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NC[C@H](c1cccs1)N1CCCC1 538649470 OZUQTSYLPINZCU-QGZVFWFLSA-N 419.572 4.724 5 20 HJBD O=C(Nc1ccccc1-c1nc[nH]n1)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1 540000009 YDJJXYDRNSIRFG-UHFFFAOYSA-N 417.450 4.783 5 20 HJBD C[C@@H]1CN(C(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)C[C@@H](c2ccsc2)O1 542514139 XDCFYJFFFNHODW-BMIGLBTASA-N 415.393 4.669 5 20 HJBD Cc1cc(-c2nc(C3CC3)no2)ccc1NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 543226169 MGLTYUXFMVBCEW-UHFFFAOYSA-N 412.833 4.665 5 20 HJBD C[C@H](NC1(CNc2ccc([N+](=O)[O-])cc2OC(F)F)CCOCC1)c1ccccc1 544846937 AZPZOTUYQGPBAD-HNNXBMFYSA-N 421.444 4.508 5 20 HJBD O=[N+]([O-])c1cc(-c2nc(-c3ccccc3Cn3cccn3)no2)cc(C(F)(F)F)c1 546296147 VBTNWXMTPPCSPX-UHFFFAOYSA-N 415.331 4.575 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N1CCC[C@@H](c2nc3ccccc3s2)C1 547752878 ASNDEPQEHVTKFK-CYBMUJFWSA-N 412.471 4.625 5 20 HJBD CCCC(=O)Nc1cccc(CNC(=O)Nc2ccc([N+](=O)[O-])c(C(F)(F)F)c2)c1 547788734 GJQQEYOTDCGDSE-UHFFFAOYSA-N 424.379 4.674 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1NCc1ccccc1)N1CCC=C(c2ccco2)C1 560991935 SYXHJDVQWWZHHC-UHFFFAOYSA-N 403.438 4.729 5 20 HJBD CSc1cccc(-c2nc(Cn3c(C(C)C)nc4ccccc43)no2)c1[N+](=O)[O-] 561915872 KILJNUXGCLPQDO-UHFFFAOYSA-N 409.471 4.888 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(F)(c2cccnc2)CC1 570991157 UMYILERMZZNNFG-UHFFFAOYSA-N 417.506 4.839 5 20 HJBD CCc1onc(-c2ccccc2)c1-c1nc(CCNc2ccccc2[N+](=O)[O-])no1 573165505 DWJCLDAQWGZVMF-UHFFFAOYSA-N 405.414 4.517 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1c(Cl)ccc2c1CCO2 579620972 KZPVXYYYDMDLRR-UHFFFAOYSA-N 411.639 4.506 5 20 HJBD CN(C)CC(C)(C)CNC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 599248252 YTYHLKLPQHBRBB-UHFFFAOYSA-N 421.950 4.717 5 20 HJBD CCNc1ccc(C(=O)Nc2ccnn2Cc2cccc3ccccc23)cc1[N+](=O)[O-] 603759650 OHOBIFCGFFWWGE-UHFFFAOYSA-N 415.453 4.677 5 20 HJBD Cc1ccc([N+](=O)[O-])c(OCC(=O)N2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)c1 603905515 BHNIYDWYQTYNQO-MRXNPFEDSA-N 409.269 4.953 5 20 HJBD CCOc1cc(NC(=O)c2ccc(CSCC)cc2)c([N+](=O)[O-])cc1OCC 603952882 MNFCZWKYQNLWTB-UHFFFAOYSA-N 404.488 4.898 5 20 HJBD C[C@H]1C[C@@H](C)CN(c2ccc(C(=O)Nc3cccc(-n4ccnc4)c3)cc2[N+](=O)[O-])C1 603964205 WUKCRBMPPOSIKH-CALCHBBNSA-N 419.485 4.515 5 20 HJBD CCCOc1ccc(NC(=O)CSCc2ccc(OC)c([N+](=O)[O-])c2)cc1Cl 603993778 PKTPHIFPBFCFDN-UHFFFAOYSA-N 424.906 4.918 5 20 HJBD C[C@H]1Cc2ccccc2N1C1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)CC1 604484860 BHNMJGSIGIEKAJ-AWEZNQCLSA-N 414.893 4.696 5 20 HJBD Cc1noc(-c2ccc(C)c(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)c2)n1 604517992 RHSIRLQDCVUCJD-UHFFFAOYSA-N 421.438 4.637 5 20 HJBD Cc1nc(-c2ccccc2)sc1CNC(=O)c1ccc(NC2CC2)c([N+](=O)[O-])c1 608954151 GHUGGYBEEIPZKV-UHFFFAOYSA-N 408.483 4.531 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC(OC(C)C)CC3)cc2[N+](=O)[O-])n1 609331316 YRQBXCBTKUMZER-UHFFFAOYSA-N 421.544 4.541 5 20 HJBD CC(C)[C@H](NC(=O)C1CCN(c2ccccc2[N+](=O)[O-])CC1)c1ccccc1Cl 609645481 FUQKSXLYGJKIDE-NRFANRHFSA-N 415.921 4.978 5 20 HJBD O=C(c1cc2cc(Br)ccc2o1)N1CCCc2ccc([N+](=O)[O-])cc21 609860127 RGEFAGBPASTXCJ-UHFFFAOYSA-N 401.216 4.697 5 20 HJBD O=C(N[C@H](CC(F)(F)F)c1ccccc1)c1ccc([N+](=O)[O-])cc1Br 610041679 OODYZIPSTSAPQL-CQSZACIVSA-N 417.181 4.781 5 20 HJBD C[C@H]1CSc2ccccc2N(C(=O)c2cc(Br)cc([N+](=O)[O-])c2)C1 611093663 VZDVMMQQFPTTBS-LLVKDONJSA-N 407.289 4.746 5 20 HJBD CN(C)[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1SC1CCCC1)c1ccsc1 611200024 DAGJZUBSZZQRSH-SFHVURJKSA-N 419.572 4.724 5 20 HJBD CCn1ccc(CN(C)C(=O)c2ccc(Sc3nc(C)cs3)c([N+](=O)[O-])c2)c1 616482832 MUPYMQNISADINQ-UHFFFAOYSA-N 416.528 4.605 5 20 HJBD Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(F)cc3c(=O)c4cc(F)ccc4[nH]c13)CC2 681991532 PUARCQYHPZEOMU-FQEVSTJZSA-N 404.416 4.685 5 20 HJBD COc1ccc([C@H](OC(=O)c2ccc(Cl)cc2[N+](=O)[O-])[C@H](C)n2cccn2)cc1 734085693 SXNPKLKFBKUGIB-ORAYPTAESA-N 415.833 4.613 5 20 HJBD C[C@H](OC(=O)c1cc(O)nc2ccc([N+](=O)[O-])cc12)c1ccc(Br)cc1 735398744 LVGMUMZNKWOURV-JTQLQIEISA-N 417.215 4.529 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)Cn1[nH]c(-c2cc(F)cc(F)c2)nc1=S 742146673 VYMJEVFPCJFPLC-UHFFFAOYSA-N 419.457 4.662 5 20 HJBD CC[C@@H](NCc1csc(-c2cccc([N+](=O)[O-])c2)n1)[C@@H](O)c1c(F)cccc1F 742713370 IQDFUVDOSQZMRH-IEBWSBKVSA-N 419.453 4.598 5 20 HJBD C[C@@H](NC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1)c1nc(-c2ccc(Cl)cc2)no1 743068724 LZKRRMXFZMMBFG-SECBINFHSA-N 422.228 4.834 5 20 HJBD Cc1cc(NC(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)ccc1N1CCSCC1 749821824 UVKXMQOUJGMCSY-OAHLLOKOSA-N 417.556 4.576 5 20 HJBD CC(=O)c1sc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc1-c1ccccc1 749879509 JXMXLYOTQLJBRB-UHFFFAOYSA-N 401.831 4.827 5 20 HJBD Cc1nc(-c2ccccc2)oc1C(=O)O[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 750948454 UMIJRCAQJGYZKM-ZDUSSCGKSA-N 420.381 4.526 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(CCNC(=O)c2ccc(Cl)cc2[N+](=O)[O-])cc1 755670273 FRAQAYFYDYNPCW-UHFFFAOYSA-N 419.865 4.568 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)N[C@@H]1CC2(CCC2)Oc2ccccc21 757824801 VQMNPAYUETWNFM-OAQYLSRUSA-N 424.500 4.717 5 20 HJBD O=C(Nc1ccc(Cc2ccncc2)cc1)c1cccc([N+](=O)[O-])c1Br 757914115 CKHJAGUVXQMFLF-UHFFFAOYSA-N 412.243 4.595 5 20 HJBD O=C(N[C@@H](CCO)c1cccs1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 761941930 SONOINMTRTZCBY-KRWDZBQOSA-N 414.508 4.661 5 20 HJBD CC(=O)Nc1sc(NC(=O)c2cccc(Cl)c2[N+](=O)[O-])nc1-c1ccccc1 775282356 ILSLSVMLOSANPY-UHFFFAOYSA-N 416.846 4.582 5 20 HJBD Cc1nc(-c2cccc(NC(=O)Cc3cc(F)c(Cl)cc3[N+](=O)[O-])c2)[nH]c1C 790574922 UJVXUGCKQNYABD-UHFFFAOYSA-N 402.813 4.575 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cc(Cl)nc(Cl)c1 790962965 MWZUGCDVAFBGJL-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD Cc1ccc(-c2ccc(C)c(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])c2)o1 794096863 KFJVBJMTLBSFMN-UHFFFAOYSA-N 409.398 4.951 5 20 HJBD CC(C)(C(=O)N(Cc1ccccc1)C[C@H](O)c1ccccc1)c1ccc([N+](=O)[O-])cc1 804412667 XYSXFZHQKPNQEO-QHCPKHFHSA-N 418.493 4.635 5 20 HJBD COc1ccccc1[C@H](NC(=O)Nc1cccc([N+](=O)[O-])c1Cl)c1ccco1 809922865 SJVOTSKHLPSPIG-SFHVURJKSA-N 401.806 4.761 5 20 HJBD CC[C@@H]1Oc2cc([C@H](C)NCc3cc(Cl)cc(Cl)c3[N+](=O)[O-])ccc2NC1=O 809971972 ATCZHBVPWOOSFR-QFYYESIMSA-N 424.284 4.862 5 20 HJBD Cc1c(Br)cc(C(=O)Nc2ccc(O)c(COC(C)C)c2)cc1[N+](=O)[O-] 811593211 BVLPDCJRBHXPOR-UHFFFAOYSA-N 423.263 4.549 5 20 HJBD O=C(NCc1ccnc(N2CCCCCC2)c1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812983308 LTCBAMYWHXTOJX-UHFFFAOYSA-N 423.300 4.607 5 20 HJBD Cc1c(C(=O)OCC(=O)N2c3ccccc3CCc3ccccc32)cccc1[N+](=O)[O-] 864114048 HOKJXOLKDWLCQH-UHFFFAOYSA-N 416.433 4.524 5 20 HJBD Cc1c(C(=O)N(C2CC2)[C@H](C)c2ccc(Cl)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 914480112 LXGXVSCDIOTSTG-GFCCVEGCSA-N 403.822 4.831 5 20 HJBD CC(C)N(Cc1ccc(Br)cc1)C(=O)/C=C/c1ccc([N+](=O)[O-])cc1 914504372 AUEJHSXMCKUWIG-KPKJPENVSA-N 403.276 4.808 5 20 HJBD CCOc1cccc2cc([C@H](C)Nc3ccc([N+](=O)[O-])cc3C(=O)NC3CC3)oc12 917014604 YOCHLQCXWWZTPV-ZDUSSCGKSA-N 409.442 4.805 5 20 HJBD Cn1cc(/C=C\c2nc3cc([N+](=O)[O-])ccc3s2)c(-c2ccc([N+](=O)[O-])cc2)n1 920065593 ZMPVPWSSEHRRJH-WTKPLQERSA-N 407.411 4.684 5 20 HJBD CCCc1noc2nc(-c3ccco3)cc(C(=O)Oc3cc([N+](=O)[O-])ccc3OC)c12 920219090 UHXZGOWFBMIGEC-UHFFFAOYSA-N 423.381 4.571 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1nc(C(C)(C)C)cs1 1116530580 RXQXYXBFBCFNDD-VIFPVBQESA-N 412.309 4.602 5 20 HJBD COc1cc([N+](=O)[O-])c(-c2nnc([C@H](C)Oc3ccccc3F)o2)cc1SC 1117175935 BHAHGCBIBPFJBK-JTQLQIEISA-N 405.407 4.654 5 20 HJBD CC1=CC[C@H](C(=O)NC2(c3ccc(NC(=O)c4ccc([N+](=O)[O-])o4)cc3)CCC2)CC1 1785750775 QZYUCLICORZFTB-INIZCTEOSA-N 423.469 4.682 5 20 HJBD C[C@H](Sc1ncc(C(F)(F)F)cc1Cl)C(=O)Nc1ccccc1[N+](=O)[O-] 9160405 HEYOQDOWJJIJJR-QMMMGPOBSA-N 405.785 4.781 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 11917949 IQDPRRMIKFPCGE-CYBMUJFWSA-N 400.456 4.756 5 20 HJBD C[C@@H](OC(=O)/C=C/c1ccc2ccccc2n1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17672920 KQWSDPDBXCFQSE-JWAFFJSPSA-N 416.393 4.511 5 20 HJBD COc1cc(C(=O)Nc2nc3c(C)ccc(C)c3s2)c([N+](=O)[O-])cc1OC(F)F 29583301 FNLVBNMBDPSXGM-UHFFFAOYSA-N 423.397 4.684 5 20 HJBD CN(Cc1ccccc1CNC(=O)c1ccc(Cl)cc1[N+](=O)[O-])C1CCCCC1 59888532 AONRMLSBPXVSRN-UHFFFAOYSA-N 415.921 4.943 5 20 HJBD Cc1nn(Cc2ccc(C(=O)N[C@H](CC(C)C)c3ccccc3)cc2)c(C)c1[N+](=O)[O-] 60968897 TZIPRTZQBUIEBN-JOCHJYFZSA-N 420.513 4.974 5 20 HJBD CCOc1cccc([C@@H](C)NC(=O)Nc2cc(OCC)c(OCC)cc2[N+](=O)[O-])c1 63389998 KXLDVFDTKORMAD-CQSZACIVSA-N 417.462 4.674 5 20 HJBD C[C@@H](Nc1ccc(S(=O)(=O)C(F)F)cc1[N+](=O)[O-])c1nc(C(C)(C)C)cs1 301236998 IEFXOJLFZCAFDS-SECBINFHSA-N 419.475 4.518 5 20 HJBD O=C(c1ccc(Nc2ccccc2)c([N+](=O)[O-])c1)N1CCCN(c2ccccc2)CC1 302993930 SMMZHMINMQKMSG-UHFFFAOYSA-N 416.481 4.691 5 20 HJBD CCc1noc(-c2ccc(C)c(NC(=O)[C@H](C)Sc3ccc([N+](=O)[O-])cc3)c2)n1 430442454 YEKCNBMBSISTEV-ZDUSSCGKSA-N 412.471 4.635 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1c(F)cc(F)c(F)c1F 430823723 UYIDFLSSIHVDBH-UHFFFAOYSA-N 402.369 4.582 5 20 HJBD O=[N+]([O-])c1cccc(Br)c1OCc1nc(-c2cccc(Cl)c2)no1 431229290 CWHHKNBOBGKYOY-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1ccnn1-c1cccc(Cl)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 436321873 ZBPHWNFKBGKNEG-UHFFFAOYSA-N 414.852 4.773 5 20 HJBD O=C(c1cc([N+](=O)[O-])cc(C(F)(F)F)c1)N1CCCc2cccc(OC(F)F)c21 437298376 IJTAWWLDTDXVNU-UHFFFAOYSA-N 416.302 4.808 5 20 HJBD Cc1c(CC(=O)NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)cccc1[N+](=O)[O-] 439050169 SYZNMUVSYBHHFF-OAQYLSRUSA-N 413.477 4.875 5 20 HJBD O=C(NCc1ccccc1OCC1CC1)c1cccc2c(=O)c3cccc(F)c3[nH]c12 444077505 BKUPPXWOWRXYLY-UHFFFAOYSA-N 416.452 4.539 5 20 HJBD COc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](CC(F)(F)F)c1ccc(F)cc1 444927326 XYVHCKYODIQYNL-CQSZACIVSA-N 401.316 4.558 5 20 HJBD Cc1cccc(C)c1NC(=O)Cc1noc([C@H](C)Sc2ccc([N+](=O)[O-])cc2)n1 445328689 ULGMFHPBVVBUOS-AWEZNQCLSA-N 412.471 4.629 5 20 HJBD O=[N+]([O-])c1cc(Br)cc(-c2nc(Cc3nccc4ccccc34)no2)c1 445523690 BQYUKSICOZQZKE-UHFFFAOYSA-N 411.215 4.546 5 20 HJBD O=[N+]([O-])c1ccc2nc(CNCc3cccc(OCCCc4ccccc4)c3)[nH]c2c1 446838934 NMBDPLGDXFIABA-UHFFFAOYSA-N 416.481 4.773 5 20 HJBD COc1ccccc1-n1c(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])nc2ccccc21 461253387 YAIHOIYQKIMTSQ-UHFFFAOYSA-N 422.828 4.848 5 20 HJBD COc1cc2c(cc1NCc1ccc(-c3ccc([N+](=O)[O-])cc3)s1)NC(=O)CC2 463717805 KIMWCOJTVSGQKT-UHFFFAOYSA-N 409.467 4.829 5 20 HJBD COc1cc(CNc2ccccc2NC(=O)c2cccs2)c([N+](=O)[O-])cc1F 464083942 WABABLNDGIKVGF-UHFFFAOYSA-N 401.419 4.668 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NCc1ncc(-c2cccc(Br)c2)o1 467263512 XMGRNTVCABYZRW-UHFFFAOYSA-N 404.220 4.633 5 20 HJBD CC(C)c1ccc(C(=O)N2CCO[C@@H](c3ccc(F)cc3Cl)C2)cc1[N+](=O)[O-] 474191836 AEGQMCHYYUILLC-LJQANCHMSA-N 406.841 4.724 5 20 HJBD COc1cc(OC)c(NC(=O)c2ccc(C(C)C)c([N+](=O)[O-])c2)cc1Br 474379462 RFNUTDIUFJWCJH-UHFFFAOYSA-N 423.263 4.750 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1C1CC1 477267754 IOJZVELXCLEWIL-MRXNPFEDSA-N 414.509 4.838 5 20 HJBD Cc1ccc(CNC(=O)c2cccc(CN[C@@H](C)c3ccc([N+](=O)[O-])cc3)c2)cc1 480605673 FUESIEDJINMUBX-SFHVURJKSA-N 403.482 4.684 5 20 HJBD CCN(Cc1ccccc1Cl)C(=O)c1cc([N+](=O)[O-])ccc1SCCOC 482437701 OMFVHGRYZNBLDB-UHFFFAOYSA-N 408.907 4.649 5 20 HJBD Cc1nc(-c2ccccc2NC(=O)c2cc([N+](=O)[O-])ccc2OC(F)F)cs1 484621023 UQYSEEJJJJAWCT-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD CC1(C)C[C@@H](NC(=O)c2ccc(Br)cc2[N+](=O)[O-])c2ccc(F)cc2O1 484858370 MVEDDDNLYFTYPB-CQSZACIVSA-N 423.238 4.529 5 20 HJBD COc1cc(CNc2ccc3ncccc3c2[N+](=O)[O-])cc(Br)c1OC 484894017 NHXCJAVMTVTKQC-UHFFFAOYSA-N 418.247 4.535 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CSc3nc4ccccc4n3C(F)F)o2)cc1 485045252 KWECQBXHAJOJLL-UHFFFAOYSA-N 403.370 4.682 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1sc(Br)cc1[N+](=O)[O-] 485563256 MONYYOIAIOIGQG-UHFFFAOYSA-N 420.244 4.858 5 20 HJBD Cc1ccc(-c2csc(NC(=O)c3scnc3C(F)(F)F)n2)cc1[N+](=O)[O-] 485732614 XVXOMQWCIHISFV-UHFFFAOYSA-N 414.390 4.754 5 20 HJBD O=C(NCc1nc2ccccc2s1)c1cc(OCc2ccccc2)ccc1[N+](=O)[O-] 486383074 RREIGLFKOBDXAM-UHFFFAOYSA-N 419.462 4.714 5 20 HJBD CCCC(=O)Nc1ccc(Cl)c(NC(=O)CSc2ccccc2[N+](=O)[O-])c1 497585239 NWSVXHRNTUHICI-UHFFFAOYSA-N 407.879 4.718 5 20 HJBD COc1cc(C(=O)N(C2CC2)[C@H](C)c2ccccc2Cl)c([N+](=O)[O-])cc1OC 498937522 AQLWUMKWZJARMX-GFCCVEGCSA-N 404.850 4.631 5 20 HJBD O=C1CCc2cc([N+](=O)[O-])c(Sc3nnc(-c4ccc5ccccc5c4)o3)cc2N1 506857744 VGYQJWIZSSHZKF-UHFFFAOYSA-N 418.434 4.834 5 20 HJBD CN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)Cc1ccccc1-c1ccccc1 507233858 PPZVPPHUCIQQFA-UHFFFAOYSA-N 400.438 4.944 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C)n(C(C)(C)C)n2)c([N+](=O)[O-])cc1OCCC 510644856 JEPFSOHTGBXKPO-UHFFFAOYSA-N 418.494 4.685 5 20 HJBD O=[N+]([O-])c1ccc2nc(CN[C@H](c3cccc(OC(F)(F)F)c3)C3CC3)[nH]c2c1 511564691 QPTLTMZXXOIVTI-SFHVURJKSA-N 406.364 4.611 5 20 HJBD COC(=O)c1ccc(F)c(CSCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)c1 511923435 PVKRFXMNPRIYMH-UHFFFAOYSA-N 402.403 4.609 5 20 HJBD C[C@H]1CCC[C@H](C)N1C(=O)c1cc(Cl)ccc1NC(=O)c1ccc([N+](=O)[O-])s1 512342263 USKSWRCCSRIYNX-RYUDHWBXSA-N 421.906 4.965 5 20 HJBD Cc1noc(C)c1CSc1ccccc1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc12 520440331 RDNKYMHLGQSWMY-UHFFFAOYSA-N 423.454 4.621 5 20 HJBD Cc1cccc2c1CC[C@@H]2NC(=O)CSc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 520625583 QKYWTGAOJMHERS-HNNXBMFYSA-N 410.417 4.818 5 20 HJBD C[C@H](Cc1ccc(C(F)(F)F)cc1)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl 522717708 NHNZHLDDMNGWII-LLVKDONJSA-N 400.784 4.557 5 20 HJBD CCOc1cc(NC(=O)N2CCCC3(CCCCC3)C2)c([N+](=O)[O-])cc1OCC 523623030 NJJHHEJJRXMEOM-UHFFFAOYSA-N 405.495 4.970 5 20 HJBD COc1cc(C(=O)N(Cc2ccc(C)c(C)c2)C2CC2)c([N+](=O)[O-])cc1OC(F)F 525374710 WFSORIWPCWQPCK-UHFFFAOYSA-N 420.412 4.626 5 20 HJBD CCCCn1c(SCc2ccc(Cl)cc2[N+](=O)[O-])nnc1[C@@H](CC)N(C)C 530932396 YYQFGDKXBVHPMS-OAHLLOKOSA-N 411.959 4.945 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1nc(-c2cccs2)n(-c2ccccc2)n1 531018211 NGYCKAQRHYGYGW-UHFFFAOYSA-N 419.466 4.773 5 20 HJBD COc1ccc(CC(=O)N2C[C@@H](C)C[C@@H]2c2ccc(C(F)(F)F)cc2)cc1[N+](=O)[O-] 532539216 QBMYNTLUTUMENA-SUMWQHHRSA-N 422.403 4.774 5 20 HJBD O=C(Nc1cccc2c1CN(c1ccccn1)C2)c1cc2cc([N+](=O)[O-])ccc2o1 535289905 RIDLBLALOGORJX-UHFFFAOYSA-N 400.394 4.509 5 20 HJBD C[S@](=O)Cc1cccc(NCc2ccc(-c3ccc([N+](=O)[O-])cc3Cl)o2)c1 537729318 GFQQCJZAIRIHLA-MHZLTWQESA-N 404.875 4.999 5 20 HJBD O=C(NCCOCc1ccc(Cl)cc1Cl)c1ccc([N+](=O)[O-])cc1Cl 539543797 ROWCZEKYSHYKPN-UHFFFAOYSA-N 403.649 4.502 5 20 HJBD CCN(CCC1CCN(C(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CC1)CC1CC1 540012224 JBXNQGOBTFBHRM-SFHVURJKSA-N 416.566 4.508 5 20 HJBD COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(SC(C)C)cc2)c([N+](=O)[O-])c1 540401132 NSKANXNGBGEMFY-GJZGRUSLSA-N 417.531 4.782 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)c2nc(-c3cc(Br)cs3)no2)cc1 540857839 LQHRNBWPUGRZMU-UHFFFAOYSA-N 402.176 4.609 5 20 HJBD CCCC(=O)Nc1cccc(NC(=O)CSc2ccc(Cl)cc2[N+](=O)[O-])c1 541287129 PNLSONBCYHNEEL-UHFFFAOYSA-N 407.879 4.718 5 20 HJBD O=[N+]([O-])c1ccc(COc2ccc(-c3noc([C@@H]4CCCO4)n3)cc2)c(Cl)c1 543862758 NDMJEMNUUZOLTE-KRWDZBQOSA-N 401.806 4.729 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1Cc1nc(Cc2ccccc2[N+](=O)[O-])no1 544914372 PLGXTBYGHIQZHE-UHFFFAOYSA-N 423.860 4.615 5 20 HJBD COc1cc(CN(C(=O)Nc2ccc([N+](=O)[O-])c(C)c2)C2CC2)ccc1OC(F)F 545330136 JGYXSQATKDRIIS-UHFFFAOYSA-N 421.400 4.710 5 20 HJBD CNc1ccc(-c2nc(Cc3nc4cc(Cl)ccc4s3)no2)cc1[N+](=O)[O-] 546305588 INXDZKSYVNEJRR-UHFFFAOYSA-N 401.835 4.540 5 20 HJBD CC[C@H](CC(F)(F)F)NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 546875345 QSMYGBAZNHJXNR-GXTWGEPZSA-N 410.396 4.624 5 20 HJBD CCOc1ccc(Br)cc1-c1noc(Cc2cccc([N+](=O)[O-])c2C)n1 547046759 WMHIALXWVHUYEF-UHFFFAOYSA-N 418.247 4.705 5 20 HJBD O=C(NCCOc1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549606980 CHAPTKFNVXZPOB-UHFFFAOYSA-N 423.174 4.729 5 20 HJBD CC1(C)CN(C(=O)c2ccc(NCc3ccccc3)c([N+](=O)[O-])c2)[C@@H]1c1cccnc1 552744850 CUQGOHXJQWPGFE-JOCHJYFZSA-N 416.481 4.825 5 20 HJBD CC[C@H](CN[C@H](c1ccc([N+](=O)[O-])cc1)c1nccn1C)Oc1cccc(Cl)c1 556884984 FWUDUNAWAIAMHQ-UYAOXDASSA-N 414.893 4.518 5 20 HJBD Cc1ccc(C(=O)N[C@@H](c2cccc(C(F)(F)F)c2)C2CCOCC2)cc1[N+](=O)[O-] 557175165 WQKHPXCEINJVMT-LJQANCHMSA-N 422.403 4.820 5 20 HJBD C[C@@H](N[C@H]1CCOC2(CCOCC2)C1)c1ccc(-c2ccccc2[N+](=O)[O-])s1 563701867 HGEODQAJILNKNS-CVEARBPZSA-N 402.516 4.702 5 20 HJBD CC[C@H](Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2)c1cccc([N+](=O)[O-])c1 573381450 GMTKDYXQNDHSOZ-HNNXBMFYSA-N 407.442 4.862 5 20 HJBD COc1cc(CN[C@H](C)c2ncc(-c3ccccc3)o2)c([N+](=O)[O-])cc1OC(F)F 583532949 YGCOVFAKDWYZOH-GFCCVEGCSA-N 419.384 4.711 5 20 HJBD C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2C(=O)c2ccc(C(C)(C)C)c([N+](=O)[O-])c2)C1 588821994 UXXYWVFBAXWAHI-WELBPKCISA-N 402.535 4.684 5 20 HJBD O=[N+]([O-])c1cccc(-c2noc(CNc3ccc(Cl)cc3OCC(F)F)n2)c1 589057609 VQWKFYAOGXKROV-UHFFFAOYSA-N 410.764 4.554 5 20 HJBD O=C(Nc1nc2cc(Cl)ccn2n1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 589798936 XQXYEQWJBVBVGF-UHFFFAOYSA-N 417.878 4.578 5 20 HJBD Cc1csc(Sc2ccc(C(=O)NC[C@@H]3Cc4ccccc43)cc2[N+](=O)[O-])n1 604108019 MBTYTIZBNARFDG-HNNXBMFYSA-N 411.508 4.581 5 20 HJBD Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CC[C@H](c3ccco3)C2)c([N+](=O)[O-])c1 609540180 SCLLRDBVRBOUNL-AVRDEDQJSA-N 405.454 4.666 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N1CCC[C@H]1c1ncc(-c2ccc(Cl)cc2)[nH]1 609881077 VFDQRKMZDYZRDG-IBGZPJMESA-N 410.861 4.924 5 20 HJBD CC[C@@H](c1ccncc1)N(CC)C(=O)c1ccc(N2CCC(C)CC2)c([N+](=O)[O-])c1 610055178 IXDYUWXWQLAJLC-FQEVSTJZSA-N 410.518 4.840 5 20 HJBD CCn1ccc(CN(C)[C@H](C(=O)Nc2ccc(C)cc2[N+](=O)[O-])c2ccccc2)c1 616785532 ZVNLSHDXKDQULS-QFIPXVFZSA-N 406.486 4.536 5 20 HJBD O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)Oc1ccc(Sc2ccncc2)cc1 725830086 UQVRQMRLCPWNGZ-UHFFFAOYSA-N 422.871 4.562 5 20 HJBD O=C(OCc1csc(-c2ccc3c(c2)OCO3)n1)c1cc2cc([N+](=O)[O-])ccc2o1 726638294 JJXYGKOLRWARQB-UHFFFAOYSA-N 424.390 4.550 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CNC[C@@H](O)c3cccc(C(F)(F)F)c3)o2)c1 730816768 GUCXYRIHOPMRKD-GOSISDBHSA-N 406.360 4.697 5 20 HJBD CCCOc1ccc(O[C@@H]2CCCC[C@H]2NC(=O)c2cc(F)ccc2[N+](=O)[O-])cc1 735016588 FKRWSGHTLLJTGX-TZIWHRDSSA-N 416.449 4.643 5 20 HJBD Cc1c(C(=O)N[C@@H]2CCSc3ccc(Cl)cc32)cc([N+](=O)[O-])c(C)c1[N+](=O)[O-] 748612121 JWZWYJRXFOGELM-CQSZACIVSA-N 421.862 4.740 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CS[C@H](C)C(=O)Nc1ccccc1C(F)(F)F 750286279 BURCSODSJKTNHJ-LLVKDONJSA-N 414.405 4.883 5 20 HJBD O=C(Nc1ncc(-c2ccccc2)s1)c1cc([N+](=O)[O-])ccc1Br 751081090 QHZRDLLIHGCPJK-UHFFFAOYSA-N 404.245 4.733 5 20 HJBD Cc1cc(COC(=O)c2cc(F)ccc2[N+](=O)[O-])nc(-c2ccc(Cl)cc2)n1 751693146 FGZNAFFJAXDDBE-UHFFFAOYSA-N 401.781 4.510 5 20 HJBD O=C(CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1)Nc1cc([N+](=O)[O-])ccc1F 753920203 XOCGKGBEIONERO-OAQYLSRUSA-N 410.449 4.771 5 20 HJBD Cc1ccc(NC(=O)OC(C)(C)C)cc1NC(=O)NCc1cccc([N+](=O)[O-])c1 754470344 LTAXQTOAECIQSS-UHFFFAOYSA-N 400.435 4.572 5 20 HJBD C[C@@H](C(=O)OCC(=O)Nc1ccccc1-c1ccccc1)c1cccc([N+](=O)[O-])c1 763401155 IAEKXLYVASZDOP-MRXNPFEDSA-N 404.422 4.547 5 20 HJBD Cc1nnc(Sc2ccc(NC(=O)c3cc(F)c([N+](=O)[O-])cc3F)c(C)c2)s1 763441312 JBLWOKSKLCHIAA-UHFFFAOYSA-N 422.438 4.745 5 20 HJBD O=C(Oc1ccc(-c2nnco2)cc1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 764150148 OSHXKSMTJMFZSY-UHFFFAOYSA-N 411.439 4.899 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN2CCC[C@@H]2c2ccsc2)c1=S 764890609 YIBVUCFLMQLOBN-QGZVFWFLSA-N 415.544 4.865 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)O[C@H](c1ccncc1)c1cccc(OC)c1 765110802 NUADYMRXDWMKEN-WZONZLPQSA-N 420.465 4.900 5 20 HJBD Cc1n[nH]c(C)c1CCc1nc(-c2ccc(Oc3ccc([N+](=O)[O-])cc3)cc2)no1 770873812 CQMZJBPQOWXBHJ-UHFFFAOYSA-N 405.414 4.562 5 20 HJBD COC[C@@H](OC(=O)c1ccc(Sc2ccc([N+](=O)[O-])cc2)cc1)c1nccs1 774674020 FNEQXHBRLQGQQX-QGZVFWFLSA-N 416.480 4.747 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])N(Cc1ccccc1)Cc1ccccc1 781542996 IZAPIOXWIDKSHP-UHFFFAOYSA-N 419.437 4.665 5 20 HJBD O=C(Nc1ccccc1N1CCCCCC1)c1cccc([N+](=O)[O-])c1Br 782120776 CTAKXYSLTWIPAF-UHFFFAOYSA-N 418.291 4.990 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 782425537 RHQOECWFAIJAAB-UHFFFAOYSA-N 423.225 4.793 5 20 HJBD COc1ccc(C[C@@H](NCc2ccc([N+](=O)[O-])c(F)c2)c2ccccc2)cc1OC 801527206 FJLOFSSHFOFVMG-HXUWFJFHSA-N 410.445 4.825 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)N(Cc2ccccc2)C[C@@H](O)c2ccccc2)c1 804413094 KNCIOFXSPAZPHG-JOCHJYFZSA-N 422.506 4.693 5 20 HJBD CSc1nc2ccc(NC(=O)N(C)CCc3ccccc3[N+](=O)[O-])cc2s1 806131732 OIPLINMUPLSKMC-UHFFFAOYSA-N 402.501 4.633 5 20 HJBD CCO[C@H](C)c1ncc(C(=O)OCc2cc(Cl)cc(Cl)c2[N+](=O)[O-])s1 811086500 VZYOVPYYHXLPAD-MRVPVSSYSA-N 405.259 4.813 5 20 HJBD C[C@H](NC(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 816761851 MXZXOALPIHDXOI-ZETCQYMHSA-N 408.163 4.806 5 20 HJBD COc1cccc(Cc2cnc(NC(=O)c3cc4cc([N+](=O)[O-])ccc4o3)s2)c1 915838169 VDKTYMCMTHJLJE-UHFFFAOYSA-N 409.423 4.649 5 20 HJBD COC(=O)c1ccc(/C=C/c2ccc(OCc3ccc([N+](=O)[O-])cc3)c(OC)c2)nc1 917146517 PMYGARPGPSHDEQ-FPYGCLRLSA-N 420.421 4.534 5 20 HJBD C[C@H](Oc1ccccc1F)c1nnc(CCOc2ccc(Cl)cc2[N+](=O)[O-])o1 1118415592 MYOBGTGNJOJXCW-NSHDSACASA-N 407.785 4.532 5 20 HJBD Cc1ccccc1-c1nnc(S[C@@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)o1 5505538 BIRPDIJZMIGZHN-LBPRGKRZSA-N 409.427 4.857 5 20 HJBD Cc1ccc(C(=O)Nc2ccccc2)cc1NCC(=O)Nc1cc([N+](=O)[O-])ccc1C 55856423 FGPIOHPJHKDIOT-UHFFFAOYSA-N 418.453 4.515 5 20 HJBD COc1ccccc1C(C)(C)C(=O)Nc1nc(-c2ccc(C)c([N+](=O)[O-])c2)cs1 58646325 AZZJIHPZWJRWHO-UHFFFAOYSA-N 411.483 4.952 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1ccc2[nH]c(-c3ccccc3Cl)nc2c1 59585459 YQDBVPGEOFKOLJ-UHFFFAOYSA-N 406.829 4.973 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 64390148 SWHQYRCBVCLANN-HOTGVXAUSA-N 412.795 4.943 5 20 HJBD O=C(c1csc(-c2cccc([N+](=O)[O-])c2)n1)N(Cc1cccnc1)Cc1ccco1 64906310 PYCBKMQFRYLRDO-UHFFFAOYSA-N 420.450 4.549 5 20 HJBD Cc1cc(C(=O)NCc2nc(-c3ccc(Cl)cc3)c(C)s2)ccc1[N+](=O)[O-] 65680059 JINZNMIXNPARQN-UHFFFAOYSA-N 401.875 4.919 5 20 HJBD O=C(NC1(c2ccc(Br)cc2)CCC1)c1c[nH]c2cc([N+](=O)[O-])ccc12 65888938 YZEJGLMWHLZAGX-UHFFFAOYSA-N 414.259 4.648 5 20 HJBD C[C@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N(C)Cc1cc(Br)cs1 108142021 NDGFKCGZFYXDAO-SNVBAGLBSA-N 423.292 4.662 5 20 HJBD Cc1cccc([N+](=O)[O-])c1NC(=O)CN(Cc1ccc(C(F)(F)F)cc1)C1CC1 115888828 QWXMRWRPSMYNLE-UHFFFAOYSA-N 407.392 4.525 5 20 HJBD CC[C@H](C)c1ccc([C@H](NC(=O)c2c([N+](=O)[O-])c(C)nn2C)c2cccs2)cc1 195641508 XXWBJZZUXMVKQT-UGSOOPFHSA-N 412.515 4.731 5 20 HJBD O=[N+]([O-])c1c(F)cccc1N1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 302065036 SIHMETICILUMQP-CYBMUJFWSA-N 402.813 4.821 5 20 HJBD CC(=O)c1ccc(N2CCC(Oc3cccc(Br)c3)CC2)c([N+](=O)[O-])c1 302179355 YRBKECUBUSOXOX-UHFFFAOYSA-N 419.275 4.608 5 20 HJBD CCCCCc1ccc(NC(=O)[C@@H]2CCCN2C(=O)c2ccc([N+](=O)[O-])s2)cc1 303544963 ZCTTWGKFVIUVCQ-KRWDZBQOSA-N 415.515 4.632 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccco2)c(Cl)c1)c1cc2cccc([N+](=O)[O-])c2[nH]1 410089411 LYFMXAMIVFFYOO-UHFFFAOYSA-N 424.800 4.827 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(Sc2ccc(Cl)cc2)c1)[C@H]1CCOC1 410233887 MELSDUSKWJVABR-JSGCOSHPSA-N 406.891 4.554 5 20 HJBD O=C(O)[C@@H](NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1)c1ccccc1F 426803367 MHOJMAKTRMYTPD-FQEVSTJZSA-N 414.364 4.581 5 20 HJBD O=C(Nc1cnc2ccccc2n1)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 430589768 BIDPQKDIQAHCJV-UHFFFAOYSA-N 402.435 4.942 5 20 HJBD C[C@@H]1C[C@H](NC(=O)c2cc3cc([N+](=O)[O-])ccc3s2)CCN1Cc1ccccc1 430660839 FTIJVFNJZYOFDU-CRAIPNDOSA-N 409.511 4.592 5 20 HJBD CCCOc1cc(NC(=O)N2CCC[C@](C)(OC)CC2)c([N+](=O)[O-])cc1OCCC 431583045 PJECVKWQKZPNPV-NRFANRHFSA-N 423.510 4.595 5 20 HJBD C[C@H](Nc1ccnc2c([N+](=O)[O-])cccc12)[C@H](OC1CCOCC1)c1ccccc1 432343090 RCJUTPPHMYRPCI-HJPURHCSSA-N 407.470 4.880 5 20 HJBD CC(C)n1cc2ccc(NC(=O)c3cc(Br)cc([N+](=O)[O-])c3)cc2n1 433224182 XLYGFVMJOMDVRN-UHFFFAOYSA-N 403.236 4.540 5 20 HJBD COc1ccc(SCCCC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)cc1 436109987 LVFPPBIBPFISEC-UHFFFAOYSA-N 412.414 4.716 5 20 HJBD C[C@@H](Nc1ccc(Oc2ccc(Cl)cn2)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1 439644779 RORCFQQDWSJLLW-CYBMUJFWSA-N 412.833 4.875 5 20 HJBD Cc1ccc(NC(=O)Cc2cccc([N+](=O)[O-])c2C)c(-c2nc3ccccc3[nH]2)c1 440043364 DPYUMVLKEYUKAF-UHFFFAOYSA-N 400.438 4.936 5 20 HJBD O=C(Cc1c[nH]c2cc(F)ccc12)N(Cc1ccc([N+](=O)[O-])cc1)c1ccccc1 440616716 RUTNBKWPVAGCJI-UHFFFAOYSA-N 403.413 4.991 5 20 HJBD Cc1sc(S(=O)(=O)Nc2ccccc2N[C@@H](C)c2ccccc2)cc1[N+](=O)[O-] 443536299 YLTAXABQZCQCGJ-ZDUSSCGKSA-N 417.512 4.939 5 20 HJBD CCO[C@H](CCNC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12)C1CCCC1 444107889 UUASYFQQVWMNHH-HXUWFJFHSA-N 410.489 4.536 5 20 HJBD O=C(c1cccc2c(=O)c3cccc(F)c3[nH]c12)N1CCSC2(CCCCC2)C1 444177058 SKMFGZSLAOYMGB-UHFFFAOYSA-N 410.514 4.712 5 20 HJBD O=C(Nc1cccc(-c2noc(C3CC3)n2)c1)c1ccc2[nH]c3ccccc3c(=O)c2c1 444236066 YXIHRFTXUFAYKQ-UHFFFAOYSA-N 422.444 4.861 5 20 HJBD Cc1nc(NC(=O)c2c(N)cccc2[N+](=O)[O-])sc1Cc1ccc(Cl)cc1F 446976585 XFYUWVBLUJIKIT-UHFFFAOYSA-N 420.853 4.578 5 20 HJBD CCc1nc(CCNc2cc(C(F)(F)C(F)(F)F)ncc2[N+](=O)[O-])sc1C 447666286 AAQDXVCDLNKFKX-UHFFFAOYSA-N 410.368 4.626 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1cnn(-c2ccccc2C)c1C(C)C 466506310 VGKVAXGCHIXEGA-UHFFFAOYSA-N 408.458 4.863 5 20 HJBD COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)NCc2ccc([N+](=O)[O-])cc2Cl)c1 467232846 YVHJJLULLHSXGD-YJYMSZOUSA-N 403.866 4.550 5 20 HJBD O=[N+]([O-])c1cc(CN[C@@H]2CCCC[C@@H]2n2cccn2)ccc1Oc1ccc(F)cc1 467761787 DQKZBZGNHJRKQJ-UXHICEINSA-N 410.449 4.996 5 20 HJBD O=C(N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccc(Br)cc1[N+](=O)[O-] 471515886 VEGGGUBLGNDSTR-SWLSCSKDSA-N 407.239 4.563 5 20 HJBD Cc1ccc([C@H](NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c2ccccn2)cc1 472010350 DLQPQZRGQRSPNT-VGSWGCGISA-N 404.470 4.756 5 20 HJBD CCc1cc(N(C)[C@@H](C)c2ccc([S@@](C)=O)cc2)nc(-c2ccc([N+](=O)[O-])cc2)n1 474176221 YDKLVJQAGTXLTB-INCQDFKNSA-N 424.526 4.549 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)[C@H](OC)c1ccccc1Cl 479675278 MIYAGPHZOREANW-SGTLLEGYSA-N 408.907 4.866 5 20 HJBD C[C@H](NC(=O)N[C@H](C)c1ccc(Oc2cccnc2)cc1)c1cccc([N+](=O)[O-])c1 480999407 PIVSUSSJLUCEOB-CVEARBPZSA-N 406.442 4.904 5 20 HJBD C[C@@H](NC[C@@H]1CCN(c2cccc(Br)c2)C1)c1ccc([N+](=O)[O-])cc1 481570457 WVBMNNRPKGGVIC-CABCVRRESA-N 404.308 4.534 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1CCC(c2nc3ccccc3[nH]2)CC1 482000197 RWSJWHQXIIAZSF-UHFFFAOYSA-N 410.499 4.603 5 20 HJBD O=C(NCCC1CCN(CC(F)(F)F)CC1)c1cc2ccccc2c2cccnc12 482328833 IFMGDZHBXCQERI-UHFFFAOYSA-N 415.459 4.782 5 20 HJBD CC(C)Oc1ccc(C(=O)O)cc1NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 485703189 GBQDXKAXSZAOPP-UHFFFAOYSA-N 400.412 4.547 5 20 HJBD CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)c1 490432314 KJZMMHPXNGSRRT-UHFFFAOYSA-N 413.449 4.523 5 20 HJBD O=C(Nc1cccc(NC(=O)c2cccs2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 493375340 YMTWWMQRNOAHRX-UHFFFAOYSA-N 407.407 4.907 5 20 HJBD O=C1c2ccccc2N[C@@H](c2ccc([N+](=O)[O-])c(O)c2)N1c1ccc(F)cc1Cl 498575452 DTJCKCMPYYOGKQ-LJQANCHMSA-N 413.792 4.864 5 20 HJBD C[C@H](NC1(CNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CCOCC1)c1ccccc1 500414106 JRYMCTYZRGATEK-HNNXBMFYSA-N 423.435 4.926 5 20 HJBD COCCC[C@@H](c1ccc(Cl)cc1)c1noc(-c2n[nH]c(C(C)C)c2[N+](=O)[O-])n1 508674300 YTXVQVZKGYAXJL-AWEZNQCLSA-N 419.869 4.703 5 20 HJBD CCNc1ccc(C(=O)Nc2c(C)cccc2-c2nc(C(C)C)no2)cc1[N+](=O)[O-] 509108130 IIXURHAOUKCGOR-UHFFFAOYSA-N 409.446 4.761 5 20 HJBD COc1ccc2cc(CNC(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)ccc2c1 510849953 NMWQWOZABIYFII-UHFFFAOYSA-N 417.368 4.680 5 20 HJBD CC(C)(C)c1cccc(OCCCn2nc(-c3cccc([N+](=O)[O-])c3)ccc2=O)c1 511674777 VCSFDCYJJBCHQX-UHFFFAOYSA-N 407.470 4.585 5 20 HJBD CSc1ccc([N+](=O)[O-])c(C(=O)Nc2ccc(Br)c3cccnc23)c1 511927132 UQJQQVPBFWFQHT-UHFFFAOYSA-N 418.272 4.880 5 20 HJBD CN(Cc1nc([C@@H](F)c2ccc(C(F)(F)F)cc2)no1)c1ccccc1[N+](=O)[O-] 512060294 SWMGZOCSPAZCER-INIZCTEOSA-N 410.327 4.692 5 20 HJBD Cc1cc(NC(=O)COc2ccc(-c3ccccc3)cc2[N+](=O)[O-])n(C(C)(C)C)n1 512958631 LQTIHUXDFGXPIJ-UHFFFAOYSA-N 408.458 4.539 5 20 HJBD O=C1Nc2ccccc2[C@@H]1C1CCN(Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)CC1 513117575 TZZPHAQHEGDJCQ-QHCPKHFHSA-N 417.465 4.803 5 20 HJBD CC(C)(c1ccc(NC(=O)N2CCOc3ccc([N+](=O)[O-])cc3C2)cc1)C(F)(F)F 516605654 OMHHVTWAZCSCQM-UHFFFAOYSA-N 423.391 4.861 5 20 HJBD COc1ccccc1[C@H](CNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1)N1CCCC1 518993537 IFMCBXHRTGRIBG-QFIPXVFZSA-N 421.497 4.790 5 20 HJBD O=[N+]([O-])c1ccc(-c2ccc(CNC[C@H]3CCCN(Cc4cccs4)C3)o2)cc1 520213606 HAIDBRUMWXFREY-QGZVFWFLSA-N 411.527 4.918 5 20 HJBD COc1ccc([C@H](Cc2ccccc2C)NC(=O)c2ccc([N+](=O)[O-])cc2)cc1OC 521393543 PIQXVQPCQVCEBG-NRFANRHFSA-N 420.465 4.634 5 20 HJBD CC[C@@H](NCc1ccc(-c2ccc([N+](=O)[O-])cc2Br)o1)C1CCOCC1 531043816 CMKZAHJIYREIKD-GOSISDBHSA-N 423.307 4.912 5 20 HJBD Cc1cc(C)cc(-n2nc(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)cc2C)c1 537433737 IWHMAKZGCQTQFE-UHFFFAOYSA-N 416.384 4.559 5 20 HJBD Cc1nc(-c2cc3c(s2)CCN(C(=O)c2cc4cccc([N+](=O)[O-])c4[nH]2)C3)cs1 537930676 DYNKDWYEGHESTA-UHFFFAOYSA-N 424.507 4.768 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@H]1CCC[C@@H]1NCCc1nc2ccccc2n1C(F)F 537982241 QFXKBIJIPCXRDE-WBVHZDCISA-N 414.456 4.883 5 20 HJBD COC(=O)c1ccc(Cl)c(NC(=O)c2cc([N+](=O)[O-])ccc2SC(C)C)c1 538197209 FURZHUHPNVQIAE-UHFFFAOYSA-N 408.863 4.788 5 20 HJBD COc1ccc(CN[C@H](C)c2ccc(OC[C@H]3CCCCO3)c(F)c2)cc1[N+](=O)[O-] 539070488 LXZRVWPUVDZNAX-CRAIPNDOSA-N 418.465 4.541 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)OC(C)(C)C)cc1F)c1ccc([N+](=O)[O-])cc1F 541595643 DWBFFPPDANYWMM-LLVKDONJSA-N 406.385 4.571 5 20 HJBD O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])NC[C@H]1CCC[C@@H](C(F)(F)F)C1 542232605 UNKLFIRGQAJOPD-WDEREUQCSA-N 410.845 4.825 5 20 HJBD COc1ccc([N+](=O)[O-])cc1CN[C@@H](C)c1ccc(OC[C@H]2CCCCO2)c(F)c1 542496910 MUJXUMCVMYFSEG-HNAYVOBHSA-N 418.465 4.541 5 20 HJBD CCCc1c(NC(=O)c2c[nH]c3cccc([N+](=O)[O-])c23)cnn1-c1cccc(F)c1 544290644 BSJIFSICNDODNG-UHFFFAOYSA-N 407.405 4.606 5 20 HJBD C[C@@H](C(=O)N[C@H](c1ccc(F)cc1)c1cccs1)c1ccc([N+](=O)[O-])cc1F 544403793 QQGHKCDUKZAGSD-CWTRNNRKSA-N 402.422 4.944 5 20 HJBD C[C@@H](C(=O)Nc1ccc(C(=O)Nc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1F 544423007 JLMGDPLQUXMDFA-CQSZACIVSA-N 407.401 4.728 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)[C@@H](C)c2ccc([N+](=O)[O-])cc2F)c1 544443342 GTZCHOMLJQCLQZ-HNNXBMFYSA-N 410.449 4.844 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(C(F)(F)F)cn2)no1 545005813 GBCASULVCCWUBM-SNVBAGLBSA-N 410.377 4.836 5 20 HJBD CCC[C@@H](NC(=O)c1ccccc1)c1noc(-c2ccc(Cl)cc2[N+](=O)[O-])n1 545070194 LFOTXZCORCJXRR-OAHLLOKOSA-N 400.822 4.569 5 20 HJBD CC[C@H](C)c1ccccc1N1C[C@@H](c2nc(-c3ccc([N+](=O)[O-])cc3C)no2)CC1=O 545688926 JDSCRYIDDIFRJB-HOCLYGCPSA-N 420.469 4.987 5 20 HJBD O=C(N[C@@H](CCc1ccccc1)C(F)(F)F)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 546845505 DNKBKXMSHTVVFT-IBGZPJMESA-N 421.419 4.833 5 20 HJBD O=C(Nc1cc(Cl)cc(Cl)c1O)c1ccc([N+](=O)[O-])cc1Br 546859673 OHVZRSZIWZYMID-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD O=C(NCCc1cccc(Cl)c1Cl)c1cc([N+](=O)[O-])ccc1C(F)(F)F 549822276 PZVROLROXMBFEI-UHFFFAOYSA-N 407.175 4.893 5 20 HJBD CCOc1cc(C(=O)Nc2cc(-c3ccncc3)ccc2C)c([N+](=O)[O-])cc1OC 554831536 SNZSFBHHNKQYPN-UHFFFAOYSA-N 407.426 4.625 5 20 HJBD CCc1nc2cc(CNc3ccc(C(=O)NC(C)C)cc3[N+](=O)[O-])ccc2n1C1CC1 554932580 HXOOEOZISLAONO-UHFFFAOYSA-N 421.501 4.592 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)Nc1ccc(OC(F)F)cc1F 555055122 SCYFSEDDSYIOND-UHFFFAOYSA-N 423.269 4.676 5 20 HJBD COc1ccccc1[C@H]1CC[C@H](C)CN1C(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 561097441 YXYZWMUNQASZGU-YCRPNKLZSA-N 407.470 4.627 5 20 HJBD Cc1ccc(-c2nnc3n2CCCCC3)cc1NC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1 568347589 SYQCYSHZNSJAIZ-MRXNPFEDSA-N 419.485 4.630 5 20 HJBD CC[C@H](C)c1ccc(NC(=O)Cc2noc(COc3ccc([N+](=O)[O-])c(C)c3)n2)cc1 576073041 GRASJCZAWVFFNK-AWEZNQCLSA-N 424.457 4.560 5 20 HJBD Cn1c(SCCCOc2ccc([N+](=O)[O-])cc2)nnc1-c1ccccc1Cl 603945994 ZONVWHSNMVVLKM-UHFFFAOYSA-N 404.879 4.605 5 20 HJBD COc1cc([C@H](C)NC(=O)c2c[nH]c3cc([N+](=O)[O-])ccc23)ccc1OCC(C)C 604097941 BROJZTOWAJXFOJ-AWEZNQCLSA-N 411.458 4.611 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N(CCc1ccc(F)cc1)C1CC1 609744040 BCZUETCRUXYOQH-UHFFFAOYSA-N 409.364 4.574 5 20 HJBD O=C1CSc2ccc(C(=O)Nc3cccc(Oc4ccc([N+](=O)[O-])cc4)c3)cc2N1 609762941 UCJYBKRZJQZGEH-UHFFFAOYSA-N 421.434 4.684 5 20 HJBD CC(C)(O)COc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 609851845 BBMHDBBGCNSRQZ-UHFFFAOYSA-N 421.453 4.740 5 20 HJBD O=C(c1ccc([N+](=O)[O-])cc1Br)N1CCCC[C@H]1Cc1ccc(F)cc1 610044602 FYZIXPBMURKXPK-HNNXBMFYSA-N 421.266 4.734 5 20 HJBD CCSc1ccc([N+](=O)[O-])cc1C(=O)N1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 611206467 ZBFRCTSUKVQMHV-GHMZBOCLSA-N 416.343 4.520 5 20 HJBD COc1cc([C@@H](C)NCc2c(F)cccc2[N+](=O)[O-])ccc1OCc1ccncc1 611379660 NJBQCLDCUFFYHW-OAHLLOKOSA-N 411.433 4.567 5 20 HJBD CC(C)c1noc2nc(-c3ccco3)cc(C(=O)OCc3cccc([N+](=O)[O-])c3)c12 727710069 ATGOJDCAWWBWRL-UHFFFAOYSA-N 407.382 4.871 5 20 HJBD O=C(c1cnn(-c2ccccc2F)c1)N(CC1CC1)c1ccc(Cl)c([N+](=O)[O-])c1 728164899 BLLOGWCRCMAMCR-UHFFFAOYSA-N 414.824 4.630 5 20 HJBD Cc1oc(-c2ccsc2)nc1CC(=O)OCc1cc([N+](=O)[O-])ccc1OC(C)C 729118760 DYEMVJOSVCISLS-UHFFFAOYSA-N 416.455 4.693 5 20 HJBD CC(C)(C)OC(=O)N1CCCc2cc(NC(=O)c3cc([N+](=O)[O-])ccc3F)ccc21 729658801 JGUDDRGDJVRFTR-UHFFFAOYSA-N 415.421 4.674 5 20 HJBD O=C(O[C@H](c1cc(Br)ccc1F)C(F)(F)F)c1cccc([N+](=O)[O-])c1 730402992 PLQSPMNCMDZTDC-CYBMUJFWSA-N 422.128 4.957 5 20 HJBD O=C1OC(c2c(Cl)cccc2[N+](=O)[O-])=N/C1=C/c1csc(-c2ccsc2)n1 730958008 MRUYLYCTFKTCSJ-WUXMJOGZSA-N 417.855 4.778 5 20 HJBD O=C(NCCc1ccc(N2CCCCC2)cc1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 747391493 YOBJUNKRBPLVIF-UHFFFAOYSA-N 422.529 4.502 5 20 HJBD CC(=O)Nc1cccc(COC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)c1 749086970 QWKPGJONWVPFNP-UHFFFAOYSA-N 406.394 4.703 5 20 HJBD CC(C)(C)Cc1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2Cl)n[nH]1 749436606 MTSMQCLUEMFPMB-UHFFFAOYSA-N 415.675 4.575 5 20 HJBD CCC[C@@H]1CCc2nc(NC(=O)c3cc([N+](=O)[O-])ccc3Br)sc2C1 751125051 DEBQDPMCJRLFOK-SNVBAGLBSA-N 424.320 4.971 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)OC(=O)c1cc(F)cc(C)c1[N+](=O)[O-] 752558025 RVWRFTOPIXYTQA-CQSZACIVSA-N 402.422 4.658 5 20 HJBD COc1ccc(NC(=O)N2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cc1[N+](=O)[O-] 752808035 WQXRZFBRYCRJMI-CYBMUJFWSA-N 409.364 4.644 5 20 HJBD Cc1ccncc1/C=C/C(=O)O[C@H](c1ccc(Br)cc1)C(C)(C)[N+](=O)[O-] 753471032 CBHRMYJIQJEYOK-AHKGRUIUSA-N 419.275 4.506 5 20 HJBD C[C@H](C(=O)OCc1csc(-c2ccccc2F)n1)c1ccc([N+](=O)[O-])cc1F 755055643 QGLBYXDLHSOBOH-NSHDSACASA-N 404.394 4.843 5 20 HJBD Cc1c(C(=O)O[C@@H]2CCCC[C@H]2n2ccc([N+](=O)[O-])n2)cccc1-c1ccccn1 755100182 XARBGKXOEVGJKE-WOJBJXKFSA-N 406.442 4.502 5 20 HJBD C[C@@](O)(CNC(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1)c1cccs1 755432497 ARUZTCUHNLGIAD-HXUWFJFHSA-N 420.556 4.576 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(=O)N(C)c2ccccc2)cc1)c1ccccc1[N+](=O)[O-] 757406338 GJDMSKXHNAKZRX-MRXNPFEDSA-N 404.422 4.789 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N(C)c3ccc(Cl)nn3)cc2[N+](=O)[O-])cc1 760540627 XUXOUQJIVYOBQK-UHFFFAOYSA-N 414.874 4.774 5 20 HJBD O=C(Nc1cc(Br)cc(Cl)c1O)c1cccc(Cl)c1[N+](=O)[O-] 762329210 VGTFUNWHPMCAFT-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD C[C@H](OC(=O)[C@H](C)c1cccc([N+](=O)[O-])c1)C(=O)Nc1cc(Cl)ccc1Cl 763400974 ZHESYRLXLURKEV-MNOVXSKESA-N 411.241 4.576 5 20 HJBD O=C(c1ccc([N+](=O)[O-])c(Cl)c1)N(C[C@@H]1CCCO1)c1nc2ccccc2s1 764150957 QSXLNOAWVDXIRP-ZDUSSCGKSA-N 417.874 4.684 5 20 HJBD O=C(N1CCCC[C@H]1c1nc2ccccc2o1)C(F)(F)c1ccc([N+](=O)[O-])cc1 778038585 BIVJOODGRMXPIL-INIZCTEOSA-N 401.369 4.582 5 20 HJBD Cc1cc(COC(=O)C2CCC(NC(=O)CC3CCCCC3)CC2)ccc1[N+](=O)[O-] 781170444 OWTUDEWDDCPSAW-UHFFFAOYSA-N 416.518 4.592 5 20 HJBD CO[C@@H](C)COC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782308201 KVWLJEMSACQITO-HNDQUVLASA-N 412.467 4.713 5 20 HJBD CC(C)n1ncc2c(C(=O)OCc3ccc([N+](=O)[O-])c(F)c3)cc(-c3ccco3)nc21 782622963 ZYAHZRGIMLBYIT-UHFFFAOYSA-N 424.388 4.677 5 20 HJBD CC(=O)Nc1cccc(C(=O)N(CC2CC2)c2ccc(Cl)c([N+](=O)[O-])c2)c1C 786625271 YBBONUFWRHUYAU-UHFFFAOYSA-N 401.850 4.572 5 20 HJBD COCCCC[C@@H](NC(=O)NCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 792131055 ZYCPDAJTTWWDOW-LJQANCHMSA-N 405.882 4.605 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(COC(=O)[C@H]2CCC(F)(F)C2)cc1OC 796370466 IFNCHFMENQPLAE-OLZOCXBDSA-N 401.406 4.507 5 20 HJBD O=[N+]([O-])c1ccc(N2CCC(NCc3cc(F)c(C(F)(F)F)cc3F)CC2)cc1 806176541 SDJVYUBVPYNNSW-UHFFFAOYSA-N 415.362 4.650 5 20 HJBD O=C(Nc1ccc2nc(Cl)sc2c1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 813373515 SYUYRBKKGLDPGI-UHFFFAOYSA-N 416.890 4.713 5 20 HJBD O=C(NCCCCCCCN1CCCC1)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 813421877 XPKDYIQKLHVKBP-UHFFFAOYSA-N 402.322 4.678 5 20 HJBD Cc1cc([N+](=O)[O-])c(F)c(C(=O)Nc2cccc(NC(=O)c3cccs3)c2)c1F 820221976 AOXSNOSBWSMTKL-UHFFFAOYSA-N 417.393 4.748 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ccc(F)c(F)c2)cc1[N+](=O)[O-])c1ccccn1 920548215 RHULNIXPQNZTAU-CYBMUJFWSA-N 413.380 4.798 5 20 HJBD C[C@H](OC(=O)C(F)(F)c1cccc(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 921096819 MSKNXGXSCIEPJU-JTQLQIEISA-N 423.759 4.694 5 20 HJBD CC(C)Oc1cccnc1NC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1 1255602032 MDJUMXVOUSLJFD-UHFFFAOYSA-N 406.442 4.641 5 20 HJBD O=C(Nc1ccccc1)Nc1cccc(NC(=O)N2Cc3ccc([N+](=O)[O-])cc3C2)c1 1318759021 FKECDWMIUNMCCZ-UHFFFAOYSA-N 417.425 4.787 5 20 HJBD C[C@@H](NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1)[C@@H](O)c1ccc(F)cc1 1339154581 INZLTDFYUIVCSW-MPBGBICISA-N 404.825 4.859 5 20 HJBD O=C(COC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1)Nc1ccccc1 9066943 RTOMKITZYFVRQP-UHFFFAOYSA-N 408.435 4.542 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)OCC(=O)c1cccc2ccccc12 10722673 VXDSONYQOIOGHC-UHFFFAOYSA-N 421.452 4.734 5 20 HJBD COc1ccccc1-c1nc(COC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cs1 11025603 PVZSWFLUYDSSNT-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD C[C@@]12CC3CC(C(=O)OCc4cc([N+](=O)[O-])cc5c4OCOC5)(C1)C[C@](C)(C3)C2 13288021 OUOHCQHGKKHLMI-BGCCBAISSA-N 401.459 4.501 5 20 HJBD COc1ccc(NC(=O)CCN[C@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 26226537 IYGPLSRBHCUAIQ-LLVKDONJSA-N 412.273 4.590 5 20 HJBD COCCN1C(=O)c2ccccc2N[C@@H]1c1ccc(-c2cccc([N+](=O)[O-])c2)s1 28512028 VTBMZBKQQAXUFI-FQEVSTJZSA-N 409.467 4.536 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(C)c1CC(=O)Nc1ccc(Cl)c([N+](=O)[O-])c1 107770997 RZCLSFMTEWIPFB-UHFFFAOYSA-N 419.268 4.885 5 20 HJBD O=C(NCc1ccccc1)c1cccc(Oc2nc3ccc([N+](=O)[O-])cc3s2)c1 111195179 FVSAZHKMOQNUBM-UHFFFAOYSA-N 405.435 4.927 5 20 HJBD COc1cc(Br)c(/C=C\c2nc3cc([N+](=O)[O-])ccc3s2)cc1O 211815650 VIUASISKAROGIA-DJWKRKHSSA-N 407.245 4.852 5 20 HJBD CC(=O)c1ccc(Nc2cccc(CS(=O)(=O)C3CCCCC3)c2)c([N+](=O)[O-])c1 301247746 QSLUXRVWYQKVAB-UHFFFAOYSA-N 416.499 4.789 5 20 HJBD CCCCOc1ccc([C@@H](C)NC(=O)Nc2cccc([N+](=O)[O-])c2C)cc1OC 409747974 HCROWAJTMHIXNG-OAHLLOKOSA-N 401.463 4.973 5 20 HJBD O=C(c1ccc2c(c1)nc(O)n2C1CCCC1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 427589057 RECFSKZXBLVUAW-UHFFFAOYSA-N 420.469 4.570 5 20 HJBD C[C@H](C(=O)Nc1cccc(-c2ncc3n2CCCC3)c1)c1ccc([N+](=O)[O-])cc1F 436190600 NQTATMTYPYBGGG-AWEZNQCLSA-N 408.433 4.676 5 20 HJBD CSc1ccc(C(=O)N2C[C@@H](C)Oc3ccc(Br)cc32)cc1[N+](=O)[O-] 436345446 PYCSFFWMPAWZLO-SNVBAGLBSA-N 423.288 4.507 5 20 HJBD CC(=O)Nc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c(Cl)c1 436359041 GNLOJCJBSCVSKN-UHFFFAOYSA-N 423.831 4.685 5 20 HJBD COc1cc(-c2nc(-c3ccc(Oc4ccccc4)nc3)no2)cc([N+](=O)[O-])c1OC 438749127 DUVLSTYBRVIBCU-UHFFFAOYSA-N 420.381 4.516 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(Cc3c[nH]c4cc(Br)ccc34)n2)s1 445471763 NSMXLOHIGXMLRK-UHFFFAOYSA-N 405.233 4.541 5 20 HJBD O=C(Nc1ccnc(Oc2ccc(F)cc2F)c1)c1ccc([N+](=O)[O-])cc1Cl 448448527 NGYOUPHKAHFMFY-UHFFFAOYSA-N 405.744 4.966 5 20 HJBD COc1cccc([C@@H](NC(=O)Cc2cccc([N+](=O)[O-])c2)c2ccccc2Cl)c1 460206363 TXJMRGOJIJTGLN-JOCHJYFZSA-N 410.857 4.705 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Sc1nccn1C 462503430 QBPQKXPYKSITFE-MRXNPFEDSA-N 410.499 4.687 5 20 HJBD Cc1ccccc1[C@H]1CCCN1S(=O)(=O)c1c(Cl)cc(Cl)cc1[N+](=O)[O-] 462530184 REVYHBOHIQUCCJ-OAHLLOKOSA-N 415.298 4.736 5 20 HJBD C[C@@H](CNC(=O)c1ccc(NCc2ccccc2)c([N+](=O)[O-])c1)Oc1ccccc1F 463934513 ZKLBQZIETURDLW-INIZCTEOSA-N 423.444 4.543 5 20 HJBD CCN(CC)C[C@@H]1CCN(C(=O)c2ccc(SCCC(C)C)c([N+](=O)[O-])c2)C1 464652811 XWTHYFBFSOCTAX-KRWDZBQOSA-N 407.580 4.537 5 20 HJBD Cc1cccc(Oc2cc(CNC(=O)C(C)(C)c3ccc([N+](=O)[O-])cc3)ccn2)c1C 464876541 GVPCFKIVYXXCDR-UHFFFAOYSA-N 419.481 4.993 5 20 HJBD O=C(NCc1ccc([N+](=O)[O-])cc1Cl)[C@H]1C[C@@H]1c1ccccc1OC(F)(F)F 466296322 GIVGBFPQPDFMGK-KGLIPLIRSA-N 414.767 4.567 5 20 HJBD Cc1c(NC(=O)c2cccc(Sc3ccc(Cl)cc3)c2[N+](=O)[O-])cnn1C 467631309 VPOSANNTPKLGBX-UHFFFAOYSA-N 402.863 4.694 5 20 HJBD COCC1CCN(C(=O)c2ccc([N+](=O)[O-])c(Sc3ccc(Cl)cc3)c2)CC1 467725258 LLSLYCWYJXOXAN-UHFFFAOYSA-N 420.918 4.898 5 20 HJBD CCOc1cc(C(=O)Nc2ccc(CNc3ccccc3)cc2)c([N+](=O)[O-])cc1OC 478798922 UUBBALQSNQGAMD-UHFFFAOYSA-N 421.453 4.867 5 20 HJBD Cc1onc(-c2ccc(C(F)(F)F)cc2)c1C(=O)NCCc1cccc([N+](=O)[O-])c1 478849727 RDDORZJAKHYBMM-UHFFFAOYSA-N 419.359 4.550 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])Nc1ccccc1OCC(F)(F)F 481577879 YLUHGIXZLPCDAZ-UHFFFAOYSA-N 417.771 4.554 5 20 HJBD Cc1ccc([C@H](C)NC(=O)N[C@@H](c2c(F)cccc2F)C(F)(F)F)cc1[N+](=O)[O-] 482427042 WCEXJWXNQIUVCB-QFYYESIMSA-N 417.334 4.845 5 20 HJBD CCCCn1ncc(NC(=O)Nc2cc([N+](=O)[O-])ccc2OC(F)F)c1C(C)C 484195736 WERIXDRIYXFBMN-UHFFFAOYSA-N 411.409 4.960 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H](c2cc(F)cc(Br)c2)C2CC2)c1 484623674 RDOKPXUAJREXJJ-KRWDZBQOSA-N 407.239 4.686 5 20 HJBD Cc1nn(-c2ccc(Cl)cc2)c(NC(=O)CSc2ccc([N+](=O)[O-])cc2)c1C 485877418 RWUSOHSHPHFZBV-UHFFFAOYSA-N 416.890 4.782 5 20 HJBD O=C([C@H]1C[C@@H]1c1ccc(Br)cc1)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 486020906 YRLNPTNFZKRXOZ-MOPGFXCFSA-N 415.287 4.652 5 20 HJBD C[C@H](c1cccc([N+](=O)[O-])c1)N1C[C@H]2CCC[C@@]2(c2nc(-c3ccccc3)no2)C1 486856426 OFBJOXGCIQYSNI-ZDWFVDTJSA-N 404.470 4.760 5 20 HJBD COc1ccc(-c2ccncc2)cc1CNCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1 487200768 PHBYLXGNGHTTIJ-UHFFFAOYSA-N 417.387 4.974 5 20 HJBD Cc1c(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(Oc3cccnc3)cc2)cccc1[N+](=O)[O-] 488006288 LMOGKGJHIAHJIU-DLBZAZTESA-N 420.469 4.768 5 20 HJBD CCc1ccc2c(c1)[C@@H](CCNC(=O)c1cc3ccccc3c3cccnc13)C(=O)N2 488423814 YMMUNBHFICFCCR-HXUWFJFHSA-N 409.489 4.806 5 20 HJBD CC(C)(NC(=O)NCc1ccnc(Oc2ccc(F)cc2)c1)c1ccccc1[N+](=O)[O-] 488599454 UDYLIKCMUZCZNR-UHFFFAOYSA-N 424.432 4.656 5 20 HJBD CCCOc1ccc([C@H](C)NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1OC 489879521 DJBHODMLCSHZHC-CVEARBPZSA-N 415.490 4.822 5 20 HJBD CC(C)Cn1ncc(NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1C1CC1 490286290 KIVSQKPWHRREDW-UHFFFAOYSA-N 405.458 4.639 5 20 HJBD CC(C)CCSc1ccc(C(=O)N[C@H]2CCO[C@]3(CCSC3)C2)cc1[N+](=O)[O-] 491147751 OLPCRVQBNPWJTI-OXJNMPFZSA-N 424.588 4.518 5 20 HJBD COc1ccccc1Oc1ccc(NC(=O)CCOc2ccccc2[N+](=O)[O-])cc1 493157155 QJIQRTDQJYLNIK-UHFFFAOYSA-N 408.410 4.803 5 20 HJBD CCOc1ccc(C2=CCN(C(=O)c3cc([N+](=O)[O-])ccc3C(F)(F)F)CC2)cc1 495983883 WLSVFLDLABORPS-UHFFFAOYSA-N 420.387 4.942 5 20 HJBD COc1cccc(C2CCN(C(=O)c3csc(-c4ccc([N+](=O)[O-])cc4)n3)CC2)c1 498434032 GPEHJIVSTHLTBA-UHFFFAOYSA-N 423.494 4.747 5 20 HJBD O=C(CCc1ncc(-c2ccccc2F)o1)Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 499482155 WZPHVQNNPZUSGW-UHFFFAOYSA-N 423.322 4.979 5 20 HJBD C[C@@H](N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)C(=O)Nc1ccc([N+](=O)[O-])cc1 504401000 IQTFXJWFROSRMA-VFNWGFHPSA-N 424.888 4.544 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(C)n(-c2cccc(F)c2)n1 504595037 ZQNRBKWYOUPKKD-UHFFFAOYSA-N 414.462 4.982 5 20 HJBD C[C@H]1C[C@@H](C)CN(C(=O)c2ccccc2NCc2c(F)cc([N+](=O)[O-])cc2F)C1 506298129 OVKBAQMPWOQPCF-OKILXGFUSA-N 403.429 4.603 5 20 HJBD COc1ccc(CN[C@@H](c2ccc(OC(F)F)cc2)C(F)(F)F)cc1[N+](=O)[O-] 510457388 YRLQEPVDSUSLIN-HNNXBMFYSA-N 406.307 4.598 5 20 HJBD COc1cc(NC(=O)c2cc([N+](=O)[O-])ccc2Oc2ccccc2)cc(OC)c1OC 514560534 IQAYRFIVBIIQAK-UHFFFAOYSA-N 424.409 4.665 5 20 HJBD CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C(=O)c1csc([N+](=O)[O-])c1 515160121 CFJOISRRDQSOJA-UHFFFAOYSA-N 424.507 4.843 5 20 HJBD CCOc1ccc(C(=O)Nc2c(C(=O)c3ccco3)oc3ccccc23)cc1[N+](=O)[O-] 515164905 DYOTYODOXYOBGH-UHFFFAOYSA-N 420.377 4.816 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(CC(=O)N[C@H](CC)c2ccccc2)no1 516214113 VNANCKZLFPWJKO-FXAWDEMLSA-N 422.485 4.524 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1Cc2ccccc2-c2ccccc2C1 517718144 VUGASZRELACSER-UHFFFAOYSA-N 411.417 4.672 5 20 HJBD CC(C)c1ccc(OC2CCN(C(=O)NCCc3ccc([N+](=O)[O-])cc3)CC2)cc1 518410720 ZPQRRZVTWAPHLR-UHFFFAOYSA-N 411.502 4.514 5 20 HJBD Cc1cc(C(=O)N2CCCC[C@H]2C)ccc1NC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 521443433 MAKANSUDPKTFKP-SJORKVTESA-N 424.501 4.801 5 20 HJBD COc1cc(C(=O)Nc2cc(C(C)(C)C)nn2-c2cccc(C)c2)ccc1[N+](=O)[O-] 523378677 KAHLLCVORSNVDI-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CC(C)CCSc1ccc(C(=O)Nc2cccc(C[S@@](C)=O)c2)cc1[N+](=O)[O-] 524544142 GORVAPNHQONFAW-MUUNZHRXSA-N 420.556 4.864 5 20 HJBD CN(CCCCCc1cc(-c2ccccc2)no1)C(=O)Cc1cccc([N+](=O)[O-])c1 525142722 VXHDPHAFIJKDLO-UHFFFAOYSA-N 407.470 4.664 5 20 HJBD Cc1ccc(C(=O)C2CCN(C(=O)c3c(Cl)cccc3[N+](=O)[O-])CC2)c(C)c1 530861412 MYWWZQQAFVTALO-UHFFFAOYSA-N 400.862 4.600 5 20 HJBD O=C(Cc1c[nH]c2ccc([N+](=O)[O-])cc12)N(Cc1ccc(C(F)(F)F)cc1)C1CC1 536214772 NFELDGFWWJDCQU-UHFFFAOYSA-N 417.387 4.829 5 20 HJBD Cc1cc(NC(=O)c2c(C)cc(C)c([N+](=O)[O-])c2C)ccc1NC(=O)c1ccco1 536689684 NJJLRMNIMFIULB-UHFFFAOYSA-N 407.426 4.926 5 20 HJBD CC(C)Oc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 537021622 FLFAIBNGJLXKHJ-ZIAGYGMSSA-N 405.882 4.713 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl 538004302 LQJYNUZPVSGYRQ-UHFFFAOYSA-N 409.678 4.973 5 20 HJBD COc1ccc(CN(C)C2CCC(OC)CC2)cc1OCc1ccc([N+](=O)[O-])cc1 539827677 QWTCGOSRQSOMAR-UHFFFAOYSA-N 414.502 4.572 5 20 HJBD CN(CCC1CCN(c2ccncc2)CC1)C(=O)c1ccc(C(C)(C)C)c([N+](=O)[O-])c1 539987840 FQLLOXIMBMZAEU-UHFFFAOYSA-N 424.545 4.666 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1F)c1ccc(-c2ccncc2)cc1 545121856 TZNXIIWNECEWTD-HNNXBMFYSA-N 407.445 4.951 5 20 HJBD CC(C)c1noc2nc(C3CC3)cc(-c3nc(Cc4ccccc4[N+](=O)[O-])no3)c12 546947988 INMBIWDITWJFNI-UHFFFAOYSA-N 405.414 4.773 5 20 HJBD CN(Cc1cc(C(F)(F)F)cc(C(F)(F)F)c1)C(=O)Cc1cccc([N+](=O)[O-])c1 551376471 LSSGGVCMRGLYAK-UHFFFAOYSA-N 420.309 4.834 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)c1ccc(NC(=O)Nc2ccccc2)s1 553063726 LYGYOYHQVJRXJB-UHFFFAOYSA-N 424.482 4.615 5 20 HJBD Cn1ccnc1C(=O)c1ccc(Oc2cnc3ccc(Cl)cc3c2)c([N+](=O)[O-])c1 553227574 PPFKJJMRXWDKDW-UHFFFAOYSA-N 408.801 4.553 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(-c3cc(F)ccc3OC)cs2)c1 554882286 QTNHBWGRSAVMFJ-UHFFFAOYSA-N 417.418 4.517 5 20 HJBD Cc1csc(Sc2ccc(C(=O)N3CCC(F)(F)[C@@H](C)C3)cc2[N+](=O)[O-])n1 558581910 JPXIIXQISNRYRN-JTQLQIEISA-N 413.471 4.628 5 20 HJBD CC(C)(NCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1cccc(C(F)(F)F)c1 559944554 SCXZJNPKYUFBCG-UHFFFAOYSA-N 406.364 4.689 5 20 HJBD O=C(Nc1cccc(OCc2cscn2)c1)c1cc([N+](=O)[O-])ccc1C(F)(F)F 567295489 FRLRSDIUPFMTEY-UHFFFAOYSA-N 423.372 4.901 5 20 HJBD Cc1ccc(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2nccn2C)c(OC(C)(C)C)c1 568671664 JSAYDJYEXPEDMB-OAQYLSRUSA-N 408.502 4.693 5 20 HJBD COc1ccc(-c2n[nH]c(SCc3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)cc1 569629753 UHJRBJKOIMAMFX-UHFFFAOYSA-N 410.377 4.700 5 20 HJBD CC[C@@H](c1ccccc1OC)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 574542423 ADRPVEHWWUAYGO-HNNXBMFYSA-N 409.389 4.820 5 20 HJBD CC(C)(c1nc(-c2cccnc2OC2CCCC2)no1)c1ccc([N+](=O)[O-])cc1F 584019043 LCSRYFBLNZIAGR-UHFFFAOYSA-N 412.421 4.826 5 20 HJBD COc1ccc(C(=O)Nc2cc(C(C)(C)C)nn2-c2cccc(C)c2)cc1[N+](=O)[O-] 603601371 WIKMBIXWTIYWNE-UHFFFAOYSA-N 408.458 4.647 5 20 HJBD CCC[C@@H](C)N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1 603613336 ZJQWVBCUHXEERX-QGZVFWFLSA-N 406.486 4.637 5 20 HJBD COc1ccccc1N1CC[C@@H](CNCc2ccc(-c3ccccc3[N+](=O)[O-])s2)C1 608836736 BRDUERXVMKLJAO-KRWDZBQOSA-N 423.538 4.948 5 20 HJBD Cc1ccc(NC(=O)c2ccc(NCCN3Cc4ccccc4C3)c([N+](=O)[O-])c2)cc1 608974586 MUHALSVAIHWLPT-UHFFFAOYSA-N 416.481 4.583 5 20 HJBD CCN(C(=O)c1ccc(NC)c([N+](=O)[O-])c1)[C@@H](c1cccnc1)c1ccc(F)c(C)c1 609584196 JEZZIKSYMLEURI-JOCHJYFZSA-N 422.460 4.731 5 20 HJBD Cc1cc(C(=O)Nc2cccc(C[S@](=O)C3CCCCC3)c2)cc([N+](=O)[O-])c1 609733638 RFLJQAXKODEWOQ-NDEPHWFRSA-N 400.500 4.737 5 20 HJBD Cc1cc(C)cc(-c2nnc(NC(=O)Cc3ccc([N+](=O)[O-])cc3Cl)s2)c1 609787567 ISMCSDPJQMOWNR-UHFFFAOYSA-N 402.863 4.565 5 20 HJBD O=C(Nc1cccc(F)c1)c1cccc(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 609856119 UGJQQHOPRGKIDR-UHFFFAOYSA-N 413.792 4.892 5 20 HJBD CCOC(=O)c1sc(-c2cccc([N+](=O)[O-])c2)cc1NC(=O)[C@@H](C)c1ccco1 610169405 XCRDOTKWFHZOLG-LBPRGKRZSA-N 414.439 4.835 5 20 HJBD Cc1c(CC(=O)N2CCC[C@H]2c2ccccc2Br)cccc1[N+](=O)[O-] 610971960 ISYRTWQQICQIJG-SFHVURJKSA-N 403.276 4.572 5 20 HJBD Cc1cc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(C)n1CC(F)(F)F 618872516 DXHJXDWKBILSFM-UHFFFAOYSA-N 423.313 4.524 5 20 HJBD O=[N+]([O-])c1c(F)cccc1NCC1(c2ccc(Br)cc2)CCOCC1 725790814 FOFVQQNTRQVUAO-UHFFFAOYSA-N 409.255 4.657 5 20 HJBD CNS(=O)(=O)c1cccc(CNCc2c3ccccc3c(Cl)c3ccccc23)c1 727468110 GXBDEOJZYYSXPF-UHFFFAOYSA-N 424.953 4.844 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])c1)Cc1cscn1 732031428 PWGJOYNQQIYSQE-UHFFFAOYSA-N 416.890 4.589 5 20 HJBD CC[C@@H](Cc1ccccc1)C(=O)N(Cc1nnc(-c2cccc([N+](=O)[O-])c2)o1)C(C)C 732728429 GVFPPNWLIRSNAN-SFHVURJKSA-N 422.485 4.651 5 20 HJBD Cc1cccc(Oc2ccc(NC(=O)COc3ccc([N+](=O)[O-])c(F)c3)cn2)c1C 734395517 XXUKSJHVOHLYII-UHFFFAOYSA-N 411.389 4.556 5 20 HJBD Cc1sc(-c2ccco2)nc1C(=O)OCc1cnc(-c2ccc([N+](=O)[O-])cc2)o1 735443411 RCOKOANZGIKJEF-UHFFFAOYSA-N 411.395 4.632 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cc([N+](=O)[O-])ccc1N1CCCCC1 735802859 JCUWZEZRXQCKDL-UHFFFAOYSA-N 418.837 4.707 5 20 HJBD COC(=O)C[C@@H](NC(=O)c1cc(Cl)ccc1[N+](=O)[O-])c1ccc(C(C)(C)C)cc1 737713289 CHQDGYLVGHHJLE-QGZVFWFLSA-N 418.877 4.580 5 20 HJBD O=C(Nc1ccccc1SC(F)F)c1ccc([N+](=O)[O-])cc1Br 739490836 ZQYDBRBHVUDLBQ-UHFFFAOYSA-N 403.204 4.924 5 20 HJBD O=C(Nc1ccc(OC2CCCC2)c(F)c1)c1cc([N+](=O)[O-])ccc1N1CCCC1 742143138 SNNFVVPIBYVUTG-UHFFFAOYSA-N 413.449 4.908 5 20 HJBD Cc1cc(F)cc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)c1[N+](=O)[O-] 753352411 CBLFHIGOJXIYSP-UHFFFAOYSA-N 408.389 4.939 5 20 HJBD CNc1ccc(C(=O)Nc2cc(NC(=O)OC(C)(C)C)ccc2C)cc1[N+](=O)[O-] 754220278 UHHSAQKGUFQZOO-UHFFFAOYSA-N 400.435 4.544 5 20 HJBD Cc1cc(F)cc(C(=O)NCc2cc(Cl)cc(Cl)c2OC(F)F)c1[N+](=O)[O-] 758591989 CNDPKQGNXIZXGJ-UHFFFAOYSA-N 423.174 4.881 5 20 HJBD O=C(OCc1nc2cc([N+](=O)[O-])ccc2o1)c1ccc(C(F)(F)F)cc1Cl 775102900 NNACRGKBRHUENI-UHFFFAOYSA-N 400.696 4.765 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2ccc(Cl)cn2)cc1[N+](=O)[O-])c1ccccn1 778108973 ZMRNTAXKHVHEMG-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD CC(C)(C)c1cnc(COC(=O)c2cc(F)cc3c(=O)c4cc(F)ccc4[nH]c23)o1 781258151 QDMWTHYTETVUAV-UHFFFAOYSA-N 412.392 4.602 5 20 HJBD O=C(CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])NC1(c2ccc(Cl)c(Cl)c2)CC1 781625684 GLZASFLOPZCWFC-UHFFFAOYSA-N 424.240 4.548 5 20 HJBD COc1ccc(NC(=O)c2ccc(NC(=O)OC(C)(C)C)c(C)c2)cc1[N+](=O)[O-] 782470981 HFZSJUNYDNODMU-UHFFFAOYSA-N 401.419 4.511 5 20 HJBD C/C(=C/c1cccc([N+](=O)[O-])c1)CNc1ccc(OCc2noc(C3CC3)n2)cc1 786816234 CUGOFQIBUMYJRW-PTNGSMBKSA-N 406.442 4.950 5 20 HJBD CC[C@H](C)[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1nc2ccccc2[nH]1 790557671 GJPBCUQEGUBHBX-JEOXALJRSA-N 418.856 4.710 5 20 HJBD CCOC(=O)Oc1ccc(C(=O)Nc2nc(-c3cccc([N+](=O)[O-])c3)cs2)cc1 799856992 OFHNGFVTKCIWCD-UHFFFAOYSA-N 413.411 4.506 5 20 HJBD CN(CCc1cccc([N+](=O)[O-])c1)C(=O)N[C@H]1CCOc2c1ccc(Cl)c2Cl 801055423 MDVOFJPKARDPPV-INIZCTEOSA-N 424.284 4.609 5 20 HJBD CC(C)(C)c1cnc(CCC(=O)OCc2csc(-c3cccc([N+](=O)[O-])c3)n2)o1 811216009 CPBWEDIONZRILM-UHFFFAOYSA-N 415.471 4.680 5 20 HJBD Cc1cccc(NC(=O)[C@H](OC(=O)CSc2ccccc2[N+](=O)[O-])C(C)C)c1C 877870999 QRCNEYLDRFPZMJ-HXUWFJFHSA-N 416.499 4.510 5 20 HJBD COc1ccc([N+](=O)[O-])c(-c2nc(-c3ccccc3OCc3ccccc3)no2)c1 904463653 APDVCQRBWMSLJW-UHFFFAOYSA-N 403.394 4.899 5 20 HJBD CCCc1noc2nc(C3CC3)cc(C(=O)Nc3ccc(Cl)c([N+](=O)[O-])c3)c12 915829590 WKOVGWAQLSDYDR-UHFFFAOYSA-N 400.822 4.867 5 20 HJBD Cc1ccc([C@H](C)NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])s1 919762421 AQVXGQPBBRNZMM-NSHDSACASA-N 401.410 4.663 5 20 HJBD Cc1ccc(C(=O)CCC(=O)CCC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])s1 921132816 DSTPOUSFSFMUHJ-UHFFFAOYSA-N 409.847 4.536 5 20 HJBD Cc1c(CC(=O)Nc2ccc(F)c(NC(=O)OC(C)(C)C)c2)cccc1[N+](=O)[O-] 1319592104 QDSSHIMBRGBWCP-UHFFFAOYSA-N 403.410 4.571 5 20 HJBD C[C@@H](OC(=O)c1cc(Cl)ccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 3370705 SCVUZEXJDWSXST-MRVPVSSYSA-N 417.632 4.739 5 20 HJBD COc1ccc(-c2nc(COC(=O)c3ccc(Cl)cc3[N+](=O)[O-])cs2)cc1 5112956 GBBARVCSAIZTKO-UHFFFAOYSA-N 404.831 4.737 5 20 HJBD CC(=O)c1ccccc1NC(=O)[C@H](C)Sc1nc2ccc([N+](=O)[O-])cc2s1 16054249 PVJZIUOCHRQZKC-NSHDSACASA-N 401.469 4.526 5 20 HJBD CC(C)(C)c1ccc(C(=O)NCc2ccc(NC(=O)c3ccco3)cc2)cc1[N+](=O)[O-] 23201609 IFGGRRMEZUUMFN-UHFFFAOYSA-N 421.453 4.668 5 20 HJBD COCCOc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 29213502 PMHUEKASYVFYRP-UHFFFAOYSA-N 407.426 4.616 5 20 HJBD Cc1ccccc1-n1ncc(C(=O)N[C@@H](C)c2cccc([N+](=O)[O-])c2)c1C(F)(F)F 55466470 CKILJTCCDZOXOG-ZDUSSCGKSA-N 418.375 4.599 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)c1ccc(OCc2ccncc2)cc1 56288310 DTOSULBBRSAJMK-UHFFFAOYSA-N 405.454 4.620 5 20 HJBD COc1cc(C(=O)Nc2cccc(OCCC(C)C)c2)c([N+](=O)[O-])cc1OC(F)F 64424666 BQFHANSJVOVQMG-UHFFFAOYSA-N 424.400 4.882 5 20 HJBD O=C(c1ccc(N2CCCC2)c([N+](=O)[O-])c1)N1CCCc2c(Cl)ccc(F)c21 78721382 RPKLOZUOICIULX-UHFFFAOYSA-N 403.841 4.581 5 20 HJBD O=C(/C=C\c1ccc([N+](=O)[O-])cc1)Nc1cc(Cl)ccc1C(=O)N1CCCCC1 207767389 MZZQKSQKBHXGBR-WDZFZDKYSA-N 413.861 4.526 5 20 HJBD CC1(C)[C@H]2OCC[C@H]2[C@H]1NCc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1 236707292 AMVBOOJZIOOTSI-KPOBHBOGSA-N 402.878 4.944 5 20 HJBD Cc1nc(SCC(=O)Nc2ccc([N+](=O)[O-])cc2C(F)(F)F)c2ccccc2n1 247947766 IUANVBSXYUDJTM-UHFFFAOYSA-N 422.388 4.596 5 20 HJBD O=[N+]([O-])c1cc(F)ccc1Nc1cccc(CS(=O)(=O)Cc2ccccc2)c1 301321305 SWPKHANMHBDIAY-UHFFFAOYSA-N 400.431 4.593 5 20 HJBD Cc1ccc(Oc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(I)n1 301824286 IFMVAZUDCQIAIT-UHFFFAOYSA-N 424.116 4.714 5 20 HJBD CCC[C@@]1(CO)CCN(C(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)C1 426134042 QIHFVXYPYOQLOA-OAQYLSRUSA-N 418.877 4.665 5 20 HJBD O=C(c1ccc(F)cc1C(F)(F)F)N1CCS[C@H]1c1ccc([N+](=O)[O-])cc1 429549543 UEVLYDBXPLBSSA-INIZCTEOSA-N 400.353 4.641 5 20 HJBD CC(C)c1[nH]nc(C(=O)Nc2ccc(Oc3ccncc3)c(Cl)c2)c1[N+](=O)[O-] 434188590 XYFQPIBZEZRMDT-UHFFFAOYSA-N 401.810 4.534 5 20 HJBD C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 435770416 DNYYDSKBCISCBS-MRXNPFEDSA-N 403.442 4.629 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 439918444 UPTKZQWECRZHEA-OXJNMPFZSA-N 417.437 4.517 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)Nc1cccc(CCC(F)(F)F)c1 441770357 ZMIKDXSLMODZND-GFCCVEGCSA-N 411.380 4.537 5 20 HJBD O=C(Nc1ccccc1OC[C@@H]1CCCCO1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 443864739 DDXDRKQHBJSFOV-AWEZNQCLSA-N 424.375 4.814 5 20 HJBD CCc1ccc(-c2noc([C@@H](C)NC(=O)[C@@H](CC)Cc3ccc([N+](=O)[O-])cc3)n2)cc1 462619375 DJPLGMSJRIEXIK-QAPCUYQASA-N 422.485 4.653 5 20 HJBD C[C@H](NC(=O)Cc1ccc([N+](=O)[O-])cc1Br)c1cc2ccccc2o1 466513826 KBPOCEIIJBONNU-NSHDSACASA-N 403.232 4.523 5 20 HJBD CCOc1cccc([N+](=O)[O-])c1C(=O)Nc1nnc(-c2c(F)cccc2Cl)s1 471147519 FENCGSJNPKQEQX-UHFFFAOYSA-N 422.825 4.557 5 20 HJBD Nc1cccc([N+](=O)[O-])c1C(=O)Nc1ccc(-c2csc(C(F)(F)F)n2)cc1 477704525 PSNBMKNHAZKOND-UHFFFAOYSA-N 408.361 4.572 5 20 HJBD CCCCn1ccnc1-c1cccc(NC(=O)c2cc(OC)c(OC)cc2[N+](=O)[O-])c1 478610314 NBPJMYMAJMMOEP-UHFFFAOYSA-N 424.457 4.528 5 20 HJBD CCOc1cc(OCC(=O)Nc2cc(C(F)(F)F)ccc2Cl)ccc1[N+](=O)[O-] 478715823 KIUITBOVIBBUOI-UHFFFAOYSA-N 418.755 4.683 5 20 HJBD O=[N+]([O-])c1ccc(CN[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)c(F)c1 480000317 RZFLRLGGWCUYFR-NRFANRHFSA-N 408.408 4.754 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(Cn2ccnc2)cc1 480468490 XNOOZIVHIQTDFH-UHFFFAOYSA-N 424.526 4.518 5 20 HJBD O=C(NCCc1csc(-c2ccccc2)n1)Nc1ccc([N+](=O)[O-])cc1Cl 481474761 HOHLZOOCCNGLGB-UHFFFAOYSA-N 402.863 4.736 5 20 HJBD CC(C)c1c(NC(=O)COc2ccc([N+](=O)[O-])cc2)cnn1-c1ccccc1Cl 482697870 WQODVNPHJQQEKT-UHFFFAOYSA-N 414.849 4.575 5 20 HJBD Cc1nc([N+](=O)[O-])cn1CCC(=O)N(c1ccccc1)[C@H](C)c1ccc(Cl)cc1 482831275 NBYGNVHNYBDPIR-OAHLLOKOSA-N 412.877 4.938 5 20 HJBD CC[C@H](C)c1nc([C@@H](C)NCc2ccc(N(C)c3ccccc3)c([N+](=O)[O-])c2)n[nH]1 486865051 FUAQFVOAXPJAQG-JKSUJKDBSA-N 408.506 4.845 5 20 HJBD CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C1CC1 487392647 ZVVFBRYLVRFKRE-VLIAUNLRSA-N 417.893 4.698 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1ccc(C(F)(F)F)c(C)c1 489981450 LUICYFUWKROIDT-UHFFFAOYSA-N 414.405 4.913 5 20 HJBD CCC(=O)Nc1ccc(Cl)c(NC(=O)N[C@H](C)c2ccc(C)c([N+](=O)[O-])c2)c1 493180570 LLJXSUJYTFWTCZ-GFCCVEGCSA-N 404.854 4.788 5 20 HJBD CCCOc1cc(NC(=O)N2CCS[C@@H](C)CC2)c([N+](=O)[O-])cc1OCCC 494561041 HOLIMBZLSMUKKR-AWEZNQCLSA-N 411.524 4.532 5 20 HJBD Cc1cccnc1[C@@H](NC(=O)NCc1cc([N+](=O)[O-])cc(C(F)(F)F)c1)C(C)C 495227572 IDUUSGYGCRBPBZ-INIZCTEOSA-N 410.396 4.514 5 20 HJBD CCN(C(=O)CCOc1ccccc1[N+](=O)[O-])[C@H](c1ccc(C)cc1)c1cccnc1 496522121 ZPRUDUJAFUOZSO-XMMPIXPASA-N 419.481 4.705 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN(Cc3ccc(C(F)(F)F)cc3)C3CC3)o2)cc1 497126695 ILVDXWPVUFYAEN-UHFFFAOYSA-N 418.375 4.828 5 20 HJBD CC(C)[C@@H](Nc1ccc([N+](=O)[O-])cc1Br)c1nc(-c2ccncc2)no1 499143989 BWCFAPUPYAXNCB-OAHLLOKOSA-N 418.251 4.612 5 20 HJBD Cc1ccc(-c2nc(C)c([C@@H](C)NC(=O)COc3ccccc3[N+](=O)[O-])s2)cc1 502479214 DSZJPPQUWSNIJB-CQSZACIVSA-N 411.483 4.591 5 20 HJBD Cc1c(CNC(=O)N2CCC(c3c[nH]c4ccc(F)cc34)CC2)cccc1[N+](=O)[O-] 503109650 VHFYFPLUMGDCOH-UHFFFAOYSA-N 410.449 4.613 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CNc3ccc(F)cc3OC3CCOCC3)co2)cc1 506188080 GXCQVGWKYKIPCX-UHFFFAOYSA-N 413.405 4.559 5 20 HJBD Cc1cc(OCc2nnc(-c3ccccc3Br)o2)c(Cl)cc1[N+](=O)[O-] 506444638 MEBULXKUWHBUKX-UHFFFAOYSA-N 424.638 4.948 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)c1ccc([N+](=O)[O-])cc1I 508902883 DXIHIPKNLNYOEB-UHFFFAOYSA-N 424.238 4.884 5 20 HJBD CC(C)N(Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1sccc1Br 509488637 MILKLXRIKARRQJ-UHFFFAOYSA-N 412.309 4.539 5 20 HJBD CCCOc1cc(NC(=O)c2ccccc2-n2cccn2)c([N+](=O)[O-])cc1OCCC 514569619 AFHFNYJDTXOJTC-UHFFFAOYSA-N 424.457 4.610 5 20 HJBD C[C@H](c1c(F)cccc1F)N(C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC(F)F 516364741 UAGQIXLSUDTZBD-SECBINFHSA-N 401.316 4.699 5 20 HJBD C[C@H](NC(C)(C)CNC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1)c1ccccc1 518806561 DZBNNXRPNCCHNK-HNNXBMFYSA-N 424.526 4.578 5 20 HJBD Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 521480478 GORCZIUPGWRPPZ-KKSFZXQISA-N 416.481 4.889 5 20 HJBD COc1cc(Cc2nc(O)c3c(-c4ccccc4)c(C)sc3n2)ccc1[N+](=O)[O-] 530959871 SPYNZVVWFQPCEW-UHFFFAOYSA-N 407.451 4.880 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)NC(=O)Cc1c[nH]c2ccc([N+](=O)[O-])cc12 535367596 JFMNLATXMDHWIX-CYBMUJFWSA-N 420.494 4.928 5 20 HJBD COc1ccccc1COc1cccc(NC(=O)COc2cccc([N+](=O)[O-])c2)c1C 535447839 SEMZYXGQUIGQQE-UHFFFAOYSA-N 422.437 4.508 5 20 HJBD Cc1ccc(-c2ncco2)cc1NC(=O)[C@H](C)Oc1ccc(Cl)cc1[N+](=O)[O-] 535465667 CDZSOYLJHSOJJT-LBPRGKRZSA-N 401.806 4.618 5 20 HJBD C[C@@H]1CN(C)CC[C@H]1NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 536855678 BUWZVRLGTHDJHA-FZKQIMNGSA-N 407.873 4.609 5 20 HJBD Cc1ccc([C@@H](C)NCc2nc(-c3ccc(Br)s3)no2)cc1[N+](=O)[O-] 537176397 FNSFTUHEOYIOJV-SNVBAGLBSA-N 423.292 4.628 5 20 HJBD Cc1sc(CCN[C@H](C)CC(=O)Nc2ccc([N+](=O)[O-])cc2)nc1-c1ccccc1 537962421 YURBCFQZPLFFPA-OAHLLOKOSA-N 424.526 4.576 5 20 HJBD CCCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccccc1-c1cnn(C)c1 538543375 QMZZVEAJOOUSKC-UHFFFAOYSA-N 424.526 4.818 5 20 HJBD O=C(Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccc(C(F)(F)F)cc1Cl 539297786 MVZNNYFCCDCOSH-UHFFFAOYSA-N 416.739 4.681 5 20 HJBD COc1ccc([C@@H]2CCCCCN2C(=O)CSc2ccccc2[N+](=O)[O-])cc1 544050932 NNPKVCMHHKWEFU-SFHVURJKSA-N 400.500 4.839 5 20 HJBD Cc1cnc(O)n1-c1cccc(-c2noc([C@H](C)Sc3ccc([N+](=O)[O-])cc3)n2)c1 547068022 OEHANTIQGFGPLW-ZDUSSCGKSA-N 423.454 4.698 5 20 HJBD O=[N+]([O-])c1ccc(C(F)(F)F)c(CN[C@@H]2c3ccccc3CC23CCOCC3)c1 549718117 WNKVADPBYGOYJY-LJQANCHMSA-N 406.404 4.797 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CCC[C@@H]3c3nc(C)no3)cc2[N+](=O)[O-])cc1 553753764 AMYWOFVEIVNKQT-QGZVFWFLSA-N 424.482 4.723 5 20 HJBD O=C(c1cc(NCc2cc(Cl)sc2Cl)ccc1[N+](=O)[O-])N1CCCC1 557155594 QBQCQXCAAPKXHL-UHFFFAOYSA-N 400.287 4.811 5 20 HJBD CC[C@@H](CNC(=O)c1cc([N+](=O)[O-])ccc1C(F)(F)F)Oc1ccccc1Cl 560172734 BIFFZNVSPAAYMN-LBPRGKRZSA-N 416.783 4.854 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1CC[C@](CO)(Cc2ccc(F)cc2)C1 564541682 YTXNOEIBAPFYEI-SANMLTNESA-N 414.480 4.594 5 20 HJBD O=[N+]([O-])c1cccc(-c2nc(CNc3ccc(Oc4cccnc4)nc3)cs2)c1 564596317 CNDZRQKOGKLLPY-UHFFFAOYSA-N 405.439 4.913 5 20 HJBD CC(C)(C(=O)NCc1cccc([N+](=O)[O-])c1)c1csc(-c2cc(F)ccc2F)n1 568451486 AGJJVPFKPXAGEV-UHFFFAOYSA-N 417.437 4.591 5 20 HJBD Cc1cc(=O)cc(C)n1CCCC(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 576154333 MPTJBSNLXNLKEM-UHFFFAOYSA-N 421.453 4.585 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)Nc1ccc(NC[C@@H]2CCCO2)c(F)c1 581914727 SGNBOHZJKGDHDM-INIZCTEOSA-N 402.426 4.531 5 20 HJBD Cc1cc(C)n(-c2ccc([C@@H](C)NC(=O)C(C)(C)c3ccccc3[N+](=O)[O-])cc2)n1 583098788 ZSPGLTASHWOBKB-QGZVFWFLSA-N 406.486 4.552 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccc(NC(=O)NC2CC2)cc1 603762345 KFCUWDPMOBAGRB-GFCCVEGCSA-N 424.482 4.584 5 20 HJBD C[C@H]1CCCCN1Cc1ccc(CNC(=O)N[C@H](C)c2cccc([N+](=O)[O-])c2)cc1 604129869 DCZVQEDVORGPDS-ZWKOTPCHSA-N 410.518 4.530 5 20 HJBD CSc1ccc(C(=O)N(C)C[C@H]2CCCO[C@@H]2c2ccccc2)cc1[N+](=O)[O-] 604519202 SNJLQIKQDPRKQG-YLJYHZDGSA-N 400.500 4.557 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@H](CN1CCCCC1)c1ccccc1 609042779 QZHRGBXBVUPNLI-LJQANCHMSA-N 402.882 4.597 5 20 HJBD Cc1cccc2sc(C(=O)Nc3n[nH]c(-c4cccc([N+](=O)[O-])c4)n3)c(Cl)c12 609135830 WWFBUFLRYZTLAB-UHFFFAOYSA-N 413.846 4.809 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CCCc2nc(-c3ccc(F)cc3)sc21 609187610 QFUYAWXEQDKNMP-KRWDZBQOSA-N 411.458 4.973 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1cccc(CSC2CCOCC2)c1 609787520 DHVJHTNSIPRNSC-UHFFFAOYSA-N 420.918 4.842 5 20 HJBD COCCCOc1ccc(C(=O)OCc2nc3ccccc3c3ccccc23)cn1 725998720 FLGHISIHWAMUSP-UHFFFAOYSA-N 402.450 4.555 5 20 HJBD Cc1nc2c3ccccc3nn2c(C)c1CCC(=O)Nc1ccc([N+](=O)[O-])c(Cl)c1 727711472 ZNMITKQPLPFONW-UHFFFAOYSA-N 423.860 4.632 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)O[C@H](C)c2ccccc2NC(=O)c2ccccc2)c1 748022989 VVABPYIPSXKPLK-OAHLLOKOSA-N 420.421 4.774 5 20 HJBD O=[N+]([O-])c1ccc(-c2nnc(CN3CCCCC[C@@H]3C[C@@H](O)c3ccccc3)o2)cc1 751558608 CVYXFSPXYRDFPG-NHCUHLMSSA-N 422.485 4.513 5 20 HJBD C[C@@H](C(=O)Nc1cc(F)c(N2CCCCC2)c(F)c1)c1ccc([N+](=O)[O-])cc1F 754435581 ZGZXUBLHTWDYPR-GFCCVEGCSA-N 407.392 4.745 5 20 HJBD O=C(NCc1cc([N+](=O)[O-])ccc1Cl)Nc1ccccc1OC[C@H]1CCCCO1 757205944 GGTCFYNGUOUOBR-MRXNPFEDSA-N 419.865 4.518 5 20 HJBD Cc1ccc([C@H]2C[C@@H](OC(=O)c3ccc(N4CCCC4)c([N+](=O)[O-])c3)CCO2)cc1 757526270 LPFHFGVEYKGFFN-SIKLNZKXSA-N 410.470 4.581 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1Br)c1ccccc1C(F)(F)F 758136433 YQELSPKOOWPQRZ-VIFPVBQESA-N 417.181 4.867 5 20 HJBD CCC(CC)(C(=O)Nc1cc([N+](=O)[O-])c(F)cc1F)c1ccc(OC)c(OC)c1 758866942 UZEWWWAMKQTCCD-UHFFFAOYSA-N 408.401 4.587 5 20 HJBD O=C(Nc1ccccc1NC(=O)c1cccs1)c1ccc([N+](=O)[O-])c(Cl)c1 764221102 KYZLXVBTUIMTKM-UHFFFAOYSA-N 401.831 4.814 5 20 HJBD CC(=O)[C@@H](Cc1ccc(Cl)cc1F)OC(=O)c1cc([N+](=O)[O-])cc(Cl)c1F 768857598 DEHJAIAOXMENKL-OAHLLOKOSA-N 418.179 4.537 5 20 HJBD O=C(OCc1ccc([N+](=O)[O-])cc1Br)c1ncoc1-c1ccc(F)cc1 769961152 WRDRGNZWBIKJAZ-UHFFFAOYSA-N 421.178 4.508 5 20 HJBD O=C(Nc1cc(Cl)c([N+](=O)[O-])cc1OCc1ccccc1)C1SCCS1 770265548 QDLHIQMLESUHCO-UHFFFAOYSA-N 410.904 4.572 5 20 HJBD O=C(Nc1ccc(Br)c([N+](=O)[O-])c1)c1cccnc1SC(F)(F)F 770318712 NXYLWMCSYXBYCP-UHFFFAOYSA-N 422.182 4.617 5 20 HJBD CC(C)CO[C@@H](C)c1noc(CN(C)c2c(Cl)cc([N+](=O)[O-])cc2Cl)n1 772091912 PUSCTFYKUXUJME-JTQLQIEISA-N 403.266 4.655 5 20 HJBD CCc1nc2ccccc2n1[C@@H]1CCCN(C(=O)c2ccc(Cl)cc2[N+](=O)[O-])C1 773186539 OBCCQNFQYGVWPZ-OAHLLOKOSA-N 412.877 4.638 5 20 HJBD COc1cc(Cl)ccc1NC(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl 775363244 LVHPYBYSPMNABI-UHFFFAOYSA-N 420.046 4.925 5 20 HJBD C[C@H](C(=O)Nc1ccc(CNC(=O)OC(C)(C)C)cc1)c1ccc([N+](=O)[O-])cc1F 777831502 FAWIYCORISKMGJ-ZDUSSCGKSA-N 417.437 4.501 5 20 HJBD C[C@@H](NC(=O)Cc1cc(F)c(Cl)cc1[N+](=O)[O-])c1ccc(-c2ccncc2)cc1 790556030 APSWQJRCIAZIAT-CYBMUJFWSA-N 413.836 4.869 5 20 HJBD Nc1cc(F)c([N+](=O)[O-])cc1C(=O)Nc1ccccc1NCc1ccc(Cl)cc1 791394753 KXNJJCYLTGSOPZ-UHFFFAOYSA-N 414.824 4.834 5 20 HJBD CC(C)(C)OC(=O)Nc1ccc(OC(=O)Cc2ccccc2[N+](=O)[O-])c(Cl)c1 792135740 FKKUVJXPGPLQEF-UHFFFAOYSA-N 406.822 4.743 5 20 HJBD O=C(Nc1cccc(Cl)c1OCC(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 807729725 RASPWBYTSCJCMK-UHFFFAOYSA-N 406.719 4.623 5 20 HJBD CC[C@H](Cc1ccc([N+](=O)[O-])cc1)c1nc(-c2c(Cl)nc3sccn23)no1 809473022 JOHQKTPBZKVHMI-LLVKDONJSA-N 403.851 4.744 5 20 HJBD CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)C(=O)Nc1cccc([N+](=O)[O-])c1Cl 809916782 SPKKPTOBZJUETN-HNAYVOBHSA-N 403.866 4.880 5 20 HJBD CCc1cccc(C)c1NC(=O)COC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 811374848 PWEVGHXZHUNKML-UHFFFAOYSA-N 411.241 4.568 5 20 HJBD Nc1ccc(NC(=O)CCc2ncc(-c3ccc(Cl)cc3Cl)o2)cc1[N+](=O)[O-] 819909633 BSZRHAPXFLDLGH-UHFFFAOYSA-N 421.240 4.710 5 20 HJBD CCn1c(SCc2nnc(-c3cccc([N+](=O)[O-])c3)o2)nnc1-c1cccc(C)c1 1118135664 MRPSMVAUHKUAOS-UHFFFAOYSA-N 422.470 4.524 5 20 HJBD COc1ccc(-c2nnc(-c3cc(SC)ccc3[N+](=O)[O-])o2)cc1Br 1257969708 CZBWNPOGHKFHHV-UHFFFAOYSA-N 422.260 4.805 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(COc2ccccc2Br)n1 1320207097 GZJZIOSFGFRQFR-UHFFFAOYSA-N 404.220 4.603 5 20 HJBD COc1ccc(-c2nnc(-c3cc(Cl)cc([N+](=O)[O-])c3)o2)cc1Br 1322612246 WMVFVGZEUJWYNY-UHFFFAOYSA-N 410.611 4.736 5 20 HJBD O=C(c1cnn(C2CCCCC2)c1)N(Cc1ccccc1[N+](=O)[O-])CC(F)(F)F 1327510300 MPKXHVJOAXYTDL-UHFFFAOYSA-N 410.396 4.501 5 20 HJBD C[C@@H](Oc1ccccc1F)c1nnc(Cc2ccc([N+](=O)[O-])cc2Br)o1 1327856213 JLPCBPQVXLDOIF-SNVBAGLBSA-N 422.210 4.610 5 20 HJBD CC(C)COc1ccc(C(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)cc1 6842879 AZUPDHKMNWRPRQ-CQSZACIVSA-N 411.414 4.598 5 20 HJBD COc1ccc([N+](=O)[O-])cc1OCC(=O)Nc1cc(Cl)c(Cl)cc1Cl 10846428 WZQSLXAWGFSURW-UHFFFAOYSA-N 405.621 4.581 5 20 HJBD C[C@H](OC(=O)Cc1ccc(C(F)(F)F)cc1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 11070171 XOXZDAKVHWGSDS-NSHDSACASA-N 421.331 4.511 5 20 HJBD O=C(CSc1ccc(F)c(Cl)c1)Nc1ccc([N+](=O)[O-])cc1Br 15483488 ROCZTNAFFJDCQT-UHFFFAOYSA-N 419.659 4.881 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])cc1)C(=O)Nc1ccccc1Oc1ccccc1 22501330 RMXWUUCBDYCGQM-HNNXBMFYSA-N 406.394 4.571 5 20 HJBD CC(C)c1nccn1Cc1cccc(NC(=O)N(C)Cc2ccccc2[N+](=O)[O-])c1 47571355 YRFICAGXVIGOOR-UHFFFAOYSA-N 407.474 4.627 5 20 HJBD CN(C)CCOc1ccc(NC(=O)c2ccc(Nc3ccccc3)c([N+](=O)[O-])c2)cc1 58491869 XXBBMCJNVKSWFJ-UHFFFAOYSA-N 420.469 4.531 5 20 HJBD CCCOc1ccc([C@@H](C)NC(=O)[C@H](C)Sc2ccc([N+](=O)[O-])cc2)cc1OC 107907004 WAWYVSPLLKHUCJ-CABCVRRESA-N 418.515 4.750 5 20 HJBD CCCCN(C(=O)CSc1ccc([N+](=O)[O-])cc1)C1CC(C)(C)NC(C)(C)C1 109143488 WJBNSQSUOKVLFE-UHFFFAOYSA-N 407.580 4.625 5 20 HJBD C[C@@H]1C[C@@H](C)CN(CCCCNCc2csc(-c3cccc([N+](=O)[O-])c3)n2)C1 237461484 CRSBLGUBBXCYNB-IAGOWNOFSA-N 402.564 4.566 5 20 HJBD Cc1ccccc1-n1c(Sc2ccc([N+](=O)[O-])cc2F)nnc1-c1ccncc1 301072316 HCDYOXUQFXACBC-UHFFFAOYSA-N 407.430 4.836 5 20 HJBD CC(C)n1cnnc1[C@H]1CCCN(c2cc(Cl)c(C(F)(F)F)cc2[N+](=O)[O-])C1 301283186 LMSIWBYFMTXTCA-NSHDSACASA-N 417.819 4.823 5 20 HJBD COc1ccccc1OCc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)o1 427593333 TVQDOMYGQJFTJV-UHFFFAOYSA-N 422.437 4.580 5 20 HJBD O=[N+]([O-])c1ccc(OCc2csc(-c3ccc(C(F)(F)F)cc3)n2)cc1CO 428093081 RCDPBERGDQYUIP-UHFFFAOYSA-N 410.373 4.808 5 20 HJBD CCCc1c(NC(=O)c2ccc(SC)c([N+](=O)[O-])c2)cnn1-c1ccc(F)cc1 429349021 YWYXARNYQVMCFE-UHFFFAOYSA-N 414.462 4.846 5 20 HJBD COc1cccc(C(=O)N2CCCN(Cc3ccccc3)c3ccccc32)c1[N+](=O)[O-] 435880966 RUMADLFOYVDUJM-UHFFFAOYSA-N 417.465 4.661 5 20 HJBD COc1cccc(C(=O)N[C@@H](c2ccccc2C(F)(F)F)C(F)(F)F)c1[N+](=O)[O-] 436044868 CSCLEQIQODNLOM-AWEZNQCLSA-N 422.281 4.656 5 20 HJBD CSCCc1ccc(NC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)cc1 436354378 QFCYYUCSHVERMJ-UHFFFAOYSA-N 406.482 4.978 5 20 HJBD C[C@@H](NCc1ccc(Oc2ccc(C(C)(C)C)cc2)c([N+](=O)[O-])c1)c1ncn(C)n1 436399484 DZTZOGJWAHLQQA-OAHLLOKOSA-N 409.490 4.664 5 20 HJBD Cc1ccnc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)cc2)n1 437295022 OCWMMKYRWWFQHU-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD O=C(NCc1ccc(F)cc1C(F)(F)F)N(Cc1ccccc1[N+](=O)[O-])C1CC1 437927077 BYETVVRAQZFUKH-UHFFFAOYSA-N 411.355 4.627 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1SCC1CCCC1)N1CCC([C@@H]2CCCO2)CC1 439900404 LRQAAXAQUOWTQT-FQEVSTJZSA-N 418.559 4.908 5 20 HJBD O=C(Nc1cc(F)cc(Cl)c1O)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444325878 BSCILGLXZMVYGF-UHFFFAOYSA-N 418.758 4.710 5 20 HJBD CC[C@@H](C)c1ccccc1OCC(=O)N1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 464665216 SLFXYXYEEQFADS-QGZVFWFLSA-N 412.486 4.557 5 20 HJBD CC[C@@H](NC(=O)Nc1ccc([N+](=O)[O-])cc1Cl)c1nc(C(F)(F)F)cs1 465067934 QXOOFPGVPZRXRF-SECBINFHSA-N 408.789 4.996 5 20 HJBD CCCOc1cc(NC(=O)NCc2sc(C)nc2C)c([N+](=O)[O-])cc1OCCC 466111392 APADGQWAPVKEJC-UHFFFAOYSA-N 422.507 4.567 5 20 HJBD CC(C)(C(=O)N[C@@H]1CSc2ccc(Br)cc21)c1ccc([N+](=O)[O-])cc1 470517623 BLAFLYPNCWNZGL-OAHLLOKOSA-N 421.316 4.598 5 20 HJBD C[C@H]1CCCCN1CCC1CCN(C(=O)[C@@H](C)Sc2ccc([N+](=O)[O-])cc2)CC1 478604931 BDAFXYUXSMOOFY-ZWKOTPCHSA-N 419.591 4.579 5 20 HJBD CCC(=O)c1ccc(N[C@@H](C)[C@@H](OC2CCOCC2)c2ccccc2)c([N+](=O)[O-])c1 480095115 SQFUYZGIPDDDOO-QMHKHESXSA-N 412.486 4.925 5 20 HJBD CC(C)CSc1ccc([N+](=O)[O-])cc1C(=O)NCc1coc(-c2cccs2)n1 481972736 HUYWMFQXUVEGSK-UHFFFAOYSA-N 417.512 4.989 5 20 HJBD CC[C@H](C)n1ncc(NC(=O)c2ccc(N3C[C@H](C)C[C@@H](C)C3)c([N+](=O)[O-])c2)c1C 482803103 XSGFNQQRKZWIMT-OAGGEKHMSA-N 413.522 4.805 5 20 HJBD CCn1c(SCc2nccn2CC(F)(F)F)nc2cc3ccccc3cc2c1=O 483064153 YRKAQRIZYGQJBP-UHFFFAOYSA-N 418.444 4.621 5 20 HJBD Cc1cccc2c(O)nc(CSc3ccc(Br)cc3[N+](=O)[O-])nc12 485265490 BBBXJWYNUVJDHO-UHFFFAOYSA-N 406.261 4.607 5 20 HJBD O=C([C@H]1C[C@H]1c1cccc(Br)c1)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 492868900 DIUSYMWBOYXAGQ-OALUTQOASA-N 415.287 4.652 5 20 HJBD O=C(Nc1cc(Cl)ccc1OC[C@H]1CCOC1)c1cc2cc([N+](=O)[O-])ccc2o1 494534398 WOPPZODRSDOEJB-LBPRGKRZSA-N 416.817 4.662 5 20 HJBD Cc1sc(NC(=O)C(C)(C)c2ccccc2[N+](=O)[O-])nc1-c1c[nH]c2ncccc12 495400017 RHILUJLQFDRKHY-UHFFFAOYSA-N 421.482 4.819 5 20 HJBD CCc1ccc([C@@H](c2cccnc2)N(CC)C(=O)c2cc(OC)ccc2[N+](=O)[O-])cc1 497871914 AUSFGLHNPUOJHZ-QHCPKHFHSA-N 419.481 4.813 5 20 HJBD C[C@H](NC(=O)c1ccc([N+](=O)[O-])c2cccnc12)c1ccc(OCC2CC2)c(F)c1 498406022 MEHPPWLLAVOMFG-ZDUSSCGKSA-N 409.417 4.562 5 20 HJBD Cc1cc(N2CCO[C@@H](c3ccccc3Cl)C2)nc(-c2ccc([N+](=O)[O-])cc2)n1 505607687 IAVFQHGWZMQFMJ-LJQANCHMSA-N 410.861 4.592 5 20 HJBD CCN(CC)Cc1ccccc1CNC(=O)N(Cc1ccc([N+](=O)[O-])cc1)C(C)C 509381122 WTBRPLTXQQAINT-UHFFFAOYSA-N 412.534 4.557 5 20 HJBD C[C@H](NC(=O)c1cccc(CN(C)Cc2ccccc2)c1)c1cccc([N+](=O)[O-])c1 512172624 MQKGBZOMMAVLRG-SFHVURJKSA-N 403.482 4.718 5 20 HJBD C[C@H](NC(=O)N1CCC[C@H](COc2ccccc2Cl)C1)c1cccc([N+](=O)[O-])c1 513984044 XSLIFWBZSDQUDH-HOTGVXAUSA-N 417.893 4.810 5 20 HJBD O=C(Cc1cccc(OCc2ccc(Cl)cc2)c1)NCc1ccc([N+](=O)[O-])cc1 517988668 XDUDVXPHQCPBOE-UHFFFAOYSA-N 410.857 4.686 5 20 HJBD O=C(c1cc(O)nc2ccc([N+](=O)[O-])cc12)N1CCC[C@H]1c1cccc2ccccc12 518891786 HLVFDKVXTPBUIZ-QFIPXVFZSA-N 413.433 4.979 5 20 HJBD CN(Cc1cccc(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1)Cc1cscn1 521362801 UAUUVXLCXKREGR-UHFFFAOYSA-N 422.466 4.682 5 20 HJBD CC(C)(C)Cc1noc2nc(C3CC3)cc(C(=O)NCc3cccc([N+](=O)[O-])c3)c12 524346895 IZAOAJSLGAHEMR-UHFFFAOYSA-N 408.458 4.527 5 20 HJBD COc1ccc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])cc1NC(=O)C1CCCCC1 525148491 ABCVSRLUSXGEDP-UHFFFAOYSA-N 411.458 4.683 5 20 HJBD O=C(CCc1nc2cc(Cl)ccc2s1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 532614240 YGVBXCACOHUKNQ-UHFFFAOYSA-N 415.902 4.982 5 20 HJBD CCCC(=O)Nc1nc2ccc(NC(=O)c3c[nH]c4cccc([N+](=O)[O-])c34)cc2s1 534232831 UTCFPXAORAKFJK-UHFFFAOYSA-N 423.454 4.677 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)c1cccc(C(=O)c2ccccc2)c1 534907667 MQZYBYQPKPNBRK-HNNXBMFYSA-N 404.422 4.577 5 20 HJBD Cc1cccc2c(CC(=O)N(C)c3nc(-c4ccccc4[N+](=O)[O-])cs3)c[nH]c12 538255002 YWAYZDYWGADFPB-UHFFFAOYSA-N 406.467 4.714 5 20 HJBD CCCc1c(NC(=O)Cc2c[nH]c3ccc([N+](=O)[O-])cc23)cnn1-c1cccc(F)c1 541484281 GMZKRSFFDUIYPH-UHFFFAOYSA-N 421.432 4.535 5 20 HJBD Cc1cnc([C@@H](C)CNC(=O)c2cc([N+](=O)[O-])ccc2NCc2ccccc2)s1 542572965 KJSISGFEKLBNIB-AWEZNQCLSA-N 410.499 4.505 5 20 HJBD C[C@](NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl)(c1ccc(F)cc1)C(F)(F)F 543795977 GYDJLKQLWVQXGV-INIZCTEOSA-N 404.747 4.524 5 20 HJBD CCC(=O)Nc1cccc(CN[C@H](c2ccc([N+](=O)[O-])cc2)c2ccc(CC)o2)c1 544360947 XEVQWSGTOUWTGD-HSZRJFAPSA-N 407.470 4.978 5 20 HJBD CCOc1c(Cl)cc(-c2nc(Cc3ccc([N+](=O)[O-])c(C)c3)no2)cc1OC 545784730 RPJOGBRFVFLBOY-UHFFFAOYSA-N 403.822 4.605 5 20 HJBD COc1cc(-c2noc(Cc3ccc([N+](=O)[O-])cc3Cl)n2)ccc1OC(C)C 546947429 GKDPYJSHYJUFAT-UHFFFAOYSA-N 403.822 4.685 5 20 HJBD Cc1cc(Cc2noc(-c3cc(C4CC4)nc4c3cnn4C(C)C)n2)ccc1[N+](=O)[O-] 547194955 VPVPAGPBWNURCZ-UHFFFAOYSA-N 418.457 4.747 5 20 HJBD CC(C)c1nc(C2CCN(C(=O)c3cc4ccccc4c4cccnc34)CC2)no1 557293868 HBOYUNDGCLRKGY-UHFFFAOYSA-N 400.482 4.914 5 20 HJBD COc1ccc([C@@H](Nc2ncnc3sc([N+](=O)[O-])cc23)c2ccccc2OC)cc1 563718361 YGCXZXSFOSELND-LJQANCHMSA-N 422.466 4.818 5 20 HJBD O=C(c1cc([N+](=O)[O-])ccc1Sc1ccccc1)N1CCC2(CCOCC2)CC1 586840393 WLHKCMWIFIUKFE-UHFFFAOYSA-N 412.511 4.779 5 20 HJBD Cc1nc2ccc(NC(=O)CCNc3ccc(C(F)(F)F)cc3[N+](=O)[O-])cc2o1 603492936 URBWVJPGJUZMIQ-UHFFFAOYSA-N 408.336 4.504 5 20 HJBD C[C@H](NC(=O)c1cccc([N+](=O)[O-])c1)c1nc(-c2ccc(-c3ccccc3)cc2)no1 609476194 MJCIBWIHMPHBQC-HNNXBMFYSA-N 414.421 4.803 5 20 HJBD O=C(Cc1cccc(Br)c1)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 609859160 MUCIPSUEHOLPBM-UHFFFAOYSA-N 403.276 4.876 5 20 HJBD COc1ccc2c(c1)c(C(=O)NCc1ccccc1[N+](=O)[O-])c(C)n2C1CCCC1 610196364 UJSMHRAKBHLQHU-UHFFFAOYSA-N 407.470 4.912 5 20 HJBD Cc1cccc(C(C)C)c1NC(=O)COc1ccc(Br)cc1[N+](=O)[O-] 610219130 ABVUOJPVKRGGPD-UHFFFAOYSA-N 407.264 4.807 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)N1CCC[C@@H]1c1ccccc1Br 610672342 BEJCGTOSTVAHCL-QGZVFWFLSA-N 421.316 4.813 5 20 HJBD O=[N+]([O-])c1ccc2ncnc(N[C@@H]3C[C@@H](C(F)(F)F)C[C@@H](C(F)(F)F)C3)c2c1 611700852 HQBNSWUJTYJTAW-MYJAWHEDSA-N 408.302 4.859 5 20 HJBD CC(C)c1ncc(CN(C)C(=O)c2cc([N+](=O)[O-])ccc2SC2CCCC2)cn1 619661159 FNRBLEKUAMBFHZ-UHFFFAOYSA-N 414.531 4.815 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CC[C@@H]2[C@H]1[C@@H]2C(F)(F)F 619684430 ANGHZUJEVWRBFP-VUCTXSBTSA-N 408.401 4.769 5 20 HJBD C[C@@H](Nc1ccc(C(=O)N(C)c2ccc(N(C)C)cc2)cc1[N+](=O)[O-])c1ccccn1 728840879 XETFCGKTSCJUIA-MRXNPFEDSA-N 419.485 4.506 5 20 HJBD COc1ccc(CCNC(=S)Nc2cccc(Oc3ccc([N+](=O)[O-])cc3)c2)cc1 735242644 UZBSXGDZWZJFTD-UHFFFAOYSA-N 423.494 4.925 5 20 HJBD CCCOc1cc(NC(=O)c2cc(C)ccc2[N+](=O)[O-])c([N+](=O)[O-])cc1OCCC 741897097 ONJKRACZXNNMAE-UHFFFAOYSA-N 417.418 4.641 5 20 HJBD C[C@@H](Nc1ccc(C(=O)OCc2cccc(Cl)n2)cc1[N+](=O)[O-])c1ccccn1 744842082 IANFUVSGDWSKGC-CYBMUJFWSA-N 412.833 4.568 5 20 HJBD Cn1c(COC(=O)c2ccc(C(F)(F)F)cc2[N+](=O)[O-])nc2cc(Cl)ccc21 745564842 GOJRPHYZWFBORK-UHFFFAOYSA-N 413.739 4.511 5 20 HJBD C[C@H](CCNC(=O)c1cc(F)c(F)cc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 746409568 WZNAUHZEIKCMHD-SNVBAGLBSA-N 402.319 4.815 5 20 HJBD Cc1csc(N(C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2C)c2ccccc2)n1 748605217 SPXPRQDXSHNMQP-UHFFFAOYSA-N 412.427 4.863 5 20 HJBD O=C(N[C@@H]1CCc2ccc(F)cc21)c1cc(Br)cc([N+](=O)[O-])c1Cl 750865466 STYALMUWOSVEGE-CYBMUJFWSA-N 413.630 4.567 5 20 HJBD O=C(Nc1cccc(COCC2CC2)c1)c1cc(F)cc([N+](=O)[O-])c1Br 754635014 FEAYNVMOVYURBT-UHFFFAOYSA-N 423.238 4.675 5 20 HJBD CC1(c2ccccc2)CCN(C(=O)c2cccc([N+](=O)[O-])c2Br)CC1 758861532 HCRRSWFJAUGTSX-UHFFFAOYSA-N 403.276 4.551 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CN(Cc2ccccc2)C[C@@H](O)c2ccco2)c1 769542036 YRJBSQRFBSOESQ-GOSISDBHSA-N 418.396 4.525 5 20 HJBD CC(C)CN(C(=O)/C=C\c1cccnc1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775495168 AYLAOLXKMPVXMM-KTKRTIGZSA-N 408.483 4.816 5 20 HJBD Cc1nc2cc(Br)cc(NC(=O)c3cc([N+](=O)[O-])ccc3Cl)c2o1 776419056 QMXPGKUIKCLFSY-UHFFFAOYSA-N 410.611 4.713 5 20 HJBD O=C(N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1cc([N+](=O)[O-])ccc1Br 778138737 ZCBLVMQOQBAUMO-OAHLLOKOSA-N 419.250 4.862 5 20 HJBD O=[N+]([O-])c1ccc([C@@H](O)CN[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)cc1 779067688 ZRAWCMRBSMXAGJ-LEWJYISDSA-N 400.837 4.800 5 20 HJBD CO[C@H](C)COC(=O)C/C(=C/c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1 782308207 KVWLJEMSACQITO-VQCBNXJZSA-N 412.467 4.713 5 20 HJBD Cc1cc(F)c(Br)cc1NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 789393015 RWBQHPSAZGJVAQ-UHFFFAOYSA-N 403.154 4.535 5 20 HJBD CCOC(=O)/C(=C\c1ccc(Br)cc1Cl)c1ccc([N+](=O)[O-])cn1 794950643 WAIJZHJCXIUFGF-QPEQYQDCSA-N 411.639 4.509 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 797832579 GCXHYQHWURFMEC-BLLLJJGKSA-N 408.241 4.826 5 20 HJBD C[C@@H](C(=O)OCc1nc(-c2ccc([N+](=O)[O-])cc2)no1)c1ccc(C(F)(F)F)cc1 798783432 HRRFMQMCTQSQBV-LLVKDONJSA-N 421.331 4.511 5 20 HJBD CN(C(=O)OC(C)(C)C)c1ccc(C(=O)OCc2cc(F)ccc2[N+](=O)[O-])s1 810556214 DLMYXDMFICVPRL-UHFFFAOYSA-N 410.423 4.524 5 20 HJBD CC(C)(CCc1noc(Cc2cccc(OCc3ccc(Cl)cc3)c2)n1)[N+](=O)[O-] 812811857 MKTBMPCLGHNCAL-UHFFFAOYSA-N 415.877 4.881 5 20 HJBD O=C(OCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1ccc(-c2cncnc2)cc1F 815234309 IGTHCFYUECHKAL-UHFFFAOYSA-N 421.306 4.567 5 20 HJBD C[C@H](NC(=O)c1cc2cccc([N+](=O)[O-])c2[nH]1)c1ccc(C(F)(F)F)nc1Cl 816760671 CFSRRYOKTRUHCT-QMMMGPOBSA-N 412.755 4.634 5 20 HJBD Cc1c([N+](=O)[O-])cc(C(=O)N(C2CC2)[C@H](C)c2ccc(Cl)cc2)cc1[N+](=O)[O-] 914479879 GFAXHOURAAMFSJ-GFCCVEGCSA-N 403.822 4.831 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)N1CCCN(Cc2ccc(Cl)cc2)CC1 915307481 BFWSJRWGTLJQPY-UHFFFAOYSA-N 423.300 4.641 5 20 HJBD CC(C)CNC(=O)c1ccc(NC(=O)c2cc(Cl)ccc2[N+](=O)[O-])cc1Cl 917794076 AZVLBOKAELEYLB-UHFFFAOYSA-N 410.257 4.540 5 20 HJBD COc1ccc(CCC(=O)Oc2cc(Cl)ccc2[N+](=O)[O-])cc1Br 921134171 NLDRBFNJTBIRLT-UHFFFAOYSA-N 414.639 4.558 5 20 HJBD Cc1nn(C)c2nc(-c3ccccc3)cc(-c3nnc(-c4cccc([N+](=O)[O-])c4)o3)c12 1257814106 QQHHZOMAWVXUHP-UHFFFAOYSA-N 412.409 4.569 5 20 HJBD Cc1ccc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c(Cl)n1 1339131782 VQSDHVSTFZDWIN-UHFFFAOYSA-N 405.785 4.701 5 20 HJBD Cc1cc(C)cc(OCc2nnc(-c3csc(-c4ccc([N+](=O)[O-])cc4)n3)o2)c1 1345493858 DIBRVIRQPHBSFQ-UHFFFAOYSA-N 408.439 4.964 5 20 HJBD Cc1c(C(=O)Nc2ccc(OCc3ccccc3)cc2)cc([N+](=O)[O-])cc1[N+](=O)[O-] 5678291 NAGJBGFALLNGTL-UHFFFAOYSA-N 407.382 4.643 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(Cl)cc2Cl)c([N+](=O)[O-])c1 8531629 SKOZNSMORYWELN-GHMZBOCLSA-N 412.273 4.588 5 20 HJBD CCC(CC)c1nnc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)s1 14808356 LFQSWPDYZJLXOS-UHFFFAOYSA-N 406.511 4.549 5 20 HJBD COC(=O)c1cc(C(=O)Nc2ccccc2Oc2ccc(C)cc2)cc([N+](=O)[O-])c1 14818449 RJYZFOKNHUFDES-UHFFFAOYSA-N 406.394 4.734 5 20 HJBD CCCCCN[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1Br)c1ccccc1 21818265 SHGIJKVLBXJYKZ-GOSISDBHSA-N 420.307 4.817 5 20 HJBD COc1ccccc1-c1nn(CN(C)Cc2cc([N+](=O)[O-])ccc2Cl)c(=S)o1 27533182 FRMCPELERNITPZ-UHFFFAOYSA-N 420.878 4.532 5 20 HJBD COc1ccccc1Oc1ccc(N[C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)cc1 28626226 AZMZEQNAPPUJBK-HNNXBMFYSA-N 407.426 4.835 5 20 HJBD COc1ccc([N+](=O)[O-])cc1NC(=O)CCN1Cc2ccccc2-c2ccccc2C1 60361408 BXDVKJPMZRLZOZ-UHFFFAOYSA-N 417.465 4.615 5 20 HJBD C[C@H]1CCCN(C(=O)c2ccccc2NC(=O)c2ccc([N+](=O)[O-])cc2Cl)CC1 63273729 WWJRJGIZNIXVND-AWEZNQCLSA-N 415.877 4.763 5 20 HJBD CC(C)Cc1noc(COc2ccc3c(-c4ccc([N+](=O)[O-])cc4)cc(=O)oc3c2)n1 218843362 IVJGQTJCJZCRDR-UHFFFAOYSA-N 421.409 4.529 5 20 HJBD CCCc1[nH]nc(C(=O)Nc2ccc(Oc3cccc(Cl)c3)cc2)c1[N+](=O)[O-] 303372637 LVNPZYCEGONNAJ-UHFFFAOYSA-N 400.822 4.968 5 20 HJBD O=C(CCSCc1nn(-c2ccccc2)c2c1CCC2)Nc1ccccc1[N+](=O)[O-] 409835546 JNYVBAGGBUFXEY-UHFFFAOYSA-N 422.510 4.531 5 20 HJBD COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 428634279 ZZVZGOTXZFWNSU-QFIPXVFZSA-N 420.896 4.794 5 20 HJBD CCOc1ccccc1[C@H](C)NC(=O)c1cccc2c(=O)c3cccc(F)c3[nH]c12 436088329 FUHXFISAEXOZIU-AWEZNQCLSA-N 404.441 4.710 5 20 HJBD Cc1nc(-c2ccc(CNC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)o2)cs1 437140025 ZULTWWOBVJRSQG-UHFFFAOYSA-N 411.361 4.569 5 20 HJBD Cc1c(Br)cc(S(=O)(=O)Nc2ccccc2C(C)C)cc1[N+](=O)[O-] 438801914 OBLDABNHLTTXAA-UHFFFAOYSA-N 413.293 4.590 5 20 HJBD C[C@H](Nc1ccc(CC(F)(F)F)cc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439611243 OBADHOAUFWHULL-JTQLQIEISA-N 401.772 4.792 5 20 HJBD C[C@H](Nc1cccc(Oc2ccccn2)c1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 439661463 NMQMHCXBOSHCJW-ZDUSSCGKSA-N 412.833 4.875 5 20 HJBD O=C(c1ccccc1Sc1ccccc1[N+](=O)[O-])N1CCC(OCC2CC2)CC1 441081336 UVRIIMYBAAVQDW-UHFFFAOYSA-N 412.511 4.777 5 20 HJBD C[C@@H](NC(=O)NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(Br)s1 443204224 ZLUMXTQPEIOPQW-MRVPVSSYSA-N 418.700 4.633 5 20 HJBD CCCOc1cccc(CCNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)c1 444240913 DSLQWWIMGGBYTH-UHFFFAOYSA-N 418.468 4.582 5 20 HJBD C[C@@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1cccc(NC(=O)c2ccccc2)c1 444682228 ZFKHXEUMONMEQS-OAHLLOKOSA-N 415.453 4.574 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1NC(=O)N[C@H](c1ccc(OC(F)F)cc1)C(F)(F)F 446487046 YREGRLSLFBQNIR-CQSZACIVSA-N 419.306 4.930 5 20 HJBD C[C@@H]1CN(C(=O)c2cc(OCC(F)(F)F)ccc2[N+](=O)[O-])c2ccccc2S1 446496595 FJDHKNITYHDOAN-LLVKDONJSA-N 412.389 4.677 5 20 HJBD Cc1c(Br)ccc(NC(=O)c2ccc([N+](=O)[O-])c3cccnc23)c1F 447639903 OMDHNZBJOAZSAQ-UHFFFAOYSA-N 404.195 4.605 5 20 HJBD CC(C)Oc1ccc([N+](=O)[O-])cc1CNc1ccc(Cl)cc1C(=O)N1CCCC1 462208706 JRVITEWFPJGEMJ-UHFFFAOYSA-N 417.893 4.884 5 20 HJBD O=[N+]([O-])c1cc(Cl)cc(Cl)c1S(=O)(=O)N1CCC[C@@H]1c1ccc(F)cc1 462457664 VJSKOFKWYIRAPX-CQSZACIVSA-N 419.261 4.567 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)NCc1nc2cc(Cl)ccc2s1 462483210 JUAUUKDMGJATHQ-ZDUSSCGKSA-N 403.891 4.743 5 20 HJBD COc1ccc2ccccc2c1CNC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 463306209 LYFWZNJYMINEER-UHFFFAOYSA-N 404.344 4.705 5 20 HJBD COc1cc(CSc2nnc(CCCc3ccccc3)o2)c([N+](=O)[O-])cc1F 463443262 NMISLGIKNIGEED-UHFFFAOYSA-N 403.435 4.593 5 20 HJBD CC1(C)C[C@@H](Nc2ccc(Cl)c(N3CCNC3=O)c2)c2cc([N+](=O)[O-])ccc21 467408100 ZHTRUMQGIBPZNC-QGZVFWFLSA-N 400.866 4.612 5 20 HJBD CO[C@]1(C)CCCN(C(=O)c2ccc(NCc3ccccc3Cl)c([N+](=O)[O-])c2)C1 470708846 XJVAHEUHYKJMOB-OAQYLSRUSA-N 417.893 4.501 5 20 HJBD CCc1cc(N(C)Cc2nc(C(F)(F)F)cs2)nc(-c2ccc([N+](=O)[O-])cc2)n1 470871705 BFCHEEJPNWEVNN-UHFFFAOYSA-N 423.420 4.726 5 20 HJBD CCN(C(=O)c1ccc(Cn2nc(C)c([N+](=O)[O-])c2C)cc1)c1ccc2[nH]ccc2c1 472966211 ANHHXRDEOVQLNO-UHFFFAOYSA-N 417.469 4.604 5 20 HJBD C[C@H](Oc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccc(Br)o2)no1 477164422 KBSHXSQAFCQLAZ-ZETCQYMHSA-N 414.599 4.794 5 20 HJBD COc1ccc(CSc2ccc(C(=O)c3nccn3C)cc2[N+](=O)[O-])cc1Cl 478163309 BXIJVDFUQPPQEF-UHFFFAOYSA-N 417.874 4.514 5 20 HJBD Cc1c(CNC(=O)N(Cc2csc(Br)c2)C2CC2)cccc1[N+](=O)[O-] 480071777 XLZPSGGVKIYDEU-UHFFFAOYSA-N 424.320 4.601 5 20 HJBD COc1ccc(NC(=O)[C@H]2C[C@H]2c2ccc(OCc3ccccc3)cc2)c([N+](=O)[O-])c1 480235561 UNTLQGGDGVQJOY-SFTDATJTSA-N 418.449 4.925 5 20 HJBD O=C(Nc1c(Br)cc(F)cc1Br)c1cccc([N+](=O)[O-])c1 482017301 RHMGLBABGGCHEI-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD COc1ccccc1-c1nc(C2CCN(C/C=C/c3ccccc3[N+](=O)[O-])CC2)no1 483434948 FBKCTSIOPAQQNJ-SOFGYWHQSA-N 420.469 4.546 5 20 HJBD CCc1c(NC(=O)c2cccc(SC)c2[N+](=O)[O-])cnn1-c1cccc(Cl)c1 486412251 QWUZTMBTWGJJLU-UHFFFAOYSA-N 416.890 4.971 5 20 HJBD Cc1ncc(C(=O)NCc2cc([N+](=O)[O-])cc(C(F)(F)F)c2)c(Cl)c1Cl 486731073 ACOZISTXJJBXMK-UHFFFAOYSA-N 408.163 4.554 5 20 HJBD COC(=O)c1cc(NC(=O)N(C)Cc2ccc3ccccc3c2)c(C)c([N+](=O)[O-])c1 486872974 KFDWIKCYRMCYEC-UHFFFAOYSA-N 407.426 4.507 5 20 HJBD COC(=O)c1cc(NC(=O)N2CCCC[C@H]2c2ccc(C)cc2)c(C)c([N+](=O)[O-])c1 486903641 RGXFNJRCJOUQNS-IBGZPJMESA-N 411.458 4.757 5 20 HJBD Cc1ccc([C@H](C)NC(=O)c2ccc3c(c2)nc(O)n3C2CCCC2)cc1[N+](=O)[O-] 487983732 WWSMJUAZEJLXDE-AWEZNQCLSA-N 408.458 4.565 5 20 HJBD CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)NCc1nc2cc(Cl)ccc2n1C 491411841 BIKSQBCJPRAIDF-UHFFFAOYSA-N 418.906 4.565 5 20 HJBD COc1cc(CNC(C)(C)c2ccccc2[N+](=O)[O-])ccc1OCc1ccncc1 492108980 QXTCGGPVFIDIRC-UHFFFAOYSA-N 407.470 4.602 5 20 HJBD Cc1ccc([C@@H](C)NC(=O)N(C)CCCc2cc(-c3ccccc3)n[nH]2)cc1[N+](=O)[O-] 493254601 KXYOFMILRMKZFC-QGZVFWFLSA-N 421.501 4.629 5 20 HJBD CCN(C(=O)c1ccc([N+](=O)[O-])s1)[C@@H](c1cccnc1)c1ccc(F)c(F)c1 496614644 YWDDGTUIOJLEAZ-GOSISDBHSA-N 403.410 4.581 5 20 HJBD CCCN(C(=O)c1ccc(SC)c([N+](=O)[O-])c1)[C@H](C)CC(=O)Nc1ccccc1 500690047 WKAYPPYAJOFCNC-OAHLLOKOSA-N 415.515 4.586 5 20 HJBD CC(C)n1ncc2c(C(=O)NCc3ccccc3[N+](=O)[O-])cc(-c3cccs3)nc21 500774030 LFRKEMRIKLTZMU-UHFFFAOYSA-N 421.482 4.579 5 20 HJBD C[C@H](NC(=O)C(C)(C)c1csc(-c2cccs2)n1)c1cccc([N+](=O)[O-])c1 503159872 RXONGEOYBNGHNB-LBPRGKRZSA-N 401.513 4.935 5 20 HJBD C[C@@H](COCC(F)(F)F)NCc1ccc(Oc2ccc(F)cc2)c([N+](=O)[O-])c1 507932650 PQMKAEHNMWTKNA-LBPRGKRZSA-N 402.344 4.583 5 20 HJBD CC[C@@H](C)COc1cc([N+](=O)[O-])c(CN[C@H](C)c2c(F)cncc2F)cc1OC 513254828 CBRRECQEATVECD-CHWSQXEVSA-N 409.433 4.552 5 20 HJBD CC(=O)N(c1ccc(C)c(C)c1)c1nc(-c2nc3cc([N+](=O)[O-])ccc3n2C)cs1 513858363 YODYPXNESVTJFR-UHFFFAOYSA-N 421.482 4.906 5 20 HJBD O=C(c1cc2cc([N+](=O)[O-])ccc2o1)N1CCC[C@@H](COc2ccccc2Cl)C1 513876698 QEYQMLGJHXLQBZ-CQSZACIVSA-N 414.845 4.926 5 20 HJBD COCCN(C(=O)[C@@H]1CCC(C)(C)C1)c1nc(-c2ccc([N+](=O)[O-])cc2)cs1 514143428 GBXSYTSJUWZKLQ-OAHLLOKOSA-N 403.504 4.524 5 20 HJBD Cc1nc(-c2ccc(CNCc3cc([N+](=O)[O-])ccc3OC(F)F)cc2)cs1 518782067 IZBXVZSXRLNQNC-UHFFFAOYSA-N 405.426 4.918 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC(c2noc3cc(F)ccc23)CC1 519792442 KVGZZFUVQCNEIQ-UHFFFAOYSA-N 412.421 4.849 5 20 HJBD CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)c1 522548644 DTPBKPUTNRVKBV-MHZLTWQESA-N 409.895 4.939 5 20 HJBD CCC[C@H](NC(=O)CCn1nc(C)c([N+](=O)[O-])c1C)c1ccc(Cl)c(Cl)c1 522595159 VVSJLANNUADJJH-INIZCTEOSA-N 413.305 4.763 5 20 HJBD O=C(Nc1ccccc1OCC(F)(F)F)c1ccc([N+](=O)[O-])cc1Br 523497826 GRUCYECECBEPCI-UHFFFAOYSA-N 419.153 4.551 5 20 HJBD C[C@@H](NC(=O)NCCCCc1ccc([N+](=O)[O-])cc1)c1ccccc1OC(F)F 525344757 YTOMRSFMZTVRNR-CQSZACIVSA-N 407.417 4.579 5 20 HJBD Cc1c(CSC(C)C)cccc1NC(=O)NCCOc1ccc([N+](=O)[O-])cc1 525746832 GHSYOOSEHDAVOB-UHFFFAOYSA-N 403.504 4.745 5 20 HJBD O=C(CSCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)NCc1ccc(Cl)s1 534793602 OVKNVBNDFAJJLR-UHFFFAOYSA-N 423.903 4.514 5 20 HJBD CC(=O)CCc1ccc2oc(C(=O)N(Cc3ccc([N+](=O)[O-])cc3)C3CC3)c(C)c2c1 535014971 KTZNOULXQCMMER-UHFFFAOYSA-N 420.465 4.976 5 20 HJBD O=C(NCCc1ccc(Cl)cc1[N+](=O)[O-])[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 539793321 YVCJPHBIRVTRHO-JKSUJKDBSA-N 412.795 4.729 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])c(C(F)(F)F)c1)N1CC[C@H](COCc2ccccc2)C1 541586808 CPIWLOYGNCYNCW-HNNXBMFYSA-N 423.391 4.684 5 20 HJBD C[C@@H](NCc1ccc([N+](=O)[O-])cc1Cl)c1ccc(NC(=O)c2ccncc2)cc1 543897474 IBSPXLKZVYWPJK-CQSZACIVSA-N 410.861 4.746 5 20 HJBD O=[N+]([O-])c1ccc2[nH]cc(Cc3nc(Cc4c[nH]c5cc(Cl)ccc45)no3)c2c1 544615025 UXTWOPDXGNJPQC-UHFFFAOYSA-N 407.817 4.775 5 20 HJBD C[C@@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(Cc2ccc(-n3cccn3)cc2)no1 546336883 KIMLCGXTAVRJLY-CQSZACIVSA-N 407.455 4.608 5 20 HJBD O=[N+]([O-])c1ccc(SCc2nc(-c3ccc(OCc4ccccc4)nc3)no2)cc1 547087657 CZAOEHGQRFCTLW-UHFFFAOYSA-N 420.450 4.911 5 20 HJBD CN(c1ccccc1)c1ccc(CNc2ccc(F)c(NC(N)=O)c2)cc1[N+](=O)[O-] 552293174 UXRZZZRKQMOHSX-UHFFFAOYSA-N 409.421 4.605 5 20 HJBD CCCc1c(NC(=O)N(C)CCc2cccc([N+](=O)[O-])c2)cnn1-c1cccc(C)c1 563550820 IUNMGZPSPSKPMO-UHFFFAOYSA-N 421.501 4.748 5 20 HJBD NC(=O)c1ccnc(Nc2ccc(Oc3cccc(C(F)(F)F)c3)cc2)c1[N+](=O)[O-] 566613083 BYVCYFNRJMBFTA-UHFFFAOYSA-N 418.331 4.643 5 20 HJBD Cn1ccnc1[C@@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccc([N+](=O)[O-])cc1 566812602 ICWYETGNGBADNF-UNMCSNQZSA-N 406.461 4.783 5 20 HJBD COc1ccc(CN(C(=O)c2cc3cccc([N+](=O)[O-])c3[nH]2)[C@@H](C)C2CC2)c(OC)c1 566942613 LLWKZWDAQFOLIR-AWEZNQCLSA-N 423.469 4.534 5 20 HJBD CC(C)(NC(=O)c1cccc(Cl)c1)c1noc(-c2ccc([N+](=O)[O-])cc2Cl)n1 567037180 XXTQYEWQOOWRJI-UHFFFAOYSA-N 421.240 4.617 5 20 HJBD Cn1ccnc1[C@H](NCc1ccc(Oc2ccccc2)cc1)c1ccc([N+](=O)[O-])cc1 577048898 JGMNRACPWGGFGJ-HSZRJFAPSA-N 414.465 5.000 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)Nc2nc(C)c(Cc3cccc(F)c3)s2)c1 581073289 GKVNQBGGKAPXSW-UHFFFAOYSA-N 415.446 4.741 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(C(F)(F)F)ccc1N1CCCCC1 598908196 QQUQGZSVZXDWFN-UHFFFAOYSA-N 407.392 4.785 5 20 HJBD CC(C)c1ccccc1NC(=O)NCCNc1ccc(C(F)(F)F)cc1[N+](=O)[O-] 603644240 FOGKJXMLKPXWHC-UHFFFAOYSA-N 410.396 4.971 5 20 HJBD COc1ccc(CSc2nnc(-c3ccco3)n2-c2ccccc2)cc1[N+](=O)[O-] 603722718 QUDBVOMJJBXGTG-UHFFFAOYSA-N 408.439 4.736 5 20 HJBD COc1c(F)cc(NC(=O)CSc2ccc(C(F)(F)F)cc2[N+](=O)[O-])cc1F 604017685 CBQYEJRDDYHPHT-UHFFFAOYSA-N 422.331 4.631 5 20 HJBD Cc1cc([N+](=O)[O-])ccc1S(=O)(=O)N(Cc1ccco1)c1ccc(Cl)cc1 604119050 NKILMZAYMHPGPM-UHFFFAOYSA-N 406.847 4.545 5 20 HJBD O=[N+]([O-])c1ccc2nc(N3CCc4[nH]c5c(Br)cccc5c4C3)ccc2c1 604379475 NASSKXYXJANJQW-UHFFFAOYSA-N 423.270 4.950 5 20 HJBD Cc1ccc(NC(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OCC(F)F 608850437 UINIPWZNPKGONW-UHFFFAOYSA-N 419.409 4.923 5 20 HJBD CCCSCC(=O)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 609791847 PVFBZFSUYNTJTO-UHFFFAOYSA-N 412.870 4.939 5 20 HJBD CC[C@H](NC(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-])c1ccc(F)cc1F 609977264 VVJPGPVEXMOWLN-HNNXBMFYSA-N 418.318 4.695 5 20 HJBD O=C(Nc1ccc(Oc2ccccn2)cc1)c1ccc([N+](=O)[O-])cc1Br 610045402 DKCXVUJWCZKVJA-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD C[C@H](C(=O)Nc1cc2c(cc1[N+](=O)[O-])OCCCO2)c1ccccc1C(F)(F)F 610222088 DHCCPZMMZYQVTO-NSHDSACASA-N 410.348 4.517 5 20 HJBD O=C(Nc1cccnc1OCc1ccccc1)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 610387847 MFAPOIDHEJHUNB-UHFFFAOYSA-N 417.343 4.840 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C(F)(F)F)C2)cc1[N+](=O)[O-] 610399333 WSKGEYFXWQXPHQ-MLGOLLRUSA-N 420.453 4.525 5 20 HJBD COc1cc(C(=O)O[C@@H]2CC[C@H](C)C[C@@H]2C)c([N+](=O)[O-])cc1OCc1cscn1 736028143 MCOUYOABLOPEFE-GDZNZVCISA-N 420.487 4.620 5 20 HJBD CCOC(=O)c1ccnc(Nc2ccc(CC[C@H](O)c3ccccc3)cc2)c1[N+](=O)[O-] 737406130 MHCFTXLEPJDGCZ-FQEVSTJZSA-N 421.453 4.576 5 20 HJBD O=C1OCCN1c1cc([N+](=O)[O-])ccc1N1CC=C(c2cccc3ccccc23)CC1 742397555 ISFAWQBLPYGQGU-UHFFFAOYSA-N 415.449 4.998 5 20 HJBD CC(C)N(C)c1ccc(NC(=O)N(Cc2ccccc2[N+](=O)[O-])C2CC2)cc1F 747243613 ATWICMSYHYPRLC-UHFFFAOYSA-N 400.454 4.775 5 20 HJBD O=C(OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(Oc2ccccc2)nc1 760494940 NYQVJJNZFIVHFG-UHFFFAOYSA-N 417.377 4.794 5 20 HJBD Cc1cc(Cc2noc(CN(C(=O)OC(C)(C)C)c3ccccc3)n2)ccc1[N+](=O)[O-] 762301332 ZMYYNCMPSZYNIX-UHFFFAOYSA-N 424.457 4.819 5 20 HJBD Cc1coc(-c2cc(NS(=O)(=O)c3cc([N+](=O)[O-])c(Cl)cc3C)ccc2C)n1 763303537 PNVLOZMSTLXSIX-UHFFFAOYSA-N 421.862 4.629 5 20 HJBD O=C(Nc1nnc(SCc2ccccc2)s1)c1ccc([N+](=O)[O-])c(Cl)c1 764151707 YYYDDSCKRLJBBF-UHFFFAOYSA-N 406.876 4.644 5 20 HJBD CCn1c(-c2ccc([N+](=O)[O-])cc2)nn(CN(C)[C@@H]2CCc3ccccc32)c1=S 764868266 GNMAVHJPNDEFNJ-LJQANCHMSA-N 409.515 4.586 5 20 HJBD O=[N+]([O-])c1ccc(Br)cc1N1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 765472122 NAENBBXHNVPCBV-HTQZYQBOSA-N 421.135 4.924 5 20 HJBD CC[C@@H](Cc1ccc([N+](=O)[O-])cc1)C(=O)OCC(=O)Nc1c(C)cc(C)cc1Cl 765526246 PTHOAFLJQNVEKZ-INIZCTEOSA-N 418.877 4.616 5 20 HJBD C[C@H](Sc1ccc([N+](=O)[O-])cc1)c1nc(C[C@H](C)N(C)C(=O)OC(C)(C)C)no1 771121093 OIXGAFRYWSTRGI-STQMWFEESA-N 422.507 4.629 5 20 HJBD Cc1nn(C)c(C(=O)Nc2ccc3c(c2)CN(c2ccc(C(C)C)cc2)C3)c1[N+](=O)[O-] 772498921 XFICINFKFGGVOK-UHFFFAOYSA-N 419.485 4.533 5 20 HJBD C[C@H](N[C@H](C)c1c(F)cccc1Cl)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl 775548683 IXTQIVBXALMZKQ-ZJUUUORDSA-N 400.237 4.719 5 20 HJBD O=C(O[C@H](c1cccnc1)c1ccccc1[N+](=O)[O-])c1ccccc1OCC1CC1 776217775 LVVUEDHCTRMMDL-JOCHJYFZSA-N 404.422 4.725 5 20 HJBD O=C(N[C@@H]1CCN(c2ccc(Cl)c(Cl)c2)C1)c1cccc(Cl)c1[N+](=O)[O-] 778106625 SDLSTZVPEYJUMT-SNVBAGLBSA-N 414.676 4.564 5 20 HJBD CC(C)c1ccc(C(=O)N(Cc2ccccc2)C[C@@H](O)c2ccco2)cc1[N+](=O)[O-] 784431487 SBAOWLMAFNMPBR-OAQYLSRUSA-N 408.454 4.687 5 20 HJBD Cc1ccc(-c2ccc(Cl)cc2NC(=O)Cn2ncc3ccc([N+](=O)[O-])cc32)o1 794200820 XBGBGAZZAAKILA-UHFFFAOYSA-N 410.817 4.805 5 20 HJBD O=C(Cc1cc(F)c(Cl)cc1[N+](=O)[O-])N1[C@H]2C=C(c3ccccc3)C[C@H]1CC2 800221404 TVLLNVXMONLFQT-IAGOWNOFSA-N 400.837 4.777 5 20 HJBD C[C@@H](Cc1ccccc1C(F)(F)F)N(C)C(=O)c1cccc(Cl)c1[N+](=O)[O-] 809216820 JLOCHBBKLMBVMB-NSHDSACASA-N 400.784 4.970 5 20 HJBD O=C(CCc1cccc(F)c1F)OCc1csc(-c2cccc([N+](=O)[O-])c2)n1 811219349 OGKUOLPRGIMQKJ-UHFFFAOYSA-N 404.394 4.673 5 20 HJBD COC(=O)c1cc(NC(=O)c2cc(Cl)cc(Cl)c2[N+](=O)[O-])cc(Cl)c1F 813226686 MWZNARGMWDIXLU-UHFFFAOYSA-N 421.595 4.733 5 20 HJBD Cc1c(Cl)cc(S(=O)(=O)Oc2ccc(Oc3ccccn3)cc2)cc1[N+](=O)[O-] 874435901 QWTUUHUHHFFWGC-UHFFFAOYSA-N 420.830 4.512 5 20 HJBD O=[N+]([O-])c1cc(Cl)ccc1S(=O)(=O)Nc1cccc(C2SCCS2)c1 912980641 YBQAYXUFISSFAO-UHFFFAOYSA-N 416.933 4.528 5 20 HJBD Cc1cc[n+](/C(C(=S)N[C@H](C)c2ccccc2)=C(/O)c2ccc([N+](=O)[O-])cc2)cc1 917612369 IKDBUQOXYJOMPW-QGZVFWFLSA-O 420.514 4.753 5 20 HJBD C[C@@H](OC(=O)c1ccc([N+](=O)[O-])cc1F)c1cccc(NC(=O)c2ccccc2)c1 919570199 LRLDJCNJGAYTBS-CQSZACIVSA-N 408.385 4.904 5 20 HJBD O=C(Nc1ccc(Cl)c([N+](=O)[O-])c1)C(=O)N(Cc1ccc2ccccc2c1)C1CC1 920205552 VKLXXEURMUTJHK-UHFFFAOYSA-N 423.856 4.531 5 20 HJBD C[C@@H](c1cc2ccccc2o1)N(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1N 1256680289 UOVZGWNBVMMZDH-JTQLQIEISA-N 418.247 4.519 5 20 HJBD O=C(NCc1ccccc1Sc1ccccc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1318899235 CREFRZJNBMFMKU-UHFFFAOYSA-N 405.479 4.971 5 20 HJBD O=C(NCc1ccc(OCc2ccccc2F)cc1)N1Cc2ccc([N+](=O)[O-])cc2C1 1320412317 CWDIQNLYJOWQHP-UHFFFAOYSA-N 421.428 4.538 5 20 HJBD Cc1cc([N+](=O)[O-])c(C)cc1-c1noc(C2CCN(C(=O)Nc3ccccc3)CC2)n1 1337929048 LNTTYPMGRPGYQL-UHFFFAOYSA-N 421.457 4.673 5 20 HJBD O=C(Nc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)s2)cc1)C1CC1 1338751668 NBQCBBOUNOHOGW-UHFFFAOYSA-N 407.495 4.962 5 20 HJBD Cc1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2-c2ccccc2)cc1[N+](=O)[O-] 7035765 VXRDVEBFCXBLBV-MRXNPFEDSA-N 404.422 4.754 5 20 HJBD CC(=O)N(c1cccc(Cl)c1)c1nc(COc2ccccc2[N+](=O)[O-])cs1 7169044 POXHMZTYCUJWJF-UHFFFAOYSA-N 403.847 4.968 5 20 HJBD C[C@H](NCC(c1ccccc1)c1ccccc1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 11046045 UIVJMKPSSNIKSR-INIZCTEOSA-N 423.900 4.997 5 20 HJBD C[C@H](OC(=O)c1ccc([N+](=O)[O-])s1)C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1 21458901 YAIQSIXGXCOCRZ-ZETCQYMHSA-N 422.768 4.513 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)CCCc1nc(-c2ccc(Cl)cc2)no1 33158630 PTSFNCFAPJYDRW-UHFFFAOYSA-N 400.822 4.568 5 20 HJBD O=C(CSc1ccc([N+](=O)[O-])cc1)Nc1cccc(NC(=O)c2ccccc2)c1 46001656 HNHSSNMKMWMKII-UHFFFAOYSA-N 407.451 4.578 5 20 HJBD CCOc1ccc(C(=O)N(Cc2cccs2)c2ccc(OC)cc2)cc1[N+](=O)[O-] 60510040 VUFZSSWJKKAFQT-UHFFFAOYSA-N 412.467 4.911 5 20 HJBD Cc1nnc([C@@H](C)OC(=O)c2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 109022834 LNZNMCYZBMTONU-SNVBAGLBSA-N 403.778 4.650 5 20 HJBD Cc1ccc(Br)c(NC(=O)c2ccc(Br)c([N+](=O)[O-])c2)c1 133292683 FTPCWQAZMKEMND-UHFFFAOYSA-N 414.053 4.681 5 20 HJBD COc1cccc(Oc2ccc(CNc3ccc([N+](=O)[O-])c4cccnc34)cn2)c1 301111613 SBXAZCCVPFXXRF-UHFFFAOYSA-N 402.410 4.951 5 20 HJBD Cc1cccc2ncnc(Oc3ccc(NC(=O)c4cccc([N+](=O)[O-])c4)cc3)c12 301478576 CKDYIWUYWSMFMI-UHFFFAOYSA-N 400.394 4.891 5 20 HJBD COc1cc([N+](=O)[O-])ccc1C(=O)N(CCC(C)C)c1nnc(C)c2ccccc12 427400045 RHQLCFGELULBHT-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD O=C(c1ccn(-c2ccc([N+](=O)[O-])cc2)n1)N1CCCSc2ccc(Cl)cc21 430476272 WXDVUTMGBVHGHI-UHFFFAOYSA-N 414.874 4.577 5 20 HJBD COc1ccccc1-c1noc(C2CCN([C@H](C)c3cccc([N+](=O)[O-])c3)CC2)n1 433168533 SJCWYSWYXBHLOP-OAHLLOKOSA-N 408.458 4.594 5 20 HJBD O=C(Nc1csnc1-c1ccccc1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 436727462 ZUWVXWRBEFPXJQ-UHFFFAOYSA-N 408.483 4.573 5 20 HJBD C[C@@H](N(C)C(=O)Cc1cn(-c2ccccc2)nc1-c1ccc([N+](=O)[O-])cc1)C1(C)CC1 438433006 ALABDCQSOQNUDM-QGZVFWFLSA-N 418.497 4.637 5 20 HJBD CC(C)CN1CCC(NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])CC1 441821529 JKHOOPQCNZZKOB-UHFFFAOYSA-N 413.543 4.596 5 20 HJBD COc1ccc(C[C@H](C)CN(C)C(=O)c2ccc3[nH]c4ccccc4c(=O)c3c2)cc1 444292255 UEUQJQLOOWXNDE-KRWDZBQOSA-N 414.505 4.641 5 20 HJBD O=C(NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(CSc2ccccc2)cc1 444638167 UUFVLAIKHRLJDM-UHFFFAOYSA-N 418.478 4.693 5 20 HJBD CCOC(=O)c1cc(C(=O)Nc2cccc(CSC(C)C)c2C)cc([N+](=O)[O-])c1 460244856 DIDJOEQQXUJCOO-UHFFFAOYSA-N 416.499 4.974 5 20 HJBD O=C(c1cc2ccccc2c2cccnc12)N1C[C@@H]2CN(Cc3ccccc3)CC[C@H]2C1 461444416 IICOUGLJDMPORL-GOTSBHOMSA-N 421.544 4.982 5 20 HJBD O=C(Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])s2)cc1F)c1cccc(Cl)c1 463549966 YQVGCGGOUHIXSB-UHFFFAOYSA-N 419.821 4.953 5 20 HJBD CSc1cccc([C@H](NC(=O)c2ccc([N+](=O)[O-])o2)c2ccc(F)c(F)c2)c1 464113744 BAGQFOZEKOJUSU-SFHVURJKSA-N 404.394 4.707 5 20 HJBD C[C@@H](NC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1nc(C2CCCCC2)no1 464717449 WIQUENAVZPDCKG-LLVKDONJSA-N 400.460 4.731 5 20 HJBD C[C@@H](c1nnc(-c2ccc([N+](=O)[O-])cc2)o1)N1CC[C@H](CSc2ccccc2)C1 464773730 PNKDRNIKUZYQSK-HOTGVXAUSA-N 410.499 4.820 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N(Cc2cccnc2)c2cc(C)ccc2Cl)c1 466630986 OLRYFQDTXVJVPW-UHFFFAOYSA-N 411.845 4.807 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@H]2C)cc1SC 470580505 GHEDUDKYUWLQIU-GDBMZVCRSA-N 400.500 4.652 5 20 HJBD CCCOc1ccc(Oc2ncccc2CNc2c([N+](=O)[O-])c(C)nn2CC)cc1 479380017 NHNVJOOCIDLFNN-UHFFFAOYSA-N 411.462 4.708 5 20 HJBD O=C(N[C@H]1CC=C(c2ccc(F)cc2)CC1)C1CCN(c2ccccc2[N+](=O)[O-])CC1 479408846 MVXOQDVPTDJYLJ-NRFANRHFSA-N 423.488 4.703 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)NCc1ccc(C(C)(C)C)cc1 481481285 NOFZHYDHVVPIGO-UHFFFAOYSA-N 402.516 4.561 5 20 HJBD O=C(Nc1c(Br)cc(F)cc1Br)c1ccccc1[N+](=O)[O-] 481783037 YYOYGWQYCIPDMB-UHFFFAOYSA-N 418.016 4.511 5 20 HJBD Cc1cccc(C)c1NC(=O)C(=O)Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1 482208900 HMQOMBBEWWGEMQ-UHFFFAOYSA-N 405.410 4.581 5 20 HJBD Cc1nc(-c2ccc(NC(=O)c3cc([N+](=O)[O-])ccc3OC(F)F)cc2)cs1 485030266 CXSYRYKZGXXDGE-UHFFFAOYSA-N 405.382 4.880 5 20 HJBD Cc1c(NC(=O)[C@H](C)Sc2nnc(-c3ccc(Cl)s3)o2)cccc1[N+](=O)[O-] 488845745 ZDGJHKZSLHKSAI-VIFPVBQESA-N 424.891 4.787 5 20 HJBD O=C(NCCc1c[nH]c2ccc(Cl)cc12)N(Cc1cccc([N+](=O)[O-])c1)C1CC1 494420797 WGZISKCRMBIWSR-UHFFFAOYSA-N 412.877 4.646 5 20 HJBD Cc1ccc(C(=O)N2CCS[C@@H]2c2ccc([N+](=O)[O-])cc2)cc1Oc1ccncc1 498141466 ZFSFLUHXQJVGIY-JOCHJYFZSA-N 421.478 4.978 5 20 HJBD CC(C)n1cc(Br)cc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 498153280 NUANSIHHCMJDOD-QGZVFWFLSA-N 424.320 4.628 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1)Nc1ccc(Oc2nncc3ccccc23)cc1 499117931 OLZSWUSSDAMIMH-UHFFFAOYSA-N 400.394 4.512 5 20 HJBD CCOc1ccc([C@H](C)NC(=O)Nc2ccc([N+](=O)[O-])cc2Cl)cc1OCC 505267060 DEDRKQODGQSHRV-LBPRGKRZSA-N 407.854 4.928 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)c1 508393540 VGLSYKCDGHEOAX-WMLDXEAASA-N 408.376 4.688 5 20 HJBD COc1ccc(N(C(=O)c2csc(-c3ccc([N+](=O)[O-])cc3)n2)C(C)C)cc1F 509788492 DXEYPUXLYRGRRJ-UHFFFAOYSA-N 415.446 4.921 5 20 HJBD Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 513169079 ZSZGSHTXAPHOMV-UKRRQHHQSA-N 410.499 4.705 5 20 HJBD O=C(NCc1cccc(NC(=O)C2CCCCC2)c1)c1cc2cc([N+](=O)[O-])ccc2o1 519049813 HHMWXZIUAJISNU-UHFFFAOYSA-N 421.453 4.790 5 20 HJBD C/C=C/c1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2SCCC(=O)OC)cc1 521940472 PKOOJIDODSCEPD-ONEGZZNKSA-N 400.456 4.535 5 20 HJBD CCc1ccc(CCNC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)cc1 522875424 IHNCBFZXQCCQKF-QGZVFWFLSA-N 418.497 4.698 5 20 HJBD CCCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)[C@@H]1C[C@@H](OCC)C12CCCC2 525408255 RBWDLLXJMHPSJF-RTBURBONSA-N 414.506 4.595 5 20 HJBD CCN(C(=O)c1c([N+](=O)[O-])c(C)nn1C)[C@@H](C)c1ccc(-c2cccc(OC)c2)cc1 532104739 VIMACAABQQJZSR-INIZCTEOSA-N 422.485 4.536 5 20 HJBD COc1cc([C@@H](C)N[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1OCc1ccncc1 532475027 QURVHVTTWZWGPM-IAGOWNOFSA-N 407.470 4.989 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)cc1OC 534080175 LZBGETFRHPCSNP-IBGZPJMESA-N 409.442 4.604 5 20 HJBD COCCOc1ccccc1CNCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 537277335 DZFLUWXOBOIUFX-UHFFFAOYSA-N 416.861 4.823 5 20 HJBD CCc1cnccc1[C@@H](C)NC(=O)c1ccc(N[C@H](C)c2ccccn2)c([N+](=O)[O-])c1 539096430 GHQWJMFYRBNZKH-HZPDHXFCSA-N 419.485 4.611 5 20 HJBD CC(C)(C)c1noc(COc2ccc(Oc3ncnc4cccc([N+](=O)[O-])c34)cc2)n1 542507198 USROOKPQFZOUGU-UHFFFAOYSA-N 421.413 4.590 5 20 HJBD C[C@H](C(=O)NCc1cccc(CN2CCCCCC2)c1)c1ccc([N+](=O)[O-])cc1F 542620233 QGFIGNLWOWVLLJ-KRWDZBQOSA-N 413.493 4.530 5 20 HJBD CCOc1cc([N+](=O)[O-])c(C(=O)Nc2sc(-c3ccccc3)nc2C)cc1OC 543133720 WUTHDBVAEJIYPT-UHFFFAOYSA-N 413.455 4.686 5 20 HJBD CCCc1c(NC(=O)c2c(Cl)cccc2[N+](=O)[O-])cnn1-c1cccc(F)c1 544293270 UPCLGIZZPKJWGQ-UHFFFAOYSA-N 402.813 4.778 5 20 HJBD CO[C@H](C)c1nc(Cc2noc(-c3cc4cc([N+](=O)[O-])ccc4s3)n2)cs1 545114904 OZHZLJZHBBEADA-SECBINFHSA-N 402.457 4.614 5 20 HJBD CCOCc1ccccc1-c1noc([C@H](C)Oc2ccc(Cl)cc2[N+](=O)[O-])n1 547046398 FWKICVITVHBINR-LBPRGKRZSA-N 403.822 4.975 5 20 HJBD O=[N+]([O-])c1ccc(O)cc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CCOCC1 549688808 UVMLSEDLIUGRFC-LJQANCHMSA-N 410.392 4.577 5 20 HJBD CN1CC[C@@H](c2noc(-c3cc([N+](=O)[O-])ccc3C(F)(F)F)n2)c2ccccc21 551353976 PJFKGENLTSGBDS-CYBMUJFWSA-N 404.348 4.635 5 20 HJBD CCN(CC(=O)Nc1c(Cl)cccc1Cl)c1ccc([N+](=O)[O-])c(C(C)=O)c1 553110313 VMYWGCFSBZWLIA-UHFFFAOYSA-N 410.257 4.569 5 20 HJBD CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 560539649 MUQOBPNUKRTSOL-DZLUEKEQSA-N 422.485 4.647 5 20 HJBD O=C(c1ccc2[nH]c3ccccc3c(=O)c2c1)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 563983616 RFOBHHKTQNXKOJ-GOSISDBHSA-N 418.880 4.628 5 20 HJBD Cc1sc(C(=O)N2CCc3nc(-c4ccccc4Cl)sc3C2)cc1[N+](=O)[O-] 574359844 WFFSUWSYQBXXAH-UHFFFAOYSA-N 419.915 4.940 5 20 HJBD Cc1nc(CN2CCC(CNc3ccc(C(F)(F)F)c([N+](=O)[O-])c3)CC2)oc1C 589114311 WEKRBPCMUHQXCF-UHFFFAOYSA-N 412.412 4.543 5 20 HJBD COc1cc(Cc2noc(-c3nc(C)sc3-c3ccccc3)n2)ccc1[N+](=O)[O-] 589136436 NPDIHWGBBDZWDO-UHFFFAOYSA-N 408.439 4.676 5 20 HJBD C[C@@H](c1nc2ccccc2s1)N1CCN(c2ccnc3c([N+](=O)[O-])cccc23)CC1 590078655 JLCMPMCJCONFEQ-HNNXBMFYSA-N 419.510 4.636 5 20 HJBD C[C@@](CO)(NCc1cc([N+](=O)[O-])ccc1C(F)(F)F)c1cccc(C(F)(F)F)c1 590161683 KAOXCGHNBKFDQA-INIZCTEOSA-N 422.325 4.630 5 20 HJBD CCNc1ccc(C(=O)Nc2cccc(NC(=O)Nc3ccccc3)c2)cc1[N+](=O)[O-] 591763655 WKWHDRXBXWGYEH-UHFFFAOYSA-N 419.441 4.923 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])N1CCC[C@@H]1c1cccc(Br)c1 603997801 SESDMBDXVBKLEK-QGZVFWFLSA-N 418.291 4.523 5 20 HJBD COc1ccc2[nH]cc(C3=CCN(C(=O)N[C@@H](C)c4cccc([N+](=O)[O-])c4)CC3)c2c1 604127117 KHBCMJATHQABMX-HNNXBMFYSA-N 420.469 4.645 5 20 HJBD O=[N+]([O-])c1cc(-c2ccccc2)ccc1OCc1nc(-c2ccc3c(c2)OCO3)no1 608846548 ZKDZOZBLUNZRIC-UHFFFAOYSA-N 417.377 4.620 5 20 HJBD COc1ccc(C(=O)N2CCCC[C@H]2c2ccccc2C(F)(F)F)cc1[N+](=O)[O-] 608945312 FQFBFPNPUJOOTA-INIZCTEOSA-N 408.376 4.990 5 20 HJBD Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(N[C@@H](C)c2ccccn2)c([N+](=O)[O-])c1 609173943 RTZPODYEAYODKK-AWEZNQCLSA-N 416.441 4.600 5 20 HJBD CN1CCC(CCNCc2ccc(Oc3ccccc3Cl)c([N+](=O)[O-])c2)CC1 609223493 ZQNWUACZNZZECE-UHFFFAOYSA-N 403.910 4.862 5 20 HJBD Cc1ccc(Oc2ccc(NC(=O)N[C@H](C)c3ccc(C)c([N+](=O)[O-])c3)cc2)nn1 610633881 QBSDPIYFYUPOEO-OAHLLOKOSA-N 407.430 4.677 5 20 HJBD C[C@H]1COCCCN1C(=O)c1cc([N+](=O)[O-])ccc1Sc1ccc(Cl)cc1 610948881 XEXIZDFXOMFEHP-ZDUSSCGKSA-N 406.891 4.650 5 20 HJBD O=C(Nc1nc2c(s1)C[C@H](c1ccccc1)CC2)c1cc(F)c(F)cc1[N+](=O)[O-] 727710668 WXGPGCDMLZPGJZ-GFCCVEGCSA-N 415.421 4.854 5 20 HJBD CCCCn1nc(C)c(/C=C2/N=C(c3c(Cl)cccc3[N+](=O)[O-])OC2=O)c1Cl 730958267 RBRJOCSJQULXBK-UKTHLTGXSA-N 423.256 4.551 5 20 HJBD CCOc1cc(NC(=O)c2ccccc2OCC(C)C)c([N+](=O)[O-])cc1OCC 731326870 FOTMMUFGRFOUEP-UHFFFAOYSA-N 402.447 4.679 5 20 HJBD COc1ccc(CCN(CC(C)C)C(=O)c2ccc(Cl)c([N+](=O)[O-])c2)cc1OC 734395180 ZXAHUEMLVRGSOF-UHFFFAOYSA-N 420.893 4.606 5 20 HJBD O=C(Nc1cccc(Oc2ccc([N+](=O)[O-])cc2)c1)c1cc(=O)c2ccccc2o1 735250674 GYNUQAQMECDGEH-UHFFFAOYSA-N 402.362 4.746 5 20 HJBD COC(=O)c1sc2nc(C)nc(Oc3cc([N+](=O)[O-])c(Cl)cc3C)c2c1C 735669551 SBCGXTWXSWXWLP-UHFFFAOYSA-N 407.835 4.757 5 20 HJBD COc1cc(N2CCC(OC(=O)c3ccc4[nH]c(C)c(C)c4c3)CC2)ccc1[N+](=O)[O-] 739384147 GCTIIXXXCPDAMC-UHFFFAOYSA-N 423.469 4.527 5 20 HJBD C/C(=C/C(=O)O[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 745481907 BLSOLELZLHLMOX-UKVQZPPCSA-N 422.359 4.587 5 20 HJBD CC(C)c1nc(C(=O)O[C@@H](C)c2ccccc2[N+](=O)[O-])nn1-c1ccccc1Cl 749058519 YWMOTYSWCTWWNF-ZDUSSCGKSA-N 414.849 4.870 5 20 HJBD COc1cc(CN[C@H]2CCOc3c2ccc(Cl)c3Cl)c([N+](=O)[O-])cc1OC 752975922 CMBUDSDRTCUDGG-ZDUSSCGKSA-N 413.257 4.532 5 20 HJBD CC(C)(Cc1cccc(Cl)c1)C(=O)OCc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 754167044 UEQAFWVBZYEXSW-UHFFFAOYSA-N 415.833 4.610 5 20 HJBD O=C(N[C@H]1CCC[C@@H]1Cc1ccccc1)c1cc(F)cc([N+](=O)[O-])c1Br 755004634 CQHCSIOLJXSNBK-CJNGLKHVSA-N 421.266 4.638 5 20 HJBD Cc1ccc(C(=O)N(Cc2nnc(-c3cccc([N+](=O)[O-])c3)o2)C(C)C)c(Cl)c1 756627567 QDCOVSBRSHQFAC-UHFFFAOYSA-N 414.849 4.657 5 20 HJBD COc1ccc(-c2cncc(C(=O)N(C)Cc3cc([N+](=O)[O-])ccc3Cl)c2)cc1 757555138 DSSVLIXTZGKJHA-UHFFFAOYSA-N 411.845 4.591 5 20 HJBD CN(Cn1c(=S)oc2ccc([N+](=O)[O-])cc21)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 758263552 RLLZGSMQYDADTA-HNAYVOBHSA-N 417.462 4.821 5 20 HJBD O=C(Nc1ccc(Oc2ccccc2)cn1)c1cccc([N+](=O)[O-])c1Br 758337212 UNTAAHNXAHXGSM-UHFFFAOYSA-N 414.215 4.797 5 20 HJBD Cc1ccc(-c2nnc([C@H](C)OC(=O)c3cc(Cl)cc([N+](=O)[O-])c3)o2)cc1C 758954479 RDWCKDFRBLFZDC-LBPRGKRZSA-N 401.806 4.833 5 20 HJBD O=C(/C=C/c1ccc2ccccc2n1)OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 760462666 CWLBXLLWKJPHHS-FMIVXFBMSA-N 401.378 4.555 5 20 HJBD Cc1oc(-c2ccccc2)nc1Cc1noc([C@H](C)c2ccc([N+](=O)[O-])cc2F)n1 761501041 DETHXTNKFBMRQD-GFCCVEGCSA-N 408.389 4.823 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)C[C@H](C)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 763099782 FBAVYBOGJLWZGY-JKSUJKDBSA-N 405.495 4.515 5 20 HJBD COc1ccc([C@@H]2CCCN2Cc2ccc(Br)c([N+](=O)[O-])c2)cc1OC 768228922 MDUXLYVAHSUPHL-INIZCTEOSA-N 421.291 4.712 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(COc3cc(Cl)cnc3Br)co2)cc1 774671520 DZBGPLOGJQESSJ-UHFFFAOYSA-N 410.611 4.640 5 20 HJBD O=C(Nc1ccc(Cl)cc1OCC(F)(F)F)C(F)(F)c1ccc([N+](=O)[O-])cc1 777999760 JYZSNMYNHPLMRJ-UHFFFAOYSA-N 424.709 4.920 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)NC[C@@H](O)c1ccc2ccccc2c1 780497939 WPJOYJAZEQBQBI-HXUWFJFHSA-N 410.495 4.714 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1NC(=O)c1cnc2ncc(Br)cc2c1 780743056 CWRCMHBYZCPJDZ-UHFFFAOYSA-N 421.638 4.515 5 20 HJBD COc1ccc(C[C@H](N[C@H](C)c2ccc(N)c([N+](=O)[O-])c2)c2ccc(OC)cc2)cc1 788505053 RDECVBKKCLHVOL-MWTRTKDXSA-N 421.497 4.829 5 20 HJBD CC(C)c1c(C(=O)Nc2ccc(F)c([N+](=O)[O-])c2)cnn1-c1ccccc1Cl 792525850 YNHRAXABISMQEX-UHFFFAOYSA-N 402.813 4.949 5 20 HJBD CC(=O)Nc1ccc2c(COc3cc(Cl)c(Cl)cc3[N+](=O)[O-])cc(=O)oc2c1 794495898 HNQUMIGLWVORSH-UHFFFAOYSA-N 423.208 4.545 5 20 HJBD O=C(Nc1ccc(F)cc1OCCC(F)(F)F)c1cccc(Cl)c1[N+](=O)[O-] 800355193 BSPJNRXLTIPHNX-UHFFFAOYSA-N 406.719 4.971 5 20 HJBD CCN(c1ccccc1Cl)S(=O)(=O)c1cc([N+](=O)[O-])c(Cl)cc1Cl 809844751 ZUGHAUFJYZMHHP-UHFFFAOYSA-N 409.678 4.770 5 20 HJBD CN(C)C(=O)Sc1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1[N+](=O)[O-] 812968974 ZEZKUHJFOYIGMZ-UHFFFAOYSA-N 414.270 4.928 5 20 HJBD COCCn1ccc2ccc(NC(=O)c3cc(Cl)cc(Cl)c3[N+](=O)[O-])cc21 813133859 KRJMXRTWBCLEFP-UHFFFAOYSA-N 408.241 4.755 5 20 HJBD C[C@H](OC(=O)/C=C\c1ccc([N+](=O)[O-])cc1)C(=O)N[C@@H](C)c1ccc2ccccc2c1 822393593 PCNDIKAMMASSIY-ZHKWONLHSA-N 418.449 4.570 5 20 HJBD C[C@H](Nc1c([N+](=O)[O-])cc(C(F)(F)F)cc1[N+](=O)[O-])c1ccc([N+](=O)[O-])cc1 864018893 CKDPRIQZIJFQIU-QMMMGPOBSA-N 400.269 4.603 5 20 HJBD CC(C)[C@@H](OC(=O)c1cc([N+](=O)[O-])ccc1Cl)C(=O)Nc1ccc2c(c1)CCC2 877044142 WDAJQDJXPTTWNN-LJQANCHMSA-N 416.861 4.557 5 20 HJBD COc1ccc(CN(Cc2ccccc2)C(=O)/C=C/c2ccc([N+](=O)[O-])cc2)cc1 914511609 IPCQKWXTIPJRKH-LFIBNONCSA-N 402.450 4.846 5 20 HJBD O=[N+]([O-])c1ccc(NC(=S)Nc2cccc(COc3ccc4c(c3)OCO4)c2)cc1 916027825 NZRBDMWXIYVSEO-UHFFFAOYSA-N 423.450 4.711 5 20 HJBD O=C(Nc1ccc(OC(F)F)c(F)c1)c1cc([N+](=O)[O-])ccc1N1CCCCC1 917714783 YHALIFYHBCPNGP-UHFFFAOYSA-N 409.364 4.578 5 20 HJBD Cc1ccccc1-n1c(C)nn(CN(Cc2ccc([N+](=O)[O-])cc2)C(C)C)c1=S 919950031 CTVWCXAGQHAFNF-UHFFFAOYSA-N 411.531 4.796 5 20 HJBD O=[N+]([O-])c1ccc(CN2CCC(OCc3ccccc3F)CC2)c(Br)c1 1320413303 WZMTWWNIGGROTA-UHFFFAOYSA-N 423.282 4.678 5 20 HJBD O=C(Cc1ccc([N+](=O)[O-])cc1Cl)Nc1ccc(Oc2cccc(F)c2)nc1 1322952088 ASBUTDUEOGNKDP-UHFFFAOYSA-N 401.781 4.756 5 20 HJBD CC(C)[C@H](NC(=O)C12CC3CC(CC(C3)C1)C2)c1nnc(-c2cccc([N+](=O)[O-])c2)o1 1328158771 XLAYJOGRJRIJSQ-HAVBXNNZSA-N 424.501 4.675 5 20 HJBD O=C(Cn1c2ccccc2c(=O)c2ccccc21)O[C@@H]1CCCC[C@@H]1C(F)(F)F 1343331758 SUZUAURXECWMIX-QFBILLFUSA-N 403.400 4.819 5 20 HJBD Cc1ccc(SCC(=O)O[C@H](C)c2nnc(-c3ccc([N+](=O)[O-])cc3)o2)c(C)c1 5505870 PKFHUZHGFHREPJ-CQSZACIVSA-N 413.455 4.658 5 20 HJBD Cc1ccc(-c2nnc(S[C@@H](C)C(=O)Nc3ccc(F)c([N+](=O)[O-])c3)o2)cc1C 5779239 UKRJCOJFNUFAFV-LBPRGKRZSA-N 416.434 4.520 5 20 HJBD Cc1ccccc1NC(=O)C(=Cc1ccccc1[N+](=O)[O-])C(=O)Nc1ccccc1C 6868407 DBTMEIJQDITATB-UHFFFAOYSA-N 415.449 4.872 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1C(=O)c1ccccc1)c1cnc(Cl)c(Cl)c1 11162751 ZRMOIILNGBDRAE-UHFFFAOYSA-N 416.220 4.780 5 20 HJBD Cc1ccc([C@@H](C)N(C)C(=O)c2ccc(Cn3nc(C)c([N+](=O)[O-])c3C)cc2)cc1 45247622 QDGAKESKLDJLIR-QGZVFWFLSA-N 406.486 4.598 5 20 HJBD Cc1cc(N2CCSCC2)ccc1NC(=O)[C@H](C)Sc1ccc([N+](=O)[O-])cc1 50495926 HHXYPNXURHAIBP-HNNXBMFYSA-N 417.556 4.576 5 20 HJBD Cc1ccc(-c2nc(/C=C3/CCn4c3nc3ccc([N+](=O)[O-])cc3c4=O)cs2)cc1 60421732 YMJGDCVYRZCKCK-GDNBJRDFSA-N 416.462 4.681 5 20 HJBD CCOc1ccc(CCC2CCN(C(=O)c3cc(F)ccc3[N+](=O)[O-])CC2)cc1 116103151 LKCWPUZDVUFUGW-UHFFFAOYSA-N 400.450 4.618 5 20 HJBD O=[N+]([O-])c1cccnc1Sc1nnc(-c2cccc(Cl)c2)n1Cc1ccco1 301735655 ZUYKMXNMTBENCW-UHFFFAOYSA-N 413.846 4.694 5 20 HJBD COc1cc(C(=O)Nc2cc(C(F)(F)F)ccc2Br)ccc1[N+](=O)[O-] 327807366 VSVODNLAGJEIGE-UHFFFAOYSA-N 419.153 4.637 5 20 HJBD Cc1c(NC(=O)N[C@H](COc2ccccc2F)c2ccccc2)cccc1[N+](=O)[O-] 409752373 SNMIHAVHAAPKPU-LJQANCHMSA-N 409.417 4.984 5 20 HJBD O=C(Nc1ccc(C(=O)N(Cc2cccc([N+](=O)[O-])c2)C2CC2)cc1)c1ccccc1 427602102 DGKNVKNISXRKMT-UHFFFAOYSA-N 415.449 4.652 5 20 HJBD CC(=O)N(Cc1ccc(NC(=O)N[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)cc1)C(C)C 428897011 FGYXOUIXBAITJA-INIZCTEOSA-N 412.490 4.543 5 20 HJBD Cc1ccc(NC(=O)C(C)(C)C)cc1NC(=O)NC(C)(C)c1ccc([N+](=O)[O-])cc1 431215139 ANKFRDFRIQXKMC-UHFFFAOYSA-N 412.490 4.945 5 20 HJBD Cc1c(CNC(=O)Nc2ccccc2CN(C)c2ccccc2)cccc1[N+](=O)[O-] 433767548 UCXTVTAQZXLOEX-UHFFFAOYSA-N 404.470 4.861 5 20 HJBD Cc1nccc(-c2cccc(NC(=O)c3cc([N+](=O)[O-])cc(C(F)(F)F)c3)c2)n1 437165602 OFTALSFXMUWTRB-UHFFFAOYSA-N 402.332 4.631 5 20 HJBD O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2)c1ccccc1Sc1ccccc1[N+](=O)[O-] 441066159 OGCJNJZXLADIDO-MAODMQOUSA-N 424.522 4.824 5 20 HJBD CC1(C)C[C@H](NC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])C(C)(C)O1 441295419 RIDGDONNOWZZLC-SFHVURJKSA-N 400.500 4.822 5 20 HJBD O=C(Nc1nc2ccsc2s1)c1cc(F)cc2c(=O)c3cccc(F)c3[nH]c12 444023669 VGMAYNSPPGDFRP-UHFFFAOYSA-N 413.430 4.883 5 20 HJBD O=C(Nc1cc(F)c(F)c(F)c1)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444089409 POQQMXJRXKHTBC-UHFFFAOYSA-N 404.294 4.629 5 20 HJBD CSc1cc(C)ccc1CNC(=O)c1cc(F)cc2c(=O)c3cc(F)ccc3[nH]c12 444231583 GWSBJKGVZCBEAW-UHFFFAOYSA-N 424.472 4.920 5 20 HJBD COCCN(Cc1nc2ccc([N+](=O)[O-])cc2[nH]1)[C@@H](C)c1cccc(C(F)(F)F)c1 444626268 MWWJKHHSDOBKOW-ZDUSSCGKSA-N 422.407 4.700 5 20 HJBD CC(C)n1c([C@@H]2CCCN2Cc2nc3ccc([N+](=O)[O-])cc3[nH]2)nc2ccccc21 444665605 PISWDLKZYIJLJG-FQEVSTJZSA-N 404.474 4.739 5 20 HJBD Cc1cc(N2CC[C@H](n3c(C)nc4c3CCCC4)C2)c(C(F)(F)F)cc1[N+](=O)[O-] 461943312 WWZZDHMEZPBJJK-AWEZNQCLSA-N 408.424 4.757 5 20 HJBD CN(C)C(=O)C[C@@H]1CN(c2ccc([N+](=O)[O-])c3cnccc23)c2ccc(Cl)cc21 462857594 XYMQDKAFXFKNMJ-CYBMUJFWSA-N 410.861 4.510 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)c1ccc(Br)c(Cl)c1F 466502100 AWUWHKAGQAIZTI-UHFFFAOYSA-N 417.618 4.801 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1cc(OC)cc(-n2cccn2)c1 471544890 DNFPYVRCMFNBBC-UHFFFAOYSA-N 412.471 4.544 5 20 HJBD COCCSc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H](C)CSc1ccc(C)cc1 472252336 RHOCQZFMPQBUND-HNNXBMFYSA-N 420.556 4.552 5 20 HJBD Cc1cn([C@@H](C)c2ccccc2)nc1NC(=O)c1c([N+](=O)[O-])cccc1C(F)(F)F 472968919 NPDVLGIZHVWLIR-ZDUSSCGKSA-N 418.375 4.980 5 20 HJBD COc1ccc(OCCS[C@@H](C)c2nc(-c3ccccc3C)no2)c([N+](=O)[O-])c1 476214433 DZANGPNOGSNWMW-AWEZNQCLSA-N 415.471 4.835 5 20 HJBD COc1cccc(C2=CCN(C(=O)Nc3cc([N+](=O)[O-])ccc3OC(F)F)CC2)c1 476483146 FKVNJMVUFZYOLN-UHFFFAOYSA-N 419.384 4.526 5 20 HJBD CCC(=O)c1ccc(Sc2nnc(NCc3ccc(F)cc3)s2)c([N+](=O)[O-])c1 479916165 RNLUCQXXPUDMQJ-UHFFFAOYSA-N 418.475 4.941 5 20 HJBD C[C@@H](NC1CCN(C(=O)c2ccccc2C(F)(F)F)CC1)c1ccc([N+](=O)[O-])cc1 480671305 XOMVMBYZLASZEO-CQSZACIVSA-N 421.419 4.569 5 20 HJBD COc1ccc(NC(=O)c2cc(SC)c(OC)cc2[N+](=O)[O-])c(C(F)(F)F)c1 482778948 GVZMZKIVJCZBSR-UHFFFAOYSA-N 416.377 4.605 5 20 HJBD C[C@H](NCc1coc(-c2ccc([N+](=O)[O-])cc2)n1)c1ccc(OC(F)(F)F)cc1 484890850 ANWUPINCDGWWBW-LBPRGKRZSA-N 407.348 4.999 5 20 HJBD CSc1cccc(C(=O)Nc2ccc(C(=O)NC3CCCC3)cc2C)c1[N+](=O)[O-] 486241463 TVXFKWJMCYTWHZ-UHFFFAOYSA-N 413.499 4.550 5 20 HJBD O=[N+]([O-])c1ccc(OC(F)F)c(CNCc2ccnc(Oc3ccccc3F)c2)c1 486807049 CMORQFUBMCPVFC-UHFFFAOYSA-N 419.359 4.812 5 20 HJBD Cc1noc(C)c1C[C@H](C)NC(=O)c1cc([N+](=O)[O-])ccc1Sc1ccccc1 489519110 NKFHSMASWZCXMP-ZDUSSCGKSA-N 411.483 4.712 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cnn(CCC(C)C)c2C(C)C)cc1SC 490165091 OUOGZWGJGDBVGQ-UHFFFAOYSA-N 420.535 4.944 5 20 HJBD COc1cc(CNCc2ccc3ccccc3c2)c([N+](=O)[O-])cc1OCC(F)F 492236989 OTMYJJKZCAKWHD-UHFFFAOYSA-N 402.397 4.690 5 20 HJBD COC(=O)c1cc(Oc2ncnc3sc(-c4ccccc4)cc23)ccc1[N+](=O)[O-] 495323423 FDCJNYRHBDRHGH-UHFFFAOYSA-N 407.407 4.845 5 20 HJBD COc1ccc(CNc2ccc(C(=O)Nc3cccc(Cl)c3)cn2)cc1[N+](=O)[O-] 495444097 HFXPSCAQTXQHHV-UHFFFAOYSA-N 412.833 4.516 5 20 HJBD CCc1ccc([C@H](c2cccnc2)N(CC)C(=O)c2cc(OC)ccc2[N+](=O)[O-])cc1 497871913 AUSFGLHNPUOJHZ-HSZRJFAPSA-N 419.481 4.813 5 20 HJBD CCCc1nc(C(=O)Nc2cc([N+](=O)[O-])c(C)cc2C)nn1-c1ccccc1Cl 499302807 MUNVWBVMMWHWEG-UHFFFAOYSA-N 413.865 4.651 5 20 HJBD O=[N+]([O-])c1cccc(-c2ccc(CN3CCC(n4c(O)nc5ccccc54)CC3)o2)c1 506141213 RHLZXBJTTZXABJ-UHFFFAOYSA-N 418.453 4.747 5 20 HJBD COc1cc(C(=O)Nc2ncc(Cc3ccc(Cl)cc3C)s2)ccc1[N+](=O)[O-] 511916057 ACARPURBDWAJEP-UHFFFAOYSA-N 417.874 4.865 5 20 HJBD C[C@H](CC(=O)Nc1cc(C(F)(F)F)ccc1Cl)NCc1ccccc1[N+](=O)[O-] 512129771 JLULPNLUBXOHEM-LLVKDONJSA-N 415.799 4.774 5 20 HJBD COc1cc(Br)ccc1C(=O)N(c1cccc([N+](=O)[O-])c1)C1CCCC1 522873110 IPVYYUWNPWPIMW-UHFFFAOYSA-N 419.275 4.955 5 20 HJBD C[C@H]1[C@@H](c2ccccc2)C[C@@H](C)N1C(=O)Cn1c2ccccc2c(=O)c2ccccc21 525130968 YUBISZZDOQYCJC-MSYCTHLASA-N 410.517 4.948 5 20 HJBD O=[N+]([O-])c1ccc(-c2nc(CN(CCCO)[C@H]3CCCc4ccccc43)co2)cc1 536356703 XFYJTABFOGTHBX-QFIPXVFZSA-N 407.470 4.512 5 20 HJBD COc1cc(C(=O)N(CCC(C)C)c2nnc(C)c3ccccc23)ccc1[N+](=O)[O-] 539006219 YYDLUPAFGGJXFG-UHFFFAOYSA-N 408.458 4.548 5 20 HJBD O=[N+]([O-])c1ccccc1C[C@@H]1CCC[C@@H]1NCCc1nc(-c2ccccn2)cs1 540171400 VVYMBNZOPPIMKL-WMZOPIPTSA-N 408.527 4.657 5 20 HJBD O=C(Nc1cc(Cl)cc([N+](=O)[O-])c1O)c1cc(Br)ccc1Cl 540847658 CWWHKBWKYXHVAY-UHFFFAOYSA-N 406.019 4.622 5 20 HJBD COc1cccc(-c2nn(C(C)(C)C)cc2CNCc2cc([N+](=O)[O-])ccc2OC)c1 541387441 UNIISZWAIYEYBE-UHFFFAOYSA-N 424.501 4.520 5 20 HJBD COc1ccc(CN[C@H](c2ccc(OC(F)(F)F)cc2)C(F)(F)F)cc1[N+](=O)[O-] 542015414 RHVJGSSYKVFMET-OAHLLOKOSA-N 424.297 4.895 5 20 HJBD Cc1nc2c(s1)[C@H](N(C)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])CCC2 543143797 ZXHMEFWDHZKZKY-CYBMUJFWSA-N 411.936 4.641 5 20 HJBD Cc1c(-c2nc(Cc3ccc(OCc4ccccn4)cc3)no2)cccc1[N+](=O)[O-] 544956132 WKAKXQAHUPLICH-UHFFFAOYSA-N 402.410 4.518 5 20 HJBD CC(C)(C(=O)N1CCC(Oc2ccccc2Cl)CC1)c1ccc([N+](=O)[O-])cc1F 545121417 JDUWRZKRQVLEQV-UHFFFAOYSA-N 420.868 4.735 5 20 HJBD Cc1cc(-c2nc(Cc3ccccc3[N+](=O)[O-])no2)nc(-c2ccc(Cl)cc2)n1 546234479 KLBALAVVQNIKHI-UHFFFAOYSA-N 407.817 4.654 5 20 HJBD COCC[C@@H](NCc1nc2ccc([N+](=O)[O-])cc2[nH]1)c1ccc(Cl)c(Cl)c1 551722063 UANFQLOBDUJBSS-OAHLLOKOSA-N 409.273 4.645 5 20 HJBD COC(=O)c1ccc(CNCc2ccc(Oc3ccc(Cl)cc3[N+](=O)[O-])cc2)o1 561589254 DCVFLSGPHBGFKM-UHFFFAOYSA-N 416.817 4.710 5 20 HJBD Cc1cc(Cc2noc(-c3ccc4[nH]c5ccccc5c(=O)c4c3)n2)ccc1[N+](=O)[O-] 573286536 ARFVCEKCVRIGCH-UHFFFAOYSA-N 412.405 4.539 5 20 HJBD C[C@H](Nc1ccc(C(=O)N2CC(C3CCCCC3)C2)cc1[N+](=O)[O-])c1ccccn1 576973684 RIXAXMKTMSKZDX-INIZCTEOSA-N 408.502 4.815 5 20 HJBD C[C@@H](C(=O)Nc1ccccc1O[C@H](C)C(F)(F)F)c1ccc([N+](=O)[O-])cc1F 579513978 PZMCDEGOVRBKEJ-GHMZBOCLSA-N 400.328 4.806 5 20 HJBD COCCC[C@@H](CNC(=O)C(C)(C)c1ccc([N+](=O)[O-])cc1)c1ccc(Cl)cc1 580357763 OVWMYWYSJHHEES-KRWDZBQOSA-N 418.921 4.852 5 20 HJBD CC(C)Cn1ncc(NC(=O)Cn2c3ccccc3c(=O)c3ccccc32)c1C1CC1 584863031 NHIDXGBLQQVCFM-UHFFFAOYSA-N 414.509 4.523 5 20 HJBD CCc1ccc([N+](=O)[O-])cc1NC(=O)N[C@@H](COC)c1cccc(C(F)(F)F)c1 589374782 AMANCAIQPQSKMZ-KRWDZBQOSA-N 411.380 4.685 5 20 HJBD CC1CCN([C@H](CNC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c2cccs2)CC1 603730723 NKSWDWGGBQCKNJ-QGZVFWFLSA-N 413.499 4.606 5 20 HJBD CCN(Cc1ccc(Cl)s1)C(=O)c1cc(OCC(F)(F)F)ccc1[N+](=O)[O-] 603835873 YBZZRGUSVWXGBB-UHFFFAOYSA-N 422.812 4.913 5 20 HJBD O=C(Nc1ccc2[nH]c(-c3ccco3)nc2c1)c1ccc(NC2CC2)c([N+](=O)[O-])c1 603939351 JEHFUZCXVNAXHX-UHFFFAOYSA-N 403.398 4.558 5 20 HJBD Cc1ccc(C(=O)N[C@@H](C)c2ccc(NC(=O)c3ccc(F)cc3)cc2)cc1[N+](=O)[O-] 604016405 ZUNJJQBSHFZTMK-HNNXBMFYSA-N 421.428 4.786 5 20 HJBD COc1cc(CNC[C@H]2Cc3ccccc32)ccc1OCc1ccc([N+](=O)[O-])cc1 609222590 SILJEZSPOVSODT-HXUWFJFHSA-N 404.466 4.612 5 20 HJBD O=C(Nc1cc([N+](=O)[O-])ccc1OC(F)F)N(Cc1ccc(F)cc1)CC1CC1 609683548 YQLKAQFNFAJRKN-UHFFFAOYSA-N 409.364 4.779 5 20 HJBD COc1cc(-n2cccc2)c(Cl)cc1C(=O)N1CCCc2ccc([N+](=O)[O-])cc21 609859509 CJTGPQJJDABDMX-UHFFFAOYSA-N 411.845 4.641 5 20 HJBD O=C(NCC1CCN(Cc2ccccc2Cl)CC1)c1cc(Cl)cc([N+](=O)[O-])c1 609881863 RUOZZKOPWUHERC-UHFFFAOYSA-N 422.312 4.544 5 20 HJBD CC1(C)CN(S(=O)(=O)c2c(Cl)cc(Cl)cc2[N+](=O)[O-])[C@@H]1c1ccccc1 610261656 HJVZOOFENBYPID-MRXNPFEDSA-N 415.298 4.673 5 20 HJBD CC(C)(C)OCc1ccc(NC(=O)NCCc2ccc(Cl)cc2[N+](=O)[O-])cc1 611503816 UFJYMTZXMDPAOS-UHFFFAOYSA-N 405.882 4.928 5 20 HJBD CC[C@H]1CN(C)c2ccccc2CN1C(=O)c1ccc2[nH]c3ccccc3c(=O)c2c1 672855060 MLALTIGAHINKKY-IBGZPJMESA-N 411.505 4.552 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)Cn1nc(Cc2ccccc2F)oc1=S 727816723 CWLDVOALNIPLJF-UHFFFAOYSA-N 422.869 4.587 5 20 HJBD COc1ccc(CNC(=S)Nc2c(Cl)ccc(C)c2Cl)cc1[N+](=O)[O-] 728445038 DVZLQLLRDYJWDN-UHFFFAOYSA-N 400.287 4.705 5 20 HJBD O=[N+]([O-])c1ccc(F)cc1CN1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 730261313 GXVNXAQKMHPBRR-KRWDZBQOSA-N 403.212 4.753 5 20 HJBD COc1ccc(-c2ccc(C(=O)Nc3ccc([N+](=O)[O-])cc3F)c(F)c2)c(F)c1 730487371 SOFGSBAXSVUCFL-UHFFFAOYSA-N 402.328 4.940 5 20 HJBD CC(C)(C)NC(=S)NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1 735422748 AHIKXFDWZPREGN-UHFFFAOYSA-N 411.886 4.942 5 20 HJBD Cc1cc(Cl)c([N+](=O)[O-])cc1OC(=O)c1csc(-c2cccc([N+](=O)[O-])c2)n1 735643889 SKSHSUKUIYNXDW-UHFFFAOYSA-N 419.802 4.808 5 20 HJBD CC(C)(CNc1ccc([N+](=O)[O-])cc1N1CCOC1=O)c1cccc(C(F)(F)F)c1 742400510 ZDRJZWVPJMFHPT-UHFFFAOYSA-N 423.391 4.960 5 20 HJBD C[C@H](C(=O)Nc1cc([N+](=O)[O-])ccc1Cl)N1CCC(OCC2CCCCC2)CC1 744409234 MSPZUXZZOMXQPF-OAHLLOKOSA-N 423.941 4.637 5 20 HJBD Cc1cc(F)ccc1N(Cc1ccco1)S(=O)(=O)c1ccc(Cl)c([N+](=O)[O-])c1 745824779 XWRNVGVZWKEUQD-UHFFFAOYSA-N 424.837 4.684 5 20 HJBD Cc1nn(CN(C2CC2)[C@H](C)c2cccc(C(F)(F)F)c2)c2ncc([N+](=O)[O-])cc12 749942478 AKZUGPKPSMQAEP-CYBMUJFWSA-N 419.407 4.850 5 20 HJBD C[C@@H](CN(C)C(=O)c1cc(Br)cc([N+](=O)[O-])c1Cl)c1ccccc1 750863591 ADSUNHWQRDKVCL-NSHDSACASA-N 411.683 4.886 5 20 HJBD CC[C@H](C(=O)OCC(=O)Nc1c(C)cccc1[N+](=O)[O-])c1cccc(C(F)(F)F)c1 752581792 RBKHVQHCRIAULW-HNNXBMFYSA-N 424.375 4.598 5 20 HJBD CN(C(=O)C/C(=C\c1ccc([N+](=O)[O-])cc1)c1nc2ccccc2s1)c1cn[nH]c1 754349030 JGAFCGDRJOZBBV-XNTDXEJSSA-N 419.466 4.521 5 20 HJBD C[C@H](OC(=O)[C@H](C)CCC(C)(C)C)C(=O)Nc1ccc([N+](=O)[O-])cc1C(F)(F)F 755139475 OYGZFTVUJKPOTF-NEPJUHHUSA-N 418.412 4.946 5 20 HJBD COc1cc(CN[C@H]2CC3(CCC3)Oc3ccccc32)c([N+](=O)[O-])cc1OC(F)F 757704776 IYHCSGDCPWFYDU-HNNXBMFYSA-N 420.412 4.741 5 20 HJBD Cc1cc(C(=O)Nc2cc(Cl)cc([N+](=O)[O-])c2O)ccc1NC(=O)OC(C)(C)C 758472177 RZMSNMKPDDHTTA-UHFFFAOYSA-N 421.837 4.862 5 20 HJBD Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1C(=O)c1ccc([N+](=O)[O-])cc1F 760073672 XSZJLJAFDVPYCS-MRXNPFEDSA-N 408.861 4.526 5 20 HJBD O=[N+]([O-])c1ccc(Oc2ccc(-c3noc(-c4ccnc(C5CC5)n4)n3)cc2)cc1 761860280 WKBLKTZVWXIUPB-UHFFFAOYSA-N 401.382 4.772 5 20 HJBD CCCc1c(NC(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)cnn1-c1ccc(C)cc1 762918575 HHGZGTLAKALUFX-UHFFFAOYSA-N 423.429 4.510 5 20 HJBD O=C(c1c[nH]c2cccc([N+](=O)[O-])c12)N1CCCCC[C@H]1C[C@@H](O)c1ccccc1 763023318 WIRPWLLQFNRPHG-LAUBAEHRSA-N 407.470 4.585 5 20 HJBD O=C(Nc1cccc(COCC(F)(F)C(F)F)c1)c1cc(F)c([N+](=O)[O-])cc1F 763427092 WLPWEECSVMHUSG-UHFFFAOYSA-N 422.281 4.542 5 20 HJBD O=C(Nc1cccc(COc2cccc(F)c2)c1)c1cc(F)c([N+](=O)[O-])cc1F 769480885 OEPDYUBCEHBULY-UHFFFAOYSA-N 402.328 4.843 5 20 HJBD COc1cccc(Br)c1OC(=O)c1ccc(C(F)(F)F)cc1[N+](=O)[O-] 769716941 ORIYGTXFXCPIMJ-UHFFFAOYSA-N 420.137 4.604 5 20 HJBD CS[C@H](C)CCC(=O)Oc1ccc(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)cc1 773204013 ADRLIQFFOVVVBJ-GFCCVEGCSA-N 422.890 4.938 5 20 HJBD CC(C)CN(C(=O)COC1(C)CCC1)c1nc(-c2ccccc2[N+](=O)[O-])cs1 775496133 SWLFAIVIPJHFHQ-UHFFFAOYSA-N 403.504 4.667 5 20 HJBD C[C@H](OC(=O)Cn1c2ccccc2c(=O)c2ccccc21)c1nc(C2CC2)cs1 781181020 VRFRXJYZYIAQSF-AWEZNQCLSA-N 404.491 4.793 5 20 HJBD Cc1ccc([C@H]2C[C@H](C)N(C(=O)c3ccc(Br)c([N+](=O)[O-])c3)C2)cc1 785542512 DMNNQYWRJMPFEW-BBRMVZONSA-N 403.276 4.684 5 20 HJBD CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)O[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 786359014 WURHKAUITOLWTD-JFTQMJAMSA-N 417.462 4.623 5 20 HJBD C[C@H](NCc1ccc(Br)c([N+](=O)[O-])c1)c1ncc(-c2ccccc2)o1 801540737 DOJXNGYBTGFCLL-LBPRGKRZSA-N 402.248 4.863 5 20 HJBD C[C@@H](OC(=O)c1ccc(C(F)(F)F)s1)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl 803863127 HKWMXVHJHXDIQM-SSDOTTSWSA-N 422.768 4.513 5 20 HJBD Cc1ccc(NC(=O)N(Cc2ccccc2)C[C@H](O)c2ccccc2)cc1[N+](=O)[O-] 806087614 HKOXMAPQBWQMLP-QFIPXVFZSA-N 405.454 4.671 5 20 HJBD O=C(Nc1cccc(S(=O)(=O)C2CCCCC2)c1)c1cc(Cl)ccc1[N+](=O)[O-] 817477295 CWMLBSBDKUXESI-UHFFFAOYSA-N 422.890 4.607 5 20 HJBD C[C@@H](NC(=O)C(C)(C)c1ccccc1[N+](=O)[O-])c1ccc(C(F)(F)F)nc1Cl 817858499 VSZFSVUSKVBMHI-SNVBAGLBSA-N 415.799 4.817 5 20 HJBD CN(Cc1cc([N+](=O)[O-])ccc1Cl)c1nc(-c2ccncc2)nc2ccccc12 818628821 QHELTASGARCBID-UHFFFAOYSA-N 405.845 4.890 5 20 HJBD CON(C)C(=O)c1c2c(nc3ccccc13)/C(=C\c1ccc([N+](=O)[O-])cc1)CCC2 823072695 FOVQYSMNGZCIPJ-PEZBUJJGSA-N 403.438 4.653 5 20 HJBD C[C@@H](OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1)C(=O)Nc1cc(Cl)ccc1F 856696054 WJFIQQZPOSAJMC-SECBINFHSA-N 422.821 4.786 5 20 HJBD Cc1nc(SCC(=O)Oc2cc([N+](=O)[O-])ccc2C)c2c(C)c(C)sc2n1 916403480 CGPILKULLFDUIR-UHFFFAOYSA-N 403.485 4.531 5 20 HJBD O=C1Nc2ccccc2[C@H]1C1CCN(c2ccc([N+](=O)[O-])c(-c3ccccc3)n2)CC1 1320303256 MSTMCILXRXUMAE-JOCHJYFZSA-N 414.465 4.609 5 20 HJBD Cc1csc(CCCNC(=O)c2ccccc2Sc2ccccc2[N+](=O)[O-])n1 1327031597 OPCPBJDZZQMKGH-UHFFFAOYSA-N 413.524 4.874 5 20 HJBD C[C@@H](Nc1ncnc2c(Cl)cc([N+](=O)[O-])cc12)c1ccc(NC(=O)C2CC2)cc1 1327271415 YXWYPTPSOVFZGL-LLVKDONJSA-N 411.849 4.713 5 20 HJBD C[C@H](c1ccccc1)[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 1328549415 RAIJEHDRLNIQMK-KUHUBIRLSA-N 400.862 4.762 5 20 HJBD Cc1ccc(NC(=O)c2ccc(N3Cc4ccc([N+](=O)[O-])cc4C3)c([N+](=O)[O-])c2)cc1 1329666533 OGNKJJNMPBSKHZ-UHFFFAOYSA-N 418.409 4.584 5 20 HJBD Cc1cc(NC(=O)c2ccc(Sc3nc4ccccc4s3)c([N+](=O)[O-])c2)no1 1339431926 VQJJRANEYHKEJW-UHFFFAOYSA-N 412.452 4.904 5 20 HJBD Cc1ccc(C)c(OCc2nnc([C@@H](C)Oc3ccc(Cl)cc3[N+](=O)[O-])o2)c1 1349922883 NPZKWPMRHRRHGY-CYBMUJFWSA-N 403.822 4.967 5 20 HJBD Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)c1cc2cc([N+](=O)[O-])ccc2s1 16800368 VILNOOZDXULDEE-LLVKDONJSA-N 418.858 4.955 5 20 HJBD C[C@@H](OC(=O)Cc1ccc(Cl)c(Cl)c1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 17528609 NSVKUYQRDZWWFS-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD COc1ccc(-c2csc(NC(=O)CSc3ccc([N+](=O)[O-])cc3)n2)cc1F 18706689 XIJCXZBEPCAUBM-UHFFFAOYSA-N 419.459 4.597 5 20 HJBD C[C@@H](c1nnc(Sc2ccc([N+](=O)[O-])cc2Cl)n1-c1ccc(F)cc1)N(C)C 29001432 CHJWNLBJPMGKHG-NSHDSACASA-N 421.885 4.742 5 20 HJBD COc1cc(C(=O)Nc2ccc(Cl)cc2)c([N+](=O)[O-])cc1OCc1cscn1 56380019 QUICEQLUIIGGAW-UHFFFAOYSA-N 419.846 4.545 5 20 HJBD Cc1cc(NC[C@@H](c2ccco2)N2CCCCC2)nc(-c2ccc([N+](=O)[O-])cc2)n1 60858286 HPYBJQNXIZDZFP-IBGZPJMESA-N 407.474 4.592 5 20 HJBD O=C(CCNc1ccccc1[N+](=O)[O-])Nc1ccc(NCCc2ccccc2)cc1 78497289 NEVJRRDDNZZVGI-UHFFFAOYSA-N 404.470 4.690 5 20 HJBD O=C(Cc1ccccc1[N+](=O)[O-])Nc1cc(C(=O)Nc2ccccc2)ccc1Cl 116854511 BQRFOWCGHNATMH-UHFFFAOYSA-N 409.829 4.682 5 20 HJBD Cc1cc(C(=O)N[C@@H](C)c2cccc(NC(=O)c3ccccc3)c2)cc([N+](=O)[O-])c1 195692073 DJGIWODRQAJSPY-INIZCTEOSA-N 403.438 4.647 5 20 HJBD CN(C)c1ccc2cc(NC(=O)c3csc(-c4cccc([N+](=O)[O-])c4)n3)ccc2n1 215734198 UHEMFQSLLDPVNE-UHFFFAOYSA-N 419.466 4.585 5 20 HJBD CCN(Cc1cccc(NCc2ccc(-c3ccc(OC)cc3[N+](=O)[O-])o2)c1)C(C)=O 237015366 UECLQEDQOZVCJO-UHFFFAOYSA-N 423.469 4.844 5 20 HJBD CC[C@H](c1ccccc1)c1nnc(Sc2ncc([N+](=O)[O-])cc2Br)o1 301516014 FLAMGSOWAUGMLL-GFCCVEGCSA-N 421.276 4.828 5 20 HJBD Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)N[C@@H]1C[C@H]1c1ccc(Br)s1 432210433 MTUJAFNWTVENFJ-GHMZBOCLSA-N 410.293 4.713 5 20 HJBD COc1cccc(C(=O)Nc2ccc3nc(-c4cc(F)ccc4F)[nH]c3c2)c1[N+](=O)[O-] 435506051 GEXRFTWJMYWGCP-UHFFFAOYSA-N 424.363 4.677 5 20 HJBD Cc1ccccc1C1(CNC(=O)c2cccc3c(=O)c4cccc(F)c4[nH]c23)CC1 436360263 FOARZDVLMRGWNU-UHFFFAOYSA-N 400.453 4.590 5 20 HJBD CC(C)Oc1cccc([C@@H](C)NC(=O)c2ccnc(-c3ccccc3)c2[N+](=O)[O-])c1 439254473 DWGZFBWXAHHMHL-MRXNPFEDSA-N 405.454 4.935 5 20 HJBD CCOc1ccc([N+](=O)[O-])cc1NC(=O)N1CCC[C@H]1c1nc2ccccc2n1CC 439895126 FFSYNKNONBFOJY-IBGZPJMESA-N 423.473 4.732 5 20 HJBD COc1cccc(SCC(=O)N(Cc2ccc([N+](=O)[O-])cc2)c2ccccc2)c1 440610854 DZYMKEZCGZBQBD-UHFFFAOYSA-N 408.479 4.929 5 20 HJBD Cc1ccc(Sc2ccc(C(=O)N3CC[C@@H]([C@@H]4CCOC4)C3)cc2[N+](=O)[O-])cc1 441539196 ALIYHYLYTORJCS-QZTJIDSGSA-N 412.511 4.553 5 20 HJBD COc1ccc2cc(CNC(=O)c3sc(Br)cc3[N+](=O)[O-])ccc2c1 444830810 YNCNQLRCBXOSFN-UHFFFAOYSA-N 421.272 4.511 5 20 HJBD CN(C(=O)Cc1cccc(Cl)c1F)c1nc(-c2ccccc2[N+](=O)[O-])cs1 464279752 NATJSUQDOJRAPZ-UHFFFAOYSA-N 405.838 4.716 5 20 HJBD CC(C)CCOc1ccc(C(=O)N2CCC(Oc3ccc([N+](=O)[O-])cc3)CC2)cc1 464639750 PNQAIGCAGXROLE-UHFFFAOYSA-N 412.486 4.703 5 20 HJBD CC(C)Oc1ccc(-c2csc(NC(=O)c3ccc(F)cc3[N+](=O)[O-])n2)cc1 466309546 XEHVBJLXLXUKAV-UHFFFAOYSA-N 401.419 4.897 5 20 HJBD C[C@H](C(=O)Nc1cc(Br)c(F)cc1F)c1ccc([N+](=O)[O-])cc1F 467024977 WMWWONAAEPEXAY-ZETCQYMHSA-N 403.154 4.517 5 20 HJBD CC[C@H](C[C@H](C)CO)NC(=O)c1cccc(Sc2ccc(Cl)cc2)c1[N+](=O)[O-] 467926239 IARWIGWYXZAOSO-DZGCQCFKSA-N 422.934 4.926 5 20 HJBD Cc1ccc(CNCc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)c(-n2cc(Cl)cn2)n1 468603376 TWXIJQFBETYEHA-UHFFFAOYSA-N 423.860 4.687 5 20 HJBD O=C(NCc1ccc(Oc2ccc([N+](=O)[O-])cc2Cl)c(F)c1)[C@H]1CCSC1 474683666 GSZYNCZMCBWKQE-LBPRGKRZSA-N 410.854 4.549 5 20 HJBD Cc1cc2sc(NC(=O)c3ccc(Br)cc3[N+](=O)[O-])nc2cc1F 478078819 FIBRKEAYEHXEBS-UHFFFAOYSA-N 410.224 4.667 5 20 HJBD C[C@@H](c1ccccc1[N+](=O)[O-])N1CCO[C@H](c2ccc(F)c(Br)c2)C1 481618016 ZEOHESVKWCABCC-SGTLLEGYSA-N 409.255 4.631 5 20 HJBD CN(C(=O)c1ccc([N+](=O)[O-])n1C)[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 482754732 AIQCNPJRCYJDGS-IBGZPJMESA-N 401.825 4.587 5 20 HJBD CC[C@@H](C)n1ncc(NC(=O)CCNc2ccc(C(F)(F)F)cc2[N+](=O)[O-])c1C 482799793 SLZJXWTVSMFIQE-LLVKDONJSA-N 413.400 4.530 5 20 HJBD Cc1ccc([C@H]2OCCC[C@H]2CNC(=O)N(Cc2ccc([N+](=O)[O-])cc2)C2CC2)cc1 491371930 CXVPJRPXDSBASG-NZQKXSOJSA-N 423.513 4.745 5 20 HJBD O=C(Nc1ccc(NC(=O)N2CCCCCC2)cc1)c1c(Cl)cccc1[N+](=O)[O-] 497868376 LFQKIMNVJRUOEJ-UHFFFAOYSA-N 416.865 4.908 5 20 HJBD C[C@H](c1ccccc1Br)N(C)C(=O)Cc1ccc([N+](=O)[O-])cc1Cl 503595289 XGVBMXYDNXQNBJ-LLVKDONJSA-N 411.683 4.773 5 20 HJBD CC(C)c1ccc(-c2noc(CCC(=O)N3c4ccc([N+](=O)[O-])cc4C[C@@H]3C)n2)cc1 504317903 IUOFSFKUYMJROB-HNNXBMFYSA-N 420.469 4.679 5 20 HJBD O=C(c1cc(O)ccc1[N+](=O)[O-])N1CCC(c2nc3cc(Cl)ccc3s2)CC1 505207230 MMNJQZFCKBTAAM-UHFFFAOYSA-N 417.874 4.583 5 20 HJBD CNC(=O)c1ccc(NC[C@H](c2ccccc2)c2c[nH]c3ccccc23)c([N+](=O)[O-])c1 507109401 DEDZAJWEZAFTSO-LJQANCHMSA-N 414.465 4.680 5 20 HJBD O=C(C1CCN(c2ccccc2[N+](=O)[O-])CC1)N(Cc1ccccc1)CC1CCC1 512802491 UNJZMXCSDFQEDG-UHFFFAOYSA-N 407.514 4.640 5 20 HJBD Cc1ccc(Sc2ccc(CNc3cccc(OCC(N)=O)c3)cc2[N+](=O)[O-])cc1 512934569 UMGMWTJKKSCVNZ-UHFFFAOYSA-N 423.494 4.531 5 20 HJBD Cn1cc(/C=C(/CC(=O)O)c2nc3ccccc3s2)c(-c2ccc([N+](=O)[O-])cc2)n1 513518367 DPVKGUAKPZEZQV-UVTDQMKNSA-N 420.450 4.620 5 20 HJBD O=C(Nc1ccc([N+](=O)[O-])cc1Cl)N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 516272472 LRQHLQUXXKKQFI-LJQANCHMSA-N 424.844 4.750 5 20 HJBD C[C@H](Nc1ccccc1OC[C@H]1CCCCO1)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 516838788 TUNQAPZFRXKWJZ-MAUKXSAKSA-N 424.457 4.766 5 20 HJBD COc1ccc(-c2nc(Cc3noc(-c4ccc(SC)c([N+](=O)[O-])c4)n3)co2)cc1 517607673 WHAHLAFEPMHUTH-UHFFFAOYSA-N 424.438 4.621 5 20 HJBD COc1ccc([N+](=O)[O-])c(C(=O)Nc2nc3c(s2)C[C@H](c2ccccc2)CC3)c1 519073883 NXTUGFTUVCOKDW-CQSZACIVSA-N 409.467 4.585 5 20 HJBD C[C@H](Oc1ccc(NC(=O)C2CCCCC2)cc1)C(=O)Nc1cccc([N+](=O)[O-])c1 520364723 XGLOSJREACVXLA-HNNXBMFYSA-N 411.458 4.520 5 20 HJBD COc1ccccc1[C@H]1CCN(c2ccc(C(=O)c3ccccc3)cc2[N+](=O)[O-])C1 523110008 KETDLJPHXZACCO-IBGZPJMESA-N 402.450 4.828 5 20 HJBD O=[N+]([O-])c1ccc(-c2noc(CNC3(c4c(Cl)cccc4Cl)CC3)n2)cc1 537129920 OLCTUCSIVSNJPF-UHFFFAOYSA-N 405.241 4.731 5 20 HJBD COc1cc([N+](=O)[O-])c(C(=O)Nc2cc(Cl)cc(Cl)c2O)cc1SC 538830657 JOXUCVZAOLXDJX-UHFFFAOYSA-N 403.243 4.590 5 20 HJBD Cc1cc(C)cc(OCCC(=O)N(C)c2nc(-c3ccccc3[N+](=O)[O-])cs2)c1 539352537 MOVYVPRUDGTBBH-UHFFFAOYSA-N 411.483 4.767 5 20 HJBD C[C@@H](NCc1ccc(-c2ccccc2[N+](=O)[O-])s1)c1ccc([S@@](C)=O)cc1 539530029 MLRFPPXCMQSOTG-LOKFHWFJSA-N 400.525 4.912 5 20 HJBD Cc1nccn1CCCN(C)C(=O)c1cc([N+](=O)[O-])ccc1SCC1CCCC1 541720789 PQJVFUGKDNLIEK-UHFFFAOYSA-N 416.547 4.544 5 20 HJBD CCCSc1ccc([N+](=O)[O-])cc1C(=O)Nc1nc(-c2cccnc2)cs1 542789201 MZUYCJZXGOFOBR-UHFFFAOYSA-N 400.485 4.868 5 20 HJBD Cc1nc2c(s1)[C@@H](N(C)C(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])CCC2 543143811 ZXHMEFWDHZKZKY-ZDUSSCGKSA-N 411.936 4.641 5 20 HJBD COc1cc(CSCc2nc(C3CC3)cs2)c([N+](=O)[O-])cc1OC(F)F 544082053 VMGHCSMHVWYDCJ-UHFFFAOYSA-N 402.444 4.972 5 20 HJBD C[C@@H](Sc1nc2cc([N+](=O)[O-])ccc2c(=O)n1C)c1ccc(C(F)(F)F)cc1 545819833 ITLBZMPVGAGQBB-SNVBAGLBSA-N 409.389 4.714 5 20 HJBD Cn1c(SCc2csc(C3CCCCC3)n2)nc2cc([N+](=O)[O-])ccc2c1=O 547225601 DTKSDWUAKVRWFM-UHFFFAOYSA-N 416.528 4.638 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(C(=O)NCc2ccc(OCc3ccccc3)cc2)c1 549213801 OEBBAGDPMBJILA-UHFFFAOYSA-N 406.438 4.503 5 20 HJBD CN(CCc1ccccc1[N+](=O)[O-])C(=O)Nc1cccc(COCc2ccco2)c1 554401088 IFNGYJIUNXGKKR-UHFFFAOYSA-N 409.442 4.611 5 20 HJBD C[C@@H](NC(=O)c1ccccc1Sc1ccccc1[N+](=O)[O-])c1c(F)cncc1F 557785961 QXIHESVYGGVWFF-GFCCVEGCSA-N 415.421 4.910 5 20 HJBD Cc1cc(NC(=O)c2cc(Br)cc([N+](=O)[O-])c2)ccc1-c1ccncc1 561103038 URZUCPOFGUHUCW-UHFFFAOYSA-N 412.243 4.980 5 20 HJBD C[C@H](Nc1ccc(CCn2cc(Br)cn2)cc1)c1cccc([N+](=O)[O-])c1 561849619 GKZNNUJIGNLERM-AWEZNQCLSA-N 415.291 4.970 5 20 HJBD O=C(c1c[nH]c2cc([N+](=O)[O-])ccc12)N1CC[C@H](Sc2cccc(Cl)c2)C1 561888270 JIHIBMZSTPDMHU-HNNXBMFYSA-N 401.875 4.736 5 20 HJBD Cc1noc([C@@H]2CCCCN2Cc2ccc(Oc3ccc(F)cc3)c([N+](=O)[O-])c2)n1 570084111 ATEKLNAHRRQFRY-SFHVURJKSA-N 412.421 4.945 5 20 HJBD COCC[C@@H](NC(=O)CCc1cccc([N+](=O)[O-])c1)c1ccc(Cl)c(Cl)c1 577049393 VLFXZNNCSVJFQH-GOSISDBHSA-N 411.285 4.728 5 20 HJBD Cc1c(Br)cc([N+](=O)[O-])cc1C(=O)Nc1cncc(Cl)c1Cl 577609199 GGGGMMCAEDKCQZ-UHFFFAOYSA-N 405.035 4.620 5 20 HJBD CCOc1ccc([N+](=O)[O-])c(-c2nc(-c3ccccc3Oc3ccccn3)no2)c1 583525455 NXDOTGNGHCFETD-UHFFFAOYSA-N 404.382 4.898 5 20 HJBD Cc1nc(-c2ccccc2)sc1C(=O)N1CCS[C@@H]1c1ccc([N+](=O)[O-])cc1 585462988 GWPPNUBFGXUTKE-HXUWFJFHSA-N 411.508 4.915 5 20 HJBD CC1CCN(c2ccc(C(=O)Nc3nccc(-c4ccccc4)n3)cc2[N+](=O)[O-])CC1 585709507 VDGIOIGLAHWWEV-UHFFFAOYSA-N 417.469 4.540 5 20 HJBD C[C@H]1CCCCN1Cc1ccccc1CNC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 603766895 ZBXHYUGLYQWLMK-INIZCTEOSA-N 407.470 4.645 5 20 HJBD Cc1nc(O)cc(-c2ccc(NC(=O)N(Cc3ccc([N+](=O)[O-])cc3)C(C)C)cc2)n1 604448143 APKGTFHPBPLCPZ-UHFFFAOYSA-N 421.457 4.508 5 20 HJBD CCOC(=O)c1ccnc(Oc2ccc3ccc(C(F)(F)F)nc3c2)c1[N+](=O)[O-] 609962122 BAWSQFJZJHIIFD-UHFFFAOYSA-N 407.304 4.526 5 20 HJBD CC(C)CC(=O)Nc1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 610038474 UXQPMAOOFVZOHW-UHFFFAOYSA-N 420.263 4.594 5 20 HJBD COc1ccc(NC(=O)[C@@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)c([N+](=O)[O-])c1 610165618 XXEGENNZEDJUIT-VGSWGCGISA-N 419.481 4.504 5 20 HJBD CC(C)CCSc1ccc(C(=O)N2CC[C@@H]3[C@@H]2[C@@H]3C(F)(F)F)cc1[N+](=O)[O-] 610494718 OCALNHXHTIUMKW-APHBMKBZSA-N 402.438 4.756 5 20 HJBD O=C(Nc1cnn(Cc2ccccn2)c1)c1cc([N+](=O)[O-])ccc1SC1CCCC1 611202649 AMFTWOSNPQKSQH-UHFFFAOYSA-N 423.498 4.522 5 20 HJBD CC(C)(C)OC(=O)NCc1cccc(NC(=O)c2ccc(Cl)cc2[N+](=O)[O-])c1 727868844 VZQHEOAPYDSLAS-UHFFFAOYSA-N 405.838 4.525 5 20 HJBD Cc1nc(C)c(COC(=O)c2ccc(N[C@H](C)c3ccccn3)c([N+](=O)[O-])c2)s1 729207123 SBSXTAWKZDREKT-GFCCVEGCSA-N 412.471 4.593 5 20 HJBD COc1ccc(CN2CCC(CNc3nc4ccc([N+](=O)[O-])cc4s3)CC2)cc1 731209128 KXXRUXHUXVVMGP-UHFFFAOYSA-N 412.515 4.537 5 20 HJBD C[C@H](OC(=O)c1cc(F)cc([N+](=O)[O-])c1)c1ccc(NC(=O)c2ccccc2)cc1 731548395 IECXHCMCEQOOSJ-AWEZNQCLSA-N 408.385 4.904 5 20 HJBD CC(C)c1ccc(-c2nc(C(=O)OCC(=O)c3cccc([N+](=O)[O-])c3)cs2)cc1 731697461 FFRDPMQEEUYVOY-UHFFFAOYSA-N 410.451 4.881 5 20 HJBD CCCCN(C)c1ccc(NC(=O)c2ccc([N+](=O)[O-])cc2Br)cc1 739563260 IUXMYMBPPRNAMJ-UHFFFAOYSA-N 406.280 4.846 5 20 HJBD C[C@@H](OC(=O)CCOc1ccc(Cl)cc1[N+](=O)[O-])c1ncc(-c2ccccc2)o1 741326964 XKXZRCOXFCQEFL-CYBMUJFWSA-N 416.817 4.977 5 20 HJBD Cc1ccc([N+](=O)[O-])cc1NC(=O)[C@@H](C)[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 742464802 PWFRORZFGKMLDG-HNAYVOBHSA-N 413.474 4.744 5 20 HJBD Cc1nn(-c2ccccc2)c(C)c1[C@H](OC(=O)c1ccccc1[N+](=O)[O-])C(F)(F)F 746437788 PTBMYOQATJUEHF-SFHVURJKSA-N 419.359 4.858 5 20 HJBD C[C@@H](OC(=O)CSc1ccc(Cl)cc1[N+](=O)[O-])c1nc(-c2ccccc2)no1 751635996 RPHDLJWCTQMIPS-LLVKDONJSA-N 419.846 4.695 5 20 HJBD O=C(Nc1ccc2cccc(Br)c2n1)c1cccc(Cl)c1[N+](=O)[O-] 757094929 LMEXJTZTQQEPCD-UHFFFAOYSA-N 406.623 4.811 5 20 HJBD C[C@@H](OC(=O)Cc1ccccc1[N+](=O)[O-])c1nnc(-c2ccc(Cl)cc2Cl)o1 757960241 BQNIBYXLPZXMHK-SNVBAGLBSA-N 422.224 4.799 5 20 HJBD C[C@H](OC(=O)c1csc([N+](=O)[O-])c1)c1nnc(-c2ccc(Cl)c(Cl)c2)o1 761104557 VOYKLHWTXOUWEK-ZETCQYMHSA-N 414.226 4.931 5 20 HJBD O=C(c1ccc(Cl)c([N+](=O)[O-])c1)N1CC=C(c2cccc(Br)c2)CC1 762481164 AQSOBXRLFUNHPB-UHFFFAOYSA-N 421.678 4.940 5 20 HJBD O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)N(Cc1ccccc1[N+](=O)[O-])C1CC1 772818214 NPGHECACIARVIV-GOSISDBHSA-N 409.269 4.516 5 20 HJBD Cc1nc(-c2ccc(NC(=O)CCc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])cc2)cs1 781584885 BJDHTMNBBSSAIP-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD Cc1nc(-c2ccccc2)sc1NC(=O)CCc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] 784176366 FRIHHVJQTGAOST-UHFFFAOYSA-N 412.427 4.506 5 20 HJBD Cc1nnc([C@H](C)OC(=O)c2ccc(Sc3ccc([N+](=O)[O-])cc3)cc2)s1 784221771 QRMWSCHERSFRHT-NSHDSACASA-N 401.469 4.824 5 20 HJBD O=C(CCc1cccc(Cl)c1)N1CCc2c(Br)ccc([N+](=O)[O-])c2C1 791171579 FQSDZEAUXSVZHY-UHFFFAOYSA-N 423.694 4.528 5 20 HJBD COc1ccccc1SC[C@@H](C)CNC(=O)Nc1cccc([N+](=O)[O-])c1Cl 809918666 ZCOQPXPNTASVCU-LBPRGKRZSA-N 409.895 4.807 5 20 HJBD CCOc1ccc([C@@H](O)C2CCN(c3ccc([N+](=O)[O-])cc3OC(F)F)CC2)cc1 812481649 BOLHMGISXOPFBI-HXUWFJFHSA-N 422.428 4.545 5 20 HJBD CN(Cc1ccc(Oc2ccccc2Cl)c([N+](=O)[O-])c1)[C@H]1CC(C)(C)OC1=O 814179037 NUEJZZNTOSMZPL-INIZCTEOSA-N 404.850 4.566 5 20 HJBD Cc1cc([C@@H]2CCCN2c2c([N+](=O)[O-])cnc3ccc(Br)cc23)no1 914572059 NFYYKJSWALZLCB-HNNXBMFYSA-N 403.236 4.543 5 20 HJBD C[C@H]1C[C@H](C)CN(C(=O)c2ccccc2NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)C1 915180808 LCFKOSFZJGJSFO-KBPBESRZSA-N 415.877 4.619 5 20 HJBD C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)CSc1nc2ccc([N+](=O)[O-])cc2s1 917172138 YYFXGXOQUUPULA-JTQLQIEISA-N 423.466 4.794 5 20 HJBD CCCCc1ccc(N2C[C@@H](c3nnc(-c4cccc([N+](=O)[O-])c4)o3)CC2=O)cc1 1117203674 HGNHDBWXKBULGS-KRWDZBQOSA-N 406.442 4.508 5 20 HJBD